repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0_viv_comp.vhd
12
8,921
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Tjj6TThJhfnAmLo7oIpctlFOokCH0PCTpyCh1pd2bSt44jTEefon49/yKIQIZPVBZHWEuh4TEjbv 841vgrGnCQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kufniTMrhOaDqQGSHxUcd7FFMjL1wRIDnkpb8QfUlB59hKR3x+6ceYz8tnv96dHyLXFspGDkvIIS AfwaxQP6j3w3Vcio37+at0g4uw71tc9A7fZiEx8sF+Xyta7rk498JKFI4e+x8HZZ8zh++OgLff9f AuHQUfQitlS1ZStkATI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 1ZIScumKuDClbm3sm8YNCVIS4ZQOld0dv7QQPRpx2m5DyPrmS62n3tn47TfwscpHHng1va7uCFlX l9nAY4KueBz1Fdfybk7k1RA98NtnOBww6JSfDnxRwFTIapye6iIJhGmYJIoRf08A9Do6oRXN3j6H Hx4uJB/P4B7pnakPcK81X4jBz4cuDoy3UITmltHpw6ia2DQYIlih4LJBoCjIebaQvsdTbldOecSc toRo0BBTgM3O6jQwkDPhvkm/JpADE97PM4m3BAOGofAvrGPDAudsoFuW1K0C3JEyYkiSZOozX4Iw DpsUl/eRnrBRUTXsARIKgSHO0WTZR/ndkMVaHw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dZ19IXXhI3is5aX2SXvhu2pkId41bH1ZKB2mCtanVGF9GIYicpFKax+2oPDRel7xvvMLSYRq7nUU Zqr4PQ56Es//zDT7yE6RPGIthXyVmqDHilTX2HfdgY9vPAQd1WtE6yzbSsvj1hHspLezpfBlrMQS RahD9vWJzCgwZDhLxfU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JdJxda/MKKcBnKRi7UZe28jwxgzwMwsrzF2g5IIEMpCUXAu9fMvMJPdopsJ6n6cKwMa0ZJYXaU24 wKuQhfg48jir7QZjNc6bNHqEbWwmQlmTfAqWUEg9694i3R7Lzj3PzGEh7Vmc2xkMSAA7tRrCTr4M Twbu6MuwQT3FkrKtAdlpAC/fx9Ycl7tICcnB7BoCGKkJdxUKzvq5mNEhaw+Ob8xn+LoZJfOGPM9e FTonoBaGL2AOvAGGYTU1BNV0vrhGXUcgi/3XhkOrwwv+eTeFF3GRQPTYw0rbYd/1sJgZ5VzfAoSQ 0oxeNBdBZuDfM1zMPBiZkBwn1yg9nYSxyOOHGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4864) `protect data_block mQD1B4FeL2BrXrqxCsd79P7Iyiw2tv/efjUWKYMJBbDAh1s0nIabfecMiLLsHbt96Me5ZZuOBsnT VL6YJZ9DtqGMqI5BQp+W0Kq0M7VHWL0JrTpHJt2PVKusSjx5gEWWCnk103CqlMvN/5U8flgDqLHy V53POgklS8sA0onehNjsDq8o3mIgmo4oK1gxcGwcNLeRyNnDv2CRkpp0kTi0zZHkun9k8yYrrX1s XDtzCsDDDFSX0lu5Q2gLEf71I636/xWy1nvPJvmZUTgO1ZQdr58vHfgRqeUFdLaLnJRhL8pmRHqG 6tFv4/P9uvZhmNGq2Jue9J7Am02g8nqmpvM5KQUrZZyBX3fkMrxE4QBsmCju/zSg6hjfDmk2M9DX OW8pZWrk0fszVFmBMDKDX4qPOkv95R8YT7fXls3QfHFP9P19Th1RanjfuJThk1fTOtVc+5kVnJ7e u1YCAyHOG4IR5L1vUVjKn40kCS5nvyiNB/AecMuCpyO1o4j8glOjGx6ZNKgkdON2Tm27IJA9ccXI WpeR9qsL+RZm4NVTRg+UFDp8fezUA3OzzCNjMw51CYCjp+zBjQXy5OYF6hgsMQRjxk7dBjusP/+k ASvGVx90HFQEeCpLLwYqzcmsUiwNLUm3YaN8+7VWzJMnVBs2vLJD53KofabQOUUUdaJgNCKzbthD byhCPoWQmJV9txUirPbj3yamnQ0VABVHDDWDr8GdBT/ACEDHx8O3yzpLxLuY87JuojWzIfOgZRNx AvSVXpA3zHQI2HxoeUFboGiLB8K9t6fCRvIK+b5+u1Am6rzLEcJN7n/trx59Ulm6R+l1bOFJpenQ 1rSErSBgdTJ+fe9UNMF6VUhbcsXpj2wZQxXEF83zVJSQaJsZwUcbVxK54HWtPNOQkjMD06wPv1Sf 7VUHsf2TO4x2zLPlyllMN7PnXdfAPkWp5BpNzgSfOctOnSG9yoywSNVSLdewi12sJFpcsIFffZtl VC7TXFY0xaZoO91dgC6IsTCYmyDr/9wqxCacFVs/h+9hRaD3wtrO5euNxT6K74htUDbv7tKvgCoT 6dz2dHNcdJMGa9O2piadGAMTfY28osbKQkttcsQ3EvJWPuAwUOz5SKJQdGX9yYklT1hhaDWduL0q zcWI0FNNOIxZBTewYnyiip1L04r/37dUXnJ47GczAx95+4UOzU+o2qL++pleXcGbnQXXZh3deie7 wiav7TKECTBA20ki0bEY/5f0MYSCXhHKjpCekvzH8OckQqUw0ZVby0BcOSaTO/QQGM/m/E5nNibI oWGHn+lc0YoDMuHwmX14yapCyNhbIZMVZo7cKoONWrOYqbyc+xr6tcX4pttrUt+RXO3P6+aS4hNe FajFpgbaO9nMlj8qjmRvrzEkgV9x4Z+3TiBoJqKiUU4/7t2gcKqvMlwjOLWzp3a6if7KbeTwzCua jr72kTBIxS3u6dKs2n/9bdN2tIHaCUJYOzkt2+02cDUawqZR+wxXaiKp3CLfoFg57vH4yujWc4/m 0eJZBPIbikIDjLRCD3qlw1gNOiOBaC/FftoSrInW4fIQkIUhTiOvACjBWwOyfbb2uE9G9VADPzDj ZX/zyo9OhHdkiLuRODVj+oY1i3mGbXb5oyMugWqgOSHHbqVr1OIYWGus1jzCSOmwr/OztCc4f9Ry I2oy6kIAYzobZOg3V3w9FmVDZK342IPG1GwAlyzkTOTbvwq37OvfJhJ/Ay5R0SqyVe1QY+LXAzgc hKK77xeGSjlSkPCP4tPKJUmdRzDZRCUYPa/lH2uY6QGewsZTBTHLgNURCKmubxC3qL6mZOHAmtv9 MTiu5P26rxcfo+jBJG7DgDscl6i2qnvC4BHw+wDwh8i+JlAcqZCqB6+SMd8UzNA2kmHW6KnKHchh 8fBU75AmlYZzZZrUIRvE+fGYqBGZ7b/oFOc+iG/PHozBL5FASfWGq98bRR/DNKMnuJ/s3lVsyfRy 9cNGpCVncnf/RbSN7Nv0V64JDJGJyNVaAu3e8Z64znF7p4b2ePgxywAoRcNEqKGzmQO9vsGr6z0d ImF/1H4QZX5BUXbgFwNBktqnx9qguE0WR3TW0jFTpYAXPtKkjAzFFlt6Q/ZiaKxj3RxjiEiPcgcA /52/+Zz18CpLLVPj7iwpgsoOKylV2nXo6Mls2y5Uw3HYoXfOpgDy+tai5DWaqIpo9/GObJuh8cGO wVsPYrpGEhY1qu/L0fX9q1UnGU6ByvcC+ksfaejarwO2iXulmnmLhUMBdkXuoDP1XrcP39x53/vK IFHImUmWjteApfsyYNxjd12Y5jQuzJ6J3b0n3K/MQmqwMdYlWeqlo8xW7FC0J+WqoYYBhn/QKYQb yPxT6CD/Du91T+9GP08KpiVqzVOUzaUJuvtz7KeWexE+N3nAqruPPj2dz8JFPwTXzuhr/1tnQGOY Su0Vc8c+TMuc7G77VTbbJofrIK9fz6LhqWcKTN09yJd3Sh5BKw8mMJTYkEcnwYe1lUrR12KjAxP9 Rzi2Y3TXNCua50hmq3kzGkyEJ8Z2oADKClhvnkggVTydCtpbqdRd1UNq0qL40yDFCH18k34cgH3G 2X4PEp2eAQt0RbPnsvs1JqN5lEkt6FAgZH6b3hJmfSW3/oDVECQB7rzPmVh3lO9rfSn1He1nJ+Tz V4JjTqWYPzx9opJMcK/xX2rejpV1/oFOKlOIe1nZ2InfM5SPef5a+SuDGfyHlDEcLdKi0TdtPoLq wNr1RaugsD0dH84303E9Kyoz73s9dEmYwgQXChLqUn+fs+y6anF5e0eB+CrYgt5YKOZ20UwrU5Fx 4+M3oBQULpdssUf2q/iL7aVIVeloFVGOjy3k7Eq+eEb/Yhu1Fj0ZCg0DIKHpVLC04//y8qNqka/e NWkU5QvHqkYUhJJcm+iWb/lUFQlBNivAzYeaoLyoT4/CP4lm9bqwgGXqgZNozDxQPu25D8HVSTYl K+FLZa8TzhkXGj8m3C40PDe8WiSBEie/4Vi/tg+T6XNMAafn3Ng+JTMHOV7MgYlBBlKBE2mqPj/X gxP9pLNUvNdH2FWvMxL1Kdn2vKfyMqnEFgCXPQK8DIVMpLD7WT0VaoAoKXD3Z1LRXl6YLkgvkgco ZLC9NOP3RBIsoVQzaGNDZO68vJqV1jvQsIgU6tOmSY2M6ZbcBCakJKoidNF5MVEJ0vUte93nig0m ol26Xb/MEbWQV5nI/Kb8JOBhoOYQOxawb8LksSrAabgWj8MlevrEdmFORrnbmZUtRDJRvftVUpeM ZeewGtH+mVsKzm4uV+TyNjcFVt8fbjvaEJcPrKgEx7Pvd6U2g/bEXCV1Hg3mYNIH2RurpyhnzPcI BiVpByATwnK6zgCXs4glppCJyoP8AqOjGZURX2HF2z4WsRIlGDZVHwUgCEgxOf0Ykf3buWNKuAaN 99fbaQg2JoqOuc04XrBNFgbYNljNBJpwD7SxcVDdpm+N44RKYU5yfyQtHP4uiOaouOBHpGjc7ABy W1A5ik8rEyyUURsF9/U2GuJ4DTqTWow7TEx/RIivP37wsl7UtQfyWBGbGe1azr3ZFe8WG6hIi0Ei Sao38G9M8eCd+gjOlh9bKL0X+PLFKsg38x4fcX1RbetVZDwQevxmfgosjpoz8zIMXccRYD0GncaI 2wQhuNa0fa25dPTi/QvZF0mcHzi2ssuA13RMScrJpvrqGD9yOk4Q2Rf+HeMrXT829DuCH0JDy7Hc IVkIDNiB89Pfm73SKgB2095ipL7hmWhdHRWqIHqVOTYZp/SQpOCR5MtLH9c8Rdfno58duL8AQ9mD 9tKOPEZi+3Sq7hRnxe1uVBI+xEt4d9q3nY0YTl/+MkcRo0bs2rkbE29SEhbXG0mDMB5cZWGBDmpl DbIy5CQk/QgCmDiJPPAorGFhW1dDiDYcNoORnJHyc/zEi7SkoDREJKvcDIxASBwPritrP+HXEntk cZLz3GG2Ab1n+4gghnU288EJOoEmhE5lY21x3HdlcFC0vDKjVy5LFZzj+4ywXvfyrkuPkKA4/P6v 3+6MRHNQZVcThpJ/sO8GfHKh91BeEKQ++RcCD+bAow22PBrrVIkM5Oag5BosPdSrxc3a6FPKh0K4 p/1YPVWYJr+FSvKqCpluFjt8qmwNJYjYHw3eKhseyNtLQGZcKGkHY6JyAHCDd+Hy1+3VEhhkg+jA 66kJ9k4hhrGj5ZVcVuLiv0uiGYPuTobZwO4Zzu99NxuI5OUslHdjhsPxc/HwdRpXkOVqV+/wtWhF KiqL2RNNmxVMa/SWGJT5BDwWdOypZarI3ecTB2nJDTuQQjLz9EuFJwNENBIG2IYCHnzPAfcfPLMP hQFITN/8zCoaQZQdk9SBceG17patzzHm/9SMETpk/3AwNgFMoUz1YOHAphhqhkeB/QeHZpKQlQed 6kGEP6x8eslARVZ5R1xdSfIWSWnaN8bAagJW5nO1y0gKDw1WOzgQerQc14ptwb4kY1BPOfFQziDb y61nhXwETEIN4ZfhG2J7ilseAAma8c9dKV0eSQD9gfvr94ph6ybHA4N6WHanHdRwUw+bOw6SasWU t3cmAF5Jb/fDlxG5q6Yd3uKdN3d34YRkyZz5Hjyf+EJALxiVgJClOG2XLUbHY77W4z7abJSg/Qe5 wB66PUDUMtreLMQmKUKd4E/1XSlr1L4Bz62Sob9rd4LHXrTN6fKz1zUzm3lx+XHByAO0cIdkXBwh NAuEY8gTVH4+Py7vKHU0NuYmQxu7RQ9e5+KST0krYkajNoaPFN9xKoV5ATdwJBvkvD3cBWMRck2d jdJfdZEiF/vX3Vv87JMbQHxcYlZM5lntbYd+66uCFTQxLySN//7KjsgIQLW0GA2PyjV4LzW3V4S5 ovZl8Qcnr269DqYxH4oQjaFb76HFgrVXTfOtHAL/G39FU/0DhRB3iSFe1AL5E3HgTSbY9qQh3E5p 562Qtb5aCwWXydeJqMqfXRa29ev9QJNmL5Tr7ursB4rGjQvwpHBquFgDr/I4xHoQr5MlqZ90+Bh0 VOHjvmrFhsJ/4YWxFjzJTzqcR5+37/L5PVDKRuA9C2YLJ2QvROSVBdIzaDTuSg8poX4eFhoLpICs SWVqnUGuuSBHMwtrCs0YqyYBLbooKE1cqsJLBB5xMjC9OmxWIqY1yaf4hm5DV/mrV0Ib3LQGDIHH ZAqNzJO9SJVs7qidhXnkYx8dtich2QbtTamjMmVJYDVkYg6wGx7jj/S1V9o3Y+D9is7INvcPJMUC c6Ax1qTE000PpgZf8bhkEoAnj3rono3tCKiaUHZy1hqHrRO0ECb+DDW7rN8lzplK+d9GptIHevVM 1C4OUn9yknXYnu1BBciXt1H3z1TVlI2khzRn4MqWt+lJi03MPILJd0Qr9X9HLYlYa/PNYwP6+UCA xaavBnwOO1uORJtyQs1t3DgUP4Rx4+y3cLdtKHjxJyczwRCn0qH6Jl4hsUtwoyfoEg0fdVLqbYOZ EfMqiuoZQAB78F9P7qJpWpue/fdlW0V8lHlV3bRXjMajd8duXaE6LGPcatHdRRnPzHDtU5N/cqXA hxz5VFJcMXHzumyx0qFjlqNjXiqG5gERVh0RJXFdW00twG5oXiDy7sK9LVXkAozJEsBlb/dn3sfF KS78t81JmzIqJAFLCD7yqwVg1TJvIK1N7Kb5RJ+FCVQQl5mCTRqiyD6mxeiFSO0veH4OXZ1ielYQ YoAyAS02CC6mdHdQ5agaHhiqyIk9J2qdBdQbsMXlusleTNton9ilP5iUqq4zLCZd1f/NirrwdoPH EPm89yl93l/wJy216DeZP5KH8fOsBsg08u7r/sW49ezU+3OM5rYWMst6nO9qtHERgVbbNf8xUg7Z X+e9CusVXQOJfJjV/lGwGDCCYixk4c8Ba9AvR3z5kA6AO0YDHtruqt4+qNNytXkro9uRyCIXFp3H 7kdUK8XJ7nxLPmMEWqapQr3xmM6VFekWcE6vPGLb0fh1kW/gNnyLrSI9CZvwxMunZX+Syhz67+Eq j5CrVnCQZp9sPLxt4Dl5RuPhvM/oRfhnZgKFern9ErrK4zCXxCW4mAWOjIIvxhIbDR8ePPZ6BQ93 XTIMEeva84jgiFK9vXjUWFpAkOiJ2mY3mMvl7N1lMG2vxJrU0R30qmzYP0BljG+GRyM6l68dvFnW l5KOPlX194DoDp1q/lxmt4sUcy9R/DL2FbY3p4F3xel2cDatKjFnk+AOUXY69TIpgdQHVgF6cj2R WpW683tElqbnLvbpYYDTJy78Z5lLRrOWT/MhAibmyRBM6I0LbXBxOge6ODK8t5LsZW1JOQFXNADu dwTnPZDk1qRL4qtJgjh954PHP6dBsaIqCySzOMf8U79ZNmz4DNO8fS3z25eWCTE1znPXe9HiBB30 dIpTddvHmVGmXJWPOIMLZrj0yL+1ciQM1inu9JHCBr1Do+h28+N1RfqloFvyU5+EEGVHk61ezvUb ClbzZCOir6ZtSaLQ8EtkQ17v9g== `protect end_protected
gpl-2.0
2a484c624e5188de7c4b05fe76ae2f52
0.923103
1.916022
false
false
false
false
rbarzic/arty-cm0-designstart
ips/clock_manager/arty_mmcm/arty_mmcm_sim_netlist.vhdl
1
7,847
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2015.3 (lin64) Build 1368829 Mon Sep 28 20:06:39 MDT 2015 -- Date : Mon Nov 16 15:47:10 2015 -- Host : roba-OptiPlex-7010 running 64-bit Ubuntu 15.04 -- Command : write_vhdl -force -mode funcsim -- /home/roba/perso/github/arty-designstart-cm0/ips/clock_manager/arty_mmcm/arty_mmcm_sim_netlist.vhdl -- Design : arty_mmcm -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7k70tfbv676-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity arty_mmcm_arty_mmcm_clk_wiz is port ( clk_in : in STD_LOGIC; clk_50m : out STD_LOGIC; resetn : in STD_LOGIC; locked : out STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of arty_mmcm_arty_mmcm_clk_wiz : entity is "arty_mmcm_clk_wiz"; end arty_mmcm_arty_mmcm_clk_wiz; architecture STRUCTURE of arty_mmcm_arty_mmcm_clk_wiz is signal clk_50m_arty_mmcm : STD_LOGIC; signal clk_in_arty_mmcm : STD_LOGIC; signal clkfbout_arty_mmcm : STD_LOGIC; signal clkfbout_buf_arty_mmcm : STD_LOGIC; signal reset_high : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE"; attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; attribute CAPACITANCE : string; attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; attribute IBUF_DELAY_VALUE : string; attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; attribute IFD_DELAY_VALUE : string; attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE"; begin clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_arty_mmcm, O => clkfbout_buf_arty_mmcm ); clkin1_ibufg: unisim.vcomponents.IBUF generic map( IOSTANDARD => "DEFAULT" ) port map ( I => clk_in, O => clk_in_arty_mmcm ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_50m_arty_mmcm, O => clk_50m ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 10.000000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 10.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 20.000000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 1, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 1, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "ZHOLD", DIVCLK_DIVIDE => 1, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.010000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_arty_mmcm, CLKFBOUT => clkfbout_arty_mmcm, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_in_arty_mmcm, CLKIN2 => '0', CLKINSEL => '1', CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_50m_arty_mmcm, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6 downto 0) => B"0000000", DCLK => '0', DEN => '0', DI(15 downto 0) => B"0000000000000000", DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => '0', LOCKED => locked, PSCLK => '0', PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => '0', PSINCDEC => '0', PWRDWN => '0', RST => reset_high ); mmcm_adv_inst_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => resetn, O => reset_high ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity arty_mmcm is port ( clk_in : in STD_LOGIC; clk_50m : out STD_LOGIC; resetn : in STD_LOGIC; locked : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of arty_mmcm : entity is true; attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of arty_mmcm : entity is "arty_mmcm,clk_wiz_v5_2_0,{component_name=arty_mmcm,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; end arty_mmcm; architecture STRUCTURE of arty_mmcm is begin inst: entity work.arty_mmcm_arty_mmcm_clk_wiz port map ( clk_50m => clk_50m, clk_in => clk_in, locked => locked, resetn => resetn ); end STRUCTURE;
gpl-2.0
d8f59d2146f68ab06b474173949c75e6
0.646617
3.37796
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/c_addsub_v12_0/hdl/c_addsub_v12_0_fabric_legacy.vhd
3
16,399
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Q24C1Hiij5+m65pbNNkRyjw9Qe5ev8cdjSS9lbwXcGqMlZn6MWy4uFU+/J/aLP6EE4qsmeK5qx/J u9lfFX6QBA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LqA4L0atcNMHsKyJZdoA1WiIxiz7WNig8UG3ftEQ4ovqaHgRP74FjyRaLTZfczA8EghNaEl6m5GQ VaS3O0clW66V9margpvJnMxxb8tXOLM89lwRE08mdpj2v+fC3XmbWlM5DuDnp+RSoRXSeABd2TYD BpJLsmwdp5mkW92ROFo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QI9m1ajtaZFOac1SB/U7MT/M+xtLTtDQEPBCFWl9n3RH24M2FXKTTmsQShpTJP/LSOAe+wcDQP9Q /fZrM9duaa/5rlA7IkHJS0IL7KT9rQrloq5WfyaXhVGr1rr4nlmkmBSQmT0cTcweSworysNwYrTA LxFQhVuvBzKdelAXrLzFL8oEhvwK1aassMCjg15JKqpVbjA64NiCVtrpu313cDlCVWZNaqcWGxQv 4W8JMsO5V2bKrbIp7mtwD0O9ZK3/kikTDtw9+4pLjJDVw3jt+vavB4UGYp5xBwpbtfuKHrr9nA+o soWUt8hwVWHok+L96dQPPF4uEiYYk/yeGymNnQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gIpGiXlpboqWDdw+DN6UxDYo+yRw3Z8gN8YQOrCvN0mdMq40SO++RiLxvxyoPyF0FjhiEhjgKEwC zHFQh4y8kRZDMasQEfzozItzK76PDiMjjAMGxd4sD/RBjSTHnZIcd+wKFj6PihkJbaOb8MtgLzHP 8SECalo0xsbNPI9EquA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hu92UVDnQnJsrZqnXv98fo6J78D5QpSnqW3kR6t0rTcTx6zlkL924cQtTUI1UpuHI98IURx3mpLd 3JJQ8EyrY848IXLgMoB5GNnpuC4CMBpjD/FR6pqMaCGoj7pAY6eKJIV/tSIqQ2RjrXj+AeTnKZV6 eNyRa6ih8uhsxsR3P32Kfb6Rh3zJr3gmzX6MNMVvIv3HOQXh3QhTm/CMgWCFU8A+Dih5qMgWLITw SJe+alpwu/lHYGBdkLhUoJZGH5R72ymTuvCI6oy1PflbIRl255G9Rpd9UiPy9scl9TFyAaX9sPyx D0zA4uRjy47C5puAblyI39ap6JOEUmamc9TIjQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10400) `protect data_block Qj0QGMfSGyAb3STslbUKxLn3xNaGG/cOR9mBLZCuneNfMHCBvTGmVqqZSvL6UftpDI1FGqIg15bi c+Kt1i2obk2nln0tv77MGlGTY/Vdt+ShblvoTfbSYNHPXFFEIFDYR/Wvk+GIHhtxZhj7utaOd+oA 9JZNxMi9Heoud2hh02kcDaJnCIYV7PMMWZ5hFE1/lbgQIH//UUs8GeyAPFbBNKHa2GxNiENaGNI3 vxo7n+AgfaADBTCV1kR7cN6kQnWG2ORoxW13vOVHd1k5U960+MvsUQSilsVzHZM54lN6NXtUhonN l6EidY09eThNW+ZFgzusXzJLLOtouqI1yekr7LY5HE6X+PkZttK77L1edX8w4OklB6UGcpVc6n/Q JVGVpYWHp1B0RtvcSymkDGfyWZ8G5GI0H1qm9DuiGwnfQfJCbOoYkZpDPpvQ9bizfmZUC1pTSWSV bdWVTTMIouZZWKfU5W0BmxxD8RTSUUkstX78TfEl2hRSYYkneqbXVJZeVou3FbkdmF/XajRy/EWp zORSJLmGnWIs4hgYa/BCXBfR8Eve4jy8itE4WgXybzIvb9hEgwYbtnWbmGE3YHemnlmoqDwUSTbe ik0/N6soQuxzfgIBmaFdkFE5ALnEEs2rUw5SyDYmmQrhIj+UcZPgUG5RzBr6W+V1Ifl46wIPB/07 vI6V68sojnfhbsipncmcK3UvLA9a/SY837kGLyxQXyc64VcO3bWkR0VH1cmYrjahmVfWdGUR6eQC 5QbSQ/RqS34XeEKcHdCPwWP9e2rkZASf1+eK/9/YpKtggmotFwTih0Ee4wxDNO99t/NzlB3y/FmX xq/VDK//hYr+dDGFG4ZqgToiaCx0DBRNHoZ1hnNfNctg9zIYSvfMhKJINE+MdrZSxVDwhq0Dtrho lAAG4jdCpo46sxqhcumwI+Xx0s5ujpCrxk2Np6zaG9+AS2unPzAUuhMSzYCVgyBglXe4hcm0F2d7 0JpZIOIP0IIoC5q6V/sWISorPmOhImR6d6uqoyyNoNmIPT9Zep2xKfPe5Cuhvrzeyzm4EGn7mPrr tATq6/YZ4eL6wNbXA891KCCZmYjA/Aq9DUxtVmku4r7jeTnmHtjJDAvIOD5PdyiQ7OdjoqRi+FQq 0VPbU2wv7mkxYMZuaJ95XRsP4Ejjmnj7+XKueo/WX8eiAJrP68ochr3gYNoBEOSG7qLifLWl7IVp p4v5CR4d4Ozmx97+ksQPX66ispuszz8lmTjtCllAoQmD0CUT/AvupGc5W65aP6UzvtruJMduNtxK 6hq/n5jg308Arrv/rhEolX1DjEXZ2NRMpcSTKu5sI2L9AwkIMQZnp8bCl5CKXnoze2ytxX0hdJMB 8f//lk3QbZzm9cOvUfY7QyrUxfRUYN9GDLQJ9VF7Qnl8FDFq0hFT9HnnJ5luznWokBYc9pEPR3wG g4FwJMpZfqYn02kNmExWheyTvF1y2ocJZ/RSbz2edYuq+j/t0WlwopegCgJ6S/80ePGO4xNEz2+Y Uq4CPhufUKWskrAJol31mg6Yo/yLQQLnzmfUOtmMzD7Gdxo8LkAUlha1dr6xaWk+8mQm85wc95c5 ZKSTnxAkUMh0UUZLPAHQtqg8EMB7vpVe/a7ZfMx1nhm11WBSlztjaRkzkJShDeSmsV+ZCamdWINg NX9/mHhWu0u/9MKYyCpApLs92fxQXz8pVcGF5IqQdRa4Mu3p8EdKAPYjNRxQw+G3uq+GZE+8aPsM 3BL2/+E8JJDXXK1KXeXzymYfMOZremFIIdAo5T1Wqaunu67z0yTCJ/oGqjPIc2n0XF67rToxmbho D4Z1sZPvG86Z9dzhSgcC7HmdI/i51L4kwIXtHEkwwMOL2npC4wlFgEFHY7oAdqkx8rqd4VM5JaAP cbk+7j0AArUNh68ikf/DaUCpcugRG0n9ZP7SEDXh+L54VOtRtyKy8ZwpfV3SCF2KZypMR9ww5AhP ymiavDx5l9Zp0ihyAmh5hUrGdGLu1bRUcFIMH7771XnKkgbCvrR0jGAEw5t55rpgt4QLdmND2pdp g6Ec3KR/ykHYJyddYd1rOj47f56+U6e0SVDKjTjY9tOzEtPrO0yPK1iK2vKiZQE1FGDbzG43hbyL /nkgWIXIGeB8Ozi/NUZDtJHDQcfCcotreKvRNzJRXZepqmkiCNaUlONk7FGCrGcmG9woow95c2Uy o9bPZVrAvKJHoD558x7OrsOzbvM1qXdrWUbw9JWeAGFU+/TJnBD4BVP9XWk36Ug/e16kN9ZcFsmY S0ph8pexC5Gh3Yqzx04qU4xul8VAgWG121lnhEYZ1XsiMdMU0FkaDHhYGIIjLqsxAzIODGJtqzJW ZS8q3YQifRsndZIDlexX+9P90CZ/fk/KmC36CfcMC6wx8YPiMzsC/6YfQRRcJ6nzA0jUKMPFBS6+ vbbJALGvQBj3XqBGYyCkZ8J/G+TXVvDbRaPu89f1BUg+leNHr2yYSaZZS44H34Y0ttsPcwvxAwd6 N1XtQMCsZXU9BrRDztYqyvKO6nm1lN9rvV5qlk7CWFkdSmPiWudgMEi/Iu8loIHZlMr2Fn1aetag WpBvhdqOr+ZB8nXR13l+pjYFwB5OmCet0RDB7XdTE5S1hSNv1db6iq6b9eR/eWMIN5NwYrMEpD5Z zrX1uHU3aQnMir4rDOPMalW2Fqf0rFNfMZ7iWR8bohVzrwxQPrRi7a10XWVxyJ3f+UFMkjNnAFx9 N/cgJPxoPuBZYw8ycAY3YN1N2E2ZmcX9D2KAmtiCrTbm0+zp8GhNmOgayUo0ktSIhU8G//gicd6K ijTVXy5HNdiF2fpeJxBBRIxKMWjrEUzowXV+QroLkoX8BO2niw4UMRykyGKFYLy8Tt3d3F54UDi3 DypN46Ckth2W4fm/AxQpYjwvM25d0kdVEJV5nugqhQyQv5DQ167sOKZOYZaeg1nV/WI0zKtmCyzX AjOljsSSgIlvsxNr3epl6DJGOCmhfZeAYFF14sdcH5y6b8rlny68gL679UOMuqinjV62newSoI8K 2k2hFTzQve8miUxytxSGvIilWdb8Jp/QGqpLbCsIGTawbktnI8hWOWmJaHSYhZvEAvIkSZcVqsZK h//+ORTOdnqL1oejzpghrdnTkK6Gei1feE6cD6i29XIz3EljbrkCFdgcoRiDWCxBMLHY8U9fn4iy dQPa6hILnEkoepopvCZ3FggFf0AoEYRn7Wy8w0ilFKVxI/9enwNmnMfxTvy4Sh1qHdvc2nZL3w/s Ge5tkFLN0aZSNYPRizH8HAy9cZAiZk5hjvFTFwMdBDdVBN5i8/qn182jwQVn6sl0cduSoe99hKw4 hkUEpchjiOayDNj8tNEH/mqZnbJQX7rkoOF+2PcnagO1MT3JBXXnxUaMaFh1pksr+sjeDCZ7kKFE Wpu85/kHkC+0vx0LrpwRI8pNyKh/8fx/B+tPflrHoLbwywmBRGLO2QDm+jNXV7YeJ+tvFO+M27e5 R/JIS0FDLHhTrbn8PsHYt6D0rX5Z0oKL2PXnymsVeblxcuOsRtiuG1PIqMpYKoi4iUCOXULuiDiS KG639J9WiO8b6lpuI7Zw1Y0AhQOiLJ0IKjVPGEZCrmF8VQcjOGtyhgFGUFjviBJFkz5jRkRzygB1 PiGekiA+GUkZvlbT+hInIkxFvjYMlPeZLiPGgolgE8TOz8WjR9jXgJr9YGG81c247S/BkbXSzgip NqQW8by4NSh3Z4Q1qIWN8pZOyELaJpWvCBvE7/13BBj/jGg/uLISPCzt9BWu+UUtKvt5wUf0Jvb5 1mHJvspJYDaJwrP2Nf3+sJXApsnpeF043AQ4evniHWZU/wzdImtoujTE1LaLK22k5fIW841aM7IA 8RZlSBvvpSwIm9koqVo8wO20HhsMGiqAg49SIUHFV0RQNbbtWpXXQFHdTJ7L9sGGjl2UuVRbKxU1 8dOBAx6YvQPTDBq6DJdIkBODOMz/fUG5bFjQ1mD8x0hCxzcLaNnku3QpRRGlOOefcMJf7uEc5l1k sW1czO2/2dZMTeRu3+e0/nKp9x3ctnxi4UGUXB/VRDgOn8LaL4sgvN5DQHY8ZoNQ227Je5SEZtaY tGXyKS2M9U2Y7rd8/o3La51raaHsP8xqjkheoSiAYHxqzpwbDoQp5nqg8zAUXylRwsvsKQale7nS Y7St2wWWcmSDwv6CBl7qDQWjqRIJjuf9ITRozaMUlQCeYwmLtYnDBawrPvy4jWi1JG9mtGTK7s+H x6PN2i9Hog9M8jOc8cI1jaXcorII0ufnv+rg7vslso53uG6QSekxWhAMmei50YFLNonvjFA1uUNh w1YoIEsPdNrdnW2F11ZDEaXAzfZlARNBf05rABLwc2IJL7hWw1p17p0aEm7WGGiDECfC1YVokrA2 Smt6epShq+g+T2S9KRq1lby4dPaE+dCk1WRdO2ODF9UfhaAyWBEvmH6MwRnXadlj/7C9WixgmRvF wb5zvHI13F8JSSmjJgZzID03dimT3jGhqrUO+mwjlhlG2eDst/S0Y0pYk1SYg5GHawYyqR/RVUHv 2p3uEDT2z66iRr2zyE0AKWEd0nW8i8t4nQYHhBXiOYy661492yYiqG4opJIfAran1hhRlmiSxOhP mZpEJ/hCyN7ffdWpF60yixCCKY/qdSLj/oLeD3iLf9ZMK7wLJ/W6nkzqgDvIMc/nEvABaF2LfpqO d3o4KvGpzcJciNVIgwcDCwr85pUogA7APcCXn4nk0Q3npQ3FcQz1puG30VFFlbUffDoER73U0hON QTPv12ApUjrztDWC+5xcoeeQy6zksSPrfIyaDx1kFpQq/mfnkwXixrYiMQp46ItFVo+Jm8tt9xBR sZVjmbMRw0ieBCMHF1qPLyXNwR0axtvW1lbA7UiXPAVeGXUc8B57ZPwowbVTtvcZYAmDIxP8+DWN 6MGhiSdGaHbzLhA8/+Tsl46AUW4dwscovUDBqy7CHuDNQzksqEvJSDBXfDWj+S+KghZzdXfWUcQp PdVJvHs7j4B1wEUJ9oPjqKcNNhwilURbnY9J7WH40Qgz9MMt3TBZZbGp8W6zLF2e3Q2i+rpdhsYo ef7bxi6krMVlulHLH5OcW6TvIZbNZrQB/stpFa27jKdRK/5QPs6FRppsrbMEArLxPD0Ay4Ci6SOZ nJy8OWm6D4+T2EVA/9pKYWqJUPFUAbqxGLeBxIoIVFP/+AIIFg7ZuyfjA39pM1pdc8gW9z/01SaC gnT/e1RxgZKIK1wB37RUcbOrS7Ve7azu25nd9KxSPCVgp99Z2SDIsR+XZ/g4am3osI0i4G5of5vy 2HULBrPqipHAhCtdzzV7pK95BvCFIlodR0f+DncbdK+YMmK5DyUGh2zUuG7wBZxw/z+VWFRcEDGX /D93nfJ+qVJoaRSSm89uc/ABBh0dRIiWJ3NUmCMYqNJfRCWqKctd2zi53Iaw5EOpYTx4ViPbNZkZ mLVnkSV58gN6jl02yvvoolEdCwErZOFKid5jEWO3x3yAp/f2CVpFOvEeq+sMuhXz26TOJGGaV23w Z/cV9LRJQoNURMauiDD4mAsmDw01bOOmoF5WdEWuVWk7HYvUCC1mv6wSt+SnkkkRqBAPhedVIniH 1Ob4pMVYNkWqPndk7WjV39RR77T2/XtBH2YCw9kgKJ5YLVRG9NQukqOSu5tqGf+X5r87ESQSuswi zoetfVOqPqudi8Qk+PK5S2JbG0xzQ++V7cj7pkCyNQREoxMfnnjdCkpnUOF/Rb1Tr8MRX+/CJ76v prT7fUNszp4ZDh8wVWDtpMxRaaTVd/mG6uttr/qj3Skddi5k4DUDjoXeftVgUWTtpa6Im0UVKbbC Hf3RCx9eDqmu8cDLftUJHRKo8TFVZZhFpHkW2lk0RKeANM12XzcHwHl1OHuXZ3bNchvUGxn0qAQ5 MhE9lmVd62yTNAn3IlHGCYTXUzWETEmVbXqoBkcybD3/9vDC9AHuCTH9w+DZLYh+Mb574vN8WvFh RAGDuG4rTApY1CVASlHbFpQaEtb3lkovdH/9fHP4Jns2VVT5KBYKJPgKoIpeJOy1mAuZIJkMns9S 1ODAbJivJci0TVKRTA9fH5/qszU0QC3XoFDiE0idUXWqUeMwo8hFWmAsNuvgORxQG2lfo4pCz+Hn fKo/x4I11IbadJqSl3MzZaCggKkOqQcBe2dRyPrINpUIewbnWLtbMr10hCc+9544lKAv+wenfqIh 6eLVbb1s9Txc+JcWhrfK3eVIN9dtJVrfVZm0Di86So+qmNBW8c2BGOqBv3DCHJdAnw/pQaIGy5hF TVDtcld+HKOdrT5MWkaDklUy0gwtekTT0Nni2C9eJCzdJcYQU3fYBHB1uSRd7V0sPlI8i4CW9WBU 9dXe/2zP6RSrwzbdpm/OxjQqsp8LiXdhOfxZDTOKfyyyW+SmlXMxK1qGCitv28BWu4VdyuQmUFr0 rh0GjGev+JiMN8ODU+7DwHoEa0uYKCAf3id1w6bySyCxfhQohESPSk20tJ5Egx8t2zfdpSQfEb9b vyGa00iNOpzlFRczgoMMLX5pHlFEeEVipR0S9UgwKTOO+Vi3xeUXxfgZuJwUdMi30La/Lqsy8FpK Vn6PLB0qa7XFherrTDaBIgv6YkYgOQj/Tp8Ohe5bgCbm6uLQabNMFVpHJw3b09PVyQmzxfraabBb pS/5xp10G23HT7klo1/KWxnMHlxFROAv6NgJPUg5SBcHnoffqLCuW59OS1+C0Sh0r9hBsQaEPOIO bqHQW1/s6cZF2aigRgNFLFekgahpvz3RHg9AS2lpaQIb8PIlHj3VDJ/NA+lzmcIc4kQrN1jvTJ1y cF3u8tC4+g9eKUj84vJ7IQrpMvbFSBAwGoGSc3P1OnRzoucwwe3JH/vDqoAiWraEY3Lm2UwBeyWG ZRXJ34prlwqcsJpmmsORjqZKi2PDV+NtuXxfqxS7H7X3JtE8Ax3RpfdA6cFwTASAjLT5xpGcLWZH Bg7BaoH/ZCuBDnFQ5j5IwaspC8QhCKq9aP8564RMLfY48LF/hfbSOI7JWTn6napf3FvhXEJFHe7e 0/E9DGgNSsMqc5bAZpedFJQQrdJsWfaf1BW5mpPtFe+mQrhtrhG616wyqFFsbFTMlOOfpehgYYF+ sXxconR120iWHJ5MINUStFVs6ubB93WwlOVIU3PnOlfoxhsswt62ARHElj++IEtKKaKdy/bidqe1 EIQvgwEcFjLr2N/Of7o3mJRlw+b8q6u+ejq9aykmhwKXfXtWdK9dUnaIZwZEruDmCYkMZYiVXypY 92K+cRoMMmFb2nLxYxc5Qhz9s9B4I5p30hTcBOG9Uzd56POaSddbIrandUYNbrIr7Q9fqJ4svcYf HTDqqiIRUjusdFPc34Njwq26g+Cxx8opu0VTE9b1dAZDXLhQW1QaMPpSjrHrnU1QB2DsSGntgdBT Pcs2G2+RXMKbFOzZidlldr+aqaVgNfoPAECXpR9GguWr8NYcUvsPg4d7V7lpe9CTAbWfCVMx8415 XX69ctlFT2Ty/EyGiLXZx1tdzvzWw+Xv17Trg0SiZf9qGzaZ/cwflg70WQSnWuEt8Y4ojuOx/2tT /fft+hfk1R8wEl3zhvNQRRhuRDP2WBzVH6s7K9KifXcbsZvXGvOs+qv81/DfOjCogbcwuBtzkJ3m OOOv0gw9Se4dNTRqXSXL27EiTh68ZUQqbpDfq8s59tlQhIwnAYJqby8kPFcwG08HZp0QMX5VRsdq 1aRpNsofABaydmXL5wDFryxzS/o7IGmH9rZGRYzgGunkCSarnYPSoOfBCvQWptzeI352qa5mIY8L YdKHYz5J6OLOrBrY9+M/BuqhMp9mVw4/lA4w73g8HWjd1aidsHPLqTjUok2pM7XZRxQQLEFwFlob plgWFd/EuctY7jLyhhvGJfTYYYzphgKcZvXNKQEYN1kzfDHAvibWnEW+Oe4/ewzhY7VIfrArVX1p broQhjJZPrDBWnPURAzeyMXN3uapYfzPlZZaBGlzIj6WmpuoCBCvIY7YN9tbiKl/5px+I7vufO2N 27WoSTriMaikIMJlTsGWU78ejCQwIaRrWP6ZW4CK2OSntQ+O/Ht6jnbyYfCUqWbrj9tUWSJtsMj0 zsTDX/3FB/cs/jgPonPrUGmGqZTWd5yn1gNf3Z0KSo2xntGJR04/KlBgBgpZjdau1uIB2HHZY8gv cUKhWGeopd8HxsZeLZydaXu6A8JVs0wJaj7hfHV3MORsrhULE+jvWEmkTZWN+wNCsxE4Vttp5M40 waL+uK2fWmj/SqFp3+Q4TiXgTPgHJDiCGlVhf7XJ4ktvUf3zhfCxqnEtmd2iIbsemkpmqM3LMmxs ebeCyo5SJ0B/kOO9CSczeq5LJRvusS2LmlCERA+phDIr8XKpgn8OiOdL9Sj/65OC0EqYUsNbAolP j/MCJiXykQizqbb57yUzIGM1FRR/vRdYKFfC2lKs/JJHYwGDJQARf0+JqYW2Ob4gusn65k3VBVB4 UqpKVdyYs3kFzSxLUgvX29dAF1RjPCrYOdlVqT3TVVt0VUqzPUp1kw9CgRfo46wlBYmETmmqEbjW UC1BcRjjCHj5721Ipc1nlvTXv007d3MHQDqkm4OXYoek0QvWAjICR0fWLSFNoLt99nH5yM1Tr/n4 HNH7aB+7Eu5vhIoM9iYKeCs/4TcOgdML1YeGaBB62naEM/su4MnVcPl97i9jRho/0jGP/o7pNART fgE2CGXugN4JktxRXh/Z8kFoG+rNkTYfMaCDSK/78B4CMD0KsJp1irPQ0dmAHlU3Lmz0GD0fJeY6 fq1u0FnHTbtMYY38s7p+SAdsXcWm4yvslCfhmBvBzq7Yc68IRXNCkfsNrA02tZMr/+iDksMA4kFG bESWhpEQ2N6mdemedTlXtdxVhUcf020PnF5a53umwq88zpyr2Om7vDhYwcUGLTUK6IaIrJorSvh3 Br6c0yszRQvyAjBLk15TI9HMasVA+TkpNkmt/vTFvXSe6CjqBKzpwHTsoK4binEIfXFGys02ssxU QVYKs7wpJgsu06k5MkzfQWfuhHD6AGfIuY627MMEKPOF66+xx1iuEPxm/qS1x0wmWWW4mpUUAHsU kKf9jlPCPtkgQ2oCKXK2uq7RsRMUG6yOGX+3RwnCgOD9CCrLNHX9vkHDqLtF1zjYU9+9jcxfBTAN miT7qH/DDT5Ms+VIcC18y6NhJqJGEZONQg2gpUNv36CfemE/gsKXn70BVHfMLmAdEU1gBKhp2I1B +BMsGoVjXuyEkUBOp0vLRTqQsWGBLzeLfFiFfdYlVAoROtcdWitlhPelQhZIuOI6WYCXJk7jeem9 k03631jxYcMV3XkH0WeMZq7a8TL2lOZ8WtOr7jFAQDGvRKWJlrRay/gX/boBIVZRG7nWo/ogrX7D VHW6vjQCoA0jBO4ndBnaT2ZNSqdq6slABZvQs2KKlQP5Zp3sZarwwj4NZ+9XMst6ucgvPpNOA9Ar JOeqDunc4jjZxLoHMgmy1hlDGMwWkexE5HaFqK1YUMzyFK4a0NZFfbuGf7m/vrBv1ePCrMLXzqPS K66itiaecYDsAV3RAMAt6yjkme4W1aBooTAhDWwmpFqfjM/GX9YUmdGFaiod9UdDF3IHxiSBwQHo HNVvtBt/L5/7W+iyutpMAoDLyHeePSrLXiZTF4NiJn1TfElWQqh+BEaieBcYuYEUjJNzPDfK5YcK S4bUqFA+tD2fkL1tAukGugvkLzI1kiBU6aNb73FScS5FraG/9eCxWGxQrlGoUrHcQbHwciAd0SjR b6fJSvJHleQe2Pqzz/xouaNSf64djEYDWtRG3HFtNQ22ATTeob88pROvQN4Ezuje+M360k0ELZF0 LD3QmWcxIeCPP4eGTI+uxEOd+9Y9krx8DB3BZlK+bBnUPPTnh7uOQW6sD/0MwiOk3zJ2mgFvPYh0 o8MQiwVWuN1HWyykwU3ynxKgN2bqJjM4Dkr2C21RKIPNDDmE0lpMtoBe9LvFSL9SsEpavu5mMVps gEo/XIDsmnOVlV0hqE38SzyN9fdpwHpdOwFj6wuaZk9EU7spNZCJ4jyGDFl7pGr2WWSdGFMwIrC4 T4ZEf4RTyt36cG5idin3oJ1D+hl1QoofRTNjgO17vVkA6uJIRWuXD+QgrkFL9SiCdNvkTK9+uCe3 dwScvZB4v17USJUDgePWpRXdyuSvBmbdv+6EnXt5qE/3LfqBtS++VTqzsrjedudAq+6Za2XTNqYL jAbfvIF8a5d5p0+cjCTitvOZhfZ0PGvCKzVKlKpt7TlGfpZcUQCvPRD/NEPW9GV+awCttTC3Nibw HwgPZs6IglqiMONuuXMxQzJ1B1oVcnn0c8nqZuYDzxL0c4l001489XEXkFD90JMsJ+oQt9+1UXH/ hOHQ4twfvzo+B2ydJKT9t2S0v6T7Bsms4lSL3vInSPGP9rioOx5NngovRaZYuckYqOKNu9MM8HQj Lpu2DUUnYOZnxUKQRx44SIx1j2KlIKVWWxjcfx8kgCEQWlqPgcDefjM7BinZlODBU7zqVXqC88bj aVy8XfUsSi8hhdwYAdMRVwRbpHhqegVmDvLOpQdD7Rc2jOcEkf9n99TGOjzLgK+e4fyMsZlJLN+e H4OWo6DGN+fgTTeCW4uf0ukrDG7mY6KNurhugJVKdHmyeJkJck7LyFP6OF1sIaz+Q5j2Z+ekr8kr Q/UKYHSYV8rtLqOApTbmxTclAXtzWFdu1P5Ztf8XmQDZT66GBsdxeeG1QUl+gBlXj5tBofyJZeUS prCG4b08xe5BdPNoA/fj9XQvo0w9hRnfwzHc7uT/DomL1MA/AatQsXqmHCWtHJQvnMbQldT8XCby Dh5GkyJjILuJlEKQ/FGu2ZfsjGz6MJaeHNvR9duHSPNM9B9LCWts3PsWGH6Znl3iz7k7uoL6Ye15 rMQm2R0RusB5QxSzVkUCCN43oCU01TH4snx6iJ1vEewf8+OzeCzepKuAhY5rPYRTDr7SEgc/49Xe Nvx7jlzTEaW51VPfk9407Megrx1suXmZPJlV+zyIFQA69qBw77y/L2MxrnmH8XSaYEtnn5CFda9t fbB5E/7KCFL+HDLgDdfKHyXLU36dhtqYxs1vXXcSWN/GVkRuHuXLTyRUjW/RqD7WkbPfo2Id3NVS Utkn2DyRdMT/uTshj52SFY2JuC0xeBDTnFklI2oUW251JqSRF/55ZuoPMStg8Wm3NlMpm1KgRxXf go4KTHDKiAGAW94RyYBLGkQLe7fByBE9QYuxezbA3hUZqpa4z98uVJW0u7yfMhk76zXpu6/9i+NV w1GA7mpymy9FTqOAR7ZhZLfeSBjepz9HvzV6p+5vFC7hc8WWLlT5nvosTXVZKTCNcksgzKhu27hn z2cf4SAUn5jvULlvg56sFC7/XezA/PPuwRzoJ2WYz7GXCt2kVfc3KQo53RLtQOYswI9aT+goZfyZ s3u4isbeKZw28Q/qjhJRg/v08hzjXbqZCrsV/pyYSxR+EexFE5WPnmesNnbkr9+ieQFnOmwIf9AY 7+vo6g9y31RaubCb0sdy0D5xn+LTdrXGwOr6CsubF+5AB3snwM6ahnHKw4iL9buazEKGbNuSP5DO ydaqM11+7a2gy0asr9gSzjk2Be/JIILNM4gHg1dzzMnuihuE0fHc9pZ/XAlB3x6/gXcBeYkA+g6x BW8IShLhVxiCoK2Be7Y/kaEzsqNxcXgfxwG/zAmR2J9O4DWgFsL4YLrynF7s1zjLDfnebRJXbZr1 5sGaCXp1hiTrm+tdGSxiYUii9FeHAtsL7H0npPHSNKlgjF0sVb0C1iajuXE8jv0sQFGXwSWchZOx IFux53DRl1G6Ka68WR49KEsmCPrxym2/7DEtuS5DXhrf5j/ud1TV2Di8B9ioj37WMUXIu8FT4hNu YHsQggk+vvElbDVkcHpU8ouxJ/oiJzKOq4UkqnO6WmYaQYVTe7v8T93wGIEAjbkpIl+cqYv+YIJ6 zueSTY/tWIbDxIwukuoQczfQjxBbCBRQU0SR2+xQYaNOatGROvSbt3Wab4TVxiB0RWLURprRSDHK LUbAeUZp8BYQpvjm1PnvV86Z1Yr3kuGA6ChoWnHFloCQszpFiy07WevtCxdMbraIwxNf1sThh4R3 v3Hw+9I184YCbPORXNZuaPi03d0ibYZ03unZPuupgk7k5bQKFPXYuVPmg7xBw51+XACkKvwlwM9y 9t5yBUuVH6ui/idQbvOU6S3n3zd7zUMUWpoAooFvf3KpwlDfE1o+pQULsa0y/af1mGpD2AtOGdpX VTeqpjVZbR2hIfBDP16ENHKNQU4INBhRKSWdvdseAtpSktmjelmFr/sYI3RVpE9hj6cVwFP8vjx8 tgezZusCFMLlHFUNa8uoxWH6sp/uOw4BwDcV3VavgViThaDiVmVsNMAO59onFw65/xZCt0G5xi4c nFRMNhS/joyMP+eCQsXm/5kbf6HUxbXETEdRkG6NY5Eq8ehEDFv7cUBRdIJLZIZuyDg16kXUOnvE HX4mPOyEpvKEsWkDjp/DoVRS1Uzc5uoWWnEgAn78GPI68us7phf55EKqyrvv8+kDyC77kwtfplGs d/95ksvayau0PwbbIdI2VkK3f/uhBW3i+ooEKVhcFtOrrqMHEcID6++gvMac2HGRE6H7Au2jFo9a O+GPtwlY5FP8ka6ng3KeJHXS16+4irZDsuEB3PJctEs686F/zry+yvaHSn2LiEhEJIx87AJq9ZsT 5M1+J5Ir5L47UnxlMrBzbghmYY0Um0WTkwon2BVCjm7JVCxcJ3icnjpqbSap2SS89wqRp/tJBUNY tO5210t8czuNMrlFlNgNDQXBwfaZBmNZcNPBM3+zw5NLYYqzRMVbV2klz/Wj2fK5ZUJ8+/h9HJx/ qcv4IqEEH8n35Fnx1lfeFqgom/+8zhSKmJMVt5WV1qcoPAQLp30zPR94/6i0/bC4l3P184aThj1w dqI+qLSqcRTRGrLbT3KrLR4XYnrRqyCU8GGvtPEoelZ+X0V1X+vHWr9H9v7t2HXURmClZnz0Ddlo nwlwfdIV3cvjoRl8dKEofW9BNM68PhXtvw9t4G3fbZ6oxZ8ffWoY1M2E79Lv0W6ScIJqrT1pXkEN gXy6r/NiXTew23Fd0cvT3CsKIjfW7gEVu14b4/lFdnFZpnAQET+KAMjRDUKEVHpet4mJ4thNH3CD rBNYGqknS5omtQgTNqKL4LRFGaIY2oZlxaSJ399H+0M/h3NaOk2rT5SqkH8yenQ4HhSi4n2dZ79X 0HYxGm0Yv0IFeqWDl+Uf0Okqr/b/fz+kuemxaKUeLReww5kIh3PV5xt4NyFxAnT/Agp2edc8kdoG xtcdy2pFq8or7NpLAJqJjLdKdRPiqSIYs7K/tGaZ1kH5OSOcomoV1I4+K9YLSDEBQaMskuTbwmit zgLWVIfrAmXHL5JxziOa9V7cYZKeyxmgN17IvrirlQYJmXFsIJ9jQkLcRsFaRzrFbI2S2j/ZTY7w PV0sGXxviVyOd+fCLJvtUtSjUBAQp1kuvyfYEY23YTWB6lN+u9sj7FiIphL5KcB8eiurgPtTCpXC lphZnyry8lPrSOcBT1xMRpAU636jkVU/O32dBMDBxJRGD5/XRaTAOglW0DiTnShXKlWDhndVxkyc CSBPDhT9GtZdIaQVV0TV3Vbjhhopdap4nWFmTQmxkUqmk5coygCFAql+SwDmaFXHB6+khWYQF23R LSGNj3JOYai69PX+igiiOQd34wJcdHFVObCogtpLfHdCLxraYR2t9zqTdFq3F3iIbFhxDpvFZl4s 8Vk0pEse7TmlwoqUk/PGzFb2wO8bABBa6ns= `protect end_protected
gpl-2.0
00ed97a052dab6b9e4bb37fa9cb07db9
0.937374
1.872887
false
false
false
false
mcoughli/root_of_trust
operational_os/hls/contact_discovery_hls_2017.1/solution1/syn/vhdl/contact_discovery.vhd
3
60,167
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity contact_discovery is generic ( C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 6; C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32 ); port ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; contacts_in_V_TDATA : IN STD_LOGIC_VECTOR (7 downto 0); contacts_in_V_TVALID : IN STD_LOGIC; contacts_in_V_TREADY : OUT STD_LOGIC; database_in_V_TDATA : IN STD_LOGIC_VECTOR (7 downto 0); database_in_V_TVALID : IN STD_LOGIC; database_in_V_TREADY : OUT STD_LOGIC; matched_out_V_TDATA : OUT STD_LOGIC_VECTOR (7 downto 0); matched_out_V_TVALID : OUT STD_LOGIC; matched_out_V_TREADY : IN STD_LOGIC; s_axi_AXILiteS_AWVALID : IN STD_LOGIC; s_axi_AXILiteS_AWREADY : OUT STD_LOGIC; s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0); s_axi_AXILiteS_WVALID : IN STD_LOGIC; s_axi_AXILiteS_WREADY : OUT STD_LOGIC; s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0); s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0); s_axi_AXILiteS_ARVALID : IN STD_LOGIC; s_axi_AXILiteS_ARREADY : OUT STD_LOGIC; s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0); s_axi_AXILiteS_RVALID : OUT STD_LOGIC; s_axi_AXILiteS_RREADY : IN STD_LOGIC; s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0); s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); s_axi_AXILiteS_BVALID : OUT STD_LOGIC; s_axi_AXILiteS_BREADY : IN STD_LOGIC; s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); interrupt : OUT STD_LOGIC ); end; architecture behav of contact_discovery is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "contact_discovery,hls_ip_2017_1,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xczu9eg-ffvb1156-1-i,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=2.932500,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=4,HLS_SYN_DSP=0,HLS_SYN_FF=461,HLS_SYN_LUT=838}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (14 downto 0) := "000000000001000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (14 downto 0) := "000000000010000"; constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (14 downto 0) := "000000000100000"; constant ap_ST_fsm_state7 : STD_LOGIC_VECTOR (14 downto 0) := "000000001000000"; constant ap_ST_fsm_state8 : STD_LOGIC_VECTOR (14 downto 0) := "000000010000000"; constant ap_ST_fsm_state9 : STD_LOGIC_VECTOR (14 downto 0) := "000000100000000"; constant ap_ST_fsm_state10 : STD_LOGIC_VECTOR (14 downto 0) := "000001000000000"; constant ap_ST_fsm_state11 : STD_LOGIC_VECTOR (14 downto 0) := "000010000000000"; constant ap_ST_fsm_state12 : STD_LOGIC_VECTOR (14 downto 0) := "000100000000000"; constant ap_ST_fsm_state13 : STD_LOGIC_VECTOR (14 downto 0) := "001000000000000"; constant ap_ST_fsm_state14 : STD_LOGIC_VECTOR (14 downto 0) := "010000000000000"; constant ap_ST_fsm_state15 : STD_LOGIC_VECTOR (14 downto 0) := "100000000000000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10"; constant ap_const_lv2_3 : STD_LOGIC_VECTOR (1 downto 0) := "11"; constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110"; constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001"; constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_boolean_0 : BOOLEAN := false; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000"; constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011"; constant ap_const_lv8_0 : STD_LOGIC_VECTOR (7 downto 0) := "00000000"; constant ap_const_lv7_0 : STD_LOGIC_VECTOR (6 downto 0) := "0000000"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv8_80 : STD_LOGIC_VECTOR (7 downto 0) := "10000000"; constant ap_const_lv8_1 : STD_LOGIC_VECTOR (7 downto 0) := "00000001"; constant ap_const_lv7_40 : STD_LOGIC_VECTOR (6 downto 0) := "1000000"; constant ap_const_lv7_1 : STD_LOGIC_VECTOR (6 downto 0) := "0000001"; constant ap_const_lv6_0 : STD_LOGIC_VECTOR (5 downto 0) := "000000"; constant ap_const_lv32_1F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011111"; constant ap_const_lv25_0 : STD_LOGIC_VECTOR (24 downto 0) := "0000000000000000000000000"; constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_rst_n_inv : STD_LOGIC; signal ap_start : STD_LOGIC; signal ap_done : STD_LOGIC; signal ap_idle : STD_LOGIC; signal ap_CS_fsm : STD_LOGIC_VECTOR (14 downto 0) := "000000000000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal ap_ready : STD_LOGIC; signal operation : STD_LOGIC_VECTOR (31 downto 0); signal operation_preg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal operation_ap_vld : STD_LOGIC; signal operation_ap_vld_preg : STD_LOGIC := '0'; signal operation_ap_vld_in_sig : STD_LOGIC; signal matched_out_V_1_data_out : STD_LOGIC_VECTOR (7 downto 0); signal matched_out_V_1_vld_in : STD_LOGIC; signal matched_out_V_1_vld_out : STD_LOGIC; signal matched_out_V_1_ack_in : STD_LOGIC; signal matched_out_V_1_ack_out : STD_LOGIC; signal matched_out_V_1_payload_A : STD_LOGIC_VECTOR (7 downto 0); signal matched_out_V_1_payload_B : STD_LOGIC_VECTOR (7 downto 0); signal matched_out_V_1_sel_rd : STD_LOGIC := '0'; signal matched_out_V_1_sel_wr : STD_LOGIC := '0'; signal matched_out_V_1_sel : STD_LOGIC; signal matched_out_V_1_load_A : STD_LOGIC; signal matched_out_V_1_load_B : STD_LOGIC; signal matched_out_V_1_state : STD_LOGIC_VECTOR (1 downto 0) := "00"; signal matched_out_V_1_state_cmp_full : STD_LOGIC; signal matched_finished_1_data_reg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal matched_finished_1_data_in : STD_LOGIC_VECTOR (31 downto 0); signal matched_finished_1_vld_reg : STD_LOGIC := '0'; signal matched_finished_1_vld_in : STD_LOGIC; signal matched_finished_1_ack_in : STD_LOGIC; signal error_out_1_data_reg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal error_out_1_data_in : STD_LOGIC_VECTOR (31 downto 0); signal error_out_1_vld_reg : STD_LOGIC := '0'; signal error_out_1_vld_in : STD_LOGIC; signal error_out_1_ack_in : STD_LOGIC; signal contacts_size_out_1_data_reg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal contacts_size_out_1_data_in : STD_LOGIC_VECTOR (31 downto 0); signal contacts_size_out_1_vld_reg : STD_LOGIC := '0'; signal contacts_size_out_1_vld_in : STD_LOGIC; signal contacts_size_out_1_ack_in : STD_LOGIC; signal contacts_size : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal contacts_address0 : STD_LOGIC_VECTOR (12 downto 0); signal contacts_ce0 : STD_LOGIC; signal contacts_we0 : STD_LOGIC; signal contacts_d0 : STD_LOGIC_VECTOR (7 downto 0); signal contacts_q0 : STD_LOGIC_VECTOR (7 downto 0); signal current_database_ite_address0 : STD_LOGIC_VECTOR (5 downto 0); signal current_database_ite_ce0 : STD_LOGIC; signal current_database_ite_we0 : STD_LOGIC; signal current_database_ite_q0 : STD_LOGIC_VECTOR (7 downto 0); signal operation_blk_n : STD_LOGIC; signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal contacts_in_V_TDATA_blk_n : STD_LOGIC; signal ap_CS_fsm_state15 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state15 : signal is "none"; signal ap_CS_fsm_state13 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state13 : signal is "none"; signal exitcond9_fu_444_p2 : STD_LOGIC_VECTOR (0 downto 0); signal database_in_V_TDATA_blk_n : STD_LOGIC; signal ap_CS_fsm_state6 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state6 : signal is "none"; signal exitcond8_fu_329_p2 : STD_LOGIC_VECTOR (0 downto 0); signal matched_out_V_TDATA_blk_n : STD_LOGIC; signal ap_CS_fsm_state7 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state7 : signal is "none"; signal exitcond7_fu_346_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state10 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state10 : signal is "none"; signal grp_read_fu_98_p2 : STD_LOGIC_VECTOR (31 downto 0); signal i_fu_318_p2 : STD_LOGIC_VECTOR (7 downto 0); signal ap_CS_fsm_state4 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none"; signal i_2_fu_335_p2 : STD_LOGIC_VECTOR (6 downto 0); signal ap_block_state6 : BOOLEAN; signal i_5_fu_352_p2 : STD_LOGIC_VECTOR (7 downto 0); signal i_5_reg_512 : STD_LOGIC_VECTOR (7 downto 0); signal ap_block_state7_io : BOOLEAN; signal tmp_i_fu_362_p3 : STD_LOGIC_VECTOR (12 downto 0); signal tmp_i_reg_517 : STD_LOGIC_VECTOR (12 downto 0); signal cast_fu_370_p1 : STD_LOGIC_VECTOR (7 downto 0); signal i_6_fu_385_p2 : STD_LOGIC_VECTOR (6 downto 0); signal i_6_reg_530 : STD_LOGIC_VECTOR (6 downto 0); signal ap_CS_fsm_state8 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state8 : signal is "none"; signal exitcond_i_fu_379_p2 : STD_LOGIC_VECTOR (0 downto 0); signal found_fu_406_p2 : STD_LOGIC_VECTOR (0 downto 0); signal found_1_fu_418_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state9 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state9 : signal is "none"; signal icmp_fu_434_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state12 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state12 : signal is "none"; signal tmp_nbreadreq_fu_151_p3 : STD_LOGIC_VECTOR (0 downto 0); signal i_4_fu_450_p2 : STD_LOGIC_VECTOR (6 downto 0); signal ap_block_state13 : BOOLEAN; signal tmp_9_fu_473_p2 : STD_LOGIC_VECTOR (31 downto 0); signal i_3_reg_217 : STD_LOGIC_VECTOR (7 downto 0); signal ap_CS_fsm_state3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none"; signal exitcond_fu_312_p2 : STD_LOGIC_VECTOR (0 downto 0); signal i_1_reg_228 : STD_LOGIC_VECTOR (6 downto 0); signal ap_CS_fsm_state5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none"; signal tmp_1_nbreadreq_fu_129_p3 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_10_reg_239 : STD_LOGIC_VECTOR (0 downto 0); signal contact_index_assign_reg_251 : STD_LOGIC_VECTOR (7 downto 0); signal i_i_reg_262 : STD_LOGIC_VECTOR (6 downto 0); signal comp_reg_273 : STD_LOGIC_VECTOR (0 downto 0); signal i1_reg_285 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_3_fu_324_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_7_fu_341_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_i_7_fu_391_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_13_i_fu_401_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_s_fu_468_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_11_fu_358_p1 : STD_LOGIC_VECTOR (6 downto 0); signal i_i_cast7_fu_375_p1 : STD_LOGIC_VECTOR (12 downto 0); signal tmp_12_i_fu_396_p2 : STD_LOGIC_VECTOR (12 downto 0); signal tmp_14_i_fu_412_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_2_fu_424_p4 : STD_LOGIC_VECTOR (24 downto 0); signal tmp_6_fu_456_p2 : STD_LOGIC_VECTOR (31 downto 0); signal i1_cast_fu_440_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_fu_462_p2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state11 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state11 : signal is "none"; signal ap_block_state11 : BOOLEAN; signal ap_NS_fsm : STD_LOGIC_VECTOR (14 downto 0); component contact_discoverybkb IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (12 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (7 downto 0); q0 : OUT STD_LOGIC_VECTOR (7 downto 0) ); end component; component contact_discoverycud IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (5 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (7 downto 0); q0 : OUT STD_LOGIC_VECTOR (7 downto 0) ); end component; component contact_discovery_AXILiteS_s_axi IS generic ( C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER ); port ( AWVALID : IN STD_LOGIC; AWREADY : OUT STD_LOGIC; AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); WVALID : IN STD_LOGIC; WREADY : OUT STD_LOGIC; WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0); ARVALID : IN STD_LOGIC; ARREADY : OUT STD_LOGIC; ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); RVALID : OUT STD_LOGIC; RREADY : IN STD_LOGIC; RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); BVALID : OUT STD_LOGIC; BREADY : IN STD_LOGIC; BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; ACLK_EN : IN STD_LOGIC; ap_start : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; ap_ready : IN STD_LOGIC; ap_done : IN STD_LOGIC; ap_idle : IN STD_LOGIC; operation : OUT STD_LOGIC_VECTOR (31 downto 0); operation_ap_vld : OUT STD_LOGIC; matched_finished : IN STD_LOGIC_VECTOR (31 downto 0); error_out : IN STD_LOGIC_VECTOR (31 downto 0); contacts_size_out : IN STD_LOGIC_VECTOR (31 downto 0) ); end component; begin contacts_U : component contact_discoverybkb generic map ( DataWidth => 8, AddressRange => 8192, AddressWidth => 13) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => contacts_address0, ce0 => contacts_ce0, we0 => contacts_we0, d0 => contacts_d0, q0 => contacts_q0); current_database_ite_U : component contact_discoverycud generic map ( DataWidth => 8, AddressRange => 64, AddressWidth => 6) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => current_database_ite_address0, ce0 => current_database_ite_ce0, we0 => current_database_ite_we0, d0 => database_in_V_TDATA, q0 => current_database_ite_q0); contact_discovery_AXILiteS_s_axi_U : component contact_discovery_AXILiteS_s_axi generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH) port map ( AWVALID => s_axi_AXILiteS_AWVALID, AWREADY => s_axi_AXILiteS_AWREADY, AWADDR => s_axi_AXILiteS_AWADDR, WVALID => s_axi_AXILiteS_WVALID, WREADY => s_axi_AXILiteS_WREADY, WDATA => s_axi_AXILiteS_WDATA, WSTRB => s_axi_AXILiteS_WSTRB, ARVALID => s_axi_AXILiteS_ARVALID, ARREADY => s_axi_AXILiteS_ARREADY, ARADDR => s_axi_AXILiteS_ARADDR, RVALID => s_axi_AXILiteS_RVALID, RREADY => s_axi_AXILiteS_RREADY, RDATA => s_axi_AXILiteS_RDATA, RRESP => s_axi_AXILiteS_RRESP, BVALID => s_axi_AXILiteS_BVALID, BREADY => s_axi_AXILiteS_BREADY, BRESP => s_axi_AXILiteS_BRESP, ACLK => ap_clk, ARESET => ap_rst_n_inv, ACLK_EN => ap_const_logic_1, ap_start => ap_start, interrupt => interrupt, ap_ready => ap_ready, ap_done => ap_done, ap_idle => ap_idle, operation => operation, operation_ap_vld => operation_ap_vld, matched_finished => matched_finished_1_data_reg, error_out => error_out_1_data_reg, contacts_size_out => contacts_size_out_1_data_reg); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; matched_out_V_1_sel_rd_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then matched_out_V_1_sel_rd <= ap_const_logic_0; else if (((ap_const_logic_1 = matched_out_V_1_ack_out) and (ap_const_logic_1 = matched_out_V_1_vld_out))) then matched_out_V_1_sel_rd <= not(matched_out_V_1_sel_rd); end if; end if; end if; end process; matched_out_V_1_sel_wr_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then matched_out_V_1_sel_wr <= ap_const_logic_0; else if (((ap_const_logic_1 = matched_out_V_1_vld_in) and (ap_const_logic_1 = matched_out_V_1_ack_in))) then matched_out_V_1_sel_wr <= not(matched_out_V_1_sel_wr); end if; end if; end if; end process; matched_out_V_1_state_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then matched_out_V_1_state <= ap_const_lv2_0; else if ((((ap_const_logic_0 = matched_out_V_1_vld_in) and (ap_const_logic_1 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_3)) or ((ap_const_logic_0 = matched_out_V_1_vld_in) and (matched_out_V_1_state = ap_const_lv2_2)))) then matched_out_V_1_state <= ap_const_lv2_2; elsif ((((ap_const_logic_1 = matched_out_V_1_vld_in) and (ap_const_logic_0 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_3)) or ((ap_const_logic_0 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_1)))) then matched_out_V_1_state <= ap_const_lv2_1; elsif ((((ap_const_logic_1 = matched_out_V_1_vld_in) and (matched_out_V_1_state = ap_const_lv2_2)) or ((ap_const_logic_1 = matched_out_V_1_ack_out) and (matched_out_V_1_state = ap_const_lv2_1)) or ((matched_out_V_1_state = ap_const_lv2_3) and not(((ap_const_logic_1 = matched_out_V_1_vld_in) and (ap_const_logic_0 = matched_out_V_1_ack_out))) and not(((ap_const_logic_0 = matched_out_V_1_vld_in) and (ap_const_logic_1 = matched_out_V_1_ack_out)))))) then matched_out_V_1_state <= ap_const_lv2_3; else matched_out_V_1_state <= ap_const_lv2_2; end if; end if; end if; end process; operation_ap_vld_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then operation_ap_vld_preg <= ap_const_logic_0; else if (((ap_const_logic_1 = operation_ap_vld) and not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))))) then operation_ap_vld_preg <= operation_ap_vld; elsif (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then operation_ap_vld_preg <= ap_const_logic_0; end if; end if; end if; end process; operation_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then operation_preg <= ap_const_lv32_0; else if (((ap_const_logic_1 = operation_ap_vld) and not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))))) then operation_preg <= operation; end if; end if; end if; end process; comp_reg_273_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state9)) then comp_reg_273 <= found_1_fu_418_p2; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then comp_reg_273 <= ap_const_lv1_1; end if; end if; end process; contact_index_assign_reg_251_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))) and (ap_const_lv1_1 = exitcond8_fu_329_p2))) then contact_index_assign_reg_251 <= ap_const_lv8_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state8) and (ap_const_lv1_1 = exitcond_i_fu_379_p2))) then contact_index_assign_reg_251 <= i_5_reg_512; end if; end if; end process; contacts_size_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2))) then contacts_size <= tmp_9_fu_473_p2; elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2))) then contacts_size <= ap_const_lv32_0; end if; end if; end process; contacts_size_out_1_vld_reg_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; error_out_1_vld_reg_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; i1_reg_285_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_0 = icmp_fu_434_p2))) then i1_reg_285 <= ap_const_lv7_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))))) then i1_reg_285 <= i_4_fu_450_p2; end if; end if; end process; i_1_reg_228_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_1 = tmp_1_nbreadreq_fu_129_p3))) then i_1_reg_228 <= ap_const_lv7_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then i_1_reg_228 <= i_2_fu_335_p2; end if; end if; end process; i_3_reg_217_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_0 = exitcond_fu_312_p2))) then i_3_reg_217 <= i_fu_318_p2; elsif (((grp_read_fu_98_p2 = ap_const_lv32_2) and (ap_const_logic_1 = ap_CS_fsm_state3))) then i_3_reg_217 <= ap_const_lv8_0; end if; end if; end process; i_i_reg_262_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state9)) then i_i_reg_262 <= i_6_reg_530; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then i_i_reg_262 <= ap_const_lv7_0; end if; end if; end process; matched_finished_1_vld_reg_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; tmp_10_reg_239_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))) and (ap_const_lv1_1 = exitcond8_fu_329_p2))) then tmp_10_reg_239 <= ap_const_lv1_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state8) and (ap_const_lv1_1 = exitcond_i_fu_379_p2))) then tmp_10_reg_239 <= found_fu_406_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = contacts_size_out_1_vld_in) and (ap_const_logic_0 = contacts_size_out_1_vld_reg)) or (not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = contacts_size_out_1_vld_in) and (ap_const_logic_1 = contacts_size_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then contacts_size_out_1_data_reg <= contacts_size_out_1_data_in; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = error_out_1_vld_in) and (ap_const_logic_0 = error_out_1_vld_reg)) or (not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = error_out_1_vld_in) and (ap_const_logic_1 = error_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then error_out_1_data_reg <= error_out_1_data_in; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0))) then i_5_reg_512 <= i_5_fu_352_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state8)) then i_6_reg_530 <= i_6_fu_385_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = matched_finished_1_vld_in) and (ap_const_logic_0 = matched_finished_1_vld_reg)) or (not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = matched_finished_1_vld_in) and (ap_const_logic_1 = matched_finished_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then matched_finished_1_data_reg <= matched_finished_1_data_in; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = matched_out_V_1_load_A)) then matched_out_V_1_payload_A <= cast_fu_370_p1; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = matched_out_V_1_load_B)) then matched_out_V_1_payload_B <= cast_fu_370_p1; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then tmp_i_reg_517(12 downto 6) <= tmp_i_fu_362_p3(12 downto 6); end if; end if; end process; tmp_i_reg_517(5 downto 0) <= "000000"; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, operation_ap_vld_in_sig, contacts_in_V_TVALID, database_in_V_TVALID, matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in, ap_CS_fsm_state2, ap_CS_fsm_state15, ap_CS_fsm_state13, exitcond9_fu_444_p2, ap_CS_fsm_state6, exitcond8_fu_329_p2, ap_CS_fsm_state7, exitcond7_fu_346_p2, ap_CS_fsm_state10, grp_read_fu_98_p2, ap_CS_fsm_state4, ap_block_state7_io, ap_CS_fsm_state8, exitcond_i_fu_379_p2, icmp_fu_434_p2, ap_CS_fsm_state12, tmp_nbreadreq_fu_151_p3, ap_CS_fsm_state3, exitcond_fu_312_p2, ap_CS_fsm_state5, tmp_1_nbreadreq_fu_129_p3, ap_CS_fsm_state11) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state3; else ap_NS_fsm <= ap_ST_fsm_state2; end if; when ap_ST_fsm_state3 => if ((not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2)) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state11; elsif (((ap_const_lv32_0 = grp_read_fu_98_p2) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state12; elsif (((ap_const_lv32_1 = grp_read_fu_98_p2) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state5; else ap_NS_fsm <= ap_ST_fsm_state4; end if; when ap_ST_fsm_state4 => if (((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_1 = exitcond_fu_312_p2))) then ap_NS_fsm <= ap_ST_fsm_state11; else ap_NS_fsm <= ap_ST_fsm_state4; end if; when ap_ST_fsm_state5 => if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_1 = tmp_1_nbreadreq_fu_129_p3))) then ap_NS_fsm <= ap_ST_fsm_state6; else ap_NS_fsm <= ap_ST_fsm_state11; end if; when ap_ST_fsm_state6 => if (((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))) and (ap_const_lv1_1 = exitcond8_fu_329_p2))) then ap_NS_fsm <= ap_ST_fsm_state7; elsif (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then ap_NS_fsm <= ap_ST_fsm_state6; else ap_NS_fsm <= ap_ST_fsm_state6; end if; when ap_ST_fsm_state7 => if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_block_state7_io = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond7_fu_346_p2))) then ap_NS_fsm <= ap_ST_fsm_state8; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_const_lv1_1 = exitcond7_fu_346_p2) and (ap_block_state7_io = ap_const_boolean_0))) then ap_NS_fsm <= ap_ST_fsm_state10; else ap_NS_fsm <= ap_ST_fsm_state7; end if; when ap_ST_fsm_state8 => if (((ap_const_logic_1 = ap_CS_fsm_state8) and (ap_const_lv1_1 = exitcond_i_fu_379_p2))) then ap_NS_fsm <= ap_ST_fsm_state7; else ap_NS_fsm <= ap_ST_fsm_state9; end if; when ap_ST_fsm_state9 => ap_NS_fsm <= ap_ST_fsm_state8; when ap_ST_fsm_state10 => if (((ap_const_logic_1 = ap_CS_fsm_state10) and (matched_out_V_1_ack_in = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state5; else ap_NS_fsm <= ap_ST_fsm_state10; end if; when ap_ST_fsm_state11 => if (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_NS_fsm <= ap_ST_fsm_state1; else ap_NS_fsm <= ap_ST_fsm_state11; end if; when ap_ST_fsm_state12 => if (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_0 = tmp_nbreadreq_fu_151_p3))) then ap_NS_fsm <= ap_ST_fsm_state11; elsif (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_0 = icmp_fu_434_p2))) then ap_NS_fsm <= ap_ST_fsm_state13; else ap_NS_fsm <= ap_ST_fsm_state15; end if; when ap_ST_fsm_state13 => if (((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))))) then ap_NS_fsm <= ap_ST_fsm_state13; elsif (((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2))) then ap_NS_fsm <= ap_ST_fsm_state14; else ap_NS_fsm <= ap_ST_fsm_state13; end if; when ap_ST_fsm_state14 => ap_NS_fsm <= ap_ST_fsm_state12; when ap_ST_fsm_state15 => if (((ap_const_logic_1 = ap_CS_fsm_state15) and (contacts_in_V_TVALID = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state14; else ap_NS_fsm <= ap_ST_fsm_state15; end if; when others => ap_NS_fsm <= "XXXXXXXXXXXXXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state10 <= ap_CS_fsm(9); ap_CS_fsm_state11 <= ap_CS_fsm(10); ap_CS_fsm_state12 <= ap_CS_fsm(11); ap_CS_fsm_state13 <= ap_CS_fsm(12); ap_CS_fsm_state15 <= ap_CS_fsm(14); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state3 <= ap_CS_fsm(2); ap_CS_fsm_state4 <= ap_CS_fsm(3); ap_CS_fsm_state5 <= ap_CS_fsm(4); ap_CS_fsm_state6 <= ap_CS_fsm(5); ap_CS_fsm_state7 <= ap_CS_fsm(6); ap_CS_fsm_state8 <= ap_CS_fsm(7); ap_CS_fsm_state9 <= ap_CS_fsm(8); ap_block_state11_assign_proc : process(matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in) begin ap_block_state11 <= ((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in)); end process; ap_block_state13_assign_proc : process(contacts_in_V_TVALID, exitcond9_fu_444_p2) begin ap_block_state13 <= ((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)); end process; ap_block_state6_assign_proc : process(database_in_V_TVALID, exitcond8_fu_329_p2) begin ap_block_state6 <= ((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID)); end process; ap_block_state7_io_assign_proc : process(matched_out_V_1_ack_in, exitcond7_fu_346_p2) begin ap_block_state7_io <= ((ap_const_lv1_1 = exitcond7_fu_346_p2) and (ap_const_logic_0 = matched_out_V_1_ack_in)); end process; ap_done_assign_proc : process(matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in, ap_CS_fsm_state11) begin if (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(matched_out_V_1_ack_in, matched_finished_1_ack_in, error_out_1_ack_in, contacts_size_out_1_ack_in, ap_CS_fsm_state11) begin if (((ap_const_logic_1 = ap_CS_fsm_state11) and not(((ap_const_logic_0 = matched_out_V_1_ack_in) or (ap_const_logic_0 = matched_finished_1_ack_in) or (ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_rst_n_inv_assign_proc : process(ap_rst_n) begin ap_rst_n_inv <= not(ap_rst_n); end process; cast_fu_370_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_10_reg_239),8)); contacts_address0_assign_proc : process(ap_CS_fsm_state13, ap_CS_fsm_state4, ap_CS_fsm_state8, tmp_3_fu_324_p1, tmp_13_i_fu_401_p1, tmp_s_fu_468_p1) begin if ((ap_const_logic_1 = ap_CS_fsm_state13)) then contacts_address0 <= tmp_s_fu_468_p1(13 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state8)) then contacts_address0 <= tmp_13_i_fu_401_p1(13 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then contacts_address0 <= tmp_3_fu_324_p1(13 - 1 downto 0); else contacts_address0 <= "XXXXXXXXXXXXX"; end if; end process; contacts_ce0_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state13, exitcond9_fu_444_p2, ap_CS_fsm_state4, ap_CS_fsm_state8) begin if (((ap_const_logic_1 = ap_CS_fsm_state4) or (ap_const_logic_1 = ap_CS_fsm_state8) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)))))) then contacts_ce0 <= ap_const_logic_1; else contacts_ce0 <= ap_const_logic_0; end if; end process; contacts_d0_assign_proc : process(contacts_in_V_TDATA, ap_CS_fsm_state13, ap_CS_fsm_state4) begin if ((ap_const_logic_1 = ap_CS_fsm_state13)) then contacts_d0 <= contacts_in_V_TDATA; elsif ((ap_const_logic_1 = ap_CS_fsm_state4)) then contacts_d0 <= ap_const_lv8_0; else contacts_d0 <= "XXXXXXXX"; end if; end process; contacts_in_V_TDATA_blk_n_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state15, ap_CS_fsm_state13, exitcond9_fu_444_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state15) or ((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2)))) then contacts_in_V_TDATA_blk_n <= contacts_in_V_TVALID; else contacts_in_V_TDATA_blk_n <= ap_const_logic_1; end if; end process; contacts_in_V_TREADY_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state15, ap_CS_fsm_state13, exitcond9_fu_444_p2) begin if ((((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)))) or ((ap_const_logic_1 = ap_CS_fsm_state15) and (contacts_in_V_TVALID = ap_const_logic_1)))) then contacts_in_V_TREADY <= ap_const_logic_1; else contacts_in_V_TREADY <= ap_const_logic_0; end if; end process; contacts_size_out_1_ack_in_assign_proc : process(contacts_size_out_1_vld_reg) begin if (((ap_const_logic_0 = contacts_size_out_1_vld_reg) or ((ap_const_logic_1 = contacts_size_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then contacts_size_out_1_ack_in <= ap_const_logic_1; else contacts_size_out_1_ack_in <= ap_const_logic_0; end if; end process; contacts_size_out_1_data_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, contacts_size, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2, tmp_9_fu_473_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2))) then contacts_size_out_1_data_in <= tmp_9_fu_473_p2; elsif ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))))) then contacts_size_out_1_data_in <= contacts_size; elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2))) then contacts_size_out_1_data_in <= ap_const_lv32_0; else contacts_size_out_1_data_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; contacts_size_out_1_vld_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2) begin if ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)))) then contacts_size_out_1_vld_in <= ap_const_logic_1; else contacts_size_out_1_vld_in <= ap_const_logic_0; end if; end process; contacts_we0_assign_proc : process(contacts_in_V_TVALID, ap_CS_fsm_state13, exitcond9_fu_444_p2, ap_CS_fsm_state4, exitcond_fu_312_p2) begin if ((((ap_const_logic_1 = ap_CS_fsm_state4) and (ap_const_lv1_0 = exitcond_fu_312_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state13) and (ap_const_lv1_0 = exitcond9_fu_444_p2) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID)))))) then contacts_we0 <= ap_const_logic_1; else contacts_we0 <= ap_const_logic_0; end if; end process; current_database_ite_address0_assign_proc : process(ap_CS_fsm_state6, ap_CS_fsm_state8, tmp_7_fu_341_p1, tmp_i_7_fu_391_p1) begin if ((ap_const_logic_1 = ap_CS_fsm_state8)) then current_database_ite_address0 <= tmp_i_7_fu_391_p1(6 - 1 downto 0); elsif ((ap_const_logic_1 = ap_CS_fsm_state6)) then current_database_ite_address0 <= tmp_7_fu_341_p1(6 - 1 downto 0); else current_database_ite_address0 <= "XXXXXX"; end if; end process; current_database_ite_ce0_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2, ap_CS_fsm_state8) begin if ((((ap_const_logic_1 = ap_CS_fsm_state6) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID)))) or (ap_const_logic_1 = ap_CS_fsm_state8))) then current_database_ite_ce0 <= ap_const_logic_1; else current_database_ite_ce0 <= ap_const_logic_0; end if; end process; current_database_ite_we0_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then current_database_ite_we0 <= ap_const_logic_1; else current_database_ite_we0 <= ap_const_logic_0; end if; end process; database_in_V_TDATA_blk_n_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2))) then database_in_V_TDATA_blk_n <= database_in_V_TVALID; else database_in_V_TDATA_blk_n <= ap_const_logic_1; end if; end process; database_in_V_TREADY_assign_proc : process(database_in_V_TVALID, ap_CS_fsm_state6, exitcond8_fu_329_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = exitcond8_fu_329_p2) and not(((ap_const_lv1_0 = exitcond8_fu_329_p2) and (ap_const_logic_0 = database_in_V_TVALID))))) then database_in_V_TREADY <= ap_const_logic_1; else database_in_V_TREADY <= ap_const_logic_0; end if; end process; error_out_1_ack_in_assign_proc : process(error_out_1_vld_reg) begin if (((ap_const_logic_0 = error_out_1_vld_reg) or ((ap_const_logic_1 = error_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then error_out_1_ack_in <= ap_const_logic_1; else error_out_1_ack_in <= ap_const_logic_0; end if; end process; error_out_1_data_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2, icmp_fu_434_p2, ap_CS_fsm_state12, tmp_nbreadreq_fu_151_p3) begin if (((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_1 = icmp_fu_434_p2))) then error_out_1_data_in <= ap_const_lv32_1; elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2)))) then error_out_1_data_in <= ap_const_lv32_3; elsif ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)))) then error_out_1_data_in <= ap_const_lv32_0; else error_out_1_data_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; error_out_1_vld_in_assign_proc : process(operation_ap_vld_in_sig, contacts_in_V_TVALID, ap_CS_fsm_state2, ap_CS_fsm_state13, exitcond9_fu_444_p2, grp_read_fu_98_p2, icmp_fu_434_p2, ap_CS_fsm_state12, tmp_nbreadreq_fu_151_p3) begin if ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_1 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state13) and not(((ap_const_lv1_0 = exitcond9_fu_444_p2) and (ap_const_logic_0 = contacts_in_V_TVALID))) and (ap_const_lv1_1 = exitcond9_fu_444_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)) or ((ap_const_logic_1 = ap_CS_fsm_state12) and (ap_const_lv1_1 = tmp_nbreadreq_fu_151_p3) and (ap_const_lv1_1 = icmp_fu_434_p2)))) then error_out_1_vld_in <= ap_const_logic_1; else error_out_1_vld_in <= ap_const_logic_0; end if; end process; exitcond7_fu_346_p2 <= "1" when (contact_index_assign_reg_251 = ap_const_lv8_80) else "0"; exitcond8_fu_329_p2 <= "1" when (i_1_reg_228 = ap_const_lv7_40) else "0"; exitcond9_fu_444_p2 <= "1" when (i1_reg_285 = ap_const_lv7_40) else "0"; exitcond_fu_312_p2 <= "1" when (i_3_reg_217 = ap_const_lv8_80) else "0"; exitcond_i_fu_379_p2 <= "1" when (i_i_reg_262 = ap_const_lv7_40) else "0"; found_1_fu_418_p2 <= (tmp_14_i_fu_412_p2 and comp_reg_273); found_fu_406_p2 <= (comp_reg_273 or tmp_10_reg_239); grp_read_fu_98_p2 <= operation_preg; i1_cast_fu_440_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i1_reg_285),32)); i_2_fu_335_p2 <= std_logic_vector(unsigned(i_1_reg_228) + unsigned(ap_const_lv7_1)); i_4_fu_450_p2 <= std_logic_vector(unsigned(i1_reg_285) + unsigned(ap_const_lv7_1)); i_5_fu_352_p2 <= std_logic_vector(unsigned(contact_index_assign_reg_251) + unsigned(ap_const_lv8_1)); i_6_fu_385_p2 <= std_logic_vector(unsigned(i_i_reg_262) + unsigned(ap_const_lv7_1)); i_fu_318_p2 <= std_logic_vector(unsigned(i_3_reg_217) + unsigned(ap_const_lv8_1)); i_i_cast7_fu_375_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_i_reg_262),13)); icmp_fu_434_p2 <= "1" when (signed(tmp_2_fu_424_p4) > signed(ap_const_lv25_0)) else "0"; matched_finished_1_ack_in_assign_proc : process(matched_finished_1_vld_reg) begin if (((ap_const_logic_0 = matched_finished_1_vld_reg) or ((ap_const_logic_1 = matched_finished_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then matched_finished_1_ack_in <= ap_const_logic_1; else matched_finished_1_ack_in <= ap_const_logic_0; end if; end process; matched_finished_1_data_in_assign_proc : process(operation_ap_vld_in_sig, ap_CS_fsm_state2, grp_read_fu_98_p2, ap_CS_fsm_state5, tmp_1_nbreadreq_fu_129_p3) begin if (((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_0 = tmp_1_nbreadreq_fu_129_p3))) then matched_finished_1_data_in <= ap_const_lv32_1; elsif ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)))) then matched_finished_1_data_in <= ap_const_lv32_0; else matched_finished_1_data_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; matched_finished_1_vld_in_assign_proc : process(operation_ap_vld_in_sig, ap_CS_fsm_state2, grp_read_fu_98_p2, ap_CS_fsm_state5, tmp_1_nbreadreq_fu_129_p3) begin if ((((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (ap_const_lv32_0 = grp_read_fu_98_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and not((ap_const_lv32_0 = grp_read_fu_98_p2)) and not((ap_const_lv32_1 = grp_read_fu_98_p2)) and not((grp_read_fu_98_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (operation_ap_vld_in_sig = ap_const_logic_1) and (grp_read_fu_98_p2 = ap_const_lv32_2)) or ((ap_const_logic_1 = ap_CS_fsm_state5) and (ap_const_lv1_0 = tmp_1_nbreadreq_fu_129_p3)))) then matched_finished_1_vld_in <= ap_const_logic_1; else matched_finished_1_vld_in <= ap_const_logic_0; end if; end process; matched_out_V_1_ack_in <= matched_out_V_1_state(1); matched_out_V_1_ack_out <= matched_out_V_TREADY; matched_out_V_1_data_out_assign_proc : process(matched_out_V_1_payload_A, matched_out_V_1_payload_B, matched_out_V_1_sel) begin if ((ap_const_logic_1 = matched_out_V_1_sel)) then matched_out_V_1_data_out <= matched_out_V_1_payload_B; else matched_out_V_1_data_out <= matched_out_V_1_payload_A; end if; end process; matched_out_V_1_load_A <= (matched_out_V_1_state_cmp_full and not(matched_out_V_1_sel_wr)); matched_out_V_1_load_B <= (matched_out_V_1_sel_wr and matched_out_V_1_state_cmp_full); matched_out_V_1_sel <= matched_out_V_1_sel_rd; matched_out_V_1_state_cmp_full <= '0' when (matched_out_V_1_state = ap_const_lv2_1) else '1'; matched_out_V_1_vld_in_assign_proc : process(ap_CS_fsm_state7, exitcond7_fu_346_p2, ap_block_state7_io) begin if (((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_const_lv1_1 = exitcond7_fu_346_p2) and (ap_block_state7_io = ap_const_boolean_0))) then matched_out_V_1_vld_in <= ap_const_logic_1; else matched_out_V_1_vld_in <= ap_const_logic_0; end if; end process; matched_out_V_1_vld_out <= matched_out_V_1_state(0); matched_out_V_TDATA <= matched_out_V_1_data_out; matched_out_V_TDATA_blk_n_assign_proc : process(matched_out_V_1_state, ap_CS_fsm_state7, exitcond7_fu_346_p2, ap_CS_fsm_state10) begin if ((((ap_const_logic_1 = ap_CS_fsm_state7) and (ap_const_lv1_1 = exitcond7_fu_346_p2)) or (ap_const_logic_1 = ap_CS_fsm_state10))) then matched_out_V_TDATA_blk_n <= matched_out_V_1_state(1); else matched_out_V_TDATA_blk_n <= ap_const_logic_1; end if; end process; matched_out_V_TVALID <= matched_out_V_1_state(0); operation_ap_vld_in_sig <= operation_ap_vld_preg; operation_blk_n_assign_proc : process(ap_CS_fsm_state2) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then operation_blk_n <= ap_const_logic_0; else operation_blk_n <= ap_const_logic_1; end if; end process; tmp_11_fu_358_p1 <= contact_index_assign_reg_251(7 - 1 downto 0); tmp_12_i_fu_396_p2 <= std_logic_vector(unsigned(i_i_cast7_fu_375_p1) + unsigned(tmp_i_reg_517)); tmp_13_i_fu_401_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_12_i_fu_396_p2),64)); tmp_14_i_fu_412_p2 <= "1" when (current_database_ite_q0 = contacts_q0) else "0"; tmp_1_nbreadreq_fu_129_p3 <= (0=>database_in_V_TVALID, others=>'-'); tmp_2_fu_424_p4 <= contacts_size(31 downto 7); tmp_3_fu_324_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_3_reg_217),64)); tmp_6_fu_456_p2 <= std_logic_vector(shift_left(unsigned(contacts_size),to_integer(unsigned('0' & ap_const_lv32_6(31-1 downto 0))))); tmp_7_fu_341_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_1_reg_228),64)); tmp_8_fu_462_p2 <= std_logic_vector(unsigned(tmp_6_fu_456_p2) + unsigned(i1_cast_fu_440_p1)); tmp_9_fu_473_p2 <= std_logic_vector(unsigned(contacts_size) + unsigned(ap_const_lv32_1)); tmp_i_7_fu_391_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_i_reg_262),64)); tmp_i_fu_362_p3 <= (tmp_11_fu_358_p1 & ap_const_lv6_0); tmp_nbreadreq_fu_151_p3 <= (0=>contacts_in_V_TVALID, others=>'-'); tmp_s_fu_468_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_8_fu_462_p2),64)); end behav;
gpl-3.0
964cc43fc0de4748ccd894b6e658d5de
0.604883
2.81628
false
false
false
false
amerryfellow/dlx
rocache/rocache.vhd
1
6,369
library IEEE; use IEEE.STD_LOGIC_1164.all; use ieee.numeric_std.all; use work.ROCACHE_PKG.all; entity ROCACHE is port ( CLK : in std_logic; RST : in std_logic; -- active high ENABLE : in std_logic; ADDRESS : in std_logic_vector(INSTR_SIZE - 1 downto 0); OUT_DATA : out std_logic_vector(INSTR_SIZE - 1 downto 0); STALL : out std_logic; RAM_ISSUE : out std_logic; RAM_ADDRESS : out std_logic_vector(INSTR_SIZE - 1 downto 0); RAM_DATA : in std_logic_vector(2*INSTR_SIZE - 1 downto 0); RAM_READY : in std_logic ); end ROCACHE; architecture Behavioral of ROCACHE is signal ICACHE,ICACHE_REG : ROCACHE_TYPE; signal STATE_CURRENT : state_type; signal STATE_NEXT : state_type; signal INT_ISSUE_RAM_READ : std_logic; signal INT_OUT_DATA : std_logic_vector(INSTR_SIZE -1 downto 0) := (others => '0'); signal INT_STALL : std_logic; begin -- -- FSM Management -- state_update: process(CLK, RST, STATE_NEXT,ICACHE) begin if RST = '1' then STATE_CURRENT <= STATE_FLUSH_MEM; elsif clk'event and clk = '1' then STATE_CURRENT <= STATE_NEXT; ICACHE_REG <= ICACHE; end if; end process; -- -- The MONSTER -- main: process(STATE_CURRENT, ADDRESS, RAM_READY, RAM_DATA, INT_ISSUE_RAM_READ, ENABLE, ICACHE_REG) variable HIT : std_logic:='0'; variable int_mem : std_logic_vector(2*INSTR_SIZE - 1 downto 0); variable currentLine : natural range 0 to 2**ROCACHE_COUNTERSIZE; variable count_miss : natural range 0 to ROCACHE_NUMLINES; variable index : natural range 0 to 2**ROCACHE_INDEXOFFSET - 1; variable lineIndex : natural range 0 to ROCACHE_NUMLINES; variable test : natural; variable address_stall : std_logic_vector(INSTR_SIZE - 1 downto 0); begin count_miss := 0; ICACHE <= ICACHE_REG; case (STATE_CURRENT) is when STATE_FLUSH_MEM => -- ADDRESS <= (others => '0'); for i in 0 to ROCACHE_NUMSETS - 1 loop for j in 0 to ROCACHE_NUMLINES - 1 loop ICACHE(i)(j).tag( ROCACHE_TAGSIZE - 1 downto 0 ) <= (others => '0'); ICACHE(i)(j).valid <= '0'; -- dirty bit ICACHE(i)(j).counter <= 0; for k in 0 to ROCACHE_WORDS - 1 loop ICACHE(i)(j).words(k) <= (others => '1'); end loop; end loop; end loop; address_stall := (others => '0'); HIT := '0'; INT_ISSUE_RAM_READ <= '0'; STATE_NEXT <= STATE_IDLE; -- IDLE STATE -- Do nothing, assume miss when STATE_IDLE => STATE_NEXT <= STATE_COMPARE_TAGS; -- MISS STATE -- Probe the RAM and wait until RAM_READY when STATE_MISS => -- I gots the data if RAM_READY = '1' then -- Identify line to hold the new data currentLine := GET_REPLACEMENT_LINE(address_stall, ICACHE_REG); -- report "----------------- Instr " & integer'image(to_integer(unsigned(address_stall))) & "-> Writing TAG " & integer'image(to_integer(unsigned(address_stall(INSTR_SIZE-1 downto ROCACHE_TAGOFFSET)))) & " in set " & integer'image(GET_SET(address_stall)) & " line " & integer'image(currentLine); -- Store TAG ICACHE(GET_SET(address_stall))(currentLine).tag <= address_stall(INSTR_SIZE - 1 downto ROCACHE_TAGOFFSET); -- Reset LFU counter ICACHE(GET_SET(address_stall))(currentLine).counter <= 0; -- Set valid bit ICACHE(GET_SET(address_stall))(currentLine).valid <= '1'; -- Fetch the line from memory data bus and write it into the cache data for i in 0 to ROCACHE_WORDS - 1 loop ICACHE(GET_SET(address_stall))(currentLine).words(i) <= RAM_DATA(((i+1)*instr_size - 1) downto i*INSTR_SIZE); end loop; -- Write the DATA_OUT if((to_integer(unsigned(address_stall(ROCACHE_INDEXOFFSET - 1 downto 0)))) = 0) then INT_OUT_DATA <= RAM_DATA(Instr_size - 1 downto 0); else INT_OUT_DATA <= RAM_DATA(2*Instr_size - 1 downto Instr_size); end if; STATE_NEXT <= STATE_COMPARE_TAGS; INT_STALL <= '0'; INT_ISSUE_RAM_READ <= '0'; end if; -- Fetch instruction and print it if HIT when STATE_COMPARE_TAGS => if(ENABLE = '1') then INT_STALL <= '1'; -- Look in the ICACHE for i in 0 to ROCACHE_NUMLINES - 1 loop -- Is it a HIT ? HIT := COMPARE_TAGS( ADDRESS(INSTR_SIZE - 1 downto ROCACHE_TAGOFFSET), ICACHE_REG(GET_SET(ADDRESS))(i).tag(ROCACHE_TAGSIZE - 1 downto 0) ); -- HIT! if (HIT = '1') then -- Is the entry valid? if(ICACHE_REG(GET_SET(ADDRESS))(i).valid = '1') then lineIndex:= i; -- report string'("STATE: ") & integer'image(to_integer(unsigned(STATE_CURRENT))) & string'(" || ADDRESS: ") & integer'image(to_integer(unsigned(ADDRESS))) & string'(" || HIT: ") & integer'image(to_integer(to_integer(HIT))) & string'(" || i: ") & integer'image(i) & string'(" || offset: ") & integer'image(GET_SET(ADDRESS)) & string'(" || count_miss = ") & integer'image(count_miss) & string'(" || test: ") & integer'image(test); HIT := '0'; -- Reset HIT if ICACHE_REG(GET_SET(ADDRESS))(i).counter /= 256 then ICACHE(GET_SET(ADDRESS))(i).counter <= ICACHE_REG(GET_SET(ADDRESS))(i).counter + 1; end if; -- Print out the instruction INT_OUT_DATA <= ICACHE_REG( GET_SET(ADDRESS))(lineIndex).words( to_integer(unsigned(ADDRESS(ROCACHE_INDEXOFFSET - 1 downto 0)) ) ); INT_STALL <= '0'; -- Next state: the same STATE_NEXT <= STATE_COMPARE_TAGS; count_miss := 0; exit; -- The entry is not valid. Count as miss else count_miss := count_miss + 1; end if; -- Miss :( else count_miss := count_miss + 1; end if; end loop; -- Miss? if (count_miss = ROCACHE_NUMLINES) then address_stall := ADDRESS; INT_ISSUE_RAM_READ <= '1'; STATE_NEXT <= STATE_MISS; end if; -- Reset the counter count_miss := 0; else STATE_NEXT <= STATE_COMPARE_TAGS; end if; when OTHERS => null; end case; -- if(STATE_CURRENT = STATE_MISS) then -- INT_ISSUE_RAM_READ <= '1'; -- else -- INT_ISSUE_RAM_READ <= '0'; -- end if; end process; STALL <= INT_STALL; RAM_ISSUE <= INT_ISSUE_RAM_READ; RAM_ADDRESS <= ADDRESS(INSTR_SIZE - 1 downto 1) & '0' when INT_ISSUE_RAM_READ = '1' else (others => '0'); OUT_DATA <= INT_OUT_DATA when INT_STALL = '0' else (others =>'0'); end Behavioral;
gpl-3.0
ab20f8a91e9290ad0769e7031aabe54a
0.610614
2.984536
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_exp/floating_point_v7_0_exp_table_pkg.vhd
3
561,677
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block HShNBWeCwbuaWSKBgN4WHNQ0Y1iLKMSWw3jbK0ayiEt9filvm8jCWigV/HWtAjQPRDoFU0sKb4dg HMaIGYtg7A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j4hHL0EZG8xpQFMA56qfg2r5E+n21pRX+7IjA/Fvk7Iab9MDtJx8F6YFzUsejL6aPcy0cj3F2fax Jafp0ZrvOolhhN8QYpYaLATSzE4S1DQLmIvKeSdhik3fJDEvpGfQ2Rm4K0fEGyprrneXKMhOEi4U TZAx0qqqcWWf+9Gd0SI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XP7qXI1g9QqmmANQdMFoGL0qjwmzT6Acxuy2fz0LxUxc/lv3s8l/pOzispLTvfAXBRAQ4IDzFljX kdxyenvLZ3KavsCgHIGPdUguiCU0u9kLSCHVVcmCctmhDLfnIiCA9wF+akHZlHP1umtD9wmNzn5l t0TbE+SoF1dUIchS8yny8Fn+Ng4chxNxiZ627UwOvPWF4JwM9D9z3+RfIcYYOc68DGFUHd2AWnDw YFVbY8qm4arjHLXC3gOxMoYIZsSwL/fR8C7135WcIoHM4SgyNPI0Z9V/DDmr/UdRcDFCwiW9jTUY JRvCJ1v1VDqGgMriuUfprb6e//GxiojCWCTu4Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block p5UQNkIZbS6/9hBE8fGfrlwztCVXNUk4DwEmc97S+4SYI+0iwU/KQKLevSF1/stg9CIIZcWBYer7 aDRMeJmFudGmp8XRIz+DfVlek8Vb3R8quJP4EgO4kYWbWJ6oFhZXN0BHg9JzsURZS/Nhm3JQURjJ eHiVIKlnrjkei59LboQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UdoNvOswp7y21MBBJWmT/aRz0Wcp4s3vrTDNuC4hYz2ifJ4lOS9YI83O2uS7aJ1VWJv155eK2kvN mHlhA80h2T2jnBTJ4MdfLk9Hbw+lkjp738+qRSvqX9XIXGfYrMBRthTSvszPrclal8FrQAaOSn+m U2IZ7GOEs3jcSFiE07t8fesve4jMSe9Fy0Do1VZl0xpV2zZXMRxsKUf+XAEuJkPz3WY4RBlmHBHS rJvKhDDB8iImp9P0LjMlQcuoTvKX9DJqHA4mLMfVW10WYSOGeMS8rw7q57k17k3wWNmzOegu9DtA 4queOFU5Lkrfwu9HkZdEIqJUqlB26q/CzpBnRg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 414048) `protect data_block 6P3WM3opyUPm2zcoDDC4b8ntBIZm/s2mIaa8S0HerHPVcKJ+ABByp9iY2Csks+pOdBsEIXR3ZiY5 Agj+j8ux6c+kSQCGCegdDQCIXbDj8jBtrYOCsH3D+x4gpxOesrATm4Qa/Nza84trvkalSoo1wAU2 o0IGkeAjTOL+zar5nU57LB0QBQL3B5FB3KHv+ZWfyCYV3zIE+FXj/ijGPWjpsK5U7aex/PtaoHuR OapiX2kHa2faPq05fydLfsVeZI20u4DjsDbD1jSfsuzONniHQB0rY7k7sd6mTBfWR2uKzXYxv6du y+NHInP69VnYL35CCCNoo577fEfwHOFZU8at5hTFQJGzVrdtuXDbl4T7deauqHbeplfUoMuNMLBP JxDOSv9g2epcMnx6rbDZFpeFD2RXFX16k3/eqiaAe55hRD6IMlTM9KMrdGoZwexhpI3hs1nK50Mg 8Yo3MDUCkDVV1oHpm/CMvPlvYAgYnK9L0benb9w/hRTJrx4PGSwIrEq16fI7U85xLJzFQ4Oln2c/ 0ltG70AalLCU5CxgcrJnrONO6FaloPPwGSyuCdcUVgen+CXxA8TLraeaZWxsH9UODKgj3QLiY+5q xBZZ4Yi8Q1YTtvzbeXqR09ByyCJvtOgV4hlDpHDqC52wWJejmUZRvVo2ZaBd48zrZb3FBZ/mIFO7 bVmszd0cfEC+lOrDac97Zv4RtSfa1indnXT14yHH4i54MMfdHb7gcoU6TYSq8yBXDpf27ZdX5oqd jNUlq0W3o0AkjevWc+DE9oD6vmStbZ9m3wGSiCuhUNo80xIU1DMak2gSmyHLsrEp2vregGFCd7ai Xu0sStzhxdD9U5wWnXEeHyTwIGYNxXka4iZm8yVFisfNDxHIO5jXxE1TkGUk1G/qheobkHzrXPS+ NyBxhuwJwOwQ+ebb3MMqLFXLe5+kTLRXnJHbZ+uNML/dOls1Dh/7hoVTgklchy4IJtERaFP00lnj /B7+WFEKDE4P1kuIBFnmCQ3Xs6+1ERHlZIid19cRmfzf/5IsDZ8OTtQUpT1XctFZDwk6XHXjfuCn XRiO6Ph6qKTZHnyhFdzdQtkkvNmPdhQW9S2oDARMP4haG3b4XofkDv2dkzrf0+kr0511iuu+sJVl jAtZORnWtrT5SS1nsi6Y9qrb4t10FWSnF4nDnNDgROFWWoz+XhZ5qw9GaNtPPLJ7ujobQon7v30w LfmISWQkGBFJgbcZawYc1vfB0Nz5QiUnj+ziRkEouEeAc2IiqfvC95SJ57BzDo6/QOqAYH2Ou7jA WKt2/TuCYi308fxZlsrM0yS0/dT5Trz066KtZgvCzbgxL0KEjlmtM82NOZ3kBujz3HSMPOz4fWa4 C14+SOU18IYhTWkOoF75XMxHdc4t448+AdtBGP2rOw5YdYEAF1VV/cpZwQzidtWvBoKCqfBosOMY kov5AnxaYDLraQz00gBpM//CWP1BZET3C3udQXjhiUd31mYcgVgsWsfLGs339l/UOhESzIfXoGZT zJvI2xMvXwk1niaROrxJAzohR0pkx56Vjm8tqH+XKcHxCGjlMtEVA6S4y0KlkBTlXsatsaSto8Sd WREl0xkO+wC911eZU2sjOgrKkfLxv3u/WyUrinSDgZvJJXILBPP12PooPL4KxmYqkfqv8Qby3fil hNOwvWg7j0MRUQLyT0TQ+xn4vyhTYNiWLCFB4ON2Ant5GOmU7awFhfzSG6Ccf5XB881PA/yd40GI ezUpc7G4BwBVloiEc6YUA6DzZcPsh+oc3VLlLBNxV1OrntzWfoZl0ZFPoqFymPOylmIhThacB4oT HDWabR4I3bNWdEMdeWHpU2vc2OuR/ArJTakrFiTcib0WQ63uI1Xgd3LAsP/E2xEMi3Azjmuxlp/t HmcHd6QHIQbDBpmE4xgTmjY5d0DqTwYYYS8kaegk1UUcDZdPEY1Og1KX/gnoV9fc7kdJrlR6CuhI wiftHE+HPAyS4sOzMlU+d6/TYgZm2YSU/9kwA61OpqExDfcgoId2u8LQXCfkjIu6wSRukWC9Ble2 +VQxDsMpEIGfSRWZp8kr7CM6P9ZWWHFTt0yhoEg+qfhdv0fkDyiI2mm7q+50vtQESCK1q1lYDdwE mvPKtPyRRaDmPHP//bVkFCkjHaDIxasJpyw5HlXNGcipuB1SIkgoMxDbcY901Ga+sCmX/rgA1wr+ U8/gqeK+Iz1RQYkvs+XaZ7TJNeuY9T+J0jru6Ksl70WU7LzeXWt/bBfyC3dwzEnrh9EJb/nvc9O/ mqBpWvYtB5vYODp48FpDBGdjxyReaggNyCQzFkfmHiGFAd2P2WNtWwDXW2gmVqVs9Tw+l5u1d/R8 iNovi3aDfZRDLKxxEESU75KoKImcQp0mmS/giCnJWL6Zez7jCiflgfZmOlopAcWhkt6VbsXjzyFf oHW9pQrFB5ieeUMfZO2ZpoYivfgPHREXw4DhTkli1pjGqGUMSo7O/C3RFyoV7GM2maVHj7fDVnUM 9JozTY7IyDYsi29KOp8OXUw9ymlqmvreNb3R0QHiU7sGpVeO1F3Z3KgNxXW8s8Cn916nBu/Yaxi0 Y13N73vfyo78e8FlCqupAlEDvxTiMfjWXja0k1X/bhir4oYinYE3MgeArBIo7fZBCDbBQo5TwV9n 1wiBMhbSaVMpnz/fo8s8Eo9aVgaVX9wAxfyHVn9mFaMPkz9qNLAmtdVDxXTkIazP2eWchf6n8E9I NNbW/Fq6dMV0qXLtOpkZs13O4wm5e2oxGY1JL6FhaUbXw62pny3r97WDc3jnCqy009RloQdsDrO+ +yipLiC8cbGld3qwT/sdDwasAG9mRMIoM1jYHQS2f+DHIx2BNVg7DepVe3PTKENWZAPqfMGDxlVx vt8sOFM5eStUuzR4xHnl0e6g61R1x6mF7eVG8kYuYfKJZLeMXz+nuOrnWNaDOfGbGqyeQi06EkME y5AzG6YNe305JoOB0owheCMIPnsKcOLwXNH1t0Asos5G3YBuF6VpSCyjV5Vim/xcN3gsAS+fi06h NqSLJhnVW5hWyeFm1qDVjreTMzNhKgeIkPlS9pCCqgl6CSxAjGraGUYnj0iawi13hk58J6/nZ0GH 9+eAXPOfSqEuxnfPbOMSNllP/78JN5bv7fgNcimWXW3mz2l84qbMqG+hmi0Bu8fiSzhcZEHa1IA0 22KpYjlaeaVq4VUK2pbmQ3hiSYHL5JbgpZRXv9lGDDytqZC8/DLNg9Kf8w3ll9PudRY1NFm75FKl 4sdBXGR0Ba0vODksmmj79AzNZGSqQ9NK8lMU45kKgi2cY0zDjjBqt0x3KLt02kr7aDUCYV2A3snk bzn4QC6IOxU9JWa3Uaase0L5U8s42KypnKs4rNHkBB4jBWyXWOBCDitwY46r006K4xw9uYoXr5Gn n8IF7vlqLkzZYfPmbRAH3+C3x6nWNy5cFuEruetuo0qk6FWoD5Ejz6ZL8CIn0vlEY69jh2fv0O8E vastntSOxy/KDNgqa4ADd7koz4B1aUi1ejerXEpNcSCqeiirXuJiQkKxOE5nbg8Mwj02mIqZu02O M5gwkizCylvZrJkhbt7e9m7U/L3zwq7uKdcW37sw8Hzyk3OUXPGRWijV7Vpjnu++bTCrECpjxbA9 lMGdj1OlmiPAR8bNuJzYaCasBoOyXTMai1P7Ko/QEaQ08PE9sIiIB1u1/6tWOdEqmkJspsoZDt7T WiXdRm1P5osmLfXcAGDQTvW1GVjF29q+vYRopz3xkBHZR7UdiUGx+XQ0vK0zpr27cEcIWT8+KB+e 1pRyHANNTr+vJkFeaC2+xtj1v18WV0UhX/k2EPEz9f48DFzswE04o2zc5utnK2Hhg8/crLTHWwf6 KMrAps3YQUp0yDZJO+TNpLoqU+NkaVBZPTggSmklYe/E/Wlqm6R/O6Ozw29CSM1wuhkjUohv9D4T g1RM16eOtf/2cYHX/Db2ab1OZ9oS+Hb2AydR4mgOLeJFqVYp5wtWGYqcIc4f1SRNqf+KjPuo5G8G z6JJNAyd1z1q75j4JpAjf+WNdo/GL2vbxFa5z1tHK+wLfzl6aWegZnmvZzH/Xp0fGEFcVGBUyEQv Wm9pC4LiX2Zc4XNYYCyhNVhwm/kkJshh9jhfLG4zEdnCBNeZ24rqjLOGWu9MgDr/IrMEZJ/TG7/y lRrzVkCsIiBGVl06XEiH3WqHaSZsjzTkzQSqLEMkaRZtE9EjdR41VpthgEY6S3ge8Mc/cGBcqiXj 7IJM7wSLIuFT1kQiR21+Gx7dqpm+zy5SmT4sxLJSTdUjK83rxyomC3eoDRbz8imrA+fIqzwpPx86 gbDrf7ukwZCWP8AGHd23jX69iJbXl+gYwdBdcQ8Qn2psej9hur8tKoG6mfLrQjT0ml5vqPaT+QUO 2HzwPirTzGctBeGr/OgPnFuIcIh0b+q6ZuzN6MYm2kJUbucq3Bm/w/Q+fNtLnh14tXSxrlfFg7xc cGaxc0e1sNmXGPkCAGre6u7EXglu6nM9H8dapACcZREbjhj7EUv2sS+fHf9w7kYU0y4HcH37cAwd eCgRx+CbpO2WMqJqhW42M2qNDDg7DYmIOwlxp76KgMxUR5xj8VtyXtDYA+rffsNyBNS10poTaleR eW2Xoi3AHDWoxmC+owJ5WhKQ67VtTnJnpjHiTJX4Tsa6KQKO6UoGQNAkkZDPeAHk2ikWGoo/SPBV CLJcvHnsjWkLGgKxmSRf9An5rCpIyqIkM1UUhTnIEAenttE5ihHTdpzbAPwD1t5CY9taI7Z7a+W+ u/oN8cYp85iwlk9w2HpEqCMgwrCLbObZfVkOovdeIU9qoJybo3dGELZYES2/sXb0QmtXEwQz2lyn xeS1XkUsPlayYKZ/b70A9QOOO8YE9O2loBkerAUHXtCYQ4hOrtdekDp90hKhMI0xgkZFiag6Jz4l WLwugCEc/rO8BDB5H/kpgZhOufCkgJUzDfdKlSlaqtEJrmey3BuU6ZgL+X1A1AYqfeEbXTfp8w2X GLxiZKitdV2AAee0Sg4dnUF4g827cGMF5C7D6Az38j0YwrK4iE5sxIyqP7q6N8ehTlECOXHABoTr Yi0j5prWO8gtuys8h5es+uXFfdCwT6SbKWxbL+8H2CbLU2C+IwgVvxs0XqIHbCq6vRYvdCPfC2lw N8a36gaWHcfStOFoPGzNSRDK85E1axSFcwtdcIq9tjokNN1SMgZwJ7BBfBfuT0NMATld0cXnaWMD pF6WT7feNDLCBKZ7fRMNZ9Veord/DgNm/oGDwbq5kLKcpO7gXMe35rY0vqackNVaszepQseTffLf i9Ehb2JDYjVcXheNtfqOwfBprPF8NwLsT2vqcDXNRp+7DU46impvIRxfD6+S0XsZBI/JXyAGIzx9 QEOv4vWvLqdp7vgK64902GkfS0z07zMOp37AKEFP7gj6kfH7ID5p8yLYSTnd1GuuMi4oi1Cpzt0T Kix/huN0L3WZVXq+YfROkxZY0HM6tgW9HDvNcFpQkq64YwfrImE4P1vc0xgodeHoF4CsnnhSYWcc GJyEl0S4lCNvV/O3/xaDwIbvt2EiwYyuXspDk85ISBqlwvj/qmj9wWH7FvHQfVFAWKGtRwNastiM iK9jObjEffs19d4D78o2Nb1wwT9mYs5/kFZz4JVGurfBqCnxS5E+G/HPwkaLeuNWV3PvbplZEoE3 w9v9aJPpOd3m8S1brAIDLBtEd2E1ISMwH5y0gKXUB7rfA0r66fERPYnsSzSU+7Z7GLJykFUyCXWd ZtWXof1Bn3nkTf+Jtm8DLgdnNroXMvKkOmiXFOSLim4BfGWi35722+4F1VgOVGk5/FCC2GDufmzd 2beMVQXdxy1GaoLeIF99GvPrnaMJaDwWkl/2oPDwbcoPRfHTgXk/JZfHYSAQcY4/vOqZx5yN/6SO v8JTr+j6Hy27QxUEugsBCZW5gHcQ0f88wtESeyWXYOO/z+7m0SQn8r4+SCSMOwfnTb6MkZvOHKo/ 0M6c+JqIA2tXgGyH+bFotQLuY+3sUI4WvTID2uW6eTCfQUE95bt5jPj0nhc7P46uhK8Zv5U5s5VK ZpP6KD1T3UtmLXm/0iAqployit0PL9+e2WJF8vkmx11jueWATYkPzk+mMSMUF2XHYI/F2egvZmN4 TnAxdhE+N8jTa3Zz+98DXVf0p/tLALAByWe3GBp+iGpYuPYAcXrIlkGnXe6VcJm+ImRJgkmlq4Wv jf0VdRRGO2/DYqCotYqRGb+PdD9jTVzNIbzH28Q/CXgfqrRQQBLZ6A6ykFKw2HO0izRuI7uSAVeO GpyUWa+WDah+qIfUZJFxZrigRixhf/l2b+JKRkgMFg5CW/2OjXtQriS8XGdowK/NcTtOGjk950v+ UkAKeONnd87KgsOncIuTIQJtIElcI15hdMCFQwl8mCjH7HaLgTaRWgnG8axjXI1WtoxUFvUCHUCf mf4/YrOcW3gYYlZ+9dtSKYZpIfd/IiX06K89Xz8SgzuyFc2yq3tXY0c+XuTuJVp1olFMb1IYoMWc FyN0Qi8gamlcvl6hbsjUmMqezlojBeMQMBhplfStIuunli0py+7j3GAm1e96Si+FNIWO+oXif/0+ 1YlQygRGt8zZGFf/URD4SYtTDdIg+8Uo7x6SpRpRjTHHO+Vxb1nwcbgcF++gnqqJKRI2KJut1AnB 66vrHxEJzO6wggUjdLHVN8RjRDGEzuFKdvIQGTUOgeoJUJlLoNf0WnP0JAdKQZ58mk+J8/iXDOQQ BeL+oVyPD1rkoH6Ki9CpO7jaS4Q+5AR2MHh/MaJYwYGHPniPGu2KgofAEQloIakqT7zvYu/7+2Hs PRKLGh4PMilO6q1qwRgnDGiYXIWZHDKrBnNe/Xbk1girGEHDxIUL66+m3+J7qAKBkGb0pZqRiUgA gDzdLV+egOLYvIb8AePVBhSFItDyn/B7EqkypOq2ASk626fmX7JyYPqMVaLAbK42xaxtYgztDdHr 0LqqrBnswsd8GRNBcKHYJoL1ZaqUhnmE05Se2O5PJOYBn9E9hl0kRzGweCWje3S/7rzBHabJgFoo axIwA66cEYMsXlzH5gJE2Ejm8LWPBpjr7T8Yc9Jpoo3XCkxDuTF4FcKHydihoRysqsArLQHX6zcZ lnPX/62ol5XWBjSbaYvghZaTvlZ5MUnRVzCyRUlGqXm3P87nbeJg6e0E/N/07f0moMjCb9s8zQS1 hp/kXOx1Ba80IDVl4X9qybXNZBt7jFNNRlOLZtlQ0aLCssx1BHTust7abGn8mT2WO7sHL6pQXp3V mTBcQPpneHpxm/j54/lwZPLtIAGQwCQOcwvoDV4YWYyBD3Va21w8JQBByQM/jW5dqDAyhzdLgq0V l+mIVWU2Kre5bS+YZRCHrzri0t67m/efy6qC1nV2yjF0Q3LxrghCPAkiwneNxHwItifwEqc6LDF1 fMKMo0U7e1F+0a99P8ticcI96sEKhe9xWROHpiGrefUTm6anl/DmNXiaNavZx33XZhmeeTPQB9xu +SA59Hp30Bp6ZrxzB8LMzTI4hcGuepo1wn7gM8N+VpZW68pfkXA15+3smWSfP+GUfVNt1zhkYUPo 9242YA1XRyJQnf0od0Jq5Nsm+RwlXKzCVSGYtDKcTdFRjiONQjZpnm2vPayikRV+xP8syZ0e8TZN t1mxQf9OPl0ZIF+rrJ5C8Sn1NAnf3hQ0Sz2/oSGtbxjT0oL4goQgJJoZ84vh8mToQwF18TBtH6GN gM9k1SQUY9b76y69txdIP1En4ILLoOG3lkgOCdzUjxa99Xw4L+OE8cGpt5RI78h1lN2FDwj1lLos Ndrvi3DJMQSYgTuksE9lazxKT8gYdT8qzxl1zfYqJRvbaIpHgIMNKcODvt3aH5Qliepn5qekD8XT +Nz/p3a7WZj3c4He6P76lPfDabEw/Slrn9H8mOsca+pAo6drD0j4D33l7HCxDEL7C/4MXtk1q0d+ L/N7GIy/MqAQ8H/aPhf1OLl1js8iVeHFGQSvWMkb0H1kAvL9UnyYzX0UxQyqp4vQX4wIIuRegqpD 36hLcP/JsUY09j6bOkGiVTWBRB+H7k8HMJEYYzCwTX2zSuPRpf3DMAMjyZq48YLGV19zaERvJF9O 27mm6vecmsHW2sl3XhxTUPkIj/uskWg2R3UL8qK5kHtej4tvlnx3XPrWK3sd9TreY0GI6b5j5DIM 4XOkRNcznMLksDHusftm5zEAofqL/QE3+OlNt47twv64yjQU4AQxH4nr7yDv9Fh489Jd6G1dVi1f 6EafxezYnoxVK/Q0NYo/2Yse0PyKHSTdD/uVQuTeTNgEz3WnUOxkkc3yi1PweDWl76VJ7/7GbFNS LYFfNJrflMB6psm7k7Wmxb8ZXHdCbiVouf+jgFZOuZLKklyfAfMDiUKA18LRt39DaqorKep6dHQj ie/Vta01cLhJuEGZZPLYVXEpDDS1UsPgnPnVcJFBcXIGTf59Nu3NIZKHjJau3pCF2aahO0ujLEUp subbk9qni/XY9WcNb1SayeGInhu+iJsov6cWSCPMR5WjQ2WStfuYEZz/gWkmo7kaTsQmjdJFsnpB DsDvlywhsrGcTQp+7weOdZAL4u0MhQPxaABH7nrkNtWiYebaZdEMrP+in1CxBriWwfZmSaa8hLf/ Msqjeaq4Ja1uxRxkT2kpUiuIwGOvtndUXk/qytxr8NY7PPGjb1822XQWqyhm6BJ8ZscrVFgIYmQn LCY7zcAKRHL4JIvLiAjyQjHaMr6sqvxjuoIk46WTzkjX3mjFBxcddHvNcQNJgSInIIpjF4HM3r0f f1uzwy2l1suSrjcAOU9JATijCBmhguo9IMATc05tK3a6FelMkXOo7MFIuLbiZu9meZdMTDxhan+m jmPdL/qgpgb9vuLsalimKJC251J/vY8gLorv1QLTLnnzx78m1nw8ROmOM/0NoLoL42dDAVJa1X7C yd1KPFMOK6rmSlUdQiWZhzivHCNMTNeNmkDyCshfyd0lhTiebVg/if0LZJeK/WuZgynq74nM4uqb guuSWU47OwenrKiCAfKEyuKfci/peAKLUPYuy6J6vuoavFOLKlMnY+T7VM6DUyzwy7gTzZNeiVEL PE3tLPMNLinUUPMcWYQ7Mk/23Dv+Jr+AOl4HCy6b87BXBIbLpwKI4QK19sBmUwYtrLR5gLF0YeHQ VqYJJAjHHPO5Tcqey3lPhudDTViobLCGZww+5e6yepJCVpMztQwybITo3eiTlq20Q6XqTyg+b1JY wXqUKtKmN+bUWrVL4HEiXG1guwjzKN0i24JJtAi9DaQ0/e7E2egSBUuLWxq+49FueYOckKouqsbn H+y6lizQ6YtvLOvnOKlBYE5B+UltIuShpmY8SgzjUjvnQAKYceKTBaarN+eWDqIGz2mCQbwN/+Bn jHMueMvXPppvG4l4RizD9nwuNHdy8uY9fljsplu7t+H2a9+btBPmZV9gNonBPzBHVNfJu8ImBryH kjM7qooEq9curmGhGZXsH1qijcTGN+XknWfP337+lD0sSD5sVcxVakdI9elhfbVcu1TTFtJfRVe4 W7UucBcIOxQjHfsv49dzAT7wlwVL/uRak7YTZCVbNUa01uRv8ggY78c0Akp5cVy8S+O7+YxpPEnj 0HtRst1DAz0Ewn0K5BDO0DsJJ2p6i7Hr3jdWfbmBMOlAJBmO5Na2HvQKVLNlD0m+B223057muuOJ jUAd5kboreF5sfd2KBGlcgKomZ0cAL5G4VuDUfn4ISzIVoEdZiLbPdKFxnpbb99gKCByvUvFMFPO 0DrSyYRF/vAO5FC+MFOfAH+Uj7IlPKVwlFaUevsvQ+zWxW6EyOOqPCYbZSf9+C50IxIbPHHIcyim 08s7q4iYvULPkWQvds/D2KotoFNPSdNF6GbwhaoOGO2k6/LoxIETQF1TUJRLmq+u9dAgmJxO7h3/ KWRaPQ1VoqySFXLgChJ1rNRSXcnhPTHlXMjmu2EIe3V7Rs3Rwp5w6opkD38jrE0JbtM+JBjpQic8 zYrlHdPQorkMkdDotjd+azAfvVVWLdbmo+dTCWYutlDiGEOxwaHyZeiCIf6P1E8Wqmhk1CNEUTd/ 7UA342JU0FQVE0V4BA0AXj1IhGc9HGZStQ7Z25aJWPqVzWzJsjAPHhrWQuDfQnvOauUAmbbuOI/S 8JSvuTpsMBfq2mWWwbqZ3jGNTUyw5dw2o+0irPCAvIrK05pjgay8yxZAoJxYVQP1v57tloJnP/il wb5wLuHh/VlDd1rlPmosbNhoAF/IGEgawYDJ7MwcY0Pco2zeAn5I1SZ5f2JvNBpUq4ruNJsWCA70 DDVAy6qTP9WjvcKmlX181iWxU7oPWmbun3mDI1JA3vrQi4XXkcF5o/1zpJFZuPiu61LHX0aMQQsS 1H1TUliiNcpLW+N35GBxMgjeb1e9Sx6O3iGUSaXp/MQL2nUvc/5Qtett+ebbP97vexG+EzRkHbJq SZDF8ZR8x4vUgrz1BpZuG/vZLox7OBenyf8Oooowpymj8yipHezSiY5DbBwS22Rg6H4m/gZYNpRp /MCv7tUynDUwsb58DkkdS2rQtFURi2Cw1RKj5jEMokLhu4hwuSJIgifInz0hRBwhycNB4VZvJBV5 5V6gRyu3EsRztz0iWkvoNC8TIc7hcQB1Ifupq71SMBjGV5LGbKjvCx4inKTuj/ZoexXoYfpRSClj kFfEQjupjXKXKP+oLTwy1L9Ap3gJlwptcYPtH/HIK4CTmDV8FgbxxpcC/zuzx4nhklmYZ+LE1lAU ElXoBJ5iBjki/cZ5ZNuXpiS9tJVuFm3A59uXJidDLt/iR7/KeBXWF0GpPUTJveeojNQznKgOnd5N xGQRkEeActILH+h61phvYLLlJ6apir6hGnlsVE918g6Vy537LAwUwopVsPen8hYco2RHjbMAe9KO 9HURxGOccgDvqVdIsInM3ZwONDrBjsgSHlPr6W+Eo3Ih7anTpzLmhdrQaYMRb2kgovRORrnSaI/j auT/Rrfa5LSsPExLwzOm162nfFIslYcId+LG271dB+ZU83ozwTwKhedAmz5VZugSc1WrpNxM3U30 Vn2WfqVyP94sX2jlkh1O00g0ao6jw5vsNoIpitBWAhfONzl0MSgeOWtHbS+IBo57cNLnVhhF5xSD 6NsDJz0YY5anvDq0Kx9I3trpejTmQjucQU/CGhjN+oPVoskYG3F0L0EgMFEeRfPbud36XSoyiEnJ +ia6IGZMOgyr8JEO87axSgNZfLus0HSxFvnkwlAGQWgaYJFQnIX7CcUPTJmTZWknuGLP8+h/XnT5 bPoItKfDUD+ILzGBEHItWD0/KG1B/Hcje9H/vDk9iWnQSMYElppe2R4J/IVLM3ZFSlCp++uaiJV7 SmN1aTni0cAxWG211ZQ2KVq57XUfh12xwGbYTweA+yk+LkBHOgjFgUhR5tx+le8FdWJBS+TIpwAi cCue3t9SwVehs77/0AOZi7SQ3b49m36BBXXVtalYA5FWfk4NyhcJVmZACm29rJsFnv/iA8jDtkGP YW8HPzYQvVzw+SENA6rTqkyf+YLh9Wr1mrgZermWbJsyIPaFDjYbVkyeqdtH65UBlqBK/xwQ6rux 4sWpd8PULHzPDhtpehlDP7Nq/FE0xDyYIW3LAfc7sAk+P7wy146X/sN4wLkhyIFn4cBsj7ZsnFPt lMcA2VHDSTAKshH/cKlEQFW6Yg3hLBPsle9n8yzvYLSE/wqErmoQLtX/CCkFWVkhmZ1XcFgyeWbF 8oGgbqUZ7Xd0fKlrrpXih7aeD2Ip7d6b1QpNvHYOmyd7VY6Dx9cNUZF8zFDg/KPKZeVnY/Kvc+if 3Rag8B+qAXUhiL+5CkbaL4KBe/z5c93LBy+fELr1oVi0zapbKNgbUUc63opRQMN4EFgey8isClw9 KbTQfq74VXaTmXZoDCzvHEEqN8qL2JFwfPH/1f7vpE894CNzeEF6bRF9lzAz+5838kw4VrPCDjKW lJ1PJ/nBcZIB42Sq+XPyvnhqW0rTdJw4QoExPn90O644NfX1QTt75JXTcdfjUHvdxVdsHRTIV5Sf /oXSv+60Yb6qv8CFC3QT0no70ekIOcGKOG1VNh4LPNSNdquXjmuyBFOSOuXcW6zh2xJh5ll0B73h 3J6DUCb59aPq1ciEVDvRxbqJUstDv/5vVGtCPzqap+FFnNC6ZVoCH+AXnfxCJukIoWg+/q5xx+Q8 +gLMvC4A+dnWWqnCBK6BcVHYO7k3cuVaRfrnmi2dtXnUGtibUExyC1gTEvP8AIdAQEdKNtM22g5i xHEO2OR3CHXi4FGq8fFCrVkw19SHC3vfYb+8E067wQYn020fSpbXhdfo/Ft23ya/YaHaHeRZL3AD JTrgS21O2dutd+MsJ0il41S6zyWRh29XROE1QhZSpZnOkqi2NzLXvrHfgw5jhmcMwn9AO+kB9l5s cHiqEO+iIapbMT0dWuD3vzuRX6WF2ESNtb3j/przbjelJhKay/B/qXEIz7cHkz/EVfHz6g5wx+pN mtAOJcf7Eky1PFfS9nol15LIsTjCjESElZt0hGYR3EK3av3tKNuhpkQcJ28KcH9tDofKndW/y8zz wIvlqaiOcfR+R2xIjUn1ACiy/RaxYptl1SA1MB9MxOsYSPAZtkmcSBtACmImzMd4FspLFyewdjAo ocowyCultsaASAv5nU70dKTgXpdWPXm97QM3gatFwWkK7kcsK6ORPYL7cpdwEL3VLgAujwYuwsrW cz3SlJ3TREhCq2AyIRxEMShlpn9QDP6dtEfXM5fNJyQfZE2kTfo06RaGVyx13xFcTIYSvjQ9VVpL 1w9EhF+icLX+eWx5rfzbqGau8maRAEYz72IAzAhFFjhRGNqgweZxX/eGlXuA5sCr6tFcRIszBG9B AAepNe8jxdV+C8b2w3+CpTRX5Usz3EBnd4TlbI+2Ei4/AsRqEVG2Lt7tR6Bl1xxarylPRLBED3+i Q7TNlNNoDTl//cKnJkkT4iLLIV/lbvWdfJknn/RuaSASndKG0NM3aLCJ0FkcY4AuED/d4GaEDigS yBkVBu4PmzLDDGuByIU1il32EyMCu4wDDoNUpwp7mauN1EAiToqpSFHGzXKgDW8VbjZomjthjj1D Q0emrTt+BZBVUm/SFweLDe+Bxgz5WVpxnCpdiB39YMjzu8UOa1NjhzSS0a+XotclEnc8RHR/KtUS mTgrSZJRKOK6iuXpOuuNixutwY2EVkT8lHB4rUmjvclikjY+0XmikVtJOy2nH/nOQCHZ6Z+J8cOo gwBxRQ+hEz6F6TSvIweJBh+nxY84HNUBIHqudPHeKb+1fKUntleG4w1CkqLMtRrMSsjzHLVdxaYN PfDiaZpdTYO9CZO4ZwfBnbRCukklQRY5eb1Z9ut1bHw2cvkQtXHANEw7hk6qn8H32+YSKcljRQ3C BLP5XqfmRfDGorWO+dtPMwpund+pu1qmvDlFi73utKj4AfdT43mgOvzoumpGKhKVdyaGa5aN3xTP gqqE1joYdm0KJfiTbYa66M4fBCOOEn9Vo2yMdTcm/5Ok1dBKCMu75TgKKBKoYMdPUCZDzo06XsvE cP0dwMFa3gqdHyjRbnYoLYBhdATgQSgKLl1Jg/sugyZdoPerop1hTWnz7WAxmNk/ABw6fv4CDXQd 5cvWiNRBqtZPjnyfv4eiN2ybAzXBCbNFHESWzlQ1vAEv8udIzRX6VwtXkG6S8ienLfPSkgefOKA9 rksx1tXT0VMcq6WCS3P5mJDNZU8HDq5CdQDww94GG8WbL/BhHlwJyGtf7v4oPosOiv+thhW46m38 3GMO1oH2fH1hIY44LGmjT40NlozAkzeGywd1olyw2paWs3C/bSrKyPYY1WuwVQZJtLd/Z4qpCtsd l7gaW1wazoB6a8ZRniPVHIn5dWw6Ov5ZLdOiyBzyay4hknEXjcfcWEFsjepONEF+IzgVywWevzU+ QBy4vepASzzm7MiQqCVkXOlqTjMmcdyMjPuRzeOnjMcoI1FBPxzhz5gC6EAv+KtmvUS+W7Hy+ZJ5 HYeXldL/n0TQZKrbEV1qFmuIRaof7jzCc3MJZaqTe4LNq0NmvKkQcT0BBkZAWF7pCWzm/1DyZWKC xicXSeENtjU6hvAZsNIM+whRL/VHKpjwF8OIhtJW3pQYby6wcIzb/Hzn5Bf9A50YX9j5bJ0W+0rQ Fu5isgtmypTikfojOuVvDSeSxpnZo71T6xiEEQ/DDL5xhEjq9AsfjXTfUtxQb1YLwEYaxOIholtD 3AGxigyCxaKowvdSkFCtGZbRpbJPjBGkKNGtaKYSP00P4XCbF6P2Z/QJf9Sli+3bKJ4OPrM67iQN xNJvRTXq8Gv++wjTlmAb+85xVvv44PTQJPMzbSxFyHXAIs8HQ5ItpWEzi44AkEG1rxerLORKIjVB 4eAnvZ5xEn+tdZq6O50t+danEwxwvQq13AyYGf+LTjgehg3dAWwypdMJox9cicCsS6Pl4k234sZD K6VrnwQhktwgkCIAax41SzT2OEbaJ5zcUNg2M/j1hGfRQEzleM3yESU/Gz8wFs2qkCGHq4RFUPth QAGfGhi8kiUUJ6Hd969bpXFuCy7PGCl98sOgBy9AYklAkzu1Ute+o3Yk2w1iOnMj/dYQgFbK1+XW MC8vUyA5heMjsJLQ5DkXw7Bdzc8FGlgymlZiQeMN/ePODfSqzZyPlKk496BWmKrDKOrzlR9gy8F3 EjmoXoaUzOl0myj1WyHJJHxk0epvb2xXnDycF3H+9DrIuR07fbM5M3wxuesddGGi0Y9DvpvCIGrP k4r/6QgffNnoqCKGi1xSnv5dcfGO0GxIB7jRxuacSv0/xn6oq6QwYcCFRxwS+UoOMyRkRqE+MyYr gmu8RUV7u3onHnDCF1Ch67RMb1L/nN+dzLhb77ebnxow01/Dp6aeCrbJFzYCpcuUaicr8XTGMjmE jFTuhcuBzsFEtbBk5VLaYqSZxFODoWT+4CBvXcov0uvyAxTHoLvaBIVJ0Cq2uQSIYGIwV/7PANzs b0nTyN7M30VL8oFwvDsU2IuAOswP11PApzb42sxHvtJ81zBPhJudAg3F1XOWQbN5nxeLT4gkG81z tMaQhRdRPj+HvcfAA6y3dDaWELHAmhICoSye+Aofv1/EjprcT4hQUOffbFnZc1BkRlHB5gb0KPfH 0JWb4DfsWV3bFqFF+kL3Zs5Jb0E7tmYeQm8gAIFSzF3AYgzwrv7NKN1iH/TChFBoK5av5InAdToY iZVtBlBBrr3rs2QI1QxpNAuOXw7m0GuESqkv23UDvTUKQLYztHA3oNBDwLhLMK+jFSB1Dhmrfae6 ZPrbWoN3p9iZqPnuyNgHRnNI9PaMMNq7TTLr1ySNJEu15ITelCavxOH0Rt3/4OeMgvP4I2JxrYzN JEs/DbCa3dZuEmDcmXH4t7X3NQeGBmO+Ykk3jYPt4tvBnDUZ1yLl9aeCXm5PudjlULDr+J/UQwB5 fInsneuwOGQRqTOTKnkJwukyT7+y8AjAip0vjoT26JJbDH9uvgF2Oh7y1j8PeIymXvlSgjs0Q5sQ 6HCOv92j1/+rt1TeSwNZNNE47IycExL8CrPON1WehNrOOvmJtoZ5aZfr8n8HRGcxeO20K4g3RWOI FRYODvAXXEwObz1hgrzldAUEspD8zReq0EHkup9hkxBOk2qGDnRQPGWZI2mKwCTCzrqYcE3gFsnv VxJS0xsarhTFnSf4E58wdR1yoBIEDVY7zo/8ldeZagtnRYa3Re9noEmMcCWym+Rc+RWc4DFR1tBm DUykEhkfCLezPuO62CTvFhbkinbjUP1sCt5PvMG/ARZ+wgWn6k8Bz56oo7GJjrGz/Bjfsr8m+dEX pqf3FaSUrOgbJb2zzXf7cF+v9L+aAmtXn1AQBpArwiJEcDypSWRXg3MeZlaGKDfkzdOuZEyjuToV jjbkC77FV2Kyp6G13rFGOuSFejUjPPHZgrObUQSFiOnyxQiXwkHfTQCLLtFIsKeDf01ZGsMtsW1C QiXIW8xNr20p5DFh96MQYJ1NcI1wXJxHi485NczHzBXwWpxuvAfIia/ghlEn/t45508MA3tPjRVe UqL8j6ZJwwsaVHWKC8/eFx8tVN1fCAXDoQgiFvnX/2mItkRDUq7S04UEF2WQ4SmSccv55QWMIgCR wI6HxHp7vx33Z3koypjCJ6pSbKBL62/UptpjSDPIVLQUURarjoa/2djdFGVQx6enPBlv2wub9DRR ofwgRwPd1KKDGu+aDZbtjfTTbDc8Sydhud8HRVL0guc1acF1lGmWvSYUzYPtv2cx3Lnf+fkZogBS eYzFUhK+80414TJCSw6Vm0Ji0pzuyRiQ5veP/rUli64NJCBv4pMk3VqElKeTX6YBwYn02/5mLGXx CVmn0sgZEPVKcCXW+3jHbdtnAYAHLBcKCOdK1ns7CCNjac9EbPKawdcvWAvnby4kMkk+bDlpc2u0 GNmQHYrJruPYgTxKV/jE67aVizcMggjlXV/LtGP+deb8fWmg6yN4huK7y1fTT6RmlN0fByzexA+7 S/EsCHgCPV4zGh6dr0tHGnrfZhalMfB1HCKMl42+QvBaODVwETfbdiqFhKnATT42Qh117/OdxyP5 wxp3ltJv/Cq6DAMl8UTPJbBpgc3p4e3/vswsA4FjqpjvrJdkBj2IWW4sMpLLfKtgQ//dQWt3XuIM grbXRJpGUi2qyKeVsN7900jLNwz5ThbMVkbvmFOQXiTeX7UcbEJ3hdHljPjSTLDLyIAYb0tBiGgi Xx2wzRAOs982pStNTZnxQp95Z5tPg8KmWeKSvrup8QcnXtU0xkCOZYnKxrlK6WKK7S61W57k2FL5 G1pAtDwRKBUYOzVi4WcUoNmaKsZzZcYe0/ISSYPo2lnciNIkI94oUYIULw2YFmoKZfHllLfRKXCe Fuk5jaNY+bX03cSGgjgioxSznTt0lvxsyWf0VPqX4D942qk8HNWHbJHEueYrJidKDMNznwVpNILx SidbPnb6A8NrOAKg3Y/FFmUECTtRzk+Ca08I/pVhbUl4m9wnH+NohfKu5n8+egGf27incPh0cGJU 5dG8zAhzfIMa1f+zbkl+/SYEYseQeAMWUFNjjMRd6JnHf9/4ar1cC+hUZ5s51ruX+H0xFvkU3L6c 1oR2gcRIG/Rv00xhihlO8lBaxUd99PlwTXBCbgB5uev0mH3YpTsc4IAMyJUgGfCCnjTMiH1WLtHz eh3eekXYJiEqEjrlk2HJF3N3/1X0eGscC5zgj44n2OtvkGYg4ktoTM4TUST9Z9Je1ZxaIetrm28a p4zH4N233hFErBZzmQgnT2PVesA7qFj/ki7KYsRseXmxv5739R82JEHme86X0WL1+mUZCh07PzXY NHzleMb53ZNh6GlKlmSqJawUPssOC/hywl1+UwzsD57o8n+YIgH4yKLnZlmCuTr9jcspYRPkFtKW U2VNtNLzJCci5yl3CYuyVbP1yomVh/pUxuiMmnl6STcX0q1eQbMIknTffq0VkXyBIvlXDPIfbRj9 YQMHB2I6vKIgzhF2PhfAIrn+IdNtTs1FFmJ3NvafxbaDgIowPSWjQVpZEoL2JHTq4T8/0GGn2/OP rHQVcGasC+GUuAfW9eYRl3m1QJ5fdhnOP6Sx+RvvCFjhIclgb7cR4e+jY9Pal8r6nfnn+MbsbFhr k55U8vhg63HFhdy6jS9VAF5A8GzQIxOZ08d3TLILQA04oGd1/SadYf59WaTdIwucjhZShC2yNjXJ QpdI17J+ye9PL4gqhH2cBprI54Pk1WWlZg8GWj2CCkGtXAf6nEpOxTV1CbToQ7SZZafmFcUNwD8K 8Q5reLKVUyiM4EsXJwiVkqngNRdTkpcUi9cja7TojNJScGqN+v7jTI9YRjpHiO4BWNdmTpgk8ND9 Sv4fzMasRbptWYG6ew/ABwZQyPvqRj39hGO4guBXBMOHgD1fwvjT25aALCfl5bJaxC6SkKcoekAS O7jkMxDpdh/ki4nHq/iTMRjPoIGa6LG2IjinVhowtjewn9mJPOgr5QZ7r+kRty9EkTnFkFM30JC4 vwBXzRTVa2sC7gCxNi+H3ssSTpyfChAms5XDjc33MvlvqdKm1O2C/kwCV9LZnOpG2f9zQ0H3kklO CrdKgoCuZevjX5vZD2cyAKp1cks08jFFCZyZJQDWjPzMyLP1LQ/LNYgfQt6VfItxkhevhqmVEZRq EVfdlU09xsn7r0Ki4vSRO6Fo2RbXLLoMSJjb3dnRIr9fgSLG+VMPltUsMU0tnkgSImzs3nFHOx50 xa/HSwycWnNoRIrdZp4xO0WdV4Xcdd5W9Vt7Df7gK9Bled/u9AzuoXvkEd7kxjt/S/HVfQ3rKfz/ H8JfDko5kENLp6n6/rUbdnge4w/Y1FRqbfVd2o9p+ltU9TQYI5RSI/ox9UA+7ke57O9l/fCxJRh7 /501TJ3YNldtRNUREcl7x9LMlI9L2nO6gh7F4iixPC2eWuWM3rPNGXGXzxeh3aieNPqSkeXADsQm wurhrIPt4g7nOmeqKE/nErzebCRVhf/iHWYOybYGm2g7EXsAd0BFdnhFQFAYQqXEehmKd/9eYmey jKtFb4sK8P6kR+DYq+jPaFcF2YCfA6a2HC64rMWJTp7fKF20jxNiyYU6vPjTDXgUnz9hRvbfho/d dUil5Twody3bpotJ9xURMGVDiM5fgfU4ctWS+yjwWksBe5dd1hm1IYYoiU4wHFISvDlJKLeDM02Z IO/KL3xGNll9iJZL1tjtR2IKgoJPcySWJxbHsdbBr0Y6N9ffGS0w87hhm7ZmW8eosqoXlveEgvvm gFe/WCnBs/ZnlHwcaMbn0hTEkH8TONOSUbe0lNUMkzDzOMDVOck/IBzHtD06DrmSq1o7oNygIY3k DmKj+S1RZ2Ybw+FQO/TYM4ifCwNnDKVSJcD714EYbdJ9gR/COYzj1r73hb0jwZ+x4eWtKcgzhBhn 22ensWa7YJTV26V4L95P6wOhsfZVefFNMZ4guSSuGEXqHkXVuNIhz7hTn4mumcQoUP736rxLB0Ai +BJy4ZUg5hR0dGD4M9hBv3itOA07ahVbTRwV8hzv5ziY+TTH89g+gwP/Y1rzweTBfKUrnVBuxFLv 3+qrM1h5XUiDT3YWfcXE1b3ClPGxlhsoZw7hCABxiJgX5nn6PJoM9THazePU5igtPr5wJEsPrb1E Cv4S/zDy9MWF3Dl6kuwZlMAdtq+GfgcM0AOKVJHLVW/2L9Tcno9TImE2MBAzyuWU8FJ1JEPtNL5x C2R1R0lkc8qpYgVXKLvtxR1lWR9ZRbpYpD2w3A5/rmxZjDphjmypkejG8G2ESHeetr2HJs9L6hRf iCPUeliM2TpwN+CflT5ssX/MKvU7DxbTm0jjPrZxlHKqVCB1sRRJePQkDho82Slq/dPIs9e3ajny Twj3nTa3fxfhQCFMbA7pfq9hm/AAIAkU9eUjqk8GcIJVAVOb3PxasX+ZtTExS3ygYUWsWBt/dUI0 GSOg674qLRUxEgzFQqIFBl56DSGATAqX892fErOjbBK2nCal3ySX+TqGpMFM5Z5/TL21TPTwU3VF q/NVOWs4jQCJqrnXAiTB3UXH9Wcrx77V7ZXJJA7toeEYWuv7xWV/QaQ48PUr2bfTnbs1GY1X0yhJ pyBUN8CyJln8qQNQdMso4JTvb88nh5GogsYsf2OWroG2srWu4fqDNuJWk1ijSvtjbN2tNBIvS0bK DQ9QpYy2dSTtmlm0fc0Ikbzvnbl6ICJJAslRHQTVDq1d92yGQx86YVu1UEgDfot6U1QmGL66RJW2 tccPuk4xSip3zpOMoQIEvrRozPiBvTe7SnrD6zy/MO+4sLnHZcAM5ql17G9fwA6hGx3LM8txI0Nx LvCE0ylT8IJjAw/vK1OZ6V5AAfqbekRuWYBjlqLn7+wiQ62edc34ZwvnjmGjrsTjOC9eP8AUhqdj A6UC64R9lEMzPAysatWUvHwI90jvmU2MW4H56TCn0Aun0BAZpbosk6AqXWfMCz9Yzzn5c6k5kCFN FYnVg2w9nAoBLfnmD6WmYx/QdHcveFZflMUf9/44zl8SE9jZO1PZv0e6XC1IHP+FH9X90QIqpAf8 7U67+a50gERDiZHXbcArTkmMLc1tZhDpRzV5vsA/Ht8ICHqEN9k3UZ6doxDt9qfOXtOOeTYmkqEX 3acUCYDUCq9SSQOnP0XfL9emr/T7FHaOjKcFfYZuFMZY+/RLsdrCbdJKKggv16QJfjOuHrI+8bfS HPypeeBisjnmZpceyoIq66E0hv+1V3j01D5zfdgkK0NdKI67HIuG8juqpj//bHkhH64QQDS8VzN0 lwTH6jHDVkIrbfGR7joH+18MM2ZxlKCw9L7oW+rv0Gwd+jxyr11zQnmQNuR1YeIOLZcT8ji60gjv 7PrfPRwtFklGQfipbKXI29vAOZ2S99nsrn7iX36BCE92Z7eydcA+UazN9cvJLjyrqy6tDCqyAsgy 23cO7t+EKvLotLtbSUkQlcN1Y8ZhH/PcRiYjCKSuvZ0jRpyXPcV8E01Wg+fQler7pdrgEfQceeqq /249Wq+I6QhZR3BUsvB53zx3hiXSLI2pSu00h4g4b8zFHJt2OmeO+nBjdWY7f6p/gUwGkv9oPTlp o8yHdXKWqDN2jDShNCNsJbkUdfAxTqcp5CJ6J8s2GtcvTxBDCv+idCol396JqmAvJVVrGlQLi74F JM/TFKAVlLFRCnoNlzuQr0fZglBvLdzYTjV0yUXLGuncC7Tj4/XYOz+stZ8Ppiav2/SON02cD2A9 1H/8p+rKoID1h/dRAAGiensxsOqfg3lx+EHf4LLWdaJFJsHitNbur+HD65u3v69yjBF6ABosGx9o GSKPPyH1YoSvyHoGJFegh8lA+bt6/aDM6XBLFWfriaoRfrThRo8pW000JFO3gdXJG+teTrMcE3xC 5/VliN472YWq3CvQoeTASjqzJDuFg7u8urZOw66Perm4iyhuurtYZs6kpJ3J2xHmzD+/487U63X8 cuF18jmYIOjgHYAv0AX1H4kXfZVjHtpSInQE5C45VNyItqEuTsrQodYJ5/4rdcSH6FTVqWWl9QZE jjV+U42dMFTmrXRmXdyR8lipNLWZGzuCP9JUUIxVy4wac8wfZH2QsPmAZuSA8zvLfjIr0CefvlCO a0Xw1mzCL7E33rOB/JSwhsK9XtJtKIJDuCAZQEvrX54twe4BSiYZZWvGTg6boM5joK+LQ/AT6PFZ W4wSC9Lnxyd95C4Fe3wGYyRptxWTrEF+X3PiULdUWe+eFSJSEjsd972yUZ0mivxzm92paCb8Z1cj 45tIQheVZ+jM19wKTIgnwfc9d6L/yZQP3EMUoDGsYfHszwMWLDge02BBFCXXkAQULoaGnOHdnPhb a7l3e2E65fATM6fT0JLfJ9jumLvqFIy930A/YEuuq+0boVOVsu2XZbRCAKSLmvf8lavTbkhzeHUU bdy8lc0sGC/5sSi4hBw1mYInX49FZqYYF0rd0eex6a77PG5f1WlFpP4Nx6UV8h1dvDX8Hdm3o4Lq gnFcrfa3SwThV9ECY1F8+kcV4iMi+5/NX9wqhHgChKcCApFp77BilpbcoWmaG4Kkf3iYbZ2o9kpA EoO1WgecSeDtFgg4hm9AIkXQ/Um0qVg51rWjM8qdovu1oq4u5Adt05owVvMXJw7s25tfg+bXc2TF 88dnfgwQCXRWUfpd1kFyKYB4gl07dQJrj3oA9HQdC6Yj862rXpvLyYLFxbo06i1SsWeIAjiv2ljI aVYUvZE1MNBE4Zpr6Va0MwhHF4Qtq1Hkq9gTIUFq8+nDElvEhf/lLn9YWICdUoaTmhggl4SCInNY Cz09ydh6lQsVZtNBT059w7KRLcHIJg9OTcZ2Ih0mYF/QhCJ3TwakVf2Pnuc1+jNHqY/nyd9CBrnj 9P77HohyXISZ0utLBkJxnUoPBju18bVEwxoJRWvSowah9juJ9ixFyXxQZ90gck5B7mJwjzkVsSk8 sKQB7ENniE74ZuSw617WNSCDmggC3swJpmmbmucJMQCdRUf4G8MSeIFZx++J3a5JxNltWQeeY1pb bqEcNgwuX9/BcY6tvsoi81TNxs/lJPhDosZ69XTOvCLQHmR3yHLYUtGafG+kYX/xqPpK4FgszEtm xzlBvUpytzbtWnVE0vI8IlJ+G6R70IPSDO7ItywQ9NrTTGWkNtbsmewV00VcQ5/tkYimVVWJXVJ+ 1GstoEr0tKBQ38gXjPAfuaXRCp8WaNJTDfW9dt9UiFr0mN6sInHUPJ+yXM3rUVcmbiAlr4MqyhKD /QCWf2lGgNLeUB7er88smXjLTQAFxTw8x8eW4fU9ykKsoN8d+3FcyDo1xqIlSwP1nv4l5P62l+zL HAfUNqwDyjomjt61SjlJmbf8w1XZysmN6eR7cZi9Mt+EJ34wkqgtkIuvj2JBBkbW3hio/3NwfaaA VA10uHPbCyl0ZKYpQcLlu68rnZm9BFFkxm4Y1eW7Crq2hKMIDjRTsq+f0MyDu4BRPW+sYueE4+EN xwKNTChupW6Stwp5ISN6z1zXzDGQVkm2ZiukApugBdWTqu7UTdZpRavAus1bKSfl1mD3Gph52jpO BDIiWyKobHHk+KzedfvnbssxmEuOs9Th67oqHZXyklPImEbW0bEx/0O0NKW5Py78K/c8EgDIEo/N Fgjv5eGTWByKnTxcdIcI1W01r24mOR0ZoVzMdiHkFwWKmiONXT4MjLFjTBA00LX0Yamp2+19ZvvI J94yZcFX7LaxkeUHjhNCgk9tMEwvrKyYAgq+etsnW0VKbFBOwCihZcxBdFFtbCimT+Nl68IzZJ1E iMBlb8oVTol54Ae4SnrstEpYosvGknitqc4W25NaTTFoGkdzWlNUTbT2Gf0LaFxj8pBoYsraTL1h PWwhVyJ7zNCICA71fHdZ+xaiEnPKFCBvkMzdEhzpBa6IV5oU946jOBkrQCy5eZ3xcw7Yu0ufnHF9 F4CsA+sONThxlX4vhSad86t+gDyhUHwinyQDH7cgSrrEH/xW3VQK8JHuIJ4vrwYIxmsvtBELAd7v RnkbYfRmQYDTs/FLLW0b6ZsZlEhXKbzPr6xhkDEAQiLtnP1QSInXBqv4edMgIu7G5S0itazom12L njT1JhKKrJ+ON1QGh/ZH53+aiZRfmNvOGtvBgSiPOcuZQ66GZXPuyo0iIt8WAIbMl8vynjIa32rp bRVddfXPKqRQM1LTPnZLzbrq6hUejFBJ/FE35TfA6RiOb/40B+yvv2OWU5wkviQI5Du9IFNAXOep NbMSHL9j+CwgV1ojjuXjdSKIQguWub0SiiRNP2bbrLFj1YVBs6v2eB09CMKEjOMtB4wZz7hjUAR5 W+ZWKO6NT7MvE5me7ff0ijck2f4rNHmFGeB7jgCIYFOZJk7jecD3xgTmJmMKHBVCmXIGmuclRK/M S/FE6OO+HAMwLNJH8tN0hvN1bd3WgzVZ6/2P/ofDRzYaoFT6RAtMMl2p9YSs7swqwTPSPqhYWXf0 H3LwClBMhiHn8N5h9fIvZpkWNubVFvN0mFUlIGJkzcsgnDim9UdbEI3t5YCDDPsxAOb1iLbltQ+l /e3fsdeXO2pfUt6r3Pfp9nYm3ZtDOpCyqTeS9sop1ylQCR+mJYzgmrNzFh5oWKWPLD4pIJKo8fij BtVrhh7O5BKNpeKovCap7VZgG+pP5KUceMbZVI+nEcZmPrKR3arPU74oFjfKeWxhfVq4vLUx6ifj +WjxpGmQawkAo0ZcllcWx+fevCn1zi0MhPs1ugVRzHC9B3ZJplBZGT7qkTjc8sTQT89QP9G3qASh jnEaYM50QikYUqrsvQO6npoGdHjAYpi6/bsMyhTLeGNxLe/44Km0RBuynB4mFEO4Kblujjf882lc yCHoYQQx9cOGtX0FkB1IDKBo0OoEe5KNz4b++QgknHZteIJbzP8O8u5kDFPYdJfb5yxShbUuox47 0ff2f9ceAsuaFTgX3+War399tOcqg1BltVKTC1iLiOwSeL59ToDjOqOiEXVC2m+6mVuq+MsKNJ9Y 82z4ww9a4wi6fubpWKfVW+mxnjhg50WEUVh094sO5njnWhVYTI9rz5R1PyJ+LSubbGD12zmzKPTe 93KTvmQC/isdJzc5swBrn0PICdvxrBUoPBuE5t+Fv76RU+CiBEE/Eb9Kvf3v+xei7ne/s4TnmwII ONuPG0buNOWgoxc3KsGfmglFr78cyu1NHMYw8ODo+p6RCy73Zn0SYeQYm8OF3Vl96hcylVhcDwOH 0XJ9kbXhHB/W8wwbdWauSNtqG30qMQP7nrJ6nf8inmGNpCB++X2RmSgvJnG0JHAig4vlstXIjTVQ ilsAGAQeWC+AkHp2QrYzP+YVqjj2ULoKzS7KdzkbEGF4TPKiln+09cPij0HkNMV99kla4Un98Z+a ZoN+7bi8YMjzLTCTki9Y+1JcyvrTWcvTpLj5TWgdznBjNGn/UaJ17oX5cZGtvJTZEj0TJYQFFVPt HvOQcIWlC6kfYuoeETzT0eGg79MpX//KemD4KLl0a0Sp/DZCIBOXeZxBibh28Zib24ls9yrt2V0b kcNUl2ua/xl+kKdl+gU9Z1KuNd6eeXwaNu0f/g3Qh/jXC+Q9pgwb883v9hjBLT6fTYGolY4/9dwZ QkpSAT3YWbqja4rfzgSZJrg1gCLaVoq1uBZsn7jwrTVU1STyEeXUw7w/RwT7pDACk90OENi7iAMu qJM/VFn0ZG4+4PIcTcHWrrRJ8g2gCwzVSySChLLIExQRtRpQUxlFVS+eV5+PC9s1u1IG4H5hlnuD owF5KcMcAKxoQ/NFNblXw7k21E4aWQ57UXLRHbIJ26vF4Q3xXrTgON3ZoesvGaF+gj+y0k1q9/D3 sNvRJOmuCtxcmnNah2H12oGh6GJ2KgdqLyHBmI9ptaRIbKHKjw1X/6t7pg+zZEX0HfEqu2pxy9FA s0mkl7e1LtqKWIfDFkib92Sun+XH1d/Uf+UV6QniK1mxRwC3L9IIegvwjDD9xOlqaqZ1OPi1x8bu kutDqLoCfSbU3t5eV5wCFoQW/7I/9oro7yn4gS9NzL8o4LOPatw6yEl6u5UMfZkOCgP84DNJRT3Q dTxEYP+j5hb5OSWlxO+LbwP3QKK0l3d4QWR32f37zlQqfgEfSVNmdqCZVF9QLN2gmqpSKi+8TRWB yxwSUwwR1qMrZNRUBZahbphI8CxhDcsFXqCwyGEWtqRW7BQZDNO3s26HaZwHzi3IvS03BPT+nz/e IU81kRah+GXPU6J6fXqSamws9VsZyOn1jyq8id/8bsUDonEYDmLdsIZ6kbkPnGBsFdbUngf0f1s5 XKzCnb2AIanRAP+riyKRuMeYt0KANekNhRcIZ8vkaSPdE3Lll5eHB9SHHvW4U0KaRFfIUlcqyhaz QsUgiW4SOVKpSwlU2zp3kpvoeihEQTNqsOvzpsLOsFLRyQ1k8Rq1RwvpQeTnYW9BxKXUm8x1Zj2Y 7JRRzR2YOc2CSSp21e4Hz6/U0H0nS0ApXGPutxZFRqbZVGHXFoEOHKffCMvUDLgQtTjJZ7d/kmg9 twiJ4a92EbOY7w6ITU72Tdhc8uZ89Jag9UGYXDn/9AQ+Zrm+j33ZKJdHeJh6liagQlL45UQkkwrR dl8HhoDOIyQ9ZfLNxkizkJv+6U2ZZZOxsLsKVAoh2JXAbjp2ceZGjK/7EnA/+fqzWbx7oWEdAi7a 9FPt7AM/++6hQx/gysJg2KU//w13+vpMIPgetScwe69Kwr/ADKoSpcY2H9gsUmz3TjqjA1kERcin Ht2jMo3vQEF/Xz3dh4QlDgGyRLNaIy3RpGjDSAzVZife8jxJOv7qmydQ4Sla3DTzy00l+rW+Rujo TBP5dC6EK/x2cWebL32GySYaGSOrIbXNOmE1KCsMZeLPdqlkteix5T+4KqA1FNeZoFF+cqG+6q9D fWDwdczcYfwjYX7SOKoFDsrGuO4UevAbu1A52QDZfQ9yE9AsNxJby4EFhgt7j7qvx6Mlxlo+ShMW GdVlXaorro72YzHbnP3Jtdln4q42eO2oB++A8hV2WcQpqLBajp85PigAMD7ATi/59uTbMmBoTsL9 Rp7Yr69nxTEnNFZ9ckEAgK7y6OUndraeTTOZlt8X3xWQ3Y5xew53g7PSS2sAS2B1LjyjU6pxblDG F6af8bC+FsOr0R6adyCPciJ7B0/Z9XILhfAk2lEO159IBaxgvZJJkAGso/fSOC/RgFojZZ6lyXJ2 zHJQ9bj45mAp1KMkdbDoPYtGArKwpDp2NPAtAuxVGi/MBDTMgwGqUtXswWHXLMIg6fOd/X17vwSQ j/BHwxBrhL7+XQlJzqOMjA7mTt0PfwJmJ1drIAQOA/bNhFxgqYosqzmYLqXFQaKIxA/l532WqtXe ypu/oClQm0O+incLRiMhP5tVlscI7k8WzWX5qzY0t6pdrNVKGbuyhiESToBosbE4psS1nzG9FMZ3 /fIz7bmVwe/iauoII6cK9qhGGvKoBj1GIjPbJTDhsh2aA4bJnC0oRzEr5alptT0fBRsO+3DsoAvH Fvp6WG1v2FE6OrcTA24+ft1u+WVSi5GDkXagiiV7YyKrxYRn04s19mvcx83qCiqNjDZyA3CEDI2p 8k+iWt2bAM2Kie4IV4IhqCQZ8EkWPWZFKc3Rtj/BdTjLpFYWwmnDEVlDnQLJEp7kWnMnX+dnLbcd FCbAsayn5s7iHyepGPo37kvmLrOW6+ULmn5t8Tmst9kPS6cPZKXQXn1+iTGLEBBVT4PkIWUY80OU hmDpd172cjlnqqn3NswqXhitT66Cn2SY/txoJTfh3l5gkxTGLiwxHYSOAvwhUlSPq69srMhPgmoV t2WcZ8NO+k6QGrQKnspDw2JPA5IIorhykhoRM8m/3F7RrRcXO2Xbmt0tL09aiIqVU+ROgCB5Ng9n FM7ybGXdxA4cqXJJ9X2xwEAxLTACavYXlUachYCyVXrQh0YaExso2RrVV4c5iG+aoJJvU2yiVy9f +aPlEYNKPgchNnErLsG6VrV6RwEGmB7MPh7iOPxLOi8jX02hMDRx0DT9l7OWGPhb1MzHhc+RZELj CbK/ONaHczDwl1KbpDjigemqWrdhVIqNRUUchqmAQa5Mc1kCJw7jbTg8uxhIh6tj2jfdp+hL7/WN efNUxo8eLYKdH7FeDbViJHhRN9qX93S3aXYKI8AA6ETVpaltIJsLTISysymYT6jOyeVeha4/+3LW aaZQhlUELXrZYQBUN8vP8qL0e52Fd1xIiyQFkLHP+ryG0A8BcIUFtFdqlVuafB3D26wt94Ptg3dx QSe2CLDwJUkBRla5Bzxkoh26eM3A00fci9TkdGVAaE9Vchbf6CC9PqktYPXs5Sp2saRzjTdpmmkW UtpLTTwYTCs8aZhFhiLO6mZv+qPIFvyra627P26uCXJje12K4e8iZs7Sccn1qNGpESxketqr0xTl O4ZYDX+xUilRYEkFJV8deOzJYgcWAQFzMmU5Ojv4f+OFCJbF11/UzMldvMin/kYGfsFVj61upI5D daUoO/JbEeKbuRqsS81wAzAcUD2IFVvNPOycDGMCtuz+pjoxiN1HU8GLVOG4FQNDGyRRV5W15/aX YYNc0TNeMaFlZsYGJ2dg6HJt/XN2xNv+n7L+4qd+wKV0+cTWv4gRItXLBS4g7xibtlU+B8PWSHve 1X0q9/jfPCfJJZU7zc1z2Ib7T0wVorx4EVp0jUCOxY5na9pHFSWRwCJrxTtrPLgutDmj0saldvaP xpJhHxqHwMAYfPjpldp4SQxJViZxClTZsdgd6uzHghRWqV+cNtVHmuJ2suXalkC5SDydGGMQwCDo EesWZxCVrZMXambGaatnXTEsoOSudlWEqCF/NAFsIAMakF21RjfcAYuKl43BtS2rk1iv6wMW11wD MoM1dkXe2GXG9jAmMHR8gcVY3HPIq8zuZY5mxl07LsELS7wiEHc762IC3tgpdQ6CM3XAx77PqnXa xa36IaXROMCXzobs8vdU3DUp1sNARqXIRz0mo2J7iynEi5DTmUUZ42NRNks7G7cPrebQAXikI5kd 1WSak9nMA/PGij7PWIyKnt9wQaqg2pkhlN2eBVdhHi0i1ynR/hIEreh7myDzvhVUtEdXkuPNgcCh OAW6YN4CTfVDJoWqm/BbekkaZvvbIOGleehM++BOztYNvgnUVoT5PzOU88DH/eSGBreAklBz0sCW NoXfmPzu9mH3oCOsIX/kCT6oD9eRJm4aEMHc9wAUvzchrUaQMe6lk35JmyZ6QJxtHBTdk05/S32P BiMw3QOJBc6O6bdJRDxbc9sCotlYCmrrN544ezbvrwLokdFMwhFJV+Iq/miqfQ4kV1oPyTTOZgW/ 3gORCPovBNjkflJInqnpWlG5epZTDgmbLtVTsqHVnBA3fs/+pryJJnJIjeuYUF4gVbDfogAx4Tnb SQ2Z7JveUf+KMvozauoG+iPEBGKmRbFu1SuJluG/RxRs5GJ6cAM2uisQiKpq+jmXxzvo85Moi1Lv LYkjawWynZW4ECVDdoowmecLy/K6h5ZHcO3A4IcOxNqqeuNKDSnlvz6SOgyBsH2ucNcVy3aqAyOn MP6lDZjtS6ZonSOJA1QzHUqgURgpX93mxT8xagcVlMPPDGYxVo2zyFs9jr+jeQJXPXZHfY21qjgC WPItqmQVpiWboMmBCs0TQtLgah67c/sqpCZp0hU5yNVjuAKELG35YqmeBEw4fipVyAKpGmaTfDmq yfGWUn1InjQnp1mTkB8+H3Vu7MRo/I3QmMvXAnWU1RDelkjKgi051Tyr7LMbpIuu87uF2sv1mqMO G6Lmfbl82YJn+WhxRDp03ZUfegqffgR7A3ef4jC4K6XjCMwdFV9EC9FD4Wvfp93aFDMoDdd8onPr hnLL+jN88gU6nz/Xbl47pY+KkN7a90tzarWbHjEuAVj9XyfMkdfZrDeCFOjrhn/q4zo3jJ9tFx0e ytbDcUdO5HKbPGn0egHWIC69YgSqEDetYPQ8KI1XVFid9rn3YyEN5KpZKXfO56az7xLRfpo2hhDd sOCKZqDx+693WVI7fPah/8BkHZORSg3fQ4LfNBj1li5lns9y5ZUwumX1b5akqNkz00G4xIbqH3gL qERy3W8q3sV4wqQ77bQ+W4gvMEC3zbWnwGIteNgwzOap0HN6xmxXCsAgReqH6ydSKKXt82mYRWvT qYRKsquWFgLwvMf4/PumTewbKFUd51CoUJhPeB5Ig55CQ+O+gJuB2PSC4z7teVw+TCABhT0j+oNW QoISe4ek3k5I52YfrmOYqkM6pCYqT6MMI+Vao7Vz1kLD6mB7QFvTJkpY3UoWC7Q4oxA2psJHLVm3 wU/ROPzGsyzSu77AagdTV8YVc4Ir4n1gV3N3d8+F2rtYPe8CG3RO9X/IO42XQLU/zKSOzyDxBhxZ tR3kXINQPMif9TVLMq9hbbAQ/pmcEdBFB7gLpky7HALauGDp7H54pemsA+mkE97pdg3TN5tqEHWF a3Fq0d98JTyOoB9V/N1ggkilwEfGi5h/iNKUi2IXD6yAX+9rc2U079YpIcRTMHQC1fhqsN+AF/jh +PR3QVoEU4nXQbmdmv+5pv1y7KHvFogeqlfdBkzjfWuCF+1lCqIKBd0W8KkQmJRbK//O3wX7YKDm X5WFj9G+3D56cpXcWqrGINrBIhuQBWVCFESjYGX54gUuZUOdtaj5T6DyouEWTHzbSVIGBYQQzJ85 IHKKMuQwdgH/c63nC61w5cSugoJ6kVrFur8H/8h+ePJD9ixiUnz3P1owhC4lAibyoduo9q+CIfig M3PbZiFJwP6sYakM9/tYYdlW0chdplcgCDLDDf1IV7zOn04YsIkXmdPhB7m/WP/50kLmrw7L+l2Y GSWhW003q5/mxoxTunGWYmztKo79DTM0OFlDpzWV7/O0Ua4WKnq7KdrhLZp9DF8MwRShXvzRQV5c 8+SNESQRCaYIiosb4WsiOtQc8d9V8fkRs+Oq/zuwJs8qtDOwIj9q91smXZ70++HeggxMzbLdVK8v 8cj+7XDFwSJiooHxJQNJAEql77Pb92b0+ph8SaRjxhLhJpQFpVeWCFLExusmpIM6VMJAEx2ntJu3 5DzWab1DfTmIfQSf34VYJmE+cmr0ygzRQ5JW4kZ+iXcPrlqZa9pIFofEFjvcJQ/HtwdqLBK/CLyD I1YXjw8xGowJvx1Q2h75fGgmQbACuwca1tVDkobVauswCG9ip0I5ZZaMPFlSJzzbMOFVf4GcohF7 91IzbC5Q424mQ/wjRgq6pgEtSSVufwgtB/fLsNOgDJpKBBQ3zXiFFXzQeyK3UpZYNF6R+rg0kfNe jODrRgKXSrvJJbqxHDbljVvBsZ6WiEP/k2Jn6I8o/GXqbMfEvLZjAEupRaah9uzFT+UR6rjnSKBK mxpUhrYyw+bwEEbyBrNOFgKvvwZc7M6HcXSuqq7xZ4f1tnxx/bIgHEFMEcNCsn1MqxNZZUiWMnqy zRsY9PhypWC/Xu59u6VONRTSjKBSiH3kQ0U14Rij6zMq15VWGv7jt3dWxUnPGUxO8DgsmKTgazzC AU9PJIFi1niwcnwFOD61Xwdcks0Z7gSAt9KLJEI2xRovhgD8zIg4Tbs5lpPHsmLgX1cc31G165iC HaUn++lO4e+TaYPj+soOj3R5H6KaOb3Vay1QR2Hwqo5Q7xlrZVKrrmvAaNN1kpkNZi5oLQMIlsW1 XI0pMCkPVctXOmWI2hjgdI4lTnViPXjup+qZOfI7NoHC/lTAQaFkd431b1gWBlibarDxRmUHytgS liSt4aU1aebsP9ncJfJ6UMqja+gC4D5/VJ5LClocOPqZ2mWHE6enxEeZ5ATGakIaKwX0d+bnX3+n v5pwWb/d/VhiAMTs1EeLcN835w8cKX3swtferabVpZLtTSvz4SgaLLuiIeb49KdLh4YZ+yYV0pHx JHUmTAf7Y+UGBDFgyu5IR3yB9PLCn9jCP0ryMrXGb7ZkcLJQ8iWT3MoI4tsOtg9kbqa5tCGrzsNK /JLsaYjCXpAQkGCdiwQPWACDRUtTt+zpCxdzWizEhY0M/K7tSJyDpRtSor5s2ZbVxmtknECwVay3 tAURc7J4GSlHiaKWTlhp2+DBxPmttELeLJUN2Ulm4CM8r+0svM2ofosHaPv17ahpQiIUuobsuibO F6jrYmvhxKjltDzlKMEHCYZ6pgOpQNSprhSqIuboF/hblObvAKT48B1WkuhvBLtAGkPlEbaZni+8 TtVHnDfjJ7vx4ewViHIxL4osHEzOHZuR/QMg9MXOKJ/mPn92L/4BxpNfKuc18aDTRU2SvHwjrFu7 WX/2ht8EP7ko6rmuxZFbMkwQ1/YmDhNJyCCBxVHWJ49h6Umu2LtDZAkyweGn0J8V6hZxpHvBnVWh p6PBURTSBLJsEXpnx/+Ip41PZ28uf4HshmxY7I+fYd3a64cgzBpSIHmKJJtcVZRl/1uNAP6wJqAo 98i7MFJfTo8n+yfQemSmkQPSo+4djhFJvRuigCROVNM/1SWK7U7YUAuTGTtYAbETyldYGJxNQz2d R3q5bxOQ8c40Bfctz6b7mk8+zDAyYFJ8Ow4pbFQMMhGACtif+jCEi+xUPiM2P19UVAI6NlD9zKr3 B9V4RunFauyxsGiMu4EWv2uDao63aoG6a/ZHbQG4ROd0BeTWM+h6MyE+Xc3cgesvcv6DapfF0WqR xH6McRmQ4pcS3s7kpi3gbo/C/1hgcJ6PeCNnehjr7QLd7FoE8/bFY7jyKw8ZBgnihu8YxL1/NgdA FfdvMO7O06oNaxLJkhoNirCvGSl0k4FxxeyyeS/0aTRQYQlQ+DE3CoFOMBKcteirezOgAjxLZqCW VMAwqu6HK1QoTRKJf2+M8D3sMzaudUBxIj8sf+2FWOwgalD6NDB6pVRWgnMCB89N4V6rOhmf7kSq EMJ+YNTOxtKSwOFvqpeTMJixGDaoOOh27POwvUDGOrIxMiqNmI1gkahhXJKkjZfXSZmbt7IkWcYP 2eQ4ojK2qd3+CnvI4C5K0JigtKsWa4SCEWnw3dBgnzf0cvZ4M2w6a9jIcggv1H+eWF8rmZu9llw+ WmAs02yr7J1rjlfnlEDDLFB5+6WY/Ef1Larww+csig9qURKQBFzvf87vFm/xh7gu5/kRqLl09w+N yAPBOIYbhMwLcXvSDmTJAReGcdrenonqq2yRQQ9nU+ItXFPTY5I9UwdNH1RhBpSr+uaick9jO5IW U+ICz/Sxx+5kNLgAQPFLVRcdBCtjILDmiT1M+U25sPiJlJY7tJPTlmVhs88lfTyq8xRL4ZiVOZPj W0x1BO0x/zdQajaJVLNm8nWIPNhPnTgoq/zCZJuEo/UehOs8G3exKOi1qXkZnDGR7n/V/XxlMc4k VYK0cSRVOX89MbX6+cwTw19xPsook+NJv1yEKqXoTJYniFxlD9tC1HzMj1+T5lPxYb8mM0RvLTPy xCTYYspSY7lvFywk0zcDlJ8kRD8P6rgmnDBy8TLJRcEaK1D/woJodEq9J4Sc3e0Zf2L7pKih0Uib XVbybHtskjmQi6vtcGXOXR8+hAPJAXZlaSu9nbAD9bqcVwL4iG6AmqAt/NKgkYsGpanc4tpeLVuq 9yPaCpHm8p+n1Ol1HB5R7qcyZ/IlN0LLdGGJgTd3gpapt+qx99CLMT8Ud5agt2rwcXiSSDtDnJli uEXlXFPLuFvZuWvTqyXf17NS9HPtk9UibekT9hxMwDiICm35JTghFwlVSYJhdNsVuCHPcDvQ1GUy CcUOEe4zh1JlnDeR0H1GyDGudtq4tDiwW474YrTmEkdkGvv1Ktpgzgcbrpp7ufClTMAGBD91+tW/ MCwZkkDpMSYl7FmUNLWwmfYc7qhYCXft9p0Ru9o0oquZVD4knCOqi3oxo/oaU7QSCAIAoRXMudxM I7d6zbXfspu8xBfb50HylfMEEUwio47ymXFzkg38qN8MDqi/D7E4d0Aufu1sz6lqU6wSyI6V1u3w 3Ca1BEkXh/5mvVNa7W0kOnXLeiumA9KANd5FAPjLzUVWa+Iifi1SJxaP2S6m3JiSfYq0Tl8Ar8pd TQyAuLKmKdIYRS+AI2CxUcpc5nBO52R0HGJSognTn2WelB6tvsErS9hSt6O9DkKVrTVM9Yp12zod wDDqMUlhZiguYetUnuY+5ysdMVlWRBBYDN8h6d3sRWcZnhdwNM5viDyI9wtqSb9Y+xi3qowl5Gtq +qkyZmwSTjM1XKcwEHqJsG1xyrcrsC/HpwAZ+plndnFWN5Q/Kr4FLAvqTGRbhjgKVepcY2lo+Niu KvZVGCTytf+jZx+rdMGXP/8cpQc0MYzY4sV6liHcjgOZAYqcWS4KvEdLD36mWWuh3v9IuMCShl6E 9paorBOZhPqbFdOH3bZXKwg2S4g8Q2h7eK02yc2kWrEYaS/j6APBILaVDww5D9deq0NTjnFZbakb /Wx215hHm12NfPQgdC8paTCg3fJfOgU6CKvtSapLP9JICOITXoXPqABATPK9dleC8ZuOosxE5D2v 6AZn9Rivl/k7CEWf5XE99VkL4+8p0sZHYqdbPnJaRf43n0vhyRu6W6d9HtXyt5RW03jWiA0oTxiZ Aw96dgEW9FuVE0P/wjV6k4Ezm534ksN6gJ0v7+cqusX9Z73i6G8dQp/K0VwGSgA+WwebJrOW2dwW w6ZzSuUsT1KFAgXh9Y5GmNi896myTlvKTVQryEcrGBn0vIjLm2/gaJIJUDvgLU9T4DLzSUOym/Pm WnOI6+TjQZemUov37ee0zzNpYIHJJsZiOVaaxFSr0jG/HaOP3R6hcvQixLY5i+FRJsZCWMmYzRwx A5sIA1D4+e/JbElcOXed+6TZS6GXdwdEWFMgYTuZVyV24TVgNrElSN08/GXfaygMMxkRVmfKmv5A gK7YhG9q9Z8ATrNA3JMGiar77Ju7Rfc/pO5kTnoLXuFu/5JzbW8Ii7aZl7dQs80/pHT94Ymc8RW1 dXCHnGlKyg6YHFZedtLtlCVujyrhvfRzpoNtinvmav5ngZXrm8bQTQ4F3D2IGSSFSazTU1wtWFwr mN0O9s9YUXSLZasKroQcd2IuawG2zxpaZiJx8j5Jt35cRTOEMrGNCcG5GnOulWpMkwQrlMVbv4/1 xeK+WV+y2Cr/kUz+kDM2Rkf3qJdiucPC/02DKrSgnjdkQBcZ/FweWzrwogxHhXG2yfRq4QOhhGok dy0XBFwa08JhWunsSYAyyNLuEpbOc5pBiRj8jbSuxwN1JVCbJs7PQeQa4BGFBcNkbQAtI7p3CXxs aM2hJFFPtNOSgd+Yv5twbSjjXzOyEFCphsYfUZbmY8eFaUU4Ru8ZxMceKZSNuKrobmgb4QSWIyUc gbrrWnTtOFgYmb9Dso4PwKkwzGDicx5H2uEmsVTVLJyy8Bqo/ITnm7rR7avNby1vYR5aqRfsOreR KGPqrwX+S4oITOmcmaibFE+4RcXdtj+/heHZMF2+S0+ZfxKE+RHy/gltPHv1oNdb3J2JOuWTU2cS Q0nR6cOqGwWQpb6j8X0wmJ/fVkp52MFjSPq2v2XULv/2MxKGx8QqWSHUib8xmoGo9lafPd5aANrp acZYqDCeB0oGMtbqcYh6JFdmea8W/ruL+33Cb3JRFobKm5DvcanLeWrr2egoOmOJoFmyFT9DNCw0 DOQsqsAtFozS8Q+i64+WbeLNAu77VWktB1YpMEuYv2sVexIbwS9vfKTfFw+h8iaML4KrJrQ1lPEn lzJPLd51Csh5q3dRkAIa6aO8KRI45oK0y2I/i/Hd6guAP0ww/LcI/MBpxrxNOSIUFvZ7tbbX8wwM lEdi56RxJMUZzyVfjAesVAy1NI+SYRZVdqmopq6Hj6ijGRjkU9K+CmpjIaa98XWq5pp9KlhIQqKo 0EZD5G6BVuSch/hUnSDJfqWyrzoIQtBd/kjUYZ3KbMSPm9XFetkC72t9KLD0TBHyD+sDuvU+4J6s BBJvTXVYPIUzFBPkKRInUGWy/FZ2DoBBDFnL01e2K2HUrP6cpXwk21+eOR3iTI9qTKDkfVe1Fg5P 2HmsMeMaHzdHekK5lK9F78T94tVg9kFATs+Cv31sJrPAdYfwu5l6krnnW0qB4bgIwB0g/oitF6zT dy4Alo3rImy7uu7J999JJeQh0BHGSsJ1cYePf9tnVA6nstOhoxFmLy9cZY/OAbLXMThBaJnUX+vW 0EAu3xcvlJlx75WUczQG6RndVSTYv/Orkl2gSQDqYri3QIrJ1fDA+97d4/teqpSLoDcj6Rf7RGRa uM31j2YZbEJXtGYRct1VDnCjrUBZxjGbRJFni73+F8FGT2Wgt14/XeCu8xDdoaLnjB+bbP+x07Si ACp0oYphnNxzfj09IUYviEsGtAr3Y09kXYp7bTIqqBDlST+yQ//LdT3Vh0DAcVrA+UZeqjFmVzRk 3CWplswntapU0wm1QIDH4L2MMH2g8FPqkshh6Vd//ITNAdElM3+bdkCAf1ib2w5CGxss4ALaaZlP XdBaF0Y0KDR7CJQ5F9aXeItyax2ydBSgp/fWOB6YW+bz+LBZuDj57VO3/9x3JnBYq2dlvFs7RAIa KbQiYYD7vxcqt69JH1hx9I/AZZujsDaS2xpvwLfWOS0GsSeioA0ZFyNrFJ7pazAsIaSU8l5HB7PF nKA3ZKo2HoUo82JKz9i+VCgp4cttKRnM0DXsxHyNJt/hctehM/FqvHfBVil+gIX0Weq6nck9Jufq aEaDiKyF501uNyQ8E3hBlqE5ghpiUdpe5nfKOAzlKlwB8EiGiCmJO2KQTy7oJ1PTxMN3kQ1IOoBJ Eyy2/u+M3Wm5Eh8a0Wc5+uOnlIdzJf3tHSVDKPstrqQ2niVcR5aljd49x5kdpPZBqwlONnyeM8GS oT4KUrmoZC3t9nzMwgJoekph+KGiCR5OOl0wRdLItvV1P07zv4/M7n5CFEJJkrp34GECVbz+sBXX fYaBgoQCR9IOoj+BFWkfKi2J7I0MIC/oTRf4DGSufSWeoULTTgwUR/zgoTNOUGAjuRRpz22XCtks IhPR0lGIAnTRpW+RjMBq6fy4uqgXl8tfivqh1RSk2QBLCWCqAs7SzRZxM8xtNfJzsBROLsShFGR8 uiFoFyAl/KU3bWswrWtyFWL9BTYAZ9D/H7zlKAaaTNXY7VcQthLrCj4/FpbFdslc33tH0VMl7psV oIz6HQrSAlPE74wJWJBVpKuZ0+rnToZok8ZmB8jG7bksrJPKXTQy7EH33SHiiOLAvygtTnKfsQ76 eRvnKga0okSAZhufcG0O1uKIX0CLRGDAIuPr7tBBNbbl3ctD7mLNTr+F/WzzBs193Kuvr9WUj42o UF7y6DESK2PZfGfZP+pIU/0nxeowXraOv5V+CBbF1IJ0WO6ta14o31XMlq5Z7LHQEJRiuj5IvvuO DYV0DlmoNrCOKcPA4MViGppq63v8Tdl+nFDOO5pIqS1oAKQl7tmZJu8NFR/Td4iWRqKktayCEU4R 4sv8e7hu2gGX/Ss++/E6iHn/NSCA5zcmAzVLIR9NXUuudkHUMrtVTxSPimOpqCQYYwL5FF1QYCkG BQz/rjDqU8MfAUyJMDZ1rfUma3GFu2hKlH2u4DjsNwn84+qgPNHuBRHHZcMLImCBkORTMcOlNF4H PpNtFNMjKGikAOreF03v0a4nIPTejxrc14kHSVcMfFFuPQVArrq+2NPbgpFAOVuze87wnz4AyD1+ YrQLdhKfBy/gt7bEGTlEAEzWU+F7YXOLa/GGyNgeZDo8BPnT37rsBPPkwaieESsYm1FqvKT2Pwd4 f28QRuNby8FnpkBhzHW1mnOSoq0AKJ7Q0Wp0nuMxzf0f2Q3D5Jts/l9ts/JyIdBJv0sg+rxhBdch HRN6ISxllypXHyExIuglGaP2wDwfC5nf3NW/Yj7b8xDtx1/vucerNVsLW+R73iLd9t2oUUXiidxR RjzZx2HQjathUb0HisyCbkc7A/GoQckwwt30mrY+CvQ7KHHrGvmVaM2N9ewhE9yWffKuB5vrb+qr oyGCxt+h5sEKjdKsSfe4RooWGMDwkcxOf2PetKfX5PWv5CrNiHZacL2+JWgZw1FxQBFNDPe+iGJ4 9HufPH6gBYAjPSl+5o4jLfUSVSq+27B+cbS2eER+UlujurULEplKnLlIrSyGJ2WrH2w7oze4EB8c KOSo1NRizhNNC7WFqU89UWw0EloMRDzwevruIiwaZBemwS6lNgtf05Y2dYwB1SMy9fwYvTt190vu UgLJ0+7wbpXyhKr/AziQTxcKi7EpZ4PuWrmweysBCWYJzn4wZVv6A0FvAC/Gn8j9gGRmHMG9We55 CsbK2j4v78fq7/9yywfhKYTP4sfbzArn9+7cwpBXHNzlIwyT5AD9Q2s5jUPkmstnFnWhiPyNQrIM GtGhMu3ES76Hq6R8jYHSvB+dCyDFqGaa873675GxR9z+pOcDo6h6nqBN8aMg4ajachS+BxQCvCar +GrTBE5aP8Ymht1g9FX8d3qnKbna9fKBqBcddhB1rFyVSy/Ud5O0FwnvmKBQBGM8/Oz9EcxIfAxQ CK9L777WMWTYEOo8pUlS1+mJfJv2fziMT4TvZKjgY6ENpV3PrAzG+qS83NEf1ml85QXLMLDWwZar kIy7wiatBbiCS4yOWRtfz6S94HFQw1bIvs0kpcoT264A1G/Bui+/0LSLUjQ9QCZvRJUSEf+s6zFM tWGDbVV1d9WDlnfF48wD4gyeTAAf7V90QurbJLPcondZ2s/vq6XM+GVUM9Y8XoJe2nuB5JpU1t4k vWnyQDc1z1/HIS0v6SXiSE2kL98Yikp9gI1K1ICJNBkWbahVlaJoZiFKPB4ZGMBPD7vjtH83vlhh elA2aaKkTxMBxskkW/DclKlOJVnJPjzPXHp/ZCjb54mwtcrnoJd8rkVtwazR7n2NkqbYW7AkR8Nu x2Dk9faRC1QNnmzm6tb7uszExdujV0lZbv8Mhjcc+dG1Dup0DfYNwIfGCdIu1qHSb6l1qm82sBlr uH+juv8R6aIzoILaBmWuEUChUswMU7sFl3M8O8so76poT5tRNpUvQTuuHyipcQL7bF1MeMe/Eov+ y7EudqTkEmcIH1sjBKs0Ig5fB78VW5OoyXf3ijUsdJQjUx4+xk//vfzfm/jErs4H2WB3aNocgOca 6hkiQy4WgUrH0/M0bRUZHoMYDojXrrhW75rjrFDppBbtAzGYeFgHpur+baspoE5HBQDW081PUaGg qXtHT4xoIv5mtDXyW9nEUBn90j8l7zCOHBMgIccB2JjHLoM8y4/VaxP4ghRBb6/zs2n7/bhLb/h+ xxNHie1g0V5N4ovYkRBqA9Pse2AgPVA6eSeZ/xIqPojJrsVsh71XfdTHEcWAoq45xMtfk7fkq5Gg wfieHS2vzSbCOOd21ei4Pouh5f/VYthT+XLTyx3H2Ejy+M9M5nf+glQdhtaRxuzjNDYMQ+x8b50+ AcOd+7uV0qlcqstuHjFtcgCbokZ7MQuU2WGi2dqAKWdZGeoFnWvr/9tqXJ6mGBzoy+Lz+YhhpWhO R9wjrWYOvxHI6fWN5A8iGoIn/en752A48zgg78wx5DqnpoOLEFbctmDi5/dlarPm5dkxrt7FDb9j NQpEWwCr/9p2gMpKNokCW1Ok3c8dSc4fB1HJ34wLVfXofifkPXEes8gQa2zOMsrV9ra3+dvb4k+r 2PRYMgpaccyRvousHcUEfn8KroyOBt9UAkywvb/PEyw258Eb4J/lVvXjpvJ5EkcYCkKWe2Qv4NQz h5tSE0zO6mZWwZ0WulHmyB0M+IB5uMPtKgwTm/4fnmwcbMbeXk1JwTBa1THm1/NtSX8LwOz/u04T qImLeOy6J20OEblq4a4Lt5yHQhlELAFfH09nTVAiI0db7+CtrZsTd0oBjUzHoY3uYJn1KJijR6DU 1n9+Uh42C4LoS23s954vmxDJ3J5ms8WgNa9sNX6e2lasB3VQ+vq1rPXuNEUJu2CFKlOa7c+OCsmJ cUYWOktCYxAF0f353IG8XWXbMDCs9LpBHPYVq/xwK34zU6pnmMcWvn8yAvRBlTAeS3SEKBm/LHPR 8cMvWCnASlr3M/X5aw1KDV+xqzHvYTJk77KDFs4rQFkPme8pZSRAtHMJxd7MGtwcZ21ezblUiwOW lS5jSJ1Rn0om3GCcsEIq7kDftwFwAs8nuu0T4e8DRrWnsPNjm4G4ERaLCMEEDu3LN2xtPf6pS4nP zcqMlr3L80Qf5ygL1CzEbqJ5QDNbpqY7ox3YF4YzkF90aF/gtYTb0FVoz3IqHkipF/4kBdF9fYgx EUl2xVsOtQaIAMRwmRDNloX3jSW8Zpq2B+AdPo1ydM0UhyzYkdLawHXheWrO6OdOULBbibvX3+FT IIDurJDuX3m41F2Oybnja0sbi2q+xmHur3i4IOUEf8QzU9gI/lXkbBHuW7MK9eViMa8f8qIHJvrk grssn5w42sYJWita2A4SmIT/7GwtxdZKmLno2SpKITbIJlBWVthPSQUx1hk5xKlUwbqGbTuFFIbv 3ySupw/vfp34kXbgPQ4n6MN73cB9Jqp9SuRdfPh/pDIOKLOmXgHkJPkuLYKrLk47dg+3BnGX5hkx ZBew/e6dslhdNlnM97hIyM0jJZ0lnczAKmsV4pB0VjAe8E7aRSV72J7Qlc6xQs1BHOxc3ah6/Gzl 5aOrxnINMTMB3sPBbeNOssV95Lh+hq8VMyQZVog64g1MKDqvw4OUCGI9jf3XdOzE1oBkA3jw73lG kxymFnp3t5CXAUl6GySIaPF3r7JmBo5Kbf10ZzWHh/f115id6dVJErj8pRQa7z3GzdFT2Ad1XoE7 go1EGkoasmq4d4sS2gSyncJqlZxCNL7y/tz57ZvJl9j+8lT/SvEJJr3ODTYYNh3QpKd55PdQaHjC 0QjJ3R+1ca6ISiMvkWqvmHiqGaUYSNgFCUXSdNlIAI6HZxINlT5s7AhallvdPQwRD6S4Wr2lJK8A BlFmEDIyRTj+rnm2TWQyNtanGcs0WDnbOrgm6a278l1JjSTToiydXCxD/VqIR4OR5k+HK4xv/Ohe WCNbyfbALgYQICPxIn6MP4skYreSZ8IBILtt0TQ0hSZCDcGoQ4Gmsz4YYkGUrzUVj5L0FatdFEIf aJQtrvhcMxkmMY/+pgcpoXUSoP6yc4TD90zHLnG84h6EmbOTGT2IKoq5XN28uam//PnjInoUBsGB Kj+7QFW3l2amSzyNSqAQHsDWPG/BYRMEg3HSZPMAhOaiMBCxsRiCCL79uXOPTjvg085bl3IlUssR ubqzwPtjRRGJWR4Nxq3IqgdeObuQmS/mgxLv9BAKquJljJnLYCZvri22EH6rBuaKg73vKb9IRLf5 Wb4lC1UfgKm7Y2lHMRar0IE32WJjmXBYJBWAs3pMMViSX0yElUXcoJr3xD91IrZIrLkwlIkDb/kK tXKhNyyXVHG8DbMwdAdWhq16UeHwjdUWxInvLpFyqX61CbJGu84d1foC2PNKtf6jpWcjtC14x7XU bpOdXvdw6L36NI7vnN/RmzSWmXiBTSY3zFlHaQG72rDnqcH7iy15aoeJui8oLNRLsh/LMJ5Rv9ng hcj1UKTx0Sq28FhEFt1i5B5q19UaqrfilhVp6Ap5O0gmL6qMTqfETn0XRa7hdJ0Ln/SwqqSpYMMI jAkZ4GqHs3oloc2yaI6WG4MutukaEff4AIoK0m5dPV2YLoE3ORG+uLUEteqg2Ct12NhzdwRkYij3 LRABsbL7oyxzQon+vVvs4x2+TCjKHo3hYsFc05Ms4R5N8Uk9uJQTj4QL291dL3YCW4GWQc3FAdKv HBv6C92ptpW1P8rHw/hZqwDQui9gLOqXWDnMQ3mrmcpQlO2hZZfaFfWAjhKP3yZYB9I6coux/0w6 tpURfWaBlfy2ZWwCtoivSmHfrC+2BYnGA2pmPSdraqiiwxtt+yi5nqfO3z7m5iEQjqCCoQBFRiph QPrtdiKUY99ZXse68vrXFaINCDGBLEVyoFzwKsbu3DLBVdu0AhPv4UAbfVsb+CuQ0Yd7Hw4LIgq4 7aS490a4PdRZl0KArdgyC/PIfv+xrX9LgnxDKO/5pSCVK3vd8J4hNLmE2+CdGTfx9RZBuc8xevHF uga0wcKiOoUwyw4PewSAUJNxFFBRJ0RH4zqgWfVO1p5Ccb3R3LyOF+3MFd2FpWmC64ZJoaIEddUg f51SHjyxlrJZJ+Vr1ekfgMU4q3K0WShK/z/hKRZ+UFim3kWpMUV++bFIohPHTeo0sQodz6l6t3wP xtTXOqZ1/JojS/UhgfvTrE8sU4URVyHr9f0Qi0TxUY1TYJR4NOva8vKu9ZJI1RDbOEvSiZWX1y2k mFw/r3S5hQk8nbHO2zigDeeSLUuZye1mMLA58G/YwSmG9FaV2dQt54vD1jeh3bu1fwjNUI2FNLOa jAr3WNedrvj39qb8+vk5jWmdvAJHIo9bhtMugDbnNJ+JCmexvDGTbK/PS+fEJ4sUocY2m7+nUHRU cHsHpz2MFYTfLA0x1xeHchNWFe5cqmb4SK34OjCp5C39vFTEPuRRDp8EA9BJvkeZyl4VBndlfj1O riMLnRDUaGTyuubU3+H5ONyauj0W/ooSBYyBjUFHP55ivKiwhZGnKzE0TGWTGktRrZU+lH1FjBpT vGGuh3JnZzDi+kfpUDq9DSoRP8ZEMBJXk7kUIbIcFISsIY2ktCt2K2s7awUY8YNeg9j5PpV2wXG4 pikw068SFL9bA0Wo8E42+D+oIvEOSkLlW00wOaGe+yhXtlmWjwc2rYO+fKSoKSwaYovJJWQDsIE6 kIU8WzD/TnZOR4K93SJpxe30ffcD6UeKqbm2lq9euei/zsHdRe4nmdAyFMSi7I46lq8piO9sOTgT dtk1dreROFFYiF9B7zMJ0jCoS3exkDFNf2pz1tZ8SIliiEPeWc8QDGVnLsdcklvsi52PSrBm7PvY sjVb+8PSxq1h0MHH5fqMo5+W0Yvuc8/L+G+FWpqVaUwxyhIZO2ts7sPc20aarDgyXILyIiTEfpQM L+Xn7YDf71LOJg2qsPVkJTwbGceR7X/MtXCFf/TP8eCzR3y3zWlab9i2N2PCHX5A/IwJzSRpXv1J NDCYPPLdJdQH72ZUjFpBwOhBaoDVm0eSs5SlwOnZRvdm9NV8b4SS8gTVpo+rqa6pC6TRjlEPkMw0 zdo3SDjaupk08RBYFgvtrpWwKo5bZ30WUhRM8QNRDzQ0HWLMZwBLV/W3P6soDPEyf/fB+VOvxJ3+ 6r8sxSwVmkrnlOV28yBXfizBeGDXsgjtuTck1QTeivpJZ9o3GS9T2OJDORNgJ+k+V2AnsCRN1i0g iiEfdXzIoWXn6o1RP89TlHEUdLEeTCNfs+SSGyLxHPHdn8lNmuYN49j0u7xCYeoLZhzN+IVXIjyO 2E7fwhEhNw6AvaDAhC0QVUXuSqooS72DG78DAZV7zFTrAasw+16IP/4ClmIX+AjKpkaMzKefaTAc K9xX1cLKen4GkUKCTdLXqAcIMg3C9ayNRnEIIaqsnBT1RzeSKGJZY2fKyNVvCC0dT8s0OVYM8YpB W5wYZMd/lAE2t+UCwgWkPblfOWZz0j7yNmLPDDTPXruOtCT/A6gTIYOJFhOi9wa1LcrlkHWT0PmE Du8PlUNcLB0JnG9jf8eySJippE+ZhI8a0Pof90CmlopiocVkNVZVCRfRwxpm11pf2ssXZSbYmQmJ P42D/lg6Q+t+DYZDQrSwOan5VFC8ncHtuu1n1ZrCFOcqFrd56KshSgD7ALkZasieOXTWWwT70rN9 +/pA6mGLPmjDF9vxydw1CCuTvDLBrf2VeJ2O3rA694LdoKKObIgU+0xtBdwYtgLTRyqDP1U5MJ3j QPp6V7JF895x54xOK96czRKhIXOqxZzBDJmDI9rz6EYJcL5EfVhfksddhxnmvJO4Dapr1QHU31YL f2eXkLplL/Ce+NxBfV10fC3dH5tyqmcv7seoe1y1fwc0/RzzrwltgDASYBrMyajl1q4Q7WRWIL5F XyqmPL9BLoq4MqJuO/Ta36qNtUMH3l3Xks2cWwPBJvVrDzuHaijZvtD3q3YulBLGD006yBfnzo7g dvx0tmUOYcdk0KR1yy3xIgOsuLeW4nrNh805RM+RupwMAo9oAanNVAIpU0Csqd4JlshdEB/s0IvT 8iZJdzR7y3tak6hSgQ5MC88PA3qxwP4mns32xAWrBAvovTkJIJ121uR2iE34P9tjmBP5StDa5S5+ Ly5AyCRhQpi/BhMqprheqdsuof+gA/1zNnNLrgRFDZUAE3DxQ2Wx9iUutNBZamnJ8jkVwzuqFctC 2U7aorRLd+WKbCobgFuthpoh2GBkrOzyxD3AzP+pKS3OGlXMINf88iOZd334CTJCLrbJfeIpTFO3 qN1vFc/6Dhy1VVdPU228n4nh1DJxUDu5Ol7OF20uTdgzje4qJvqxpb07MyDGB7K8NclAHzJQzzBc 32/Zrjk+7Y2fmCQZX8jacDsssd9GX8OSeor8zkgrcZ6TgtiwNNMudfdzuBw2qpcxNV/EJZDbIL9b cPbTxKJG0aoYwDlV13fQSjjWqleYVD+lUsqVdrKsGLLtQK3TJFCay6mcYHYzuZI7Rj/Xbqpepmvu gDLYuiA7QgNIKL7RPZOUAsV4hrnb+8AUk1qjuEjiJtfSpc4HCkyf32SGZmC3WilXIFcJy1ERqSu/ GI0izDAkHchqQd2YRCyA4CiCKWw+aVfFiLP3WN2t08GP4DH0He44naFl8jO7hVetdSBVzYLdwKg/ 0KzEDVs/FZOKYkf3IZ9fnS8ps6Va1jL9r8/yPg2vjN2SdZGCkB/7Fv9JIVo6UFTIP1f3n4GHcrQE 1J4m/2CkWizIJ61LTbsbJ1MgpIdtC2/7NRbmbkRF3/blcZU8mGvNNDrqyK+Ym5D8lmt9z16XfBA3 AGIIqLp4pMp+QKfNuDKfhwcJLxjpoIzUaTzl2l73NXsdQoK60XBL23Aw7WQocxHL02u+CYwozm9j EB+W7zzLe9PHhqsTh7JPstGPmyffnbJ6XVf1zBzYLvCCyvXinHtVaRLxxVpwlpydfr6AGcmwhDXH qlt08hIuRvom3gLYLJ/7rlywbiCCQRfHALmfMehPlWFbLKbQt7FyiaoCsaZzsDnlwLe6VfYjrR4C AtgC1w9RYbbw6X6QXd6TTFUtIlszpK6cj0XWIhcGufE8nMOCv3rXD1uN+IRoHD0HHDkDKpIZTY4p 0LgPp0q07ZrZ/LeOBZ8yYRefAu6Pmo3jr+8Oy+YyO04hHWjrR3g7H66QpcXRCCIVuhBZvCfTUVwV iTrNbnasiKDJs/BwgGo3CA6s2vuxKYN24H82MIJKF4rFN7KwuuEatyqbFDFkYqju4LsV0Qkv0Exh YQyV6qlirb5gP8HeA5ecUPXzfph2X/pZKR/XMPVIYiFFNdpAH+/JqSeDEeW1lJ8b/68MuJGRRxyO z3caQAR0qsy40OgZ2bnDuZF4Pww1Kc+FdGDvwFV27RhmGOsg+ueKaMKp8hQT+MGwNpOVecoV7xYb IxlNyQ2ECRIJbLAfFkpwWB5vAeFwDEbx4WzSEqFGhPJHvPg2kP97PJbmnml4m4OGxPYeehTrys8G hxgfaSDOQfFKa+kOYUZ/RqqS65SMUSDyb9IrumsdJp030Ww2yO1wtA9QeJwMEbErCnLmau231w5N 9ca13z8gs3eikexb0S19PGYi7JSflxPjA+QOWBKYy5j9sgLifxfbiXUgM66RQc79WL0AQ5iq3/MX 8gK2fUxE6io27tjaOl/xJ8UCWUrUGrVQIdszbNRMc9MJ/FJ+zq5AFXnkbg+nQ1v8gqA90da8JvO/ +0ppOH7FktlxB+luGNcWPGYB5Upr42mzWZR1GAbL9VwCDP2W38N6h16RqJq3Suoy71wT09HguSsZ slOevrmxu9HYRC6jHnlPi5DFp3QJ3x4C76x8MRBz6cvDERMx6RhUGCbu2dyAIU5QlD8Uny7KkXMT 5h7TC0Jfa6T9Uc1ww05upzg8GNx8ZcHmYnrAK/A4uNQ0PsjMBO39liKreeRjRV5fTo9IuwNUFz8k n5+hwSEMarD6JStl44yChiAoz6YpMedOcq0Nq6Vn+K6Fzn8LsUbAGt0G8xy4f0a8QLeLz0lPFpgi FqbL9ELrDxMWzmq87Fff7L+LC69V+zFlebvmAW7oKHVmZyQsmm8M9HHofQmPCLPqeWDd2I6L5/J4 Y3F+kbFSFibgmPDzhzXKY/Ebxn7AXXH1Psah8EE6qxJyf0BzS85lNF4fQLn7C70L88VNmUlcguUG ORE+qaclQvZzPpoWvJw1j61djXi+rKAJzOAIXUXOCXNShI18AVYs7CwLrE27S5Hn+Amp0BNGouKl 4Yv5pdD9inVzV79hg8htmI0raLQZQOhYu9iYPDBtfYBnaMB8AzmslvNXs3r2pr5yozVJ9DoXpYaw rzS2yfQHxNAOarHrZAeg460F7/G4OQcsYiBULYn7+3Rwh4eQ5OjQ/28aVuU12nxV93/8Q2/Ig3Nw M25LS6pIKW5IrQO0u+eZf+QJmAorx3NYLk1NPYy98QsLzcP1PRBG2q/M6iwmL+eWpDenq5ipTQpQ /+xK3UJh1W3RHu98XR3CELkJZCGcP4E/vFn2i8XnV81Kko0qUGAE6qM6LCA+7h4GDn8k/1kKMn3+ dBWtBCmkopzT/jCs+V7ehLZdo1ZDyG33zPD0uojLD8XgKrervmgo0ucCsYrSZpN6QIYEhGxOp4OZ ++7JmgZZHddwg8Zy0EDe5Prmg5KM6H0plnoHQrMCf1ihslGZlBloSBCF6GS1peRlCsA0LApozo1v /kFAEFMHUZmwFX7phDZlZavuyDNFaYANTlrfgnh87bLlJWidrc9scflPJMvHATmlYAgr3sm0DSwC IjNcis1diVDkPXyFOxBM8iB0gIuUVczsvBlN6jOMxIL415XLHlg7XICtaW3Gw53pypkob9Sd9NrX d7elREXSFUsXsv/A5+7XXPjPfxrmaS0oMFxSHwV8L6UDwVKD8pu5KwLGesIYt03By5ISK0heGtjU Z1dAkLNtRS9sITEd6yx5yno6V+VoJHnkdpA3/HVR7yhJLDzK71xtDMZXyXdAu1JkAdEJ2HtWFN2S 4P1wEfSNRN1LORoHG5Cc3RQ3VuAOCH/2uCPkSpV/v2Q5KaX9kOblN7M0NFjF7XRvf+yZbCDYxgkC bgx+cCCZ6ECOb7kDOQGsR1DBxQ1XuXTvbch9eBijAqp7pi4YqUqW5bwjOXMzIfcqJI764+Le/oJw PZBJphQuqluunzwO9wCJ42IJJY5J9Q/DmKFLJ2bTeNIug1PCzOt4r2/XqCYCCWzc4IJwJfVL36Dv ge1aI7a9XglCyowuyAVjRCjID/r7FFRkH8eoklJwJyusbnwWTpYb871yxEL3QtzURTpSdjtrFSH0 f+cqjyAMAcFR5iWMTfXLz2OnpuS4gyLp+A2AVed5WmHb/ALqJIOKO/B2EQwPe5nmjxs4joFys1DR Wgg0CWH3DYV/e5T+MSTuLWjrVvt0JNZ9KWk1rqjnhdZFP4RRuSmFlLV7u9JVTrIGxF/4QQGTeJGA H7aZJWzCyNVJ/qOlGrDSCRWuVnDS0NAaPhTNNa8NSyuYcmA0KQ3yYhSbY5yH0orNzt+yyBn533IG DyelqRtC2BfnbLk7BNitTCm0XWrgJppeKNraqSW9tQG6+KhTHdL2/TnuCOOOdCc+xPqNr1ZtYsON eUXeHSdNfh7H8hcnY3qkThurwcs+lsyH7Vs00de0MSlLf0gJXWUrZ0t1O8IcnkSOxLAUeP7OH/mB A3qQ+JENlbt/cgkVlMvc9lFtYWtCWIQm1jNkzAI7vyKuvyMBSmw3GABxwHV3mu6w+l3QMMdjqIWN rd+HcRhwfdg3ZRWV+L9/0ks2MJ93W4no2NKhxXd/RuSDsw555YQHMfBsNEY5oGRkPBxKQhROjS76 yFL8+0uDbFzKKGNfEZ3NmaPplNJVYkVZ2xtt6MF0AVmEWen4dicKOHVh/yaJiafv1tQoPwEXtloM 2XC3YEWsZa6LyuDMBjte4jRc3bsgmm+tLTW55P9Xqo5lDYoCJu9BV6Ghw68xZnR8oEzsQu8RTSPn kKbQSPd/B4lpy5mpf8LRmH0KZWeTa+HFCp8QGebtzYEXDl7me3o9NWKbn9q0IxhleVzEyAK+jiGr gED7ig1FFo9ms+pBu9okGHH0z36WUxHXbTC4ebgYPI1LH4PTL07m3OLxqpBhs0Ef5FXD3hqLknQ/ wOv10Gsy8xOe2t0D6WyGowDRtTzvPf2wIpocckX/U3jOenNtn+qpPXKKaZIcQzGGZNlxqRAi9n7w ENXKfr6ijcwxfk3Zo71nYnG1REpRrnMFn0tcGSjDh87L+dn7LhiLt65dN8CumItxe1yrHXrIR3FJ 3nlt/a6slrJRShP6cml3xYZPhw5lTW/e4Sd4tnNUGTSeH2iBr1gdg51I2nLm/YtGjd9pPrtxiSuy vpqqMtCHh5M1+0+hnb5jYF9Xwlkag5NhvOdL9C3O/IAsUTRrb5vxP6uQCAvbIy7wfzxcRHf3TBWz 0dRQ6AtyPDgypr3iabHVXTwSY9pu8YJ8f/gB3Y5RkX2vHDHgbLNbikXaLdxEihayMJjN259fNqCx 9+2K8lq86ep7LKi3tvmYjTEq7fiwDHDy0cMFA0CgYZIdx5C5gZVbOW+etMJPQCVQgEpTZ2+Eg9Y2 PmTyBT62inAe7uYlUXDD+9ZuAc0P9/gHhz37Pd9j4LhaFHbu+Qi/G8vAGyq0oPL0FENoYc2++C24 V/smyyeqYro2yMzaHuJdGMWH8svh5JO5J6G5iPgrJeUTkvBiHWPtn4od7WAesOpe7YCm4Y7FIBfB 0xKWMTkKR9emEBwaJCgO8wY81KVFVx+0a+rhuzeZ7a8bjsrLpPeQUNNShwgsEpxsbbAMezqz8fnx FIf8TbzUl4MfZhxVOuFcTzS8BHVWV2cSntN31Sg6GkAEf2HYANHaXnQLCRzVQMbA4M9Bo8VsZ9EI F/EUW1FWKOZFf+HuhqhVNzZmdtek1BGZap+r08VhyUYn5Ufk1E4w2M6KHzNwj9JQwCCSP0LAosHY Eu/WtNBuBgTdY1vzoBjpD91m3f1jFOJS2cKUMiDla59lS9BtftdyKhY90WtScURzEQGPZZko+Nfk NB1VRY0p2GCHv1J+auge2JDKRTCDWYG8CeyXGRMKrchcn58FLcugdWhJxjZkJLsTHdmeuWuPMvVl 8o3BxJNnwQ5PvUSN3EaCLzXJGW1g1ZpRuuKKyhxYMpmB/deWHjJfCG1Lr1U4aSSApx73bjzlQy1W c6Ok1VyODQYmu7WIG9hHsQ1nSR62bQngStalkquIBWDhuHlMpurkkzJX7QzQc6rv6FNqYObV4vEU y4xkGWgLaP1qpheYaqLhBwQHBauXqqsnTCqTjvFEG0pG7R1FJIBKm6H6qXz1oIjD0PhnhRjZ5Jhn ZDB7xDHxNczfDMA7yrCl0MxaFzLME1EgbuxGt4gxyH/rXYlUG/0+4IjfCG+WIsD/Ff/1TZKykdWJ wa2mJRCd1KrY7Kw/XvaDcT1ysGRTyc/OwlgOi5FSN/d08jnurufiBtNW/CqD0NkMc8aukSV+vZGh qe0KZJrRg5HuiuIPw+ez3SdrpfRnA5+YU8AshiBNNbFjxDLgOpTs5aGt/LV2SP3qGXLIBkVrOQk/ qA57xqXTgUs2wcUW9N+6c4vo4FzLZGnjMd5JT7G0CtrEQ7j/SFb8w5O3ZgabO4FhFWEWUZa09TLe h8yhYq4jL7Q8wRjEaTOkS0B76Bc7TKimWKIT/Z1JtPW3HJIk5n/y6uYYnBRn6OPryDLAOFzjfXGQ 6Dk5caINnSjdCWwt8eGwgZYVYZCcabKzSx8BLOwJ6YSk0pc6Fb134o78ROC4lTpbu+0ATLgeQlzA G8hv3SFfy2TUiH7xMue/+pa48mp8DzGuJxn3mZA7vyQOn8i4knmd8LXiO2pnKgDNEyZgunssYrQ+ IzqqiB21+7zjCI22qDK4qY9Nrofv0S1HyYQcLfpZ5ZiF+b7toAV2MtMFs7+ijiV4QzjMxiwKWUbm hJCltRFaZZVnICx2t09bnsKpv21gTeqsXGi53mGOg3ZxeAL5feUKovjPz5POt8oIRgSPHjAf5l/A 8X+FwTBG7UWuCKl1BiyUtrlf5qpQ+krAojXQkQKeXsTg0gAwE7TfMAS7I70Ntoi0V8+HIZsn4iC0 bS60/uRRymO7DJxK27fCguHJr9jWH198UaI89BM+N9XucvRlCjK2mxsizNZAu8vHeBGsqoshKhd/ rTNB7L6B5LisFIsJ8NpNCDz5tlTdfmlNV2K99rrui/3dHm1ESMtMtAWYpK975BENbJ77FdwYNKcN Ww9NINXDH3c+zi8mB1r3NZPphpQ9qIVMnZzvwsR2AyyyEt+a7msFg7awU3kTC8p+vFjVsM5Rybnn IyqLp8hvX9bz3MgdN+ks+LaKiYYNI2g6+0On/ngpYQbKTyXXEJ01jQ0aIGfRR59P+LSzuihsKLhX X+xL/c0IjbN8G2ncImqrJ+SURqqpOZ5Tl+DqQcrkoZdKJQcDrd2J1j7FM//HH3zLa9+Kz9KhYzcN Whv9VxnMfM5gGMfgNmC9eJ3mV0C9e3a9dbbVBs3KbN35fpHdRkP3axS7m8wEsa1gQy4c0jl3Whvi 5ZjdvPzMMUiPbZ14eUS55v962Hx5Xffvzo/S1eCZ7k5cVHIpqbUF/Iwxtw/akIv+c5Vm1aB/gdEz fowIRe1OJPZ6N/8BLAcwV2BxCTmuGR8VYzSqdOBMq3LsGfXKkjG1y5GmZRE02sNcpa8vyL5XIGAS NoI5JfuGrkXOz9KPCSlXWqOsnj1f+v3AirewwcnZT1yNH63w3IWW0t35c8P0p5wv5ZwpOJJE19cn 2Q5YhiFn+eQR7Lh5CCmwcMajVs8RC0AqeJjC0CuuSiUY2yy4sWxNY5veaNCDRueEwCKHsgA4dbNs d8q0+W4QmI/gKXkXHvEVPHgBwR+RyomFsyjJ/bkQf1F0gOjtnJIJiuf2meppQANGLIu/SNmkB0tl FqUzU325klN4DKqYgkYpMuwt2x2jvQaGKVjoiwhsiYcVHkJjFAFBk0xY6z+zDuLEyIvb9z4FeBv/ EidbYtkT8PV9CwlcPe9vC2mdHo0mM4SS8He2cgeBuW1M8ejK1YffteHD4MLbm8cWAKekB2JwSLr9 Jgoq1NovCqZNUc+XozE0sCLjsbzkPCODbsODeT5+XAHDHrkJOULybv1CnfHVNdmsMO8Jn+vN2dAh WNRsm50XomcVjwi9d7ZzLaEamLaFl5X6rN3GOp/b/Td5N8bHeSAG5X7s8aAwJo/GOrMUFXEZvbgY YOQkuJhKFoumdaRjRxL7MN+mG4XZzgbv27lAK7TcXF99bqJliYsFruhLS+NDcxvkI8VQ72leMjoj w+F3s6DlGiXHwFxEQJxkyUYBc9oZ0b1TePfYsjApXo/73Hq5TIdVJ+VrpwPsjRjA8gC6xfAnF2+C ojFpeRkSLsWMhY0JsXKdDHHPHcG5CRG5RYyWmAL0BhmXl9KCmG+MNqf7wUwSqjZUxmJlg5jvorK0 efwjR/WL6huuoKzvq6WfWr4w85VVkx8ayc0ILL6bgkH7JhU9HkTRNfjjn9gSinAkNCPutUHWn7kr IWmQIrz08s3Pi4gwJJ3eFCb40FgpqLbtAW+B3sLZemqZmIlBtCU0Uzb5CBvpMzsH8mQEosvOKi0X kWH3ceWBsDCmWSUcY2QKEePU6UHtUG1vRAN/w8wFw3ivU8TCxoUu7qxtnCyQquwgau8d9wJVR1u8 sPnzBOH+zps+vOIUe+XElit8HGdnFQpkDgnaJ/qWCpXRk8hE7I8n1lkCJKozICv3fDWQ4Wb9wGUx Vx7COTpatbpjqRhSV8L6i4uDHyBuNp0zfnc4S20887+peUzORp1Qx7zVlRu1lH6TboOOl9MFzapA NgZvMhDYp7JIY4GdeFpyZpcmp06T+/thpwALan8JqVjPx2WbM8heQbpf8QhwxXn1mw8yzivgnfG6 iTbAcDsyLF2ZBnlkROBeFkVsRmwJ65Fur4RBJqLP9/Nx5GM3rB0DR/6gtQo4NnqyaY6MkuwFwUWp D8BDoDENp7//HiqyoforSR+PkaAyQpLDqM21i99+G1vqtlbo2prHZ7A28+WgDnVeaXPopclY5/U3 svtQh7k2QR+JmtSeiQSip8/760WtVM3rSuAfg/6+r8bKBXU7qXdjiGArp/V+LDZuBeSEP+ZqBwRe /pjvahVQ3hNTKWDfArPX6e/E2IzVYSYu5ZidBacU62yMoGpa88Oipkq1pxXJnFNrJSFTq7ezLIFo vJHPIA9f/jntfh/j8IfPIrOU0GmzV8EzikfZ5qXdakWkCq+6YP6imHqQIfgLa5wNOkp5juTLLBQQ O8rrOJ13ispPuFgwOwd0Ia5GAoWG/GItXiKrH8h/ZBLvgCiXyP7byttQsMsV7+oOHAGc37DKySJU 2qznTZgO4/z09RMHcUHsbVq0pvZeP21sfy7zKHZcEefoeaeqEaceaqrT/A8NK1Ugk8UAGJR2Uhw5 bBl8pSDdHoEMRcgmlOAzVejD9GFsFyMc2K6k09oAYfWbXKd3dKKbgu7H5uTVAcykkhDZoHrcQ7cM klKHG/b35VTX79RO7UQ4DvLsL67gs30hrIbf9IMCDoRFSqlLR3sma3jXMY0KaAlR44Hol8foHxh7 M3/m/dvOz+SthK9ohdDz2bKZjIQ0V48cZkgTyorhjf/WxP+TsQk+vo/60maYae57Lry3dgjQV4ES Ting8YOksKtmFVuFJvHMAB+AkyIonR9eYYnwGlAGEYSATzNt7AIV60kk1T1YxOeyaqH2VcWtYWH0 vds3mHw5nMr77hWf09wm/FA79iiwfmV6IgwdTAOPJnnQJlfmiaFUzMTuikWI/7lQzWXLYh7SLiN+ T9NmTkAgnds/8w8sxvwqXeTAigcXBv37Nh0zZVSJ0vII0K1S5Ohg1R+8ydkPWzedHQLcvQ9gFU08 Y/Uh5cycs0iZD/t6pefmvn8KohmCO3UtNHpOXqP73DrSn45zgPwbOaDWD+575MrkjhEhAV//hbWD UllekxDKWuDK8X1qf5bOoLuMhkrFRSjy0gFUU02JUP3u9ayeat9lvRe3gvheTQl+JmW9wHCRl6np 0xi7EN4DGIxwMd9dBD+HpthMQsOLrwJcrPAhN8Ej7EUvXDKK6BUTJGu6qr3DqE2afpD55inx62G4 WEvtuBhDHcjJngIQ4LcuJcWkI6P0zc3puc8TP4g60cw5xKVm7rZdmA3z/q8pMhluz9g2wgu4bQ5E AF612kGGlMUHv2vfcXzQ7KAspgFU+leIWAz9x+JHxHvN0rKAxLDzUOlbVYC6Nw4NHZIMLJKCiSKZ qUBimlwlfe4m6egiRO0J7m+wo/GRsUmkouhnDI/1BoLHqtCkc7xZrI2al5HLGDCWm3KAkn7Mx5bi 0Hp7fRKVVwZfO2m1BFoffELVAAmE69/UHtp1jcZZqvVzjvhVCSPecittrT4iO026ACCNmTFjmqlt 7TPME+cwBS5iywVDq69dqwybpq63iFlW6oJdSLagF3k1bj8DZAMayFHyK1hfDd87V7oMux16gsde rWPu+vU1Jds3vovWB/ibPcMx1HpsPSGHPErAG4h+AbkjNBwtmmJvzHADS8vkl+apw3ijlDpAy3Ig 9NFvk3T7J+CIfBS5uNxCzhhInzyHsebCUtRUP55gaKKedyhMaZfX8MFQtMmsQglAYA+WLQc89oop tz72tp8yOxsGJeRpJyfQR9EQouYiVbT8VGKtOR/aIaJL5EMvHHQnfmTVzK5WGjDNpfYKduiqn3/Y MUW2vcOGK+ulrtps+u//hPeaTor0+pAXk59Pw0Oc6dLj2a6KY8gt0ZqnmXqixed/VqlqDVKPWgHh +vBdl/vdBfj+de9+agKOR6UvLDGWrY0aX02PyDy3JOcSCcMJiaK646K7lmLmaNFsYYO5ciSzG3HR 2mTbukwuXnEcfAMOKGLyihuwvCy2cWnOFbqgTSaVboL317uHfzWQdYKtY82Ao0MWOhEbUPiWLt1r XnbCVh/TLlMW6WPJBCdmIS9nOI8MYGbWTxyrIe/HCY5zmAFxKcHECR+Lud9EcKetOIV32sKl+dLz 4jG18TsFts5tPdWqWcMGxYl92IIEArXn0ziuQS9v/A0D6sbmq09Jo1IDOsFkdvCMhBO7Hyk9CyUb rHGRYPmyf1aZNh4/qmCmlRaqPGkHQaQiYgRPM5Lm5TTjE7tIKDA4JnkFWKJYevbPlVZVWUAFEu+d JNMYcbAYGyAWrvWylZoIw91dYuorE9YLOPIAEDAQNGKVeJ9ZKZr0CuiRiaM85E7byNw3xItX3nHM UJPRS4fL6DATdBu5YrE97h12QN3X0gob8dgWYYyuqsejiUml7by4UkBm2e6MGegbeVNH4vyLHu1D +XWaa8NuYi4TlTi9DLaBrOhR7nmkz1bYGXzKDMhZhp0IHls/IIXcbY3VQDIcpJnQkoDg8luCRByt RINgg/9eTnlh7ZvSXQ65KgSIo0fMyZGAoHL3nyvAORP4wGtfab56gLmDJmshiPY2YIjlOHE1t8jO dxkgJDZGubUrfKy+Jxu/8zF8Xf/3yzyBYrZTI05u/IDKftO8G8T7L8+++TxvJXTIQyhdSCCUvPnH i6h0OlSHnFQEMrT+gFoW5xudnLr/6lKb/N/zgEJoIVxAae3QdMWT23+IpdJ2SftgNp78Upbdv6Cc vwzIy/hJvEp0rdRtBf9HjU3KEi/z1V9bt5cnL09QNU5uyj8PqSwfjViG4Od2gNFsgDikcA0nN/O8 1869w1jW/DDKj0YW/y6Zq/Smuc7CTlqePOaITvfKOvBHFq1qO4/evR4PF9gws8kY7a/5jRlPFaAM dg2brDBIiIB2qx0r4kc28M7nYGV5z4PrOLHpJ+vXtJr9aDZTqlkx29QB6V/1QWc3EQgVgwAZEd98 9IK2G9GmVu3T+GHNraXBU7fe8r7Rm7sqB4mSPAzDJFdEN1bKakTANr2w5/IALBwJKHXBbS6EfdNp Fx9SJxqQlmlMwzntt1jH4gmn75Aes9BuN2acHykwrDW11qCaBZKKSX+wkZzM5bA5YUrvB4kl34uI PHTwcL5DIiAACNvEruStFy7VPE/gJ+32pX3ZpBJ7EYynBzpiX5uP4THthfVcAbbSZ83GkF4MvLS+ GO1996KQBGPESTYxgBAddZjvs0viniNnrnfpzI/uhbCHWmRcKGFEXVNq1MAUiBcDkzMuZiaoKf6i WFqG+vslctVGAcqYqcKbYlgnutSZd0ev8u1K+YiEaXvH71b+WtU69RFjYy333WgNIAsPYmfi+4rQ ViSnu7Xtl2pe5uNuWsb7eJFgUjNRS4/aE4rJzOWvwIdqcPQpOxgNT/UwdsI7NAxcxxddf6nfbjfn wMtYWXwgo2Lmn249eLDpqTcjDOXU96IXJ/RbzHRvXqvQTBLKDQShP7EsTaUNMJaPoaiWcx3yKpqQ Ds2XGJDJWpttCjgCGgXmraBHMaKZNCbRBdEt0S9q77NWeShGOnjiYMND2bJxnM7Rv9ZfVuBNCBiI JwQO7HLRGKZSYfjSMm1GxRgLQQDUjmYAso3VAyMSlklyXHQa6WLuYN8jkzcghADQEu2JsUC7B7ky 7hFWWqKukYCkAk0xaU0eJ6G0vCeJg93ihmzrl/02hNJKpc43K/aXlqkREf4ec+OT7ywxMDIplHaG MzwFm9mHlDYsjPHstdraZ7fJJzjQ+JOZ79Cu0FQt4/ORhtpXYXVj0+2f8SdBXA3LshDt09Q4ZOTy gKVrOK1yxvRHXsWO7BElDlpm7UENrpptI+Od/BaeGjdBax1sonSLvYjPBgfyzn4263dtUSBpwQxI gmD5IEtuqZdBt0VaiQGpNQFUbHBwQAQUzhahy7aBmUwa3oB7rWbivNQHAaam5S7yYzqpcZNTd0+C zvtB3qtIzkRsUu/V1712x4Xa7cM0FLGnD8mtlihKusUKFrBwJhxPJ35VhPA6EPvbN/AvGDzryEdR 2lFc4pPq0WV+rl8EVEGoziCSKYuEFqSwkx9BgNkd89c6Mzi1y745Gbi1eivkbNzJMFbxnJXR0KFg irw9LXwEw1mvX6//L+68lpdGhRLFLEC3sQHSScXBSoVojQD34QabAjaZWQU52PqI2iTvgw+4r6t5 BnrnrlehPnEVxbIpI2nPNYR/RTUd4bTieXErW1c+SFbwVocmwzy1B6VBPkVs3x2uJHWnqnnFQ9WN CP57ZbMeAzT/dCZYioShFxQDVEv9hUTFKvcPLQgXc1pqVK/Tv56wyHgMyuvCvwt3qNkuak9zIiBP KNJ6nOaCseJ4OwLleFBuka4ojfFkqTwgszIkZODBR8GL9Uj0tNqC4ylgYyUpbfheZshvE4G2JK9K kEtPo8i0b/kowbwg5cPFLA4R+zTMYOiyraHDYm7njRp6g8n+wHnfaZDpKAB8Tn+CGlWXJq0L1rYW BPjHbFKMztHPmcuo0x9e3/ciP6Rx3foW7lnz7aQ3c1DDSUI2pPL4cyVUHCa6tOJP/NUVkTOhMMpq gt2uSuUfvnRrEaQtcSH3WaeROP2h3VSZq2fr65Y2p/0La5x7cL2I9uvnIxlBMwD7MaQjiuWjZJFP hBiPLIeD92rck6TbosxOUY0gTFVPIxR/boAIgDaVYO+uGQRQ3NVJGy/s0uFiTpo9TwJOa6JCsZHW R1/ilDGH9XqVLOJcp4bd2Z4EULlra4STKAG9qTVB1Knlv/EKek8WZIZf5wsDZkGDQS+hN6NIt8e1 VhJmtoXrXGGi6wPI+HWmCzdV5vIM/IuCVBhpdOGzN/5RVT9lDLC34WdZphK0T2PkpCpII2hiF5xS SpJfFvWSCUqI3gKroHd/ipe14dNxGgtXUgJNX4KLgKBFdWPW29JhU/cCpbaxuVyVFMb+dTAIPfvr aPFjMLLViGrgcsgnbul/MCM9LSRtsHkyX6OfVUK9+PA25OgYutmVDvrpCM6s+id/8h+eUaRbAVFl 3drcPqJh5KOaUN2Dbv3GrOtmxralr+3mQVar4fttvAlGQFsotJrB1mv2VoHFJBkgZh1rKssntK/Z QhgMmTlvvGE/y0DnqQR9ZbIou8NWgZpF9iv/yYgqjqgnE9DAC4tztMzLvGL771YUeTQ64P4q6ZQO h0FiwQdKFUuGK6aMA7Hrx2TACO33X1/6rKWQoD1md+z5ad6p6FQeuJ8QqWzcJPC79jFqQxApUhmD oEXgY1AKJP1mJxUqYjgAX5fo25JRuWKpsqmtzXQvb5AOfEj0pyYQjD5dPBYzNDl+0S6dD6wJYuUS KBdBKsRa/KG8di731RbCMKZ1rCRUigkP/6plwjs72PMND4lYcgqsRdfsJ4idnaqDNAIIApAh+iiO wbyre6voDQQcfOolC3CvU/3kcIaTENql5C/sg+JGtT1y4sfmAcT7DDHijrngFWsTvP4X0GDTXdic IRdQJb3K8wnCcJS2RlFHukNhM7WXfGNgd/KKptcO0Gva3VXPj/zTpjmcPgeGajTzB5qgJPmRe9n+ Pf7Pikfm8gX4uhor2LEsWVSoI+0zT7ggAsxedmWFhoaojTc81ekZGaV4+QbZ8Bb3jj3h+4sjyQkA Y+9D3McEYLuXqF2tcbNOWccBNhtZ3+ytncgLt/3oVoEoSrbCOrS6tfRvwYt0E01PFlE2c/6ILLld IbldLUf8tz026PLGFaehsnDm7OSq8gR2agPqGfe8L2tKbZPH/h9CHPQcRz0hkmBD/ZGGjG+69I4w 1Km8ODzSIukxaH7Kh4nZ6F21V3je2pm8kXkD9W6ElYXJQwRavle2wkOKHYmPZ8WykV2jONsaJkgU HJ61fx+6SVYUTB4LQHLuwhTokPGdV3ZJjLRiv8Py5pgsU/WlfNYCcojQkdyy5yoqVaQi8K1es421 oV3bjmOvkbBaGtOROJWexoZ0QxY9j2j7xf0PBCyJv+sEq2Q7bNHwj7Te1npsA8N84SI9WBOQKGkM OoTcKmJb2zhcXiKy44CXTgTT4FVoMG4yzC/MB0s8uNpC3+FqjwqKk9YwmrzVe4jJcPt7VvWlpe/K SFKRz7gh7WQyObX9adZ8/3llVFFuu7rbuAeY0P5TEHjsYaItk4RkOnos2duSzYfU76/ejH+g/zLl IVenDlQpX/khAXll4hS2WD9d/Pu2PHSnK+06YFRIUsbDhQS2ienj/ZHyO5l1rkiZ9dJoAUy3AWwb ZWlKV8NPWIpIJgG6r9W7OSlTs5XuELUhvBnoC3A42Jcn+mWWIzyllINe0rM081MhdJkODLdvlPYX 9S0C3wqUU3fOhQ5XFCUff7YVKjvzxcDWoyoILQIgJQ+NrcXw+dZ9W+PwL6U8i/RfKDujOV7vujMY T8c/Dxi7821bPa/9/Dw7nEMFSSHLOmqJ4yk4aJ3S1LKp3bHkt4CtxEFcU0AxvKYRDWZjpLPKEAqv MNF2JE43GDjofATlXCKDwdcraU6gpFG6qSaMuJjg1OJnC3OK0XIBvNp+1Cz30HQ36IBePwiK4OmR RiiLp1kIU/Cx6NCbnkqNgAGLE4enf5VBpFEpNhpDu4L4g6FqhmBJ0HDz2WjNPKxMgi2z+aIGhMQe 8KR4SbWZ8De2w2uxixcSkjJHf45kP5FM6oHxVYWurIN47Z6SB4kQwQ9lvpI5UMOrQ1AUO5LvPKJM 94ZCxx9n4YKTgP/nsFd6+hKjt4LkgT4aif1ODy0VhlKHv0bIoqBwcWBIHwR76cnEvo1Gbezem0Hw KIY+Lika57AhJaCkbQJF/jl6hy2vsZOCGHHDXtro3DlIdweBH0Dd6Cvo3G4HeJdlE8muTFs56r+7 hxwAv9QTCc3kM1hxqbb8IJitvXhg4y+R3eIzvOjpjKn1ggbNcB6ROUCt3kdhuaEzBMN8LWacSuUO dtPFiht0vJmwcrXUq+uOO7zPsIsOplQoNmLiTCuJhDBb+3Pt0si89HLXg67o7GeuP99zrFxN6MQJ otg7cVmE6zGXJq2kcPtCT4qtHR3cBc6T/igx2SE6qtexxFaqfcw1mz/J+HJaD371y4/OJM1/Iz4V kGstuCOscBzPufufyhTcmjQwgewRyumB9EGDgz4gb2i7I7r/altwjvoXxmFWj29qn9+hY5CWgwu6 biV7OMskZzfSUeaBEAOjEwSFrGc1HMBHch4GZdSw2Q7otXXk3Xx7vUH2CdZk2AUb6B2B01IZj1fc 1tccYEN6hK5q8JTqwClXX0dXf/r7Yu5EmW4ha29lFZ94PKmcOEU2+jDeLwei+KspaG6ZUse6oqo/ hstqcVILbRXQ40LeTI2iXz/WgRs8mLlFXKTSh5JZLFi7LyawvuLN6gWaI3TN059BNbdW2+zvfI6p OQ/41NBx56iCRaRcztbFqVjZ8Pd94311wFXybAvpKUL+arKpoQkNJz4tjzuwZlZIQpOl6dTLJJjY e4I4ZgkzDQpeYEYqhwIRSdIuEZ77Qd8gRl6HlLNWhX2N/3yV5P4IT4ubG4a1Xk+dTBD6OMAM9WfL HVLJ1s7JCDcyLQ97822wCRDIuX/4o3O7vCzEYA9t9dcTo/m7mVYyoTgMYJvUSrrFOTX/97aQIbB8 nnV2pYu6cTuNNlDsoY/8DND8Z7F60SHIpnMQf7dAGnmZQo5nqiyrAIoa42lXoubm/1X3vZURdi7d NQ5xiQEZPupABh4KnzNfbYDFfeJy8kqgRrvDKmVaKe1usUCT3faJ65fvcKPCt9tfCjaNZ+0NLKrj 6eHnaqzwcDTjqKcbdG4oS5ChjRTE9Szy81yFVXxuUrOf91ay2uCZ2pMrFunDkHGN9wUlEzotJY1b wSpWGfMYmkvg9DxRRZc7Ha17B/b6lNdQvJ9L4GhA8TmcqrsNXp9ZOanZ5X7+oaryL+H9AMya3IBP ycixhiVUeNhE+J4H6DscweUiRzKakebh4s2VBeJamcRq65uxNJsazbOGtyMYm72ObwRYJvIxsjAK 0Qtyx/0XM89lwGg666SQIbosHZN2y6kdVEd3m2KJpUPDd8dvn9h1jCUhWSCZJZrvf64dlq7RSai4 LjeGGOsIigTKJwPQNEajUf3wnTeiDPOY1lrkbb98xMpqQg44godobgFcpq/ZffW8WueS/jaFAfKp 9vYsQAITP9skJ8ScaXHdxITg0B2lQPiPy/tGUAQi/dsBExfHJvOvDtQJaMoyvJ1bgo6gUp9oEM6M Ml0rHVqgM4f4mJ4wNFbHjpdfGjTl3p6Jgpdjy9vjFmEHavMtJShAw7MD8asVKl3Xre+buDJIATIB FWMpqckJRlMJwSqj2FfM94uNQKfZ/1fb1AD8UhR2Wm9OxyI2DHKCApor1yMg53kyt2MX1k48hbGI vHusF1g1GMDwtdJoIjpMgHgCEDb8RSXfggPek0URJWmXEaMJnlHFMJVVwtfMmV+nJNF2iSa6Vg0s Uib2h+B8VmywfnKAxXuPLksfUcxG8wjMfQWZP8p28T9W5gPl7p+6bLF3mjHEJYA2C3VKLhnGIZVQ rB9Gmo29I3BiPeIG7StzL8OLpQ1FFEKyH4smy4sbQ0rnJmOgF90RWnJ5brYMMv+3mNWnui+brwZ4 bzcq4c83eI0rUksK1wF5RmZG9g0Gp0CwBLxoDrXyPgLoXImomHWRfY3sA0rvDcWJA+89LgQQr7FG Tsr227LkIKw+KWubYrE/hM6/jbCpe8jQyhJFvYhn8sHkBt7Si/eidAWMgWneOQM6LiMU2GRokkze NGHrds0cudv/LUrEqLy5ON3G5jGY3WUdvQJMIH5CL4X4PS/LDgm0bOCb8QNi8iyVk/JbSKjChu+m 5mzsCbS6U+dRBq28Rlcvru2g27/57OIsY3ku2rrhdo+N9AkvhQGK9NLq8vCWSas8s7XT3XQRFIT4 81YdafT46casTXPpXITv9uZtDfzjpBhuNCTQ0fpIT67f2S77UW0rwUdNBcdMmKV8viOCTuHODghY SvkYeSH3GrRLZq/MRW6vBzf4DYz6/psj02uA9jz9ES9FTmdFj4EPcUfBNk27IF+7rIf41qRbdYaC OuZwMR0YEIlfjDRQ0EFGsP+BkrOli9ocd1LSh7dcL+GGXTN5UXudfhg2ZR7Y25/4tZqU1gKmlZn5 a2V5A5AehqnpcSGB00QTlBdiztfav51+lwdHADmIPZ7cq3r76DI4kigYPcEQ8SAOwAAt2b2DAbbt AnJDLCuLrqj99Fv5wT0IC7M3/+S3/8wmkffKhWL38vwfw7El/2SX55H0rEHpJiaD+U2t+RVtoD3U gZrlhB3ps79sAUPbBvezitTsScqQ3wKPeERQoCOVZ9l5Fmo+JrzyPVM7cp6jIQanD7Ddy4nXFIM5 mp4V4l8c6MAQE8Ar9wPDoYBXQnntu6AYlxzvqrvH6UP8I2tF6Pji0brRAyQiVM8rYTjXx5jgLqsa KPdY/P5slstaS0MddSZGzk2bz0CvOcDVKaDrX7Oxf7Mq1W5vtGAaf9LL1ETC5BiH9sVz9nPUJg0U 8Gi2mHQyq9ttyvr+rvT02CGq25gDP1ciAJSUxNmJC6VnF6W+1EbbCtMQOe1Zu1W3YUQiI9ZzJezX qzyReQOkSQhXqvUSgO3xCZId5GYKJPSHPEA3KN9N63p5xABIYqThYYMypoZn4wWO2o/C8Fnbmsn3 SwocbSH002hHW2dAamrwBjx3m+N187XA4axf7G8mB1RGWkN3R171kGDm/CoZYXnZtNNWZwnj+aBX c1zmXCZhGcTWDUmQhR96chE8qiQyy8Y9CMhGHuQaftig/oSC4qUVg1NDwkWCEz5+9WrUF4rIe+CR nJ+ATWxyJxINwAZF208kFG7bY8tnTaDcsm/SmWJI5YV2D+OxjdFQHI8PKwK51nKD0DnMGTpbsts+ A9WymGCrDctdFd0G259ht391D4tM7C+K+Z5xwZKIq1D7v3M7kG6Og6yBnS81hINT3dvNnWUWhGbw qbFaJQmdazAdgyMWUGuSQBdLSyyeQ0d0DxP+3DjzaB4ZaM5tuKRWVKYLP5msh2+AMsZmZdg+nkki 9gtlPXk1zyQGi/vuEEmxLBnydHwERuW24s8xS4bj4KAFjEwZr/mR/IOz7IsnRWMPR9onVHyR8C/p Joku7NyDsS4RPFx3DaWY9WaiXA8pXwcHYoNSmufN8pZrtZ9BQnPFuWMfKCEfl2XEQLG6RThaBF81 VHetbG24dijWqvzk5Tz6YdmnZPaww+KngsMwtUS41HEqO8I8tjtZ567r6i3/pN/N81XzujZpmEub uppcuiQMY1nkuyF6+8YZiazjlGYXSj+eVAsE3qefXdYEbd/XT1QxZpoE9x6WeKNanXwK8qwafkIv A5QvCsuBao61U27SDMqmG6qVrK7UhptuCAxa6ch9MWQc/2pZRhi/AGV8GjN8i/ejCKgqrmadFi3b 1ZU8LfDJr8Hwa5AH3YW2a7bX/65JhdYFPXxXznz4KQaxZd16sSDx0OPDtarQN0q2mTw86XVdB1fx SDepZSxRd3lJMII5ZLseskPs6D2Lzw8M37+mE+V4xy91UdOOScoEn+Z2eqleLreV34dTDYel1+0z V+Zc+4I5legZErFmr8lOOocE4b3ZsuP2a28Sk5U9LbT60riCDQFmqmLA4n2wujSKgWzXagKfsNVm PKrOl/IMO6Ll2trXy1IF0MF+DXRQLKQ/wzQlNOJdqwA7mhXi7nuT0BUFGTrmgKyoCbfpH4/nJSIh AdsQxXw5v42f+ltxdYDBpgvhRO4PSwGAMM0GgasvuLTDL744/75SdsqPwQRPgu7wSlopg6GVww86 i9clo8T3uzFRP1WTrtocpTLW1EteT6Headbfb0yh+3VrRRrDY7kJnbwK3N2zDkJy8vaKyKAvYriR tpoYx0M1cOdv9dwCcqK/Qz3BK7BeBUmh9wpO2O9oeVbFzQJf/tIp0dMwCLaeYRQ54v0DdPeokWCU y9JRB6ycEn0b/AqF31j6oBBCnEX4+TzAyM+VCz3zKizO332DAlB808Uytfs7QIianLiBF2vB0Bbl XQ+hlW1JnjJP4b5mv82BiZVMU6/u4N4xGw65MqZQHtyidxqDrlxUGXh/XFP+Nc2urkI2YGoPA5K7 M3IUM34+dWlO/x5KOprLHvC6aAQ4gy8CBJvSj2uEs5nMsu68szTndbndt4xlXX1mo8LW7qCM8TUI OA11M+sIq5lsw8wlIuJSXs94OVXze3xnofbn/ZoIK4sNlQkN79aK++lXl4QWO+gZYlZ8Z8xeDEuc 4I3pTRLsW0XZDZbgil0XJ1rueF9CFHsa7eEfFLgN95oQa+OfnymjyP11jyODsCEz90DKihQi0oaF YZr1Nay5lIR0tr7MOfouTzTpcsCI0GpXzzRlVSSuQmfu9hWKUKdNtYvEDnqTK+L2bs15YV41C2cf cSm+shBygw63gOYmxXciFZvlR9JqBbujXbjSHivGoSiOBU6w4d7Vp0jBDD5Kc3QK0mBsbAgJYSl5 5m78vaIYyPirzAuUuA1bhSy0bbYDJ4hJ7BfvgR7xPkSID3RtOaJqUJ4vYrLdb6TiuxmG92scylUY NkiarR6FrlRVZkECPX+OLCWiCFKN0f98t8F+cH0uYugwAfsLH9UXYoKIDyYelsgd8HHalZMVV9wO 3H4YMLc1p1T96NIT5vcnMUHpx0aH1a9zSSl/Iqq47ZFx2VWTgX5bg9XxxrPM8MqUh0l2GF8WQHLS oWE8IpetT1CaaxmSjxNn3BStJefdrN0ZnbQyUgpEdWap+ai1K45MvUrJVk7PixI7ZluYXEWyJGPf ZK64+Bul7I2bMpvtVaRKW+pCfB2gHpivudABRs/TuBeFWEm8I/7LdTCV01TyiBTSSuYcEOzIqC2o lN1r/VUxlOhf/z8vP2c/9X08WSBqdrEqH/N5s8A882vKcr7wBV81JEyu3yM5lTXsd/6O+5eFOcag sdT+439YtQgqKIcOIdTeZfQsRnzLi3HmMRboRjXka2zyRj35yiBm40Uy7M82xekSpKQlFBBEWQEH pUeXf5xKBb5IcJ8A+UAa39VtoA4mBxYKRl+p5/cyuHGHqCykLeZXVrFR8+9iA3GNUAqlguJ1dIZe i9gjpcIuxIs+vGVHSlNCICOqllUVMX3vugl3COS6JSP89VEa6+Xna+OSDuL+/jZUYH/CMserVNEW oWJ+vU/KXOormQ5smveMc+yVmpSLrgA07IcV6pPP9Nw22kuRV0LpMLHkaXmw0D9BWAGoduQhQTeh FvMr/XwM+n6ABcJKFpt8S+T4+4rOsWno88cOe+ZwY7T/+sTIRg/5FDP9D0dcs6bkndenSEBK5OxO 6O+lxxGk2TsHMHZ8056OL4RzrFmOKCtqxSGShvagsFtXDS0V/GfYIoEm9GU+oNJzi/m1c2F/1FCD AItrobiqNQTJHC/qYw9OR7ETCBkIW5EKRIOLdgMvNQubou1u0w32G+Z8731b4C7MhcZZIOFUaYQo m95KDScsi2GF2VvvV2Plc4W7L4wbEX4N7MugHjBsjS42ZbuJ0o2Y4v8k9H+c0XtipBvdexx/V9cP 1X+6xNlBursQDknFC/I1kmo6kMJX1rsK5OTGaxVzEg0k1fZ/uxgLgpt3jiBVCBNuzqb1VRTcDKwr 3gV2K95OzVrVsrPR+M6qBi4LVAiWFLzGNBACPPGtPMxmhaAc4LiKFNhu1wLwInYyN9cUOI9RS+K8 DH1S1xh4gAQQte3eywaYTohzqhye3O/2xZY+9vz+5AoOGo0QRRDiJAltmOkk6Vi4Opzm2EZQ8DZX ZUpmaQ9LRUICrjWDZU2JiXFal3Io1+7sCWGVL/yGJuc5Y9CXr9DrTubUiIhfzgPt0qp60CKlK8hm uiivXwJrT61Vjd/6oH+zHWEQK1m/tCvPktPWPrbn0fzI3ZS2xCRWIVNXZb112tE7upASDa86y50x DfhaTtnO/Z1QidgvMY+fCIYcQNo3Edi86KwwF4qDcTTYwe0XddxY+NXHzVmztQt6W49mkr4uG9p5 YFMP2O4MCBoYLnfTxQbLnWydA/y/vHyJAPbHOU02+PO3DY4bd7LS5TWZqFSV21wXNgACdg90yhSa Nu7XN/XFoNz9HOJhsT+4cXS5dY9qanEP+NDpdJ38khiwVey4ikeYvgUV+p1FfuQUekPj8/PInewZ c6hLMzhLvbVwjNFxHvJlglmmkpE0blRY+YiDIQZu5fAKsccFjSO11jphxgYTIcEw2g4/hRIo9SPO 7GSNXeZaaUIH4toAB67tQhI8qPZfXNfxH3Zg+mdHEQ/XQgNVAgejzfnpkHrNhpJhGJ5ZLpvAWKji i9B/D4ZRB6hQsrW8oaXg5dKLcNH4p8hqKR4V+kVcmnSRZ3O+hjMU0FprGn3BrjOJH3RWwKXaV0i+ YVR03C+XJI+z9WcH1KWPvFTUf8rx3GV4TnPVq9rRf/HbSjqJH+epXE1jp0UjcC8bGEoOk6SDIv5J 87mGZ+2BFp3/x914hc4rr2VQr7hP7Pyh03KpA3gEXYi5n0mLm/YhuUzevIoK7cbaXQHy8bYpoS7/ QTEUjtl6JqzwpcsCPJ7o2htgZ/Zq2+nqPwP9Ms4K8E3OInJbq2qfRtKtO9qFy5aFJmx4EKFE1oqP 7/zU0LnYjWPIHbOB1dftlnmtBNSo1jzs4mPSof5sOikntN9CKRhuA0vZb05tC1ucbIeOqIWm6Jyt nhWgcL6rcRasYNYlbhtFsQixpfyH0mwkTEiI90RE5qx0JsOB9Bg9IFZNacd37U2GBCGUyrs5Y/1r HCYWG22z8wXDAZb+GjXySaal3EqthYGk2GRVhZWW1RnHOkV7UqzhvlV+LynKiBkFF2CD14tBg2wf +3r1T3/bMcrwyY9soEifcdRniFkbiRKgGfO58+/h3IJePg9EWD00ti0zgWz8d6PgqsPsBzMbcIhI 9lOmGX7dSoP9b7SxxD+a80prSbokMxlDY36n/7u6SLVq0p4UJS5cpeW1/TUoaiJk1hoT9FJxmq9M YV3FABu/rpJLSSHDNU2dF6MmD3OJx/RQKoo5nowaujD4MGkwXAMe78J/K9pu9wvV83tJZJKcf+L5 W7jCMea77zRYa/YjFhYHHXLkY+rLPuRVDGonYhuN7HJpkTLC7WlWtlTNa+OsMd3xHrE5gfFK1ZOb Fsab5NJbRVpqae4D+U4ye6APwks5aMbShNeV5tZPxCtZQUEyzJFhxpq3t79fxzig2ndJcAf0dF4f oyRDz5tX3dYAFbfOTTYCNCY9KglCpc6pNeSsZVcFrwF2SHw7yeSRmCZA4KXCkgvDIVa5OOo0JNo0 NShcWXfGUTNzEUPhsjpf4ESXGm5q8ASR36oH4Y3/CCOgKl5dHS4KPpw7s3VOfJR8NYxR4TlVsQ1l GppxfcFwCs3Q0M/Zcjsk21Lc9bb1vNwnqgOrVA8cDjIiwctDH5ShU9n2d+HfoZUX78FEWL5GKbXX ovo0w0oEG10+M2eGhWygo80v4OhE4GzVoBD2hlNwok2cObF1UEIV2l4rCGKfjL9JrwrFfUhpd1GQ 8SuxW70u8L7aC0InfgKUMmF6ITANnKjDgkXblxXKjgTA2vWuQSReAzpBVx6/oHxGBChkavW0B00f RLQJ3jnBj1f7JCmM8BtKCTw4441Tbd0ZLiXCXzvztR04tv7TNYYZNkDeGvwHcodFfYnUMg9BxFz9 zQIRj/k4n+EzKU1IKtLMeQuY5lJ6mGzwF9I85KXadMuCs6CzBb9zyKtRB2yeSBkTQBOXdZeVyhc/ sFI9hgpifwE+mfn0q+Sdo/LRf5BV2UC95k74tWoVd9kSL9yQzXq2aBuDYUtyJCgsQKmbEaQtqmOk XQRAYP0jznzwd3pfxHYtnAwL8jSR3VeQDE1Myuv7T5+vbmd4VVmrVqlUN10mjaEKFD3DGPqZxKEf L8oqckl2efpe2zmKjvdz7jXFebf6CCceDOMNjB/3CpSaVmlTNBYSfnFCJ4AgIWmyixEHqWsq6wDw 6Ngpaqa7NWqXu5lOZlPVt+VtMfk7Kw3QjNZpF2HOtXjAtQ1ylnKyVuutQ8X+7EPWtl3Ppt1670eI 3fpXoQz7/syvnIQsbmpBYvdSxe6wPaVfBC9s3TBnugWx6HnY7YaGSiLGsiZuDPFQP8Bp7HmFMLft 42TeG54bCz5jM6HyjErhO8L1ZzPniyaz6q8WERONmWoqPGXCrmjehpo3lQwqc76NmF5mocMmryWY xAo+vq2j9RTR9XchACA/+FHHpkSruJDMfvxd/rQTIEd1iLXDXV4BXDyOhK0tevC/in3WMIiQiv6B VfckqF/3c6sYIId/fsYZi5C8z8NwYoDTO6Klu0GRRwrigWBHiDagJdoxtrIQXOW4jWFh7Fjeo45a Y8Ls8WFP+MkdAu41hKsv8p2yTnls0/Y8FxJLm5OlcJ7iXKipaHjzt+wrZXELz07x8HYNwJ+Noi0V rXUdDq0rg+TGJDEy4k61V9DryoC4UXC9WDLgCGDT4lSSbQCba8G7NEebQn7TSqLHxwKwjQBteYrM jPIW4A2zDXiiMFYR/KhcVbRHiHAn2tPSlKuajmcNKXQmnGKoLj+ztiO30hAqBaHW/MVOwa77eNCH 4GKNG3nXuDSBNYh5JXdBja8sHiZ025n0Ofzz9eNCTMVzfH3SzoxZhUJ3QGNMgGT/3qO88s8rj+Og 8lqSNQ8BFnOUEDeG9rHN5ejfe2yxydK6ClRCOCPvsps2HL6yPWCim4Zth2JZ2t4C2Xxquv8FiDPG rS4HAUwlfyGlz2VBQdDLfzaueL3KVUtPBV972trNi7PoPMIgyHavllBF3RudSY2yGyVPAB8ZvnfM 3jZXQHRrPSG9k0zoudVYCx6vw/lNBRda574lFtgIdJkM3qOoxTHToAINZHgCQdmoCVnFyjZBVeLA MJ53NeqmwcBUiLfM+DR9cuBRLKASC0E7HU2iCKE/NgdQtAmNGpH2Rc2UoLPmJfgyDeldlyZeDl6i RtytDpTtH2T6cuf9DPXx4KWtb8IekkdB6XM1CKborL1aP/rHdjmU6krtmrnrAYRKv5zNim5pO/JC /KevKm4v0S3m4kv3VFI3DyfrUxmcYMXOwPnZZ17g1vJTOPqJvNWfwwJRZt64qbJPypemsj3abrtm tTB2H0/UI1PCTPzhHTY+HQM7/1tnBcrcTmetCAA/HkvDgFCa8MFuicS4pcvy+bkDuaz2aX3nGEG1 oZ9IcJb9FPH/5W+ja/85Hoq7N9CHW7auX9BQo94BknxYzpqXRX7fNO1K1cAg5NZsO38v/ZUWUwXG NhZa6Nh8WflVJduZ9Rfjr/R3FP5wbJloAIaKtk7BOTi8rOoi+u3KwvULXc4jpySsQMajs+s1q3Yc b4L6Mak9NOZhEx1OVzNgcReAjJGlBmy4l6I+3/TAOQpK0ru/mBrTDIHI/MNZDkqzCEbW78V4WIwU cq5fdf3+SyTG3kwb6W4b9+n4oO1+hRZ86Hje8fqxeXu3OWx1ZKUCXYrQCET2JEG60EPKntJeelOO kaTmQOkfOD52ywEC15rRegTJLzOL3LI8z4TzDg74AFrdDeC4PF6euotpULMy1M+2n2CR+qS1JoOQ O0v+4UVgPAe+q4jFLuivKUWwbL8jL99TReCiI5Wf95B47o5PIYmAJn0Jza7eREinN6A8UTnKNcZX mHjxAus3yUv5sqgBkiVTw9DeOhh9sGCgSmlWvJgIA2WVOzdQLEedcJFeUb7guZGnkzptAooMDRJX S9PzxU1WUOzfodq7ZdLHL/VqfrK9MgLLkXNtS3BGmqdQhJHYAbIDRXjOulml6AD+q7Vuj1ZslUkz 0Rlk73nwdImPrR10ekVL7HEPX5mwkHlkgyOVwgssxWImYoblGDP2IsLrEDysDnL41TJiFP1OZa3D t+zdq5MBDkJGFe4c/iXuKf8zQj5YDkSMPtNbJ8eh2ZRmxQTml5qKK9WDG88ZKuuSRsnS6RLxtn0J BapVtNIWe43DyA+9bvWoZ7c6y2H8fC9bnkAD/x/tHpB4rmTIedz6afE3uNs/fb9Q5byNUAZQEA+v ghBNyEZFxkJStnwm2TYliTY835Ut8/aHA8uIddtp/P5GBRtHiALUf71FalsC78Qo+iK21bTSIzsT SyMzp6G2O7cWwmieV9QuO5l0dNZBGhIbn61O69iJb0GigNAbq3kCqys+cIkc629yiD6V8cjYYF2u IU1JJxRk6BsOnzUAVVQmVMCj9PNq/NF/dm9FILuXkW34mNAgOwo3779DW9U9Q8V3ow4uSinxtlKy dG3JjtzUEjT+T7uBCn4TI4UKrGpMcLEtlPzM4wJLZB1B2Ypew/yWEurrbHm1YtsE5NMQUJP7hB1t gUbre+Ie9WZw0z2GZZZXye+TGLGzM8XzrHBBwg+ppPtFeTYtNb48f4cm3ihdVYbGD0n+hsCTZz7c foi+YZ7lIi4t3JgANaCXyvvwro/oLDlci3xLIq8vQjLpjxVmAHE2AS7VDMAxFvwXp/z8HoDXSx68 hl4P01M6uX0Yaf/4TT1kN4p3S/0ETaJJM9SWkqa05qKpjUVUJ2X1QJIgcDnkIKOBpTvqHBM2o7xz Q3n+DSpK0TbFfVI8atjVAHpEvPqmeHxf144xm5EWPECskc6UHQz4tY+4RyCvKIcWZZTUdtAZ2aYI zQgukqRCi7wl+WRZgMKGITjozn1B2x5F8H2WtGJxYyU7sFkHx5CGnHSG35D9CKGNfUTlBJvsb+b8 OAUGXqV3Dv7jTLrXKxJud2mhT17yWmw8MNC6NRumhQAoQ6qkyqbnu/UXafcreMqSQ+jMuKe7hsUM IXv2BAxknC+BYGpkt0F8QqS1P0z0t6iMialEUxeYgebtpGwh2kf5RekeD32SpW6x8BWbyZhOSC5h EIGxK4AlJmvNKlc7TD+Qpon257HdjGqh2tKm1x4btimN1HGT+pdLPOVQwa1ZZG9PH6klA4QRzoGc aEWQ1kbE/qDE2u+bp9ssD00d4h7cdAqSiZB5DxW0WdIr07WEZhS1cyJjyFTnZV9VIQvJxJpy9V2m jOxBk/i5+fHQNlwe+tb4Jn/3577k2aqx9N+TR6v2DiJidiAr0NLdxfAKenNutJ4kPiWUMdbg1cHG vIkxKmQ2KZo3mbW8vDsYC/5Emkf1tB3NSe9QWANEqLWlM181T9dq2KovV5QFSZmH7LAoxoUrJOGe 9zDSqOx8m/wFN9wrEiOzjPWADmfXLdatS8LRvlvj9NAGzh8Q1QDNk5b35RoZMdp7aD61nELZNL15 C3Gzd1bGRgWmcC3EQdLMZzQZw+Ok3Kn3ald8YZ2ZdKqKSa1rAs0pvEGcb6DVf616oXOTXMdRThQe HHAUqvUegfgNLvW/uMZ9bknrjQJXkN5568k0ttG0Wr84jgu1Y/fIMSZJdokdz0AjO0g3u3yuYuY9 ycj4FjiLtZE9HRb3idaL74bCcNIgVrq2jkK3mau40l6j30uPMvQs52XiyN84Bj2GcNTgXDV6Hz5g cYFfD6jjzKIhiweDH0rNkC00V2YSHVW1b06YEmAGVLkhVTUrhN8Zhv0FNOv63dLrollG9F/19U/J 5DFrBCCJ5vGEI2pExTWywJSTRqb6zxnXtG3I7GY6TfqztL9PD2cZJxmgUndzgBXEBboU7vMPCK+L DLotGWzxOGU2P3JsNklOWduOJWmT9c3ncYdroAms35bJTriVx5sbQ8qKsHCJDlveXOZWDvP1s+v0 3DFes/f4htC9c7vpLuT7fZjAel0NXvovQwF37p1Zj4guDXD+kYsaEYaSvVof6aOaJX1rzF7tMch2 Xi+cRmbRpWlWOllEmZL0hvpXbboP305PjMmZFW+CUL5NA+nDwosGKFaoKnINaJq+eoY8/SbyMBCy zdB02oaUWDRm6AA1oVUgd3YN1WKhPUXqLWOd/vFEnYXQcq8DmKviNGS4dQUkIrsJvGFHIVgLgkGg bt5U/aHtldIy1+9MjCxBTX6favx8R4rM0xC2GsMQLHyYAV8E9cVQlzUGIERBkEffiLOfu7Y2JS0L 8JnBL1VHmAg5isYU91VKPDDUDDuC6rxkU8Nj8O5yasG6xICAgPojwe/cDFCoYh3phUW7dwX5q1kK ThS8xpGiGWWr06sCpt+YzsuXZ2Jj4iyvMyUz4NQWbVZceq81pchVC9bKRuvjU57OWMKGwN4hddYQ 172X/EeP5V3TLsP45l7SFxJs1v5a0SOq2TETvd0LoOcxMFJShv9vyeXMCy9ow5lczXqeLjqFVy6P hdqhx+VmzBqqO1TsEsOGnzGS2OdBto8DMMYHly6+tqrZQT1n+6n3Nd/o5W0RBCoFKS1BI9J2Az7G nt4wJypgzzk2MQAzimqcYu37RZXsqOfeQa9TPUDWc7cCw+LFS5X5N1F8DRbX7M4fbKgn/cA7gs9Y 0C4mgXJ11NLGOGLdAIQugEMrn0AM8w5j+NItiqNf/mydDZ9agt97STQmZCo4OOV98gDofIysPLLN 4R+7TSUH+SgNR3DExwyz7YQfzWOSK6aMVfhGJj88xrxsgIBjoz/yCS1xwWesUGkXwZg4F1vRSiTr jXl3wtcwMIsJIG0TvvsxbhNxpt7uEOGAnPigvKfweMNjYXVltX98SIyq9Pkiqm2X7enwlQ3ogH9y xUcFCbL2lTbvkVotZckgTrkaYjJWHZdWSHkMR3qJyz4m0TmB/fmo0myjHEtUsywCOx48PCQDKq8F YQJJZlFMpWa9VgFGkx5pK1C7nbRg3dtgIz6bwCKG2A1XXWphUK5XJ5kwTIS01ZimIGF9x2y3W5mj rYIGBOl7BuHbk+b+rXwl+iOc++074UDPUcJcxhwrCJ4viXyJgCgbpPrzBf0P9Kecf5/kRZhai2Lf HMtTzQucOdbPqhJtlftAax1B0ZP9A894+T0l0J4feULhBBVt+RnwMrRtrNTMH8Ff3gifTnnvgEHy lskIhUIyojMC/6AM1HW37Jlax0cO7pEcc7jXzSfvkqxa84L0rWlxEwggGhigVl4VOp8OgKoYWQvC LUdMFlBxCQIiAUu9pOao7Bn6XIA19n1YZq804LTwY/1bLOlczRxRbEftf2LKk4vNEs1fG4qezxuJ 7RjzXbWaIWWHfYfCV0CefQA4NCCQ3uoL35M+v8yhNw0Jjo0sBhagkJa4bA700oUlHr7+RItsTIw1 VK/4Zy/KekkWEksbcobyp/EGR3jyD70wX2tCJm0T8WDGScnCa6Fn4R6vUBYS0s63/pg1RJXWKqzr N9qhwBNua3v9Z9UU/xdTbmuROgznvIhMVx5DvGJJV0+/s5jZGzxJ5khTtAO6YPm59ybT5Fr/D+Hr VrYhenF3rXl7edspYX8WWQ5M25zDWFmhXR0VExKc8CTyBSCq6IybSWHjlnTVNU0luSHqnPBrkewF K4XaH7GdoBRqDnRZhvDuCgFcTOqubIA6kcP1kefda7eaAQZOYtZPSqB7NnAUMVGbPXsqT4y2Fy0M s67FERZ1Y/PA00y0Yl+EVcXfLFsRvcksZk0+Dp8HDpsn8zTO8kwNWZT/mAUCFe0GqApywr6bpGQp Hy3kjRUoMUxg7w/JnulT9QjfARan79albi6fxN3SE+3isX1JujcCptvhqobX6RqKvUZhji0NOUul L/U865YriOs9xh0kHeGKPNbAaTN6NnX69fCRtPtyeRsuFcNje/FPl1JvgdWh8PnngvS9E6qALMty tvDy+6eGCsZJ8Gh4ZY9Lj664fZrPLiB0gfrgzrQZqI3WwG9CVJq6+ZY47k33K3FpHh5NWTO75oDv YIlvN1g+Fe8zR+m1ujz1sZOVj/fqORixCa2mVrOzuKB4YgAnRiixMTye/qKugfqDIcpog1PB49Ck po0+XP//aYZwlfHjiJ3vrau6W1VFIGuSNlB3f0ornpQt7c5UcMSjUphXDmnU2UKomursJT6/BXOE V3LxvpNgkmPziTB8+U8KabsL97dPCLkMrcCy5Mrk1zO8xubWGxqhWXKaX29o4y/VmoVO4jqMfIST ivixpzzL9t30kdI7exznVqAW9MZGi/TkJfV+xoCwTDPeGrwVdwwilF8CHc5p+MvA8pTfoFJ5/kGf u3hP39S1Kd+q+yOjl5Mios53SnMazg3XkRSwSxdke2p4NP71X+cvRiGpW0twRzbFrc+ux7pWuA5U 0AylSA8GYpnm0gXDeaR67DxZfW9wBWXbRH/WVI6q1IBhYpo+5DQebvCc4khmCp5Q6u6bmJm9vTCi Z8yCI21Vpeq7/BDwBU1XKx2hcLBCOAuUI0+/6cXONg7AZ0fDWf5z1/vVRLbkVIsFFju5wWDOljM/ nGCV7ck5ICQwmhDvA2fCxItbJUveipgDp682dvN6ObGnHN2rHlxcgGCt27M2uHSvEo334Ywu1Y0v fDuhjBAbUZpv2T2bd3PlRU1r7YTAg6103pneEWsdAFHHvfRssymlmUvzM+oTnpaWZH6ilrL2EffD HMRcnH+TjHDzee4FbqyBFGdfPg/N5SiwjY1BdtbhSw2a27hWbbwZGsYJVmlINIWIOqU1xToV8qy/ Y8/U78a7g9eDYIt+3tGJ2MkvvMi09AE4AsAArLmlGo4yID6bIi+w/7b6eCDJSwg1gY1goB+u9jKg 9S3Mywo+2G9oIa2IWjVfW2XXvV77IZUeZzxtPkTD80SFXbhWiV9T21fM0SilsTlPKPxenR7w2S0H /9xppZxZWKxNYlByjRTCK4qzywc5oBxhKsNMPwVERqXg1hdJxnkO2UjZhXISgXSYJX2Ik0Vs82/e m5IT0LnEtcg/xBUbJanf6cUu4sOcHPXXLPRlOYIZjG92rB4Ddi6sI7W65GrDFDBRUyKgIMf+2ljM Y5Dz+jbHAxmxlCfb03jN+X5D0xVR1abJjTkXhl9z4Z3zPfR8OBxFQNTf+icYG048Wtt1zikzAOeP gBpa26zMWT+bbI0Jlw92OVkZQzOcJZ7SC03DgcOQXuQcU502LkOV4WA2/RFm7bBChOBKAoiGZQQR HRrDbk03wz0rvfYHLQakZHjA54WcWeDLTGjKDtpHiYCxTWLhu34MzP5D04k2IRqFZ3vKYpT6hVfP 1FIzSqzyOU4PdWs+VOCEnpDl5/K3+UxVRupYiUYmdxC+3NgiH/j/dxKKshgGdM/fqUUBWTKbyR7L MdbI8yoiWf+dhoOw95v5hLFxCWXkjfv4LUMlR/B5dBTiNaZw+LTKr1mwJx7jZpVMAXogZUPfRPEb HMY2w5T+RfYW/fLrOSpSHK/qQ6pxgxOtr1wE+IqWPLy376rEuV1lslQK9g2TM87SquVTlQ/ag9sO WimK8WPqg1pt0+T+WpSnrKNw5dYWLwimGXZ5Bf1o0lSNZ/VyW9k6Ta/7mtSeMjHiHiNYWWuS7vJk mKOumbjPpVR4DMoHIgX5F4lfKjHU0yHmXiGEYQMjHBOiu6jk+zHF185yS9XCCihwhACI7akk7gNL M6WaNmTUb07Dsony5f8Szh2w5OoQRidnXZ+rHEs5PHdClSepaGtLQQxTJoVKqTtUHpmxti4T8urB SzWL9R3MwuG7mhVmJOdW43+Y8/ogE4pLGalgVJi6Xp0fPFSzcBoywPe98ohIu+GfGF8sSRq3Y/ON E+RMFp4TsTklhY6/e2KmKPoTRaGTTVnniZO7VSDwgYNrpcj1uDyUT5FF1lH1KudBENqbjmq3O2uC d3Sm0tD9Zzmm8hQhq4Ad3U8U1Suc0z67kNkRZoMh2PraCyBvrg3TK+Zkwyw6gCJd6sP8TtgPGCJ/ OKHvkPQ5GNCd/YSdAptMp18CwnCItujJ+d9TmNvT9ZO9CDP2wwARrHet9ZkoRaqGplsOWKu4xa68 yE0kYNte0/bwXCP8IJjzJH56UDWpNZGaSXwac9rS9RK20TxGfzBANgLxkfBgo4FBqRTBqR8TDjZu xiXipAY1NlzWadHhV0TgjlBHd6wIogyV2hy3DwoCWMgFLMvZVDxoec45Q9ye70PJSEO1STK/G5iX 86HVnW4/u5sx9nLbJM6wR5PcnKyOD8EKPYlw1QcRlu48EdwLjFUfE7bCyoNtvjfbs1XNPYD+3N5K 444CZGxO2bhQ+JUrzpj0OGA/Oov2+7duZRlFKZvTc/gMd+L5ZUdMTuqaKtgc6vyhd64nCxvYSf+A vzDh3xymDcBOfF10XxPhgM5Lcg0Yh3PMnz6LAZGr9PnBgu1IjNKAr98R+Pklelc4j5GSAkpaQxTL IwxB57m7zvxFXC/OlCl/XgpXXn55jG7J+AoEig2Dli86iUnUIpgCO63OMvKczeI9KgVhOZTTZIV5 2prbUQ8xRuxDLHx4BZHL2XYKpz59nSKKiFpc2Lr5t68/6FeOG9ynYoLXMZMAW9NE0F4O2QNvJEV6 yi1bJSJcfHb06xNZ10X18sw0vH6N9ijawcJfXfy2QL+EUJq8WH4OMtNrRf/KilYaJ7wistkoBH6x yY/VJlpadf7gzkUs6PCduHhDxqUcd+zE261yu8l55RHEMAARYKHIyNZHtQURDFeFj2n8kEAS7isI hfeWwLZSzHziQRRph32CYQpeks3NzfSwPA6cpt3cC39lssz8GG3sQREZRMKjdn2T9ct16hnbbWeZ PWo2lVy0r2cHB29fYdAgR9jFcvSqI6eypbG9VcAAIsVgl60weqUw7nlX2zBAsfqY6mhN5sufm8vv xvF2QoWZOB4rfUOFWdEGF07Y/u0+k9i7wENXxHGk8wviXuQwaQpdoNsNJ2C+hm0Lx7iCQ9KmMrHJ d/YUjP7Ct9n1E+zIrMhmdaPpGCV7it43iEGpdALD53tD21pNfYdL7LSnpISiAdMlFYQ3vg0hQv5x GPtZFHDHyyaZ43wB2KCjycSKnLa7KPhcjHSP92DY0zX4x4GpGSwlWOG2EdhDkONmiHCId1uZQpSR JSs7hEsOoL1HsDJ1+cN+tUmIwm3tUycSeANytw9ze7lV7UUop0g9R0CPqb+/WzXEFAGpUruM7/PF v4naq9XE1nIGlaAxrAlIuJYOuGh+GnIgN/gg0hnfN/X83/h80jcYDhnpp3DhBZdD/5ssfNqdkUiv ty7H+JaH629eK+iJxGdED63n/cHz96dsSIc225GzWycNpLnKCVUQOiZ5mZjKHTRLO3zo2hSZS041 TMOogMkx0q5DZ8bD3Dm/DlliBev84GiwXQZcFcPqKYFsO3EDFqZIpmkGT5LVA59Kbab2AASrU+jK rj16EhVbVfnE8ESQ4IwuujyUu9Hh5ZTccGk8brx7V1+EK7ljzHZN1Tq25iyeMy/f+AqTLw7IaOHd h5UMpgIWp338hyu4bv7C8aJ7HLduxxHF/OUPM4f30lIb+6ifJlX5lilAxECx+F9pf2Fv2qY6hWwG PAmCZTFVJO6zfcAePxLsax8PLgz2m0JvATOSH+pG2YrY+QUeUdSI4GfxR9hoCZOV5NYJuVAMSUFj 3/UeNJUxmr6nIoNybO6DNv2GUYnApNPcQdtwxIV5BA7qeNoPE4XnmOv2lVZnXz3CkVMtP7SbZxue 8tvCo7vmFA31aEoN5f3jaICLTTcrjqFCv/SDGfx7EQvRw/STild5ePYWph18Mux65f8RO0Q94wfi WDvj/sgBNt/TR7u4/kACXg5vUTqEi4T1xC4mdm5jbT38o9rJwnGqYVHQtMvyHoFcVoZhWspw2/sL eOjAaqttHf/NLZ/yVelUXnMQh7tfTQRuO3vBGuFvMfR+ieJrgg/ODFH/zcTNRuDVXAm9ATcjhu1b A0ZQymGzx4K8L8h6XwXLazzP9eNpEHI3m8nWgNRwVmDQi92QaWeFINqcl+ejZgBwPTjKz2ZIFcs9 JjAOUxMtk8M1ylwc92hfiZGL52cjKfl2JEhZfayHDnWI1pJBJqhF490X6bRcFvWV7tzxVHMclisP xhFDTR4BuEUl1XrStl0/m/my6m2l9i9Xar6sdTba4hB0XxEVSQBDQ+4GkXmsUQNIGL+pGlfkzh/i bE9bio5BZHnWpPVk4UCk8BVLU8p0YwnCKeXAEoNEXSOx1+yQ7MgZWNJIPRLc/uIIbT4gIwVMSJxc TbzNPdU1rcLW9cri1mLC6cJEEcU5NplzER6vP0MhsNIcva403taWa94+qMwk3AilYnqIeSBpear2 mdEaR1YZ3ybEaU5sq93m8OO+CqmlMlfmB7uZhzNpbj/rB4xCHBBuVorMsvAM7NWaQIX2311MWx6U 0M5HULoZqomO8USUWo06y+hRr2HA4qEP9MD3eb/wPuPnK+tSOAgqlVvADEjWKGjvqXZhr3uqsjgj HC4WHdS8c0eCX96ZfQMFRq2tpjvp9r98/GX0xKIm+EHLHssRSCN9InskPq8aE4ZXYELZ3MwtciEh l09d4IWtGQ3ws9/jCtw0YJ9sigbhYofkOKMuwb6qQEz1HUcXhj3HK9LmCzdVrY7oN5F1L5JUDqWh NsNBPkJQtm/6kpBg07+w+MFJV4dJa4cb8OUWzUgkUsYKhCvLFaDzPwpnqpaDzr1GGDsZP5q94ExL X9eB/DM04Q2USP3G3z/aMjvb3QeDKoSuAWeNPVLWY5Os+rNsUS5tHl3K6uAz2XiLzrAldNfXl1/B 6usDrx6Earhcz1KiJB87BU2giQk4HGtujujiqYI6cSjRlUacdcOt9uxao2mD67YBQYol2Jcf+yo7 RnAWlOGIZXdgAg975U5OdjQLd8JdsrxyjhOuurqAj2VWFYXHOMktCKz+li7Io968q7fpfHMv19p+ 7Ju7zw03dLs6luq3thqFf64Ju8gY2YXvB9tTcHJlfxcKwGRAIn+3Rvong8w80IgEpeduA0P59LY7 aKrAVM77VpUnOLJs8AyFfPmjQGW23n3raShJe9cSyDmsDXXPCvMMdOdDxrAWhKIF5sgUw7Y7dv+Q G+kLjJCGhJeDjpTf1fHuan1PQYgGwOWhuY6NTWs3kUn+4iyWiHNX9p1TpOzZ+b3Tj5CqDb4V/eUC LoKoGUgG4TdBqi1Tj8bPhcQCgYZ2nX/qndI4DOI6LhdkKVMdenc6ECODc0gCNl5pE9O02YYcpKc3 dKq7F+bVUshmcO/FBcb3oKM4eki0V/8ASkdigD6fvp+nUDtrWCRheEPFSjpN3Ephjja1uI0KUf+T 2wiF+pYG+yZeq9rUvlbDG7/Auf06DaPxR5p8EwRQfrK3P5kadHUxpeasGDMaqtDfsP1U9DlAuevG XM2zEzSxy/VXXBVkBbZrPxVTyxDlMEHyFAGPtTZTYiPxQyoktS/q9oNSDOTvfSGOxWisj9a305Er vZXFRlJmHIF+EWoShb8d6NV0/RxxMzIhLwLivOdU7Iw00wYzqFCnUCtxHCqLsM0LdWZ7zOzoI3aK 1ak77d/hmOCfdveOAk03wR0vy9J7qHU1Q+DCvuUo0S2YYcMLQq1y48RVeEFh+ggOcg3ts00ULhTC cU+MuttJvCDnVDT4WtGGTGgRefZVxNTcbSfsBhARdbQ8mFynGFoUG45CJiBAA69DO2/O1DoBmNfY fRloeyzaKlXVZqfxGcCYoKkGsKpmKZEmBgQWz9UKNSVI9fRJx0Rwt0K7gmi7s5wpptnaWoGGobiy XqTuNUsiUolDhLj+yiXYnpV8XCHwMa4vfynFJsJI9Qi0gH9YC3Unq9f/2GqhUH5jrNqQGIHuDfx7 VnzSq1lFIf8Ri/PKVhOe1o1CZzF8yiRaseZW8B0XOXU6RcxoXAoS8sJAi1qG4lxQZzh7VsZY0jDF K0IpoQ47jb3cuA4eCorWJp8PNvTeGEKWy968Bqitpj7o3TrDswPjIlLhj2h1c9qqQqRGnrquMUew oeksojV+VCp+awICMjWiXNG5r/ajH0/8AfqxanzFamBxCvdH3r2seM4uvOSnyK2/MpZbPMTT/fjL IKWdYhld9mHTaXfF+V0wygNKKcy509f767/qG0fxRnp28A06kGyc+unm2k/GmxtERDBWuSi+t/yS SYZXAdnOpM6dWLppenQzb7wQpINAAmQjtwaFtmT7GQ/3OgklvUNmIj1/bVgnkYIdC00CcBze7Jd5 J1/cj58oqEWAVFaSCKrJDdDtrhx1sjmYH3CiHtrf3gQ2HWvRe5X6+TMX1Xpvg17iwX877HA2ReXY kMNho+R3e49SH8Z/tM7wx6lopfK2YD65OL335pCulFfhkbK8aKDhsHLhBWM9VRmFIUPgg2Mx/O3L WwNChGnyfyuH0CPlNl7k2Yd8OaByehTL0XZu3x9pn8rOi3K6VsRWD7MdvPyEgBaWoS/5P8DBmulX 1eSk2P+j7RP5o3LlYOARpUQuiu54eJpuK4h9BC90ygxNF2zABKJDwdZxqfJ8KZAYpxpDcBDRspx5 LpD0o6niN2sxCz/pebaK7fptI3eW9GXatVlgSRebTx8NtcV3MT2L61r1bWpfCcKAMDTpJCeub+aP SqK7NzcvcmccHhRM0PWAe7o2h1pDeAPn3kIxohEE/6PZiGPSm2L1mPp2BK4Mtb9jr7BhZEu2Klt6 sS8Y18sIn3QpF8Y+CRn3tXaG0mIjGzjCcnUKnGYs5Q+HZd0VcXitbIdUULX57+9f6yEpD1rMZyan X9t3g7D79uh99z4PgPsS7WwqY4VVEf9hayCcjNT5f4Begckx45dxuozk0yEytqe2IAks1B1XkY5I IsFMnon7cTyTmBCYBKh0xbmu8n5JzLepqmuw1ct+7702NJUx52/rTj7vY/AGBUUHltEUCS5e3LKV eMjRlktRYB7sNg1j/M+2dS2EBVPKlEebaQbB+rt9nkd3VNE2meuelLWWAOSKEpPzple5L1+PWqIk vv0QxMSDJsNE7afQ0iwTwUb/zbhAaNSyIIRFVRYRkw0Z8oseed0oGuO1XkA86U9Pp1rUCFwQMds8 6DKG4JiPR/4UBL6Q4Pll4J0Vvo16fa88gxTVwHVi1uzwtkCO4ej+DhxboG4xoTWEz/hLLeiE4nOT VqEqLrM9SYhswZMw2MDaOJDzCWpSgqFwfCLKtRvjtz9pGQpTxjb5cwYt0LvNQfadcOMiVuSzwlgq 44M3etShIps466dpkIOKCMdgrMkLHlK4xgrvQDjKSXQnxXBpQxC0MzmM5TTFXAi7PUhUuHEvLxkK MZIPOEqHk4ZFH5Zk1MJrj56m0MEeJuuPFRxvqGrbw3o1gq5+VcVc/6+BwamK2NJJ0d6LNaUbg+sJ HpvT+rMfnWmCXOoxGjOplHOjJKlCnZu/bN7hMj+LJPYUAL67Dcz6qwzysZYDC8mS+w8umWhLebWS F3FiYqk4SRFxKLkX1FuSJCXyEEmXJFAp/FLPK2KuGa6bAZZCO+J9c1rTNc+FsoP53KON4D8yLSly vTOh7xxDJlNjlhOZTfDWizeHNA6ZmoUd+FeXaIeJYCfI1dBTUfxFFkPhTsHoE5e47ZR4MfNKHLb6 Y5Y7G23j/wflMwGd3WQjn0WT79v5K6Jg9ad9vISOcChpFV+Fv2iwo18QsFGNma3Kz8QdT8Sk/YXH ueZtJSfHpHkvueWGk4PQXErQL8MbkJGm351TEgounAU7L9y2nVIlnXxboCvPWelKCIzcEzwB0Tbn n+O65y88/JLWEN3UfV1y9s+96khL4NzwX3B+1GgIPRMFyn+wMjh2iEbvCMefYnulQGMJ1PWn4ubg ZKWU2ro+UW5tiM9v9yFVTiKP37aCGGxZ/ADKTgzWdGFtZDxSqqXbTjsn2CxFHGloexXKyNcZX/5R RDFFZZ1HydcGBsHB6tI/s5Ldizav09pgKl/DN+D0ZfwZLAjMsmb4l/WqOn3cxyy+/LCwHTBeLQBs EJ+Gp7eW3xrAOGVOSLcds04fI47tAd6k3RQWlkHshPaSmlAm6pDs/Es4VXQcMaAbqjiX+QiXSysj wlEsNqeFh1DKbCmA8jeVL6UT50LfgzuaOuAjqMqK01kdAmD9vlBB/Z9Hi7qNRqZYu7itRjq+BHZL WCwRk8mPaYjPWklqeeKxe0JA8xBksQrKw2tTEUr2ZdIMFBC9zv08ymaRIJ+nhjjL7H9iQSw/5Cmd B9sKlSP6frwlI7vFVP0yyZkPXGRiSL80l4ipZz5gXsJ5zP5Xb/6Xz6/I0UJcHEcXMpqv72atZQZm /u4gRGObqf0ACL/gVPWSfO3oKDlni+/lMG5unYQMiIlix7Q5lFs87tAh000NEiBePoRcXoMVztOc 4bmHefYJqR6h0LFWNCDTw+z5nFDoXLN0AXMsJI+efvSPogEGNeBDc/ZvvU372BcjZ7EsFj8P1MaZ 4dm/JldftN8iCkcLyiCQqsj0HS0pv8qAM7kono1wFAVYjyT9l1SPbDhyKfN+ag0P+Bf52Fo5aB4u MdT5I94lPnXybaKi0dUrHXG1k83p1in5gFaAc7MnMp12tM8b0hi7pDCNGnPGhmpaRzpFhHTSF8Ls EjjNbzwZmoiV70GDoFn3jMuQGOyPjMqOjxol+PjUn4tnl2wamhorNaH0WyEKgEj7y4z/yJdfypmY GV2NOswYfP1dXKqQjlARXxw4gAzSYgLeGmfrW9DteIIrjTgvhMqfrlp0caXlqOEWtyr0aHe5lVub Z8vLf7BzvazMbRMmx6Yc0RsicRQa0/rvmaQC8wYZlKBf90Eym0QRm71zmtFHYf9Jhd7Av+n5SQZH jLAGToKyqWlvov/fEV75J5WYWEXRj0gUwDwsBceA8WpHMc3iDdYV9AFpYbDplxDiiPVtXLsCcku7 PwkrFrF+i8iEIU+BITxHJAqcoW2Cnq7WBmAjMvEb95gU2SZ9WOrVTgwW3OqerYbZ2hYlRO4csFKc uKwukeAlHWqc2iJ+lAzgFd8BknBT43RNXY9yl+1DQN/U5Y+x9DPR4Z7BBQAmbcVhlKGl3j1CzcOq 9PoUztybhOT7k6aJIkjjKqct3egbaRLQOtL+US7IE0n783zq8Xnlt3n/pTCJzH7DJnkh0wM7Drp5 Sa8bTp61bLIo947zI4fBXU8IdstpAmDXAKYt4Hinp/MmqNMFLmHmBrjbrGwXlZjJwPMnDX23Mwpw hl8oL0y88jnv2uQl3/KXnhUU8KEZNE2xtp9Gy8Mi4WEwzGIc4+RgodN+UOqRDbeh+8P7fNLQZQ9i dCJ0t8ecmZUHGukBAi4QVVhg3Ls+STSUzM4/jPXrOrG/6Rhu2g4+4Wa4FevaSJ8UlzQQFeI2GI4n a5/TpNgUwZrZ07jElR9zvRqVAPxq/Gu8nVYg+dP6JlnbnrH7CY/Ch4z3GIQFZlbF1sNXQothdYre IBNxFuf/iVqjakvOrRU6q6KPC8Lrouv7E2XXfx8MkVwykQ4Ml+qw+5vO1+lNKa1sEAlkkRPkjILA x6ns45KweWJG94JdHgPyhXcM9Y0WPiov4zm1peAKgMbq19gsJX9ekh9VKwMRzIKNsIri0cye0Pme mWlF/zUcijqkmJu9SFFnxsMIRufYMrZMuAags+2l0EF3hfvExlw5Yv8WaaJr758N5xKySl9h3ldy pB+Xd6kejA0nivvk2S7UwXa1KGRMRLRVJ3TQ+1BIlA1WpJTDvJVzMG1NIKcjrQq+j4+BESczYnFH /+GTt0bKENQ0MU+Ow2VygXoZDmUn+gIG5AAvdwZ9H21Rpszem2IH4mm9yH2n53PkzZW+WHW8XlzF 3/zkC0tuVrUrFKrZzEdx7X2Nayo+55MX5MwJttvKd/TrKeS0Nu/QCWm9na8hAOllESNS5q+CoaY9 x/lQiPpmhCYpbgTyCWMQp9OW8xunLkc653eMJLIoelG0FpKXSmiRpxtUbK9wp9Gs7xJ8h5L91935 ty6ScLiOrJP16HzHp5XOzwt5jNzrcalgaIUshroNFfhdD/9WnjePxIsPQjAp4HFdNBFbwFS8lYwY +UkyVSxLcWcLvfVN50c5zEW0sgOJFCeAxsug37oW9qR4PVKLHXS9GZyLHpnGwBL9QFgzatbmB6aQ RVj9WrgiRyKT02t4DOsnrZckZwSoFQcQn5Gvr1VrvszRBboRk9MRAzBLnA057ZtN2m1dt5IwNpiA b47SIUdHoeGf0hlJJkKOLWhzieSXPitFKDXVfhlvaCJUuvWbp9eMQX9LhLQGrnltuKQPzgBoJG4g XxtS8cb8VFbndJbzexkdClKk5uUYRfhf3OWUDLhi9uH33jTKbdvY9v6qAGoklAyqenXu20oRG0mj Tmo0y75PL1R+SzqZ3jR5VaWJn3g0jDO+THLxgvH68lpkuh3ISaHRwfz5bziiANj5dTbeQKkdJOs7 07UA1aUQh07RnqtJ3MvkiBROTG5t5cDZFtDrXWsz3ssM0SGnXxKE2OgY+Q7jTo0fQ3JCVO9C2KwO onxbCsFWcoSIkQs3uH0VOu85sI8pDRAYzmJrhIV438hxJYZfOHSZtIUQ6UIfxYIFHjnSfSq4WI4c UerLumem9CFloS2acSTs+S5+aTzXUjA4jmnb91W+5mOXL3OBDP7a1hrC3u4TCab+ZtsZGOUUJIyD XfYvM3BGbK69MrPzgmWz63tdpIwq3+7ehPlhMNhlYSZPL857uBhCHpBYFmDM5zJE2tx7OrquNhcA MjaveKtoVYa2ct66IGetFC3PjyiyDQO13XA+nZDRsXB05S+/8xEyvRwLuGOEdvYVoTQ7R3hicGW0 M29hIxmLIp4cj/NQ4slyWJSeccWG4LYagrxS92MjExuAScy/PEkLnYaemKGxrDJNluCakXp+Htt5 8qam8U3alxkfUkv5EeoO7HmiOOt4D1Yna5NMuj1X9iit5ny/s7rUjw/BmPw/APankLmwrwhV/v3i 6JNKAMYDf4b1005Im0XbDkoLX8sE0Xb7ohFtToxY8+SCJkDMZZWdhs4YgUcRHkIWGRc6ccIiiyRL LRxLwwpZLdfx4gog7SWl+pL+hjRA246RS+PsgQKyIqnou1Lgk5eVqTk+q7SnokbtnRWJX/LAr68c Z9DqVcENvONjHFjSoN2BormdkmenQM2JPD4wTwrZwgNfo4FjfGe57CWKwwkKnW7kQcFJG5nLm6NX PlAw4P6HX6fgAKh1Dx/ZctoUAcEXSVxAjv374jc61gvPNEq7I/7Ge4dlTGHe9+GOMtbxR9TflelC Z7hpuGDR78YfBP8w3SGzM7dc5Vwjvm5rQdKLkZHqfXDCHKTxIZAZS2yr3tzRt/Nl5CzwdQhZsfJR K05/cXQNcM7Wf5W/ahA4oON+6moy1mBf3zxRr7jERwDnQqcMmZW3KhPGmKR0oZddiXmBhVbZJvrE 6yG3pXGk7WXfqzFDcKp8r94Z1xXN95O8+SWfnQglyZwwX19y3wRaqVU5heYUpPo0hfHb0hqSXT1B CKxmb6oRTAv09fDxuwsfAqqKPUz4sU0ruy4uPvhxv2Rs2tqtfNsFfc4P1/KjhHIs//uFfhTq7eyt naw7CQCslN80Qdu6CdwWZ6guG6Vt8k9RLiUGDrtT9koGZruXVw+jlJyQ9gl91M/wxhguh0BRD8po yz74cZdzsAiNq6JIclPRzSLbUvmdqwaznxejnBPz1SA4PLz3VlDk0wHQXM0j9gWIdO3PO8cLbjmR OJVKoHfdy/DtzlZBJyNVA17kvG71NEGlYsIo2FlOZ06YopcuXThaQeuGd6aUPx19wx4TyqPXMBFV Fk85esib9izejy5OhtuwUWIf7er+7sXc2B4aR+AIxKgk8xJUc6eF/yNSZo3Rzg+fB4SaKFiDwcZk j0r+WGD4jU+FmNtgiHF9FkBpk7UCcOZVc14Ku3cCjcmi+5MTjgW80RPyHYt6dEDBjqlsFc9NINAU MGsWJ6aHfHMqWABRsZDoJhpgLhPYZQneMAqjtSIImH+0t2+GJ0NB6TktoipQofvRDgHEfwx3AHGG HxW9I2pOIg8OQgji1jhdaRHxjI6J8zDV7MFWdP1vB/57iXJtdxDaqOUkYqQ0At5ZC1405lVGWtbz 7njVZMGVjDf/8snxCcbjTiLJf3fUjtQDr6ev4P7xLQ6wUl4FjrWfnij09mmWYKz0hoDDilzj92HG 31kEODYpwAuYvvUdtBQfGi+N3cg1poFn5mB8eddCX2H/KvBPMQSyBMI8F3m1BpKUnlpihF4YXzuq 750yGiW/fLRby445gFqmOtdwAFfsKCy4bWm97PUBmPOD+YmyeIBb/UYTO9vrOI+8ch5uHgs3F/8b IyH4NIUHLWUY1AwsC00XXcTNs+GbcTg7f4xHUh3rxB2DtuTYKRMtFB5rXXRHK/JYrHSQQvksSAYK wpPwW8c/czqdjhSQxle/YMrUi34ETtGKB5HzNuwweXwKlgd2Kqqg8KMUIjy4M+KnQ/bvE3bYbVrs 64366gMUHgUeUnwUWc1DQ3pc0kji0m/HECyDqWq5fp5leup17CU2bCpG+C14Y55tNIIVKX3dio1o bkbCBaOzlo9iZsVRpdt+1+OhJPOKp/Jc1gv3JPDhzq90bIeYcayGXpW+iTwBk4AoDXhrGKYjP8iw 83FnPIZn2c0AfJ9pJi+w23OihUUhSX5Ez6Chm7p8U7W31bqG3vMwEGLZQOCWn3f3iUdMhfKJJSBC V4rpeLZwkq9MmVDCu2v06BxQOow1+Yr7hn14u6yCj1EBtHTwwKmuxeU+IBY6jwXJfUidfm+SE9XW CegrMHe7szuXU9k/r5DSjed7vrtT4WZSoJOHm4vTiFZ0PsICEpacg/knWP6TLppNdKlqVBShS5Jt Hah37+bPTNKKyOZMNlaCo1X1fr6tu2Z8s5ME3oqJs/EOWToE83xGEvk03rl+UCHXxcB2N0/ng+4k ZqH9Y4fTZcbIgqzAuxGGwgfdbs9p/URxrJgdoOolZN1A2mPOb+LyJtmH1NJ9HWk2mdb5jXwE0ySe 694bkkrF/9sOr4/KNucQ/54dD/F3IHa1zeVAI9DjV5JsV6oe8e8mjU9QrGWz3BPqqjo4SylUOFLA 0MmRmqYqGpxdnIqq3UsHa7VE3nhRofsq+UcwhR1OQ0Wg7RRHrM55Bfwdu/GgNmSEswoNoIfSjrN9 zZBfPOsEKYrK5Yld4C7DRDAnhfYm/eZXmcBnIgu5R1PBoY51lBq5tE6yY83aCMBvzcSfdendKyvC 6VHZO5Nu2jKBr5YJaueCVVw25vRT685mB6O128CBla+w36tWzpi5zYPVk4GYAq6wP5Nrf1AQ9YUA UEM1c5E8lMSnPiMzs8FTDX2IJ5cTDem78nBb4r5/tx+rPHn0M42CNJ7JqKzj6o/Rl/a26JU7/uAV m7SzpE+cpbT26XKemcrR2ZEVrXZ/rpVU/++xzLmCFraXE2FsblxwN9D6eB0mGnQVxqCKTjq8rWL2 MIgz0Cz1fjsuXi40nhbBh/yIAj5m4tNMJ9f/gHeZxKob4lZCBV0ghAa9+smi+pZcsS5e6IVt8P9s nZ/Vf21svJ1RFqXNQ40HFJ/UE9NebRdOv8PHmvlveh3Z1orPkLTfZyt7jbQ6D9WYQayGYrAoe1JO s+5ud6UGVFlI7ITS4O2zjR88d2KIvg78N+pui6eHRF57uowCndJ8PbwvIfRDnzTNLv4FSDGXijbI xFsovr+vrSuBBucK/U4LLtu+maRqkrRGLQ1QgoPRjNrswiZaW1uzxgCIcrcZYOdzHaEPgWrB5670 pIyIskHUGDoQ1KGe2fqqST4hSOAiMlal55mlGd/kmSCp+e/UnqPDpeYIvyPRm7VpdEtFcC+8HU0M 3a5yu7JFdrQTbl7K90yf2MCuYjD0FaKpyiKNrqvCblyViPF2y3RMyMMlSlSrE8yxoEjhWylwoXd3 IbkVGm6SIvThVImEF/1wkbWOBPg8D3nz7GgvnMoVPAHTwtpoGf/F2nbR9Ia3vnB6QTYdhloWwHrN JJo3CxMc873ZFQr3Ltbh7FBJ1IB3KcNrprLZPrkrB7exqcuxqkt22RgmA/J5bFRJa8vcLLXLE4kP AAeSZRAmcbiaG1xz/X7NZMXvnW8KxA1rYJI4C2T5EyGvg3CA4xJt0OY4N0iiNBLYM1YXjKmgs4yb MnNVgpdm8gr/Eg9GCPkJHuUr7JkpJBz5voB+E9G9N3URBgd7i2LaMe2KOBnJ2M5GTFUX7Wqf2neI Xa35gmrWeGUL9JTtQZ2q0JBgC9t3oOogePTi3f56A9T8J/usxSuAVAVG11z5pc/ZbfeVcViY3NRG rB6SApkgkU410wxfD/eSPWZpF8/mnYJu7lqLxVtYNeJsAuXPr874LUxNa8nar8b4MDq7u/81UjKK /rBvP8IMkDBYSQJ4hLQO+sAhMyxNkSHC1tCtpdnFzVGSL05xclTpu+006AdXqRPdz+PLIjagj3yN 1KYqCgsvVa7vT+vVJu/Zp+NZIZvSb+VVsMFuKChloBtRLaMupMmWT+E9vfand6r7F+LOp6t6jZGq GlJppRDij1oqqp6WLfNDG5xmvAOYKERtL0M0EsojgKXBaH0g7IseOCMYtK4s2A7sryMdVBLGFcyi jGf+mErkSjsLE/SRVsoum64FzcPyPuhmt4yyc3gOSXX/Uex1c051h+8J6yFClfkYoXJBL6prHADn TM+yOKwM/89uHwVl+PFH5qqEdXCe3E9sOhfIRUevlhkhtjS2FLU/ImMwaEeIsPuyVfAPxl4PZsAs /qRpLLfM1THzo+Qn7nttQ4M+pz0lN/1DigTTTWSA9TtQOhGocXP688sHhSnaWARaEJtmfnsZbU4P oOPrRoGEL9YGIM+vwMVpVfTCUtZZtU58O2w8JMmWsmbARoEvAXNx5W14o6PQkydp7pkVoLDYnKPW Fgf8B2JoNWgyQ9TZF9ouh8rCY+E3zhkU3m1fPY/vcf2BjSK8cE8US5Ahe9xwjiB0RQneiTXIXFtB AEEt9PwDIUc3Ke0tlnBqbIG2x0OgcLSOEsI4iG8UXPGupk0+FL6+nOB65KrhZ1kWoBHKkwWIbjYX vDzdVFXKv0/PJN8JJUzKAfPy/adzAx70zBXA/NQifwFaxzdAjJFkUh0O4v0LWNR6+gj4eOfC8bZ+ WB21/yAsnqmMm7V6YyLHZIdnhnXjbC69apwxcqayRQ2wqzQ9HBGgm1RV+dJSGEPu/syVhPQzRVyW B5Diiad+SAZm0XOJ0xXoFjSUEdZx+bCXRfgD2HbhynsLUkXJonsbaJpm0gu80fsCfe8cFJoJIQ9j 5YQtUf3au9D5tFFXP4yGghFU8QqFNT/SIKLqUHC1QCa+cjuDz6UlN4a50PWGdNGtT1B2gVgsg1q1 TdlyPgNAre0Zu8gi0O+8nXnGkBqMhMaH2qpesBp2uzcvA7PphjW5w87KU/jzq1Qt1qB9nsV9Htj3 lUigmGz1YD7rh01UEipPxMIBdnEY6uQ54gzAmPhvweZdC9+ZtGqJcm6sPdhGgxC9DgX+JpW9F3l5 CCCYqosQ31qUQh1KSNOG55yf0tC9kDO8tnid8itqE/JJV3Ca3ZJRl+UwgSp5xc94wicgFSXwuC3Q azD6pSY4/NyiMwBlP4WCnfoAwkl98CSwpp2dUOfsEjmABUQHRf2Wb2fw6qv4Sdu63PCZBbt7+1t2 nF21/XMd6QlYnttIJPCaJmES53nrup3cpzgzQQXR9Nl+E92EryzRFKkyE7EdTqlPj/b3ro+wsE1r AXb0JTejQVBXvvap4GbdmJAlJeMZpX4nrpfHtRrKfhkTHw3lUb88YeLgKi/IHXbsK6/X58Tnvv1R j2gPtwBlhvGSguvJj0Kz7VKq4JN/zYWyiD3MPrR98tkiEp7oJuDIGsanmZXK5JUiEmEISW/B3N0e G7YrUXyKk9Bmfoi3p21T3kTp3mlx6Vl7iMAQ94CxE+l4KDNt763G6BSLju0LbZakRCNj0GpQ2bWc fLw58tAYc29ZZ3f61xvN1NJlHDVn3Jra8Fsbr1bponhm5OhH1kej04sqoVDaTvRQGSd2+AEDpkqf jUERyzaozN9YgudKByYEoEvVrjFpOabW767KmnfEdE9xQMnya44UoX2RXMAT5x1cLHz1iFElcqTi ExAF7dq8XZ9yoPE1dhtiBwtlm3cbKMDE0zDRyLIPUm3WSwmL2zvtzmY3e4LZ+aVX+4BH+XhN19EY Sc/f8YaHJAaVultamB2CAsz7PMEiqyxhrwt+4WWC7Htxrw1TSPwNyGUkUgl3QX2ez2dlS/AFlUl7 o82yNuXfNOknDR1pESV84iwECyameujw8kxk0vFYajGsG0GX7DZJtUVf0Ir3LWupLML9kKCcO9jQ bUIqyIuLrKdZqvlQP/5qz0JjOS877p27syQkmReDABe9xiKrTT0Ggc9tNvjrKh+DSD+NYYNMDIGt 4aFM5A8F6kT8sq0zpNjskOsBVr2abxO8I74ODA/MvREFPKqVBCe8DHirBpi9/YTIyRDrrVuHt0gQ sfFtILVpFFYh9m3cZ/GAPgv1JFBDU0F/yZhL+uqhQm3X7yw/h6wYUdxE/zb+LfSm6uqCGDz5T4GN fJ1YW3Okf7npedlejIC7J3HTV0tUEsEo/2cWrmVklZ2Ov4KhoxblFJqsi2kkCwdn8QrHMXYPN1ZN vsRSROrdGzjSeKpoywDOiDUtEb6wl2ZZpsKf2XsxBy2D9hIMTbgCpDsgDOy+4BbbdzBoizFTN5C1 SyAq+nEk7EjvJaYoFxg++B6O9inRTAjFN3DzCI8jXF2M5ZZqKmMp2j9ig5qOnXzjqVXSqfMoJtzd QuwhEGSWHbbbV8zwDJ8WpxnzXOdRBMYpXnNEEcxBXdhk4riBcdRg5kXDLL92UP53IrR3yKzU8jv5 GImR3gOMvKHIsBras5s4IFWdWjdlaQMWU2m1OO8r4aSWIKMjGJslqY+GhPrBUbRZKfEps/cyumym 8BggUEpvSQ53PsaFVvjCDOlGLF87FNC7/UxXAnAoTZG5Fg48uZSZrZLa2iS2ICYlVcbdkvlZJJmS tIltXqa3n26QrkGCdUDwKM4zqwQvprZR8YM5bNZcg9UKZEH8PJ5wH/fLwoF/FRE1ckZJ6M78mz1c MDvIAdPwX+ZAcPyRKKvUnr5TtGNx78H/9X+Szodlv77+BwY9COuytwf/WRfPUmbrYHXwpVA/7YVI pY/IqQ8IFAUz0nGyIjHihkflMV0L9cRt6QhgipO1L49LLeogL0VerMGW06a9nsYGSGv2e/N5JeT6 nAuHx1JhEx9hCNpPUB/Ng0J+eeI3e9zX3tio51za7YhI827b1hpPxAFVju4R+N1FCdeS4FkDUeen p5AcgCaxIAw3kq7f2TB1BlpaX1N5xzsk0BJcFmjAjet7wYig+s+2OEZFBpm+zUGqfsbDdCyhH6G9 oOFDVAt5zbig1vXjVh371GB9ut7ZK2MEHzAUVcJnMHnAk5kbeWPDSNjorZ95nFpv1M38nbvDBMhF TeUNTTC9oFYJukrTZrFtNSWjpeJ+c5aMdxhdxLhm/CL7mQtd8Kf1toq2QU2AMjiD9Q22U8ByaxTg mPzTYSD6p9TLQHCKHXoAJsAQtCw/sM3asjx4wih6MGyy9+Vg6/QUZfTlkpaDpAcXjgInQQSex4zJ EowrE/jc6E/Bpp8lakN+yNEDEm8fRrVB5Hs6Y2l4O1qDI55b9jyObyWsNt+GUfqnskWVE0BcNGX1 w4GaGf6XXCTkjuC1Afa971w4ujNUQPucusGKoEtx1lk83E+aiu7eWGqqhNF62JlSExWgUXNAlthh DtUIA0cp6v559W8/kBihbIIKQy6P24YsHrz0oL7qAROBscIM3QNlwhsdaHyXSUlOuWSANf/xuvir T52F0mlxL99IuleylWwyVX8/C7b0i145ch3H6SZQ+mkPkRxv1+pdgVPrNRf/ojrfRT2kM4ejdmSp W9D+TCmSCD4KtrA4hl/b+XDFZfodw4gzfjXlfwt+MQ5a1Og7jbp8XxqooKVqN39XckmFkVCUkWRW vX9b6icy1MOTgje7IB0vs3JBrf9CQ0lRW/usF/fxsYiey8t7DEL6Ghrp6GAYnx7mINVd3byENJ1t EaO3U8/DI0sobK7a+JX/U99wQPwJyMIrAewJBW90lRQ/4YbiOc5t/tO19ehzQReZ2VGWH9GTn7Xl s2sxWS1CZ3njl2L9EOToB7aOcpT1NlE/ba2IPIlDBix5qaeiqa1zanYQUrAVGQ9UzWlhDFPAVSDP WUvjtQb6YCnnRpJ8jgvLsWzls4U2ThkoxPkoewvooKQ/2Mb8LfdO8x8YkuzvPbFaKmBMKLrjBOmx HKFyboJmuukBTqOFf06Nh7JmScj/7BQZsSUSNiSzHXNngsnBlW3eqKRP4V14R0NrsjyzJ3F81c1q 3W/gRMKtld3N/k14IntTsdwK5V4JrjImaMzScL5/ZRf+xGOdEsxRxSFxoWiF79ZdpVNAnRnQUhuv tUBm/nY/8L7MoRiYilBqa3jn6uwNgiDNiCI5ksv+FuNtwucBXphgJFWwlPpi4YHacUwn3/Jey/+A qO1C2JT6pYVpd/ZsG8f2L8fKJF19ptxDu8i60Sj93/m6hAnfEj0oBtXcRG4D5e5MnOYOq7OffDj/ bvcwlqQM+Tu1tMafjRPzdIFMNU5O97fsi/+aLRcnVyFaswCDg6GnUg5AuglnBx5naeGNTYhizZmo mWEe1fTe+XEe2zjD/5RtpbjPa7Om8emCFpcDEe0RQ2KTEvGw13kXRCZLaToqPIEKzKvSS+kzDp1C exkdqxkOrhW4gMgPjYuOh7UTthAye2zhJCMYz2raJYXhCD2EhYNTxK7HH0+r4bvwSHxLbbkiv3WL Mi4wR4Y6GUikXByEkQlFbifGBDXKBzPKYi2az0GFTAhuVRw38DEi4QxO51yGkLnr3mFTU3N0aJ3L RtHpUDEV5TKo63+ZUg+zIx2uJ3kvy0JvaAo5tknQuIPCqCwycVeQkGjxPu/SCyF4V40e+XkcQp/p zRMYWb1TY3OdpSt7xXsiQC7vnABiNy7PH6gXaGvzavF38zuTVLq9p35ynFQuHpzcztSBoZ1JbD92 FfMqbyKNvSzzey3av5ypeOPSpPAzoz5fT4M7TEiBvfNJsUatWfafdb51KCgRt6GM9HjLzhhVYFA1 kCut7wACMLRJPclih69eSeo98j0hqnuhLyFC1nfmLfxmAjeqhPs+wTz5iFXZh0irFnxGCSbEHwm1 XaBrm/+Mgbu9K6CnW42SPBpVW8EjoxYrpDldiau0Xizv+YUmbXPUHleO4MAtVBkh2nMjaeFFkWob cOtYirxXL9vsp4Yjy/LTp27Yb+H8M30tXhPeFEBxQ/8J/AVll+ocOQrT3EBKF4ZZD9kYlkDbDidt JGAEas8OwCnrACt3nqeaBR2SwqpKzTFulpCbIg0npBlMV3ybN07rdZtxkNSXFHPSp0hPaU2Vhhrd gSBQ5EWLf1ALdR+jrb8L6RuB91GIYVzjPzBObAo001uqCK+2Lp80QPwdZ4Kf1ER+w1pTlJzzLxkP UWWm+ZK0KVwWFsA0Uy20XFh4XX6nulqc9yOhIKosW+09Qoj4b11PLbQ9A/vTpt6YIiSZsPbQDRIG G3fsUqlKbNdhNx3Zh0ePtSckEykRWMpyY07f9zVNpOg8VOLKF0gVS2ZpIVEF/BFhISfhHj5EhgWV cIOwRNLbQ/wXz0mYG+FU6t+cLhMUxfCTQlh1b0Euj7pSQSyji5+e+GK+/xtriPzalz3CtuNSaJdz uG6EPrLWUXSntn9eQGjiDGB67LXE/PTjUR25+Ss1ALWC24bS8ZlsRkdzczk40hOofh3CRpmjotc/ SHWTJFNp6QCgIVSDOifb0NDHs9PueDxdyNs81Wlf8VqHOm1iAKGG8j9ytLNOsS5qKY+LJ+usw4qQ opuNUnxOmajwUFPw5GbYFS7ZDR3kNpgkgfgkjsEDRsZt/hAU2o+ZVTtGdusWToD+uRtr0kjMOUCP x7xoAJpWu2qDui7tOZvSqog4PYKiItPc9ueLIQOhToUSBwMShhzllZlpuR6h2NEwThxhML1+LLZR pyOLqMgRCjTDhOSkoeIzjI3C85lL1unm/go44pRzGzjfmEpFpK4GWh0XTm7+AdH8bDT+wGbpYBS0 0RYTLPTSbp7rZJULL0C0VM+TZ4AOgSvzs0HJ+N1Y1AYaxkQNhamC5pmwi7FHI2+g6UPYR3S3DEdr JvsaxWuevI1BEdaiKQ6qzLqlzauLlrRIE3TWVKDK/ZtgzuvwCVSDUpY/rgSKv11ZXWfaU3taekNO /aR2fw4ntHFB/E9pm8rXOXAENXHbQEixgplOhf64PmlHLVSb345XfoVUDe1dTjcaCR1f0Wly8sC1 WaXV8h9eUWt7Dj8e7qk3KPZmcMlcE4nb/g8xe8mrbFCuRRBe91PgH9iPPyVqKxWlqzRxgMVOFVu5 IsY5puX0Wc9JMgPVJhIQXJvyK91FebESPvmo4asHFnP1J43NijZVHTvchm0KbjQFlUaNW2sIP3TL 0Y3GdUPSMgxeXjcScwzLFx+Eeh831vvOIA7mnVsqWjbabZjheHX/G4h1iMgeYLnAFPBh9P5B3jmW fuXunjXwDIGbLDD7IaXY24ZyBxRfamEPm86tHmKh96Unkss4ofmI+fgArV474KQ17rXWk+lwlL8M J3btoxM68PdiLhMfbCcrgcEJuS6WDY4cFPxsKGkEp3AAYh6QudObuVUpy7OZCUbOe6VYwYutKyik zeYpX1cnREYNDWTWRNSBWQ5874OTsu6A6iddYxFh9ujWyTLXJ4TUSWQVcpKCVTlZXAT24qsBgQMe /8wQ0ZNfnIMRaEtef24oSwVUXn7nnsLspYSwqHxevZxfA0FD5V3LuqSGxYm93P480MPF7YTKTEez KuRwmDlK7VhTRZvG34b2Ouram+mP0rnLTEOe+jhv9W7O9ghxFclfzzWLWdo7r0NLD9mw+3saH5Rb Wbs5uXQI74wp0FuolHFE92nJRIKbiZlW2r3W0t0uhkhqNIq+y2lstPUwH5AnWYpBKWQzKi6HZKPX eaoAJvYc9FuUReGbhkHwksAYL7Xtxv3flFG1yR2TcpQMdrUU6/selWuVNz6mVJTxW98eQ4IdB1Ef NDgoviVP7ahi7FzAXf6qNANclAWVai4pHVoOZefYcmjWs3DVjOsLzk1j/0lfyf62sc2lxOaZKPSp C6n/Vn6EO4EAU4SmyTv1fXQ0hKTUT4WIEpaSBoVo9Eb55agJq0dK729jcnTuuflo4z8Uzsxo7wol Lsim5F9FVHNmZIbs/UZI+CRQG0fyypW4qrDZCLAr70PQxSxnHs5B1LXn97cBGTpdheu45xl/mGvr 353Y/7jjJPPDMzn7vLdtBrtTzTuJo/bbIVkQNp2SHMYooIbbkKun/YkXTfc/bTtPKEJdm51Cil8f 7Nvk0NhUwKb+iwePlXwMYVs6OiwZK6/HE63pat90kfHaoNM+JLx9SbM29tOdmK0UkotbuBj3/32J m+LF/EUNzbWHFcKTE74U6yWHft+sQdyn2Dd/a++2N6D4/RdFXIYjBgQ6bLGkcGyA8mjDWRtpGNIw 93MgsdWLGYZeW1i7pawUQGoDXkSj/ySbEdnjgFu5Ic//n+4lHzifFHayIPmlLW6fZA+3UnG2faqk KbsDMyGhzM4yOotHQTXH6ugHYJTh3zNnFpvr2Iuh52uyv1iiPl6/sSERVd/m6H+qY/LX1QCr4GHc QB5vpnr9nZTHyyVLdYtvmyGvfRuwB+lRV/JXguOfgE8bChiYq9dKFdDZBnBYfaisHlMQGpX6lXiP vhzoZh0+Lsf46Uw8g83VjVyNXQ3MHf7EfJg3tCtvUM3CT5bAAWE3YJYlO9TosWguPMDKrsG/F89D oQpa3UICusBMi/mO55JeY+JcZTh4Q3k9sAEU78oql1H2dkc+IpS//EVIq+ToX3VxPyyWCN3Bfdwt t1d7fh8q+VIuB+VixRinvxplzFj+od6scdAZ+fEsx7mRhlSZ8t41Y7iD6nmAoDaTouKsyRe59DfB zJGIPPutcdN5rka462/jFhEjSEu5KzlU4WlDbmGZ7ikt/Mswa6sP14hHztzBjHLI/b6SWQXuzqkE O+w4rpDsm4jpPVWExfe0McXLWdAGlBrF5G0qioJ5QuH9xzDlHJd+T4rpb35t047+ajpl+obhUMyp LO4AVNdUteWzvwJRZ7p6eR0U103+ugIdSwcI7nIQF/+p7BIoi5jDoEX+WhOutRP7QccgG/KvatuC 4D2oYIktyf42oWW9hJDbNysboyw107Ls8igBMXEUyrM5gAhPoLQ5D+0kddTgJ+XTDPBK1Fu8Sm+d 57DYCHruk9tKr+1iSQMCnf9nEH9l+a8+buG6E8Wefpk7lQSTFTB3C0ybSi1VjdtGS/FXsyYtIm8p Zn0Yh8Y8kFrqKz+tqXE0CbynB4ypD5ezpgy2J661dh42dBof8Fjd5lyL5c+XWXHMbgdmIdmLw04I xq3BVH+18F+uxFWEmCW1wB8Svzhc2UdFndiMBg1bf443VgFmxAEDEOaIwxz76K1qib+uWFvc3HLo 20HD2iezypgWL+p16KOzDWYy/MTuEI8NUAJA0LZy369Z2iZOVnRc14Um64/d8nxJWCiC4FHGqLer 4RG565EWs6N8f1BHGiz9b25ObP21zD1oDXdbZRvLLs/mkkvDTuCxTIpm8SIieLyAOUGgsDmiLRkw mAzDlbtXuOhEP2XLP9Sc7SlcNhyo0YOnFzDKzl8LCgBzujPRkMHB9FgfHPssmHRZWyeaH3Cvo/N7 hEmiKsVmLKYyFPkt8nuYrQXZi76j3x1wK4cVOIRvCACM9z6x0CnYOVHLGclZRV8hnulqalSqAK9s 3md1MtYX8DcCgs4W8HkigNIA5/rRMeqTOYOanD1I5ZCQKsdCnkzxueXIRbvEpYxdVOUinS3ssQLU O5gXQwqJLV3tB/QfoJ3U/r4KKyvqJbmcMHDvPteeniolbbqmQ6rjWXE5GdeWj+6OQYqXygNFTsxo Tgs8azq9XHMjq1w1K6ilUFABaFxYLvaEbMnhbPi+VeQ6lESHJSarcIu2SzvcrNg5ct9fwcOmZKoo aJxgYmUPC7BmelgJO7opiy9VJ5Ow9eqkkWj0eG7Mta7vGIIObB/UC4wYcD7US798UxEegq1CnYxc vF+rkbOPlFyFymFVh2BGXPl29GaviazMTyfZ6jT5l0l5ake6b6KykiufluOy6QQrgnvvsJBdWCm/ PS3vIRvzy6DfMsiOJMtWSIdBiCt923EMpUnu5P7m0AhR3fqYYrxMlcZEqCbK2WBvmoxAI7h1VhYo OyEcSstJ9I8q/SgOeeWaBSAZyKVdCuMdq/FaUXwgea8Kcoixd8DXmIQpfSrl9KT7ounb+nMxYwPW aNDZ0WgHpJjARIIopNr4OyiorI5TMD4LdZzoaDdl37U652ACQ1BbBH8TkM8yQPWi4AjZSBWqA0zD XI/LbwRoFrHLXPdTJLz+rsFcjEHXax9qgsk4pu8QZwhjX/67GvFivs+QqdtNxnRCgolD0kOU1TGS oh76BZS0Ay4YRGROLVLr+mrMvItrTD6IrQvPSwN3l5ME7VIOOInTm21NHzDxU2c+GeWxOhlsjTdk jiEpN9jDPyg4mokEoFdKJ+Egelr0n+6dQqidQHKZ8T7aeYDQ/LYJgLCiP595lL5vOvHKR1oN2mQo 4tsicQPS3PiUPTsjZ20ISS82yUBiATzA5SRmIJn9lJuYmvuOFqM4HqdLdMZ7pn9+q7NoqsIRTTWp zOD2mGrR7CeUvtvsvzIbwdsFNvFZ5HJqGXXu1GpEPiGU+QW2OE8MWr5o7XP12P3B34cPsWq7kzbh OXjCMZYd0yjl3M0hUmyTwBk0I6BLNMzp4cJYMA3Mv5u7WU6HpDxzLo70prQcqKJ0qiJsQoF0Ky/l sgzqeP9gWvbelSfYdxaT9RV52/jV6qRVLGOMkyNcGBg0U95SQTGcTxE9I5uPHVGYYJiK0u5Kau4u QB9sOTjmcYpE0H9hrJbPFCLu4aV5Edsu0ygO8NnJduakoLD4RBhEdwvNpztaxWT4yF/swVXXWR2K gfJdB22z/IsZy5Bqe9XEJbGtu+B2RWG68qx1kfmw210MqtHuW9/W4Bufxm3FlN1ZZPL4b62P7em/ IK+cRdY5y0o87d0F6CNeV/7RQO+l/wsfwTG+JZ52YfNxsfCQPvOjc/Zp0A5d5wsu2QPIi/Me2gNp Qkq9JUxqFNUJZmIjfuzduYGnJY4oC94V/UmuUY0JXdHs9ErgJGLhOgSkQqpeKGunCd2OCPreEDvS RKAkkgz0BxOhU5eYyjCTKlQAqoCoKArJHCsbTqmDjzTws95lPozGBEFj9dAanUhxVEhQXDc6a6JN 2VoMQQ0OCu/EgugO9e0RoKufspbtkjSBNK3EjTzeiwwreMAWSvu2aJt+mziBlf6/A+VGcTtGtOHo 1jFV5FqQ/pOOJ6wuTkt4kVj2sAPEGJxTgAIOsyF9+0O9FmNeibOyZFUR4OdPhAoJQPr/XFh1IOZT kTbuWwVaVClD+a7V22Y83mf0OMM2Y1GDDoUXaMmZA3AvHsRQPLXzvbban92vQJ4u6qi2JiISAiTR jLsosytjMTWWOcrPkLJOEkvS8TUV/kVCw/GDKt2603jGBbRJkamtDJGGwcX/wTCHRnpqb+zW1Jho 0+W/0yVndeMtSnJIl9trVPmRb/CCuM1AMqQwyVSZCVtfOCULo8LjKJ7wu8X5eqeJHKfaoGwBMpZ0 i5ROctg5pySY04kkcWRqPLYvFLVIYHHPv5cn/VQFMkE+yDDQgIlo9chz0V62sYcwY5twr3craWe6 ZCm5n0K77U/a9B9tRs0yw4xdJQJ4rRQ5xHUW7F7iTCYUG8Demvd1kaHzmXDMn+wyzLH37KT4CJCm k0fsFuXQ0qn6OPvzHAhsC7JUaGVoJF70pr+nqZ6YALJiULgENFWsSVLN14OwxvjxPr3K3Zq4lg7O vPRtHyKYGfBmqvg/vXxlAgpxivxM7lvGTMwYGyceZc+JBbA4FSFUPRBjVsQi+zzRccXmVFprhHlq McKBl/f2bqJOeJu+crLQIg+/0d5HxYwUOi4x5MkJy6P/eqEBkRqeEesd5XohiX02PsG8GcdjGaLW ZOk4HEeyElKz6as4bwsvRhLo0PNSmF18yA3JH+5XutN/WyLMRFObb3+yhn7VCQtMe8zujz68oaht 46BR1CdIX01DOvP1NN9V8cdu4dFKZwQEMcAfMfCYNZo1kqBttIh+W3Ftm7sQkqOXUHRqDpp/pSlP CiIOVEwDRbTk7A26o974Qwi0lQ7kW0SLx9zKtbqBkBP9H6vEMb5Ssty0C6T0Ykb1ocywANU9SuB5 pOPl7dNcidj/MD8MqOSE9Y59FCGWP4akTHqMnuf4BBBYFnQv7Y3VMVvXXDx2ZcrAFZqKXe9RymMG XuMTcPbWFD4Rl/coXCKjDmoxVOxPgud7kXk/nWgeY2R6bG3fGanB9m9A6feFpF/UvWzhNGD37KBx qznT3DflICxh9EYvINzkQPG0PghO3PvzbQb86PyYx+twpy4koBOohHgUweaBdOzqSDUReqT7QR2/ w2Fidn9cYhoBbDrum8H93SamaSTsURNKNEqzoaSp2eNpy47ujUN4sheAE8BTpF2+EB80KDnyRbkW Kdzdq68og7o01wjKuD4oXL4d+qi6wN45m27eQ/WJAaDlyAyVGUR6I5l5hnFEu5af8f3gMnugUbxn v3IaHN9nyjqDVmAR9v6LoFWrtvORv71zRzxTTjbmlJEfiaPIT1wD0OF9coE2kPKRaaoCmdDZ1i8k /YfHG7EGFCCJz33//YIu37JMoMhx4iGaR/uRLyqZvXWo5hS4zFBGggc6/nP/JEa3BIVGhBovR75R x5gMuYVk9FpxvqdI1iS/LwGgUF50SBcypldQI3DuNR+SdttvJ0R/AFWsH+w5+FVUeovZghVQMbuq CfJ0aAv5JVF8M8WXOTU5pLbJ6su/D2yoXRpjBOpMTmoviPUSkJtXOGpsR/SAtwseReEVv3vZuDq+ qacxaV/Dkal2DQrrkqfAbsfRAnOwzlGIUjfvftg0Jiz719a011TlrYi5rn1v6epL/QMFxl1w7Y9P Lwi+2WRVDMf68yKuVF3aS6GH9vCa66iokeqPvGUF/Mkt8Y1i0aL8J7bmXqLdVnbnNAELzRSqh/PQ Izxcm7OJR7q/MjveH2gk1MPZJVNZKgcJyGstCxb0MEUPrDzD8B8rnKXaGlwY/pJUb7NXGZAo3y0S rYXrpwQYxiL+fnZGH6oZwJ/AsZsGD9RLho5MY5B8SpYUw2UKT8gRJ3AC53e0Kl7zE+EFZuIQZFzs Wawp6xlWQ55opwmZFkOPjZPwRrQFWPnEI26+VubZDIbCPtG4L6y37vToaUDPPjfbgrEuEJ8p9HCv X4V3sY5DnPaTwTh9w/IRfv6WiBtWQuEQ3OQt6EEEt0gjktI9FJdyT6h7/YtECwIzuGnQVvQ49ss9 16rNUq3RgEflPxaxqQEzzqAuIYOehoDFUerKWehvAo40QbQArE2qJfuqa/4QgAayquDLJFOrQF7m 8sHo0PIM9bXm5bEhloE2AfbwRC3ifIXM5ZiiTTy2QCjGqzSaaUw5mTj6G68+bYshKdVc0YtNfLhD HpHyBgHGISIvH2TlEWQkpwzuM04GN12I1u2L7yRIr/QXnuNbdfUgHDUBzZpDDqzZinuUAK1TJEYm g9y4lxtFxtEEiNx83bRWYwbAzeZAUTVw9BUhqx3VgoVArB0pj7KKhL/v0lJKlmDYJVLHq0dSJltr RUOwrdfvdbw7nGcgqzoFX5OuWoFYwkYixr8HwXpvJL4Er5aNQSiW4KpzOO3jVJVK5ir1ENTgnO1s 9wgLrWod5nYIuMD22hKwXQFSp1oNphUO3vNyXLJBG/qn6VakAgghpIKStah6od0eaehR+TZ9pKpH E8i2MHD9Ccu7T6cIC0FH9SMejb0n86QFldunBWHnjzfhnp0VkxCEjEnNR3+EBH7gGq7GF+1S1S8e 0b9/CVAA+O4ZtpLcWMQNJ0jfVZie/lQiWThXJR9kccrRTjtxBx5h+x+qH7HgwuoOaGo+TWKtaQaI WGajIMxw1znjxDdFuxL49CEjVl6sabx5xQquuXyd2c4o5gyRDL+N/DNWdTAzheLo8for2OA4fur5 wBILYVzgELTmd75ojWuH17NKiim3MYqT21ByUL/i6xB2m1fnA+AUH2/+lURId5nkdq7qllYNLbc9 /nroeBe0O8pYZ827c3lE10g2BcaJPNU8EP8QyvY85GFcK+w13qamqlu4gr2QONDvdGjCzmPaz7Bo 0fP7zOjOGzsdj/DXj1PRYZJEPDmNvxc9ilv8246dq5E1PAjA22Z2ATnbyybxbFt84RLJCzf3lido t9XOas7amost6A2kTyQrEn0Ln+CxoANhgzqHI5aXKeu4KXak61mfF/W1zTKlVGu655t12VjPFYmC 8cOgIh99RpOuiCyHxQmW8odihH0O1oBs/+Bi21zjohDrvltEGkjjs6aYiGwtR9NdCarunNq5a8e9 L69vnj2vLvdA2z5pbZDkjYk8twXo0uRuiLatOX0hbO7KTvbdyZmup+baBf7rvU1O35QlTjO4hdkA 2SbZutYrnxdK6j/OvMjVZHqX8RGBglayQNKjaZkT3aC6yKWPImqtXABM+ALcMJzW+K3/4VWvf4jI 78TA1zhnip2BRylxvzMWE+qmYgvVZd7jy9gASjq4R5Jnb9059CQtWqzCpQnsh6sdVikBoJFf8c5E di0g9lR38TIRJ7K6qXS9NK6T6HtffeqzgYNHrsFV/RqFDcWMJl4AbWl87i0nyZU+0KwEjDWqVbH5 3rAvAGUfIsM07hNmzE4TSwTDOt4Q+jG2WI3JX9v3uuBBmKRgTSBhv81R4EKiqTknLOlQpZmt9OW2 zC31/gWY0VJsUCU3m6k86WkdcyuezrD3qrfXwVWQktDljybnFiK24YKGRfyN3RIWiKPGcsNKhcJB FOCwJgYLx7whwpiY1/kKn2YB9q50dIpykwcJlBz9tjtpwyllwPzT/lmwLonMfXMTLldpCgqQ02U+ fOf0X/lsjaptAvq4Ks27nmLcETuvIUo1AWOtuHaSae27cFt3JPxF1QNoVp63ste7KP4jyKuLC3GW /Do3WTSLNdky2ukX8by10s0FvbzCHz5XJJY0jPNWsuqyuxlMbHOq+/mL0agq4WH+1Lu2HxkT6G/3 HNNeOqfmVfIweRSUYQqMAbLvLO5R+TN6M+U8ITK5txILBH4blUG0QFEP9nomMnzeH9nHd8gBQeGT 7IeaUQ0Yc2rIEuQ4rXEE3P68SqPoiYy1P7Hd9E3oNl/nnioQEkPI6lmJ9UrEQFEGOLnhaDeNySnY US03CP7fa0PNOclvgb9ebBqgCModMMdv6ChPMeP0Q7b0GsLxGZkD+or0GprrOPsFRmeT1YSipS8o iQ7+aQ4DPBwgSsIepJ04s/TIYJgqy7j+Q/ntwAKIPOMf7kJOz3gQlpO65MlOqQdEbhoAHWcPUqq3 zm93rogTuW99I7i1GNcwjn6NSvaoTCjXXHGvPjq3CTzyfOL8klYzUlXiU5xb3bn6QeEJQY15ZWEi gwTncyglJKBtQ9Mh3pHkOZpKynyRfAGG0SJeFNu37FZ7VlSjfkBCk3OcaqeQfWyegNMedrRzNSyC KVDQylz0Y8ON6XgFUcDWxul5R5g712yt3DxG1+w4nfR/cjfdTe6W8Pi9BGXR3YcczedA4VYX18OS N5Ke6V8WzLeNAkMVEGZ5oRpzlNqlZK79BbzUBwXemSqXUxRopMckNtqG48buriD3AQ2tRfG61BV4 9uH03Xl+9R+wdJ3PIR9OMvsKVckW0eKUO6kQL814/KZWofIC+5QpDgKcXWNWFPjShgxG0pVrAlBe zfJDd4E1LT6zZe77tt3YmNlWWTah4x+XWCSsyP+hhGr7QRps00BQRXP5mPgRJlygnfsbwiTNSOE9 iLA3DoR2DGKJUyzZ1gXXCPD5t4xlAXClVoMe6iQAZubpcqVP+4YXOUS6VHvOYtukmTiwhhm8jz3S ESYB/oMD3WrdkbHeOgeXjM1W2Vnlkmu5lXADED/ZUPLSh5nijioMO432jf/mL61gAHILW+KzJ2Bh zFVYIbS1Bbjf9nVNy2+ZtgAwJgT0FIYY4hGI/D/ayBPtplt/QgJ3UFTw+VL91jQOBWzLTQKbeeyS 8wvi9mo/SQPXBKu1MsWKaMNahZD1HhWD6OZVVMmgLbj2ybf5ef05ldD8RfYeawYtLb7zao9ItkI1 vlxARJWRJnydshbqyWycTES7pDbhXf+j6hQ9D0tONjwiBpOOVRsMnwlFmSFIuV9ZtNJiWmIFs+xT EgLgwA3mvUVa2mXoDdze+tNyrNIDK6L/ohbtYBRsA7VZq6m+b8hEZ6GswO9Zo1TdahZt0DfUdMFI zasDYmmrfmvcEOJO3AZS5f4UfXZeNyJr2gq7cRfzCaii9BThyNLQb4lb+p9dnDiodgs2Yj7dSCAD P45ZdwL0mZP6eSET/eKRwiP+Xc7P0wE1fXejqJOCYXeyRU4u7URB4ylhVzwlQe/MM7kGBYPtqWon C3ahSIYzsYXiFGpzDcdW3i/RsDhNNu59YRw4wN4JQnVKhrk+LG98CGxmDqqBpFwwkR2ie+2byteI eo0JSIkFeIlsUXkyS7R5cL1Fqp0Y9xmzq6H7tpaAA+d1Zb2N1hhdvMBZqAe8X0OzMsXKyKmm0YAF fWwYkh5Yk3w47eAg9AkawRCrrgmQWAeaZxc5vrphAYFLDL35V/L6K3+QjfKkOU8vOkS01TX0gDSj bVQoO3n5TY6TC6Fu02wn6UZehWp6CJ8OykAayesmTU3DcIYAieXARxw7ZJW1QUNgYv8D+eavW1CZ QbJTXlF1XpLEirDcNlh96+z20dIWmpecB3IjaxEq/WC8/Vt2R6jun8oczfliZb59t+uxE2DDQeJo T8j4g0KmAQmxgLMyFytDC2YvO4GK1k/gfK9TxC8DLuQHfZTFjT4uZFRe9gO4qAZQi5oc7glKRjch 0u2W9XASI1cAxfQKfx/+xj3YLAv1B6U8/jy0F7CfxBaCtJvl85lOBrxJq7vuYKIpxSNO+K7dA9i7 ZCvtLFoA7CyXgEtn7tc94EeWP41Kf6+jDKJx9ktZ7saanpPvCUqIcb2+bwdKshLo6yi0xwge3PCz hZ8TsRLHe6HsNkxgRHz15ZasdSJgJLPlv4dwWZ4mLlz5WHrRh1sJWMNqsP/ANQHGoMgFuIoTyji8 ns9djsAenW2jX/m+avHWgh7xkNXk2cqoevC4iYthaheHsczY/rScRMrMpo+YjFf4gZsChlL0pfku D3SYvfNJ1xQgR/WERAglguZB1DLg6cnDwPLIsL86YDv/ozcdONgtRPwCjlk7N+XGxDTMKW6DCR0C iNpKzjNwAC6IirKf7t1JOdIrEQPyyS3TM62V8qdeaTVCuV/1XSqQSURTKdWXDVqjuihDWxb4UnmG TH06rrTnDLNEHtV5nlQ9dkeyaXnxe6+7iugrMkvYzsIGPaQwsmpisGSEQ9p6/dP78fYoUpJ6gWvr /fcQnfk8d06ZaG86s3hnogHmEgL0xol29VXZLyhbRacmzzxb6cNwRzSdzj/vSvFyfFT8IjbGiv2l S8RFUyG4Si/9+vrBh+2HNKbU/1zSvc0CleRvmQZysrou+bRgFIHwO7V24ttpyozOQuOA83SHccmS /jCsI1y9XQt8RMlSNJyRzneFp47lg+WqiIwmHanqQXYzG1km46J2mdGLSAOGIs2SB+TMUrllNH+P 7A3mepei07oimzBWoMty+dVlqEaLGUWLMBTH0D5eU5wmOs5qgVJXxOlLfUHRLlcbYyZ8t4n1p+R6 Ba3mBVPaKwfmJCUpNB62HPb2WU1kzyvrayVPwIu24TmwdU1P/90DB12UzJTvo9/o+/Zgh3VfCO+l /8m4Y99AmQDVMQAN3a9yZctPByWW9Vtuhywi12gvAOgeXhPT0k0sBKh9qBe5sPFfUBKXoN9MFBl3 +neHSlmGBi/DU1NvKXCOqlegloU/vHQt6h+eZ7uouDzo09zNHOTnODQRTQDKmUDDy/Bf/hA7DSjj Aqaj4UBew8vGDTk1ej+8Q/L3yE35pgn6iJzMGwvPrRpD7oaGmYVNcuWHxS5QIaqQVRZtpwVEa87F wGocd02TBYsIPPs6xZJKLGyQoapmlWA/Kw5RQoTTqBFxJSwPPcTjidn8csk9h0yLiobo1AtLRDoW HJV/dk6dm0jYskq3ePKPaeRkCZOllUAz0H0bIM+RARMli7Q1eNJcwvbPW948Ad/0LzMMOwaTdWJI UEzPx5YumeXWzrrt+2nPD0kIlPFxh5bzrzAM7W7reWzPWaz4DkGLIr8u0miMgAARWApWI8YScHrz q21uL3v1qPbU0wDN7/ywNNsXIomsrWY0mMTnPHz1Sgux4JmXAS18gucja8GYrshkltvCjksRbemB ABhF7MhBz5zNzCAlGEcEW8r9syiISeRWO0tF7owLjIOJWAIhHGzsUYKfRdrD+hG/IcbMkV8nfqxB Ru3e3C92OcVdMmgfKdRUAW5p0BdAhg9vssp718aq83wpVyf9hFk4qbNdIedz9RIhsZt+6fjEOBAw 7RU45Uopq7WNdGVyM1xh8pMtpcfdmU19LfslGTKRZVYvYJufptMxt9IPjXLlvgnv8hefgxwrYV+a KGIV/LaXlM3Ppy5+jDs8kOHHRgwGOLMo3yNwbG/MertZRet22LbMOtG+TdE4O6Mjd4OW4WldDkCv Maj/YzGrgtO2hgXaOhU8gAtiILr75WN4QmIrrV7McXuEhTlqg9pNjR2xQmdOCLUMWgELPNGMKSrW uTZtNk33z9s9R3XuQb9e8C0u37YqAjp3XzqLh52omyMbOJyLoGaRxx8hpn+nwwcN7WsY6w4SLoSR ZbJsaIo7xpEttxPamrTKoGYnWiHfHAZgu0cAKXFEUMserIEmlKXSjx/jZiO/H0nZ830h8lMN2QSz MA23FYZuSW5ashFLOSX7rLN6R2b4FTAaZm6pKPbmTEaBHMb2OjvqAiDmX/Q85Sh+GgbnqUWJQ11g wdoiYkSmLnfAbCZSqYQMGAJqkGItz4G4rbtYyLJnXhyRV4B5RO5qUlQYsKtOgqEqUpz0PUqom1CV /aq6wLxHJG9UtXPQvbgvvkJb/WOsvGQa18HrImMGV9Jkn+l/921TcpV+aukBcFcZn+x29V7Wr8qc bgT5IdgrmzGuuE9OFjmEuIxVwxMyEIKd2O4O3qW3aR47zY247fB9M3U5MuCSZw0ZtUIUdwWZIXN+ VTUCAJhHMvdOAKwZhaDm9Dan1BBiZpmMvFIomCib3dZVCKfu4JUbW2ByHI3GA0xI3mphmSlySh79 2MdAjMkhPlJKZbUgsbUzE0cZuae61y0yFRUKjrzSf87nRA/Ko0ziy+MtzJLYl3XxmQbG17VYOvpu oCnWo53GLp9C0y94T/AkF9zGnPG/X4G9P9ghl8EhKmHhsCAUlme2/rDkNEoNkaUys/kk9SoLUYpE kDwWbQlMBxcNWOZHup9ptGfrw7bKr4Y9limeXa7jCYnonY2TupIDBl38bQe+Iu6iakNb7Sb9iLwx oUEQRYzDgT/WHfRj16j8CUGdAl7VxVNKc36jXi2Ie/70epis74GcUrkJvRTx5I7N9A6703xbLZ2h xdb6bSdN80SK7KXiDjI6Zy+x1mLohiVLvUZIa1o1dqbicclALKLCr6goAdiobfwLTROigUjaC6XJ uK2vc2qzO7xzgCL/8EWKZKtOO8z7fqpB3v34xQh+gQNeQ5Qmty0tX+XsHGrzOJoa22QOADoZ9xwZ 7yIGgUGX+Xv8b41IFoi87ujq4SKFAGfb+vlfmxcCGNTfbxJQb6mhC6f5U08MjxGK8oYy+8vQvc8s 0rJdo9QlnyvJc0vgjNK4oCUoMR3nMpolxjujhcDvnyc6MmX+cVvNZdf9/uJnNwvVhrBq63irTmrl B+bWywmpIOtYBRyns/vcSuRvA5+7BuoRAFeb3cxkvqqE55XtWGDbVNBF+lEmaAmu7K0bburQldXr Z6IYr+HHAVB6GDzKT0X0bw4W9GxsOrosrODwnL3vyYOxBfZ8dRWdwStFFnP5O3HecUxoVryUNCTo XkB848TmvwnlF7L3fbZRFe9tTvtWqARxgXHBR/3oOuKdb2LBaTOPrBBifsG5p+lfCnsLbRsxadYC lIRH9ZVKqRrZD85ajidyWSgWGHZQShbsgyL5V/PaYcE/e8oH5nFBDhfHdnXHlQaWdraxdr3uFRJm kapVEpIPatIOcJospwVcFTyW7PoHjk3Q6SoyNqVqU42GfbN5e6rfUcxfOk6loa0YouKLJ4XP4wLF rRMACjpuW7iFjwBg38p/AeJ/vYHls4sEt3UqRck6lDIumRern7V4waMtTqepPYpP9hTEC6Uwo6zG kw512QWFOd0IsTOFFyMjpM87l248x4n7WdSMh7aU9Yjm+fnlowh+q0SdZImh9S9YcBh6/ICYRy8d uQGqJBGiZTSolUiQwJ7l8S0ax63KjBWSzNMxKGwG6bHL9qbwNl2X/88JGNAabk5NXHMVTHa6avaL TjxpyJUrIMaWDpgOcMqvzfNWtvrvz6Gxp3XzeH/9CZPSJWD4acio/u4JkMLbAQecw7iKhSmJoAkx yRUdahzpsI52mfX7HkENs5JBKQ0o3UE6lnZvXMX6MvShF0nb1/SeWHdo6mw7XbkOlv/KlsWZqbsL X0l9pfXJk1TWeTXJnbx0ytTUxeeocrINzq6v/YIuRL6MyrNm9WfjsqbAOM41dlgS9/WciTtW3JLQ NN6FIypl3dWvZdG0C8ikX2ayK+d0tqCM0ooROyDR/P34fT+J/jWijV0oEDFFrQ5zCs3R7Y9F8+UP L6h+iehAJRhew0zv8ahw9smC9axzIDvLBUUpfAkl+zJjXmKGTIJE7bMoWNeRaWa0Ej8iylDPJPNa VlleTj7zjNFiaQxRCodR3eFNCLfBKNwPOA2qwCVzhhmcFtdj+4nxKT1D0TjH0IHQaamAYGFqGloy VsPC4rSl9kVDtzLV2Dn6YMIp4PwelphGikiFQrAnNmI1tw/cbNlGmP+3NqprTyPUdig/zzHNaNaL LhMIpHbKB0OBWvC89CofQsDn6voDpiiJMtq1n4fgldg06JS89jsBSX3UJUbUfK/k3Os4UgU1ZfT6 pWOAR9UxfO/hMx3JoBNhqfx1I8I32vtmNQQSeUs9ZmSub0KCsI0I5eqsWKqC0r5LFaxBMCLUou8q Jzt5L4Y7G7zBEFGaCf6uQ68ylNvikCqQ/jGa0JO1fBdTrBk8qCqm6gQS36gHbhPIj8kOMfcATwpn XMPz7gLQT/Rb4nyNrJQM931F94vh6G0xbIVctR67oCC4ot5W2xzuc0rg0GJrNvAHS+HiNsXLg2Wv p/nWNSfmDs9mP3cqlro5s9IJP+AIokTLnHPne12vnXLjIltQy2bmmiGrfLSYcEC9izeYb2WS1k+r zEkRufKmAFPVpw1QvDreayf8k1IzlzCllZHnLWUjyWg8ZwUBdTXaloplO114tbzwtPf0zFdr2nin jZJ4l6PQ8FQp6c4iLEsNcahJskNNw1CkS0ZJgVA8//ZQRib6yt0GQveTHSsMrpGDD6f5UiQECXDZ r4uECV/CoGie9C4x80ImX/C5GuZl/GxPBGpE7kfSD+457U/XvKH/XzQG3+Ksx6Lop25oKqVyVakY MEvoZCuMQ3VLK2OVP6hZ033uPmN5l44KmDvRUimYwRe81pzgDWrQhzlOkH6ZyqlecoiugBpXXLse 85latyagsGqsjTeGWpvz74jSe/U7B3JaQYQCEoB1MEuBW9Fvw55X4Yrl9fvvmrFOV2Jm4n/kVCLX ikvOm43rdwujYLzM7kdUwH2WbSYgSDCUxoIl+Okf28ZCJUroclQbkDNmeKYm0o4HbhOi9jT6Z1Id mviNbIbmpSufc54uauE0thsslbt9sDoMBCUDk9tDjaFzoEnENxZYybtI7XUohznhXe1MimNPg5wY GEt7lUy/tRnrfb2Y63vnTYHpzJjgpHD2/pI/ZWYLhEDycBk5y0V3VtMoBSIBbOQtlXKmffINXS/0 NniBz4qKN6boJPjxY/AJj53S2/NAXaqZX3w/AiueF/i0tEx+zNoH17sxjxJguyezz/69OFt7LY10 /kOLf7iPkSid3DxVsV0AV0osmRV+Gl2odq0LtAvMCAcN0OnMS33E3BkaGlC4J2coR+6q/UNdCQng 39kZfQCZwcdanSBIEu62SJUFIUU2Co+uBZytEcLcJOcY/aw8SPVB73dxmYSF2WJcxm8pkJpI7vAF VDt3H2g+l0VnskM8NMcOuGi8ImdxLCOzgyci4n0+6GADS4gjDEzSKR2XZjxtr82AJTbKppHR1cdv L7nOEED54h3hBAFE5yTSZTDvYyzelTMwWYPmkRQ8DIpAm738lRPD7Cov+2dQ5UMy+GrDF+RJLISi A3RiJ1Gjx+85OFJZd22CkHiC3gMl9+DE95lrGNhTo46klEfi9qBZ7wAxN6+YPQHcBWCJnxht9hRi oODyEue7qsZBP2KPZMR7PgJ93jSjONwbA61jQ4D1dYTQV6+FnVTWo6NzH3L6fg/y1uSywSdFjaRz 0RkCTrNLwcq5Rjw69N76iw4c/30kVHfBOcXdW6o5lW4R3mpXtdBl8dr3vehBD8eWzDPInBURDXlc jlZ0qZFRpZx+sshqv2NapPEjml55UHBEwBziGN3cK/ZE6d+oZuRIfE5yEfz4BqXh64/OAJEwK01W 4kGS/+Spqq8AEXJ6SOJBxoop15SKQFuAMwvroypEJ7rrcRRErrcR5OAcCrt9bcPIKLiCqWSOO/dE ea8NSklFv0cQgvN0bZRGDzn3MAmoWJr0GJKEcBYtrwD5hSg2AD23MyGKdqqki2SnQ9pTtSD/UFZu YRIfKJAbPJNX0uy1pDfmbDrY40t1j2xWTqR7yFgpLRLmlrPVY3fMIe36WNUdpBInfbWRXOMS4VXd 3glwJZTDHiG6Nz3PLpExsVH3oaCiiOHMH50BxhOtMHrYP7XlsFwjowQDWDhOu8TV53a+BpdrpD8j u+izkMUtYGgb0BX95KtAfdeFMnKBWaV0KWv9AbTN917589AJ4t1mqICS6y4kKea04ILKWM1+/bgH eXiEIEaclWdSTULZiuoKJIG4crpPcZGt7j9JGVaINd/pp1xitbZhWJ16T3bHnTudZlKEZWgm5GlP EMkglvK6712fxneRyELUNpOlWInCeL+lQKwWdMZagGl5lhUdla5yO22dqi4whfPkkFRLlEL+82dQ VEKrRlyrYL9vXJDYubMIyBljK86SzOem6ehdyH9L3pwMyKO3Yfc+0R7DF/0TKSrqly0WP1zG8InJ JmujzfeYKYYWs9wArO5f0m2CHQFxx3nauPQtdlhVNRjphrwF2srEyew7e1kQXyZGIQmT2rbbvbDZ 8tXHWKpdIyWQ/Dr81ta/rjHOrjjCY4EgnXgJ4OcWDA1aqsk5pr4CR6E16+R53ZbI4zr/cw7hFkv2 G8C0YkDQzqNLt0w6ZNmDwtIRJXitO65/8l6eHAULtUtaZnbrHRVMjggUjZSq99m2EiUhwIHvdXgq RuOmtWC7ZL94YtwRgboMtDoemAaplmKWtAIUlFi2DcsHVAnl5gFRSem07xg1yBCiRteuujYOeRFR IyQ3UtFM/TYQJ0gU/W3Twd/+D7OmVustVGBSQwU80IdhP2ZAliFKa9ixLFIrxP6aI/H+DpWBaH5k /tyofNJsWqo42kThp+qN69WhU/LIUCj7jO3ivuxsEHq0gWdMJqvHgq9Ta2hNYrLXjxyCL1EYTrJ+ /VqL8WJ3p4+h6FC2q4AoEMObTSfsHy2T6Nwh5OYeliU51SY34T0m1qMNE90hGuRIalTtJ9ltHM7Y RzSSen0oFZHNXlCejr5aq6rXXzWXwIWeC53xMYCCM6vsQhzWSnwrLgDBEt9ioIjfx0QX3ZoquOuy OjfRolKeGZA0q3Xq2SvFIGLOy//W676nf0lS0vEKHNN66vmHVh2Tjs7+fzBHTKa67CoIM6/zeVVl 0S+ilgK4yAF+ZwXWAEPlL2BjLxWavG4AodY0uIcDZ/k+RcCvq1dnh39iYojjHW/NmA8dkt1BF694 jywfwvEqGDMUeGNpsW5eq8SIzRT8BI9WsOiSl8CYVHiyZqFpQUTAqm0KB6GXs0bXAEez2Lr2f0fu CgiI6sVAsyS2Q6gMKbnVJD6SudauoqtkyYJzJ8t2wjvtNPCDMqhLLyUhM0s2cQHjrgO2u5ZxoEcN VWezqRurEQuda9PJlmfxSOLFI8chyx7EzZKp4BNF+KJlKAJDg1LiYxjHC6JeQqtVV6DZgtKLvQbn zX71b8T79MLVHQBwo3DkG5kZnyb1uf2UL4I3QfY+PmF21bFkQKcn3R3/FI2khKeFk3cYrfVcjFdW B/p0wm2IQUiJ6wPb14YJI2GNzYw+7fxb39g4HeQRBQD6Qh1s/tePxvJ1KNrUF9UswCQ58ytgfJ2K snBzOtME5BMYJ1XqkH9xm2UiEWGBEux4qp28b/UNGPe7MJTTzLmzBPJz1mU+kZ6flOgCUK+PQfbE TX9Ab9CBSylHtHVbmP4x4fgaVXvJMkWAD/3PZJgh3rk04Hrjt8C2ZiXLYIJubMq1yYhFi6q6+ksW 7B+mmy9bllUWVwvyMDpEOHZgLT1aM+gblZ7CmWjFV12H+bW6d9B1Ebu7mHrglgn4SpwSe20t/krQ d13duU1r6qj2JNUAculHdf3tow0PqtcsDkdWcULPSllLlrzmLXxj31UITsPWW8ohfYNzLh/Vo/5W /YkofiGvRSnC+mPYe+AbB0RzEhYm8XPXc87nJhFFeDPIJ2WWS6Pj4wYdvONTvI2n/mw5cMS5LsLM uo+ef5GMYQliMU0xReSvSGM5Yggwlk9Y5ILPoRLRa3ahUg0tyRKarmuLWW9eXDS10en6I/mnRNdT 59tJJGIqjbVSUzzh60hASWOvqxDUwCS06/Uh+pMgKVecjcxuMJQU/Cj7lOGtWIGf3Fvc9liEza2O n0KiE1mfXiRFXUtljoqr0+JrRJQObRZGiAidUaJ03KmJQz1zNXBCDcOO2EgN7+VrHod4+tMsOcGr XOBSbPQVBdYvQe1QFofLqJhBoe1FylH7duxB++eqhoqx/Nvdhjuu3WVLG+vOAuLYUMooh/b5+xUz IA07QoOdMFxj/7d230TZu5lTNjal4wrYESgZ7oPteZJ44lWy6sO4Bl+uskTPLzQfVjoLdhFjKV7R 5aaSmxQr3Dh8oD9gW6ty+key/F01/5YileyyivhB8s4c/RCwLtm/hQWGEE9VuG/e/QPr9exzqxcx gYhBBx5znpxM6nZKVZBpo/u0mjqQrQ6BwghxFpCts49sOEuy6VGJ8YibCn95ax/W2yTYCLpVm9me XH1m1mLxihVL/ldL88CzTs6/0UgpNJNnjAWnc2Y8Xb5yabFszCR6LkxxPWt6jPJPp1pngJEdO0a5 08zxWrscimLVq2YtiDJUYawGu+uvl/9oHNfWLGrO+2TjbokWshgm2tMjHho/gegDpuFw5O+6YPXq VqSEV6BJNHbhfi3MOxe8jYatAuud1BWkIshAMPV0EiUIU7J738my/1X4P4rFqbF2hsbv8QbICM9h 7D8pW0R5AZYPWzHIKZCVmuu1TY9cdrrCSdKEQS17QDQBM+hEzJx7ZF1Nco8vxPnXs/4nWKbgM8Dt gDKQ4VpD/XueV54I0Zc/FP4ZcpeCkpl8xFbeS5yLT+vtdrWnrA86WpfuQEjFb85V8xVA6bfunoaf eIkb4O3jOyd6rPcnu3WX3XPokEMMaoUozzxvr742/TBMWZE+WeivSypuG7aH49U8V5LLRqxcznvK Lj/RvI+OPndrEkhraoXR1KtaPY26Fy6XkELAyL+OnJZVKucAN+se9AXI4tlL+/4bHe+mQaWDmJuw kUB8hHDFsPobLvVl/PsL3+tSbshAyKRMGEdHc5i8SDitCcjEyY5V7AM1A5mNf49l544E6tzJjkxi QVi0CjKEApJ2e1jV6KTL+1tbS/H46LRU+U2uaBwtse7z5Sknl7SGnb3XKY06g8nRYnoQfq/TTARI 7x8wsdKLNyhoZb/z8galDroPvPDt9CjbVVFbM7aBm1NkUlNQcIjnGxPS8zOY2BRwEEWBedQTY0ey WxKxR3XeFToHqIg1IWk+Q6eOZ8SSJWOKdmwpHa03tGXuih2eGMUi73kYQW35yWybmYAr5FzUIYyK j7WhYRICc+mM+N1DSRrS7/gKc8uXd30IqPw1zyUhI8br7B7oJfK7b4es0aids1KEoUi+Cvq1T2wj 9ythoRKkkDE0z6mITMd7mEeL6mjOCKqH+pl+ZzweP5sMW6SW5Dk6SrTUglbT16g0dDDzKzIIHKLE 6i4dURGEozYhYBnrqrvkYxngPajM2cv2BM/Ge7dfvv9w6pcvSDzfe4uUiJD5yB7E1uu9MxryyXE7 gGe3GWFaEev8ALkaC0Nf1L4WvJiPPAyuxmqPfrDgg5QjfZ1BWGHK+Bvez2cxN9uF+um6YnLLb3mo 3KHDUfWAt3PobNA5b8Unv3Mpe4pO5OVJDSZkKxPWQ/w3U8iMX07W+j7yjzUigb/tPsrxeWpjAnpf 5KPDFie1Kf4E8f4qhVJSnVyHJ+aUqtWwJhRtg/JHOwgqYY+SAuDCBP/DGHgkVxXt6rquxOjIhdyf lL57FWOrKl6U7s0sb86yhxNDB4mFOBY09TQbRDbmpX560OfdryRdCXtEGZlflgSr8w8LYJycWQyl Pti+udtZ1qcjUbBoYHSyk4V2f7y4B5gx/NDyRgERX69+aOnJ6gAbJTzIqtd8jlc2lYrT361KE7Mq HzN9vT/uIvvhE8Nj4O/Nu9dgh4e9XccOPBXRbraRr1cGfwA85cSjiVJtCi/G62u8l/Ap8NB7qnXu CPH0tezmPqjLCghgGt8HmBhuBE95wbScH1xdBQI1uoZZ4ZC+7Ad6P23fQBLnQYMR4qZ+lcIq3Hgx ufeLQLNgg/rbRaTHGR4ZR/xtsnJ+v4plbHWV9zim6xkzVgX9Ya6BIYRZHY7iX5T2MDf0PmxoAJZL 4OJ/q6BjL34XT0oMJSCklkSowNkI9ew3hqn3PtlXI01h7O6/riUlVP3+YP4BaKs0IaXgFaZo9vFz UgyNelCXr2J0G15q/ykt/T9Ekb/iOD5rC3Q3fNEslg59bnYw3uth8B/lDmPeB5f1M1tAzC63K8wl 5WhQBXlzNdnnUPobFcTcDdCwqbem4u4Y1AuUbRO3S9ian/XKk53O1sA3CzExftLXR5p6dcWLTDzV Klr5Tf0wa0bAD4aIyCq8ic1fUUjTdb6kPjVkgfw82aMLNSnkmsOv248qMdo0Y1/ZA8Nkg2jQ3G+5 5BGzKvOpIXekPvLFsmTYRsB74uFBQO6LIAnabxKwzhRbQdcnC54ZvSP8DhQ1bAlH6TSk1rW1aXPg Wo5Nvm5tZNVh/SuH7GMh5eOecOZ1SQMhoxgpWLuNaMxVC0MNlnFXwYU9tzG3UI+5qe79CzE+cDHE 8llJwE0uEQ4l1QM6lJpTA8ecBIAC6aZ2sCpKZqW7sjLXykP6mtOyBVnEI57KyoS2w3042nxD9ghH EtAZsCKB4jOZh9x+UYrd2zSatBWJ2at8RCKqq/eYLTtMQgBS54g0UCbMR1QzUgC0cPH/w1svWh7o UHvBOLuqQXtPtiWAJoJGeA7T77L0SnJiwDhbagQpVYN3lTokz+WA2wtg60IqmtlYiqH4FR9EgUZu vr1ChU5y38P96gS3fuVCkTLeHD7gZXA/h9ElWFG/ZgfEs2+bVgdra2+oXSRwoF1eze0p8G3tlAIT BgGr3xMI5UMRLLUVQCdGlnppNXASajh4d+1WU8DRdd8iGhTbCo/3F5k0Qj92ZXpR143zC+UR4o7c 4lqAmN3rRuaMR7Xuf7U95szzfLPSSOe1FlM4N8fh8GVYWr6YuN4HTwLS39IUUkqx74M1uY963p5e piU9NGsVq4jEYVUw/bW1Gx9dxjsQNfq1qCjJA691V+xWtUas9XeIcTVD2Z1KcM2Jew47V1tkbfXp a/dhnxijHiKN/F41XF5WBKj4nxOzBposfIR4Snod8aOgIyW+Eikrw909J8e3OvnDee4VJX8ubhkr 3P9wOPDwv6R3j1xMgpKetQ8XBhiNT3Y5mtfE0h6uZZhQws9MdP/yspFUx7KXXP2JAQIvv6oZYFPB cb89Gpotvow0uR311dHjzBeGovSXSJWmtk10iOu2sWJKlsggg88iAK8iesRrfZEvnAwdJ6E3FVT2 64mbaVXAV3rWXCMIpTeJSdt2yOedi76CFvcM3eonSK2fNK2CPeNY1KpoU2+QzYlQJsyrGgvy50iz RGGfpIRsmn9DjhEA5vsCcMsoorvruhXWDtZQhkAU7IzXJQJwrEXlCQTe8ZzerMq3nVGxyIM9jP9V GvKkRERZ5lJJs+5Hc5f+X+SjkfR51ZB2s47YWTZNQqTAbIrzP12Pas2AjM0d3wz7F128GxPhJW1S B1zp+ZHR+5jjbA6FhdvLcZfdM2ZrUXOhfwArdrB12I6WBILKphFkw6jir2eV4Q8hu8+ofrC5d3qi TmQE8RuscRZxZDUYn7x++mUf/OyurEdulu/fTCruNv/ssj+J0AzzpH9xBRXKL7o9n+LuuK/Fh3z0 PPacpqjYLnSl10E/NgLvriz9LfgIhmEOvSiy8o56iLwRXXs7IwYNZX4nE8YtaAHIorK0b6PgPPHb zSho/d6ln+WKb/pWuqkR1OqHHE+Rzi5s0pQ9TcMjCeNnQQdm7ZnXp1sydzqHfNxf4uDGawBWUNbZ Gh9BBHkHj17h+gHdFdYJowa8fwAGPg+4XRR0pjxLR8QVasnbR2JWQdYuMMnMmc+WBo/JycEUswt7 u65edkr1zX11ZJ5kMTowoddFl7eACDEREr1Fi8SixPBdP84chIyytT+jY+AN7rJmmUC3OmJrsHL0 lZ2tGR0MFJPp/uinLT4DsRNNfHcWJL3HTieuFTEhUMeM4KhOI7rM0xNDVJIoyyo5xn6WewTMdWzV JhMXNawvDvH7LT4o2q3lPze/IRjr+CQNWOEuAWlYcjga+wq8Lm0Tg0xg7VI3DybwH8ZS4QGE0u6U N5MAgktrzZlf8veusSmI/fOTW7ES1UUd1rajFOA4zwi1ceuzDPTgF5XdXrvodbv+IKQBiR6aUy9Q Itha+n4aKehTWCca/wvBL/UFybdZ3p9VygDPdcLTstVBfKgJnkfaq45bVyLVGAbeh2KQMLvJLIks 8RT7e1Og1U/Wge0J9ckuva/IfQWPWgcTN1cCp9nBojkunMld8FsygK7s/+mym/mfNAChSpcI3RBx BIw+juLCH8n7eD4yd+pTgSTZOHBatB9LSRy+ydZetGALM1h6SwnHlhUUgD1DfmR5pCA8H9q6pMta yC/oIe2wsap0qph5dcgmmk5qxbgC1vYDsLf95s4u0I5OfcoPbQtPa/r1vnKOOg2HtFasYdvTQ0br CLNPFQqd0+OsDrXympiT+oi0T06zO6/WQbVDzzXBAmAfva5b27hJvElKKfrxpATSKfKa56DS3tyb vMjmhfUMaJeFbQ75awjru5BQEw8JHCCLYUxlsJzlQASQBgQw8ef9FETi3LYZb5jzdkUhnC/wJ9q+ 6MGhnvNxIOiCf5EZQSl/ghxwZWbpD8ABsi8d8LMcygZMqoG6MULKe2FzDHmBJdWCnumNZtTsqw3l KkE0CJEQoAagVDvvyU+ipfFThHStI/Bg3BTOHlLaH4lZbWHzcs+iXOlJNJQui71g2CkXtngp4df+ 01DFM1HHQXABqlLib/oc9VnJHpRen71g9eKHBOYJ9FLRX3jajO7XjQYkN982vGhZa7OlIUi+fyGM 2ykUpPfkjMpPfd52SFSuholYipeG2GfM9nZFRnFNIrTB1d7QmlKMovXMqgcN7SWs4IB4G5157NqM jNAexuNOphXdkVilgInN+8Lk4Ee0gjYLFZbdwd8rIT9P8LrzZFHo0cCFm79j0Ai0oiufkMuSTy5f 5O8vU78hd9OB6LHmEfixhh77hiPzWd3VT2ZjakpYoKYh/mbUCAPPQ7pKCNi9vTwAIK106kA4ePfl 55ad07bSGHP6L5bcGNlc5CCYd+nyTAxk9soLKVa9yNkKEpdGb684YOmqxGkwT5nVbmvtnbUgyQOx IS486/hzh2QFlMXa8H7RJlgQ1+LJE6IgQhqLKziAFwtjP3XYNgeqPFLYaMnMTgJCeR6vb0dxZXKG lOwArHKss/Zy6KTCZfx6gmhKeEIqeVJbZ1oIHXSWw2t4u/PisCjURn0GxVFLW7tqr213IgcLh8dW qWFHSRU27mReXcE4QKuCiHAp+3BX1CvvE6lOyYJgn2wXoltiTBpc2MVOIdbKUaGspOJ/hFf0DiE8 vAJdSCFFpIpxRxeHiAvgpmijIuak4jxnGcWJpclXRSE7HhvCormWEgXOkyRLrP37jepPdj90x1gH OXye3vyns71X/XnA4XEq/vN7o3IM4GEajaBGXRsDroKWTEkaYmfQDPKJbIpQTsSqkQ3XzVGpUqj9 Pc7aU8a2VIArjH+hKWYhJty6hpEmn+CAq7bklVMJ7qW/U8R77hTDT991CbffOoqGJ3VH+oIxq8dp oyk22gp93MOQh5zBcpCCkf/GkbHq+aNYDrc78/4xo2PN+udJHO0ginRwnojMWNAbel1r+VHz+xsj YKkBodtwtEsKQ2WNJGJoM7l5E9kKvlT80HAfISt5Csvxr4A6QlYhktDyCgus5nbudNYw7PNQWt4/ IrEDei6IrELtPIxjoj7MQWUAHOa4mBFaGxA2a6M+nqqNDfBgEgS3R5/X5RBQShNVcWfpbrG9ENx7 NvZWQpp/LIXRc1UkZND45fuSWKgU++pBFdgz+XuGqJqElYvBHvWW2tzoCChh0l0yOHcZ59u4MHA9 v+InygpY3WwwFqLzSIrKNFpDvkzhW66KBuKrZxMUqgnrYn6ZBkoKC3p2EcYu6D/8PMgqzGNiPT3R v8GkM8QBTCpjS9hEFBtt+4n1m6b/g19/OLlNBqjiHBGu7f3DGJ42gj5Mjx/LgfVuk684JTV77Nkf ldYNrEhuvgaRUCiOsInYC/bYxkQIqkrjOuqqZC5htKClXR4NDda5VN5M6VO0ZlIHzez7lJ3rk8rd M3LzOjCSILLyVVfAwAHPGAR+OvwKTFc997yI6NvnlQQ6M+lvV9VsDrjQwUVMSjN5ewIBMlOuqukD YVL74OWSe+uENFduOeizPgbzzeQ1bxRwMSLSlKVv9llwtCxwX62DQMqJ6Ve4MVHa4i2LjBIDGo1Z pvwJvvEgfEncF8GGx8RpeIAMCI4AULWmksFqvrbzlv4OjlCwwBTGqm8zFvjlRIyM5MOZkUzI0OW3 VrV7RE2JdnTzLQumZtpXsO1/BdcvVvgMGQNwty8XLbu8xeAatzkRNtN1bRbGu45IX9dC+JfRFTSj Gszn0PSEtoSqN2GqxlAO2TFJ3cBywneMqKj/y92MQ2srNoEXzmtlHnOmAT8KWtBXBilO34V+wOer uc2tzaYbV3m/XBKcEQDior5t8OOLBlc9NyQ5+1zxPtHBV+N+LJ5MxHke/X2UUkgsRF2yRo1AJG9n cp8hawyHmj738JJipV8IiDioYiwU6BY2otaG+TLJlYDeIXNbNgpjWw4FAqm4jsJVfOyKMrLrWre/ d7fcXl6AO80ntcwt05Zddb4waHyus6E/Bls1zVc1rBl10Pl6mkhxDcF/p5LwV1KZJOKT7qRZk117 UolddvoLOqF+fbupZYzqxfLb9S7hhtlkU6TcxopgloZ4UiT+1HCprl96CBQlVqjs3+XXLDsFDe9v 92RbjJnavmUbYZBTVZWe0gl33ANEpXRaZnzkflcXlbpq1lLpBfwk+WTxroQIT+6swURWVJYKp2g0 u1h6fQGwBb3TOvacJY6A9kV9703it9HpIvwA4Y184fWPp/opBU8vgX5L0OQSwV4ZMBuk7stE93NR UOgyefZON0xOuANT4Hpwk8zj82HCfJ+ByAokhK4nO36JV0p+SeOsE3fCUjSYnckgDAH2+V9Jxl40 S3UWpF+C9J88p3puCCimptcVEDHF1U01TmpQyDIbR3/BXI63RhNeLi2qYjs1J8Z/xlYDGcURGbsP SH7H405t8+LNwAqw1WiyD87o1LR3o5zW3kAxCVI44HD/uzbJKmoCgO1mNkdPApLXL9doymMRpVPb mTjskGvC1DnsVeFeZneunUNfLi//kgpf7xxH21SFsuByymEIq9dVIh4dsIxzM7DO6I9wH2bd8FK/ YBdIF0rMG3Rj6WLNmpDe225O6xRAOMJLATtb5Eg5wmdyNFKi2hyxiDHOwwBjfG40LQqU1MYC7KOn pJdiXZfZNMXMEYxCUfVhqEdg/w2wDnbrMqftdKpD36+i0EvtJ7qo668ty/3Rbk0d+w3YJ1wf27d9 D2tAdv1Yzkycr/lOVt24rce7UoFY8Okir+AB5MDzYMf8r8ZANfVrn08MkK7tqPyU9yOBNBtQFjaa dKF6tvaqIExLMeG7dQ/TLlIGdqMjknsZmkGXBzSM7VvBohCTQFF2ZqkU+eVQxvmcdy0aL7sFJgP/ NaSp9PnfBsl/HCwUOfADp0cZrJIGLi6WRC+lhuI6pfEyX3WI2B0FKgYMUJvny+Y/DHEnYlJ9Cm9d Le16G8DwX+ytSdtWeCrGF4D/9jEWX64pbKqv14yzpbJwwa3Xm5wvygW+95Pm9Il11S66H2UCgxvJ JAbUqc3a9zv7rieVPvmNbE23bYe0cv5pfwBY/b7ovJyyt2TTa/4brQU/xBZJ8JRoO9L/hoxVVpx8 /wDo3tWguisS2VJ+w/j+I9PdxpmNHMtPrSGEXdvtr0xwAT0hhenrJh+/5hbtBdWioQo89oHrV3hc h6Yjqiauc0j1fbeQ/T4Y9PmSQG+pqRH4mwTAgpHDHkGDueSLo9kebC5Btm8WsuPRFwdQHXP7cv5f y4bwsqpMeoFTNZr469HZrbvr2euCuZdIdQNpBJ748zKDaOZaFJzXjSptZL1u/Yk3msOaasnKn5mR 731X8cSGymnEeTxt3Db36Cto/sXwv16IVngJQ02aXwSVbC+WzGsJKW+zT8QVcIQNT8JHYSEoBubh 6EBQRQnmG5LbICopXxkG3J1Jt39ajH3wYqUioXaivpJAg+92o6lVvDwnj3RPWC21j9LWXkno7VeV nDKwMBVxG7uWfky0Kc36L6NETVuv/c0SoineUdmZp+OR6frGHvpQK7MojaXgwbke4NQYdZYroVIZ NtxKgfoH81Z2iQ2iYp7wdxuvLQgfg1J9zXACjJdYC+U6lqgBogQYH6GTqSAU1Tp6ro7iYL8Z7EzE Tt53V+F29amudIP4Ar62h6RnhiNPcSrz75DtRoySVNTSGJIqCFVXhR20wk0pubaMvF5PVwOUscUv S/KRXzIft7qJDSO+osLG+eHX/RnulVd8TnhH3tHBzphv0JKWQs7ExWSppvXgLNQ6qMmBPvQIGKj1 d0lgN0PHdXC858QOlQQ+mO9Z/8ZztVX5eiTXT7qo93uuknLBj3nrrUAC939e/tUQvwGYi2jh7/E+ 1DmODkatvHxRiyTIzC+2Mol7kVvICYLUxlRXsXttk7qdP2yPnMDa2QdskedOBeFdf/wzbx7SjXB1 IgnEeeutGFS1wNC0C/+EB+A9Wr5bHkE68gLA00dZYra4ugn1IZnRMg5z77QSCvj/v5XtF+4WBWEv O8PLaOkyO4jhjXVgXI2kWhR1NqcPK6nUMwyLx7Kr9AyMJ0A8GUtOMpq71SLxMplRSlZ8aEIHPGxw zcjVRf0hjfSougFd6Pa+J5CONOzNH5X9KXai7tR2oOAKJoo2B4yDAp0TCv7cUht7Y9OKo8N4Vk05 ssGycU+Eqx8TIiljsnV03DpSDEmNxEOrO7olnjB5jI1U6frUwiKaUbgNWLvkI4JQeKdv8aznMCg4 9OxtGs9Vt6Xb9HfJAi0LgbYbH7TpzrcryK18mWOcF6ljr7Q84u1WtNhMtQy0JQuBpvBWjenOQt4M JRryyWTKwTjZhHWIL+AxZejEuiVJyPNI1uqrWuKnuM1luaYRBX5PABLrR3WMSaBDxIdTJ6/doqVA lNJV0H0BTkZYNnMGnSOxAOp6eO+SF7SAow3joxZ4UHyTIqa1Rs2L6Pzk/KiHzVBxEzZJwknEnABx /U0agAzYRRPuJaolsrLbKKa6sbESatR24sZy1gqjeoos+KpUU1oQ9FXtqy2DteQgb4sGfzc86HPh yQH+CUrn3/+SiF3JkS1LOQZt3QEKrQHSRh1PkU+Xi9WVPqis0YjbfspeM4vaqmOdXIVlfH/iacL1 qvzykyBmquQZmqa+IsunJrGdBAOMYIaFQAnie13PxXSzjPk5Anx0UvhJ5va0B2ySg5M4J8ce2iUC yD5THIAojcPOKzZv0vQTlPyuPf57XYmvUTbicFhcI0O/kWX8IOOBSGijy+QcHLqcxOjpqxwj728O HjLemDFxPo14I+2zb0MmPm3HH2DzVIaO8wcmnqWBgKUeseFPUf9XoR9wbLmTOWm1ab33AL66Q7OS rEPN8MW4dx0IuF1uRiPDcVrweEXI8UZgNdxV+6D/iIXASK2DOOCYkF6snkUOvOMa/rP9aYE9m/YC Uvm/GufjwJ/gvkRPz1jJeLhArHnuCjQftIQ/vSIX3aRw5BGuw9Ro79XH6PnWiPeSaUb5ieDBNlcu n2xAGsuM9dnE4R0huakHK6MQUFGt7GQ14z3nkhRfYTZkWTxIE8QbuO6uQY4FGPVNWR8MxRXsn/13 f1XjW/tuTmhKk5M7jhgWv6i5IrSQwskcRAZvz2SroRr8pYaCyVSHZFkY0VpGUB7o9uiVPAOrNQ+4 cr70Z0c4C3mhlTOos0l7cXMa49ZmRvsCrp9ZGhWIflhBpiIibAjo7Tbf++X/rHUNqIgcXxqMadhs lpBYQMLPpYkycRR363vNr6kji6GdmdqYWX8BN9rB07NKyUL3XrX0Izv/kSEZedAurQb5h0cClLIF Ccm0X6tcQl3tPY8xo3X6e0/1Ghgvsy7h+/h0Ijwqb6xJHSTmvxVtGIKdFASxPXS5FNWveqqQVeKT 2YVTktVUZCHCYQ7cOMIgT7cC2Vm2XaALZO/FiAZO0ekN8a+6aJV0f73FzoLhZcVJmMgVcy8DxNdy qg1I7x1Ai1ZYiBKmdmaz/ZEAe77R8pLAzMqcKNWEs6Lk1v/BMWf66ENY4usYE3EaWK0jD52zjzfE dCt/uLMlUsNIhAqJQ10yMIO5zT5spdua13GKddXVuzJ1n2UYvGaH7GS3Lw/Ndjl35noDaQ1UjKxI EdzuuyD6FablGx5qh664OTSgkkUxwQkKphdUkYuswFkajC+gG8FWUlEH+8hdDlg7mgTBj8A7z4JP omrj6JNI1UWbUdfy6hkFupAQzWUajbeL052h3nA2RVgB9mXZtMlpO07KfzGMgqbs0qykvlpqnkcI 9BPqDUtmUAJZu1KP+NVDPgAdB9dsvp3e8h44uFl0GIB5rtvtHJJrbIyI6z+ti5sGh/e6n6/18vnW akjIqVwpyhzLVEg7Nc+JbdRBfoHuGM1h9JtPEosrRJrcghjwi7OUaDCuzQP+eS9n+uymhk03uD81 4r27uZj3wuFHNYpUiSaO0NTzv/Md9mDfI7SO5/qQIgCVtpcGafXiBHbtaO+b8oWsGDjoEpEhINWS 2YKZJDFu3B5Fz4cDe0QhzgrR6xmuY2JndOY48JcKpw67mNwXd7GbuR/8tqFSyjOkVgw2xuY1JGqZ ZWeTc7Ri5KiaMd/fbfTt4f0KSbk/Cg7D4NFeVPXh4CW9XwLTq/Tj/Xfl5K5snpWUVQ7b3WnkjHuS 2Wwp30tCgZymfaE2lT0rqF/6ZGVNZpO1wJX3N0KR1o4cBwIgQDOfSm2x3CYV1B7oWJIlTsW1a281 wfnmBh1F/2C7jPJuBK75q0Vdp1K63cE+swTiZlk4/bI7Oxj/PJfCzvMyMHxFszCJVqpokf2nFX2u 0Xfhy+HEou1FRJrJ9amKSACD3O/cGPwE/WNIerhmpxcUk7Fah1MGHMyhtxxpxUHLralCjARBlCYF ATfXpTBo00cgJWMhCQJn1meUfC7buXfilmUF/ZOF3VozEaRn/evR4MXbC9dUC3RKFhKIljSHrdqq pFbgzaV/e2Xtg4MmxS88rFU6VKwNKhXnvx7y3J9UK0YtOdU3wZctdvEAlApomHkqQaW6Hdf997Tv 5wQODgwFnQoZx8JrKOGSroixL5M/3nnntce8xeCEzIcybSo1HG7wI7lHBeN2MNnhn1gnEM/f4AuY cd+yI430KmekIsFey9fdbOdmChWV904AGjNAC2KzIZ02AXG9JelXt8Fkcg4iHxfVboi5NJ1tnP5J azXLC0KZZuWGXsCdFQefdNdukKkpoOVGjc2+oR6KQ3v7dbR65LaIsijYD8ClbTeyv5aHM9VlObF8 BbDXwajMFL7vaM5OWkpXdg5ftGhqlIKkXhHy1afLSGMJrFdatXMULzClXTK2ptwZXQw1Nfg+/JWP BkraSfLy2rF0YzTNm/2BfpPHgOywLRo4Y3RL32H15rrpN25MMbsnMOlfVIc2jRtLFhkXWkr/Tvxm +vF4T6b93gmAM0okKc/AZjGZtpKEoamkLXjyi/UhvOPC7t9Mv28L1WDVD7oHGyROLHSTrlGJydW1 RYZJozWD98V4/VBlF2/0K5hYC61plm7/PwGVDOHqpIXTeJ+OXssnEW+eUiKZXANA0BmMtj31Nz3i YK1Nuh7PtOXB0bpqIiQPbn6xSW6Z3cgP3+uRlZcvW7IWI24DtbZCNHqm/4nFUyb9yBMPtJLOTQXe +DpFeqy9t+ksCkDh1c5mPKYi54PL6DPeDeSLzYu+67CRWT3VjmNnekEFzfPnn15XuI5QMnExPklH FtAaaJTZCjBIIbT/IHXOawvswwZCWAw8pXQdJ/wNZQ44aj+mbMEGdZdxaCfqwtA7NdhBdp424aap LWVdv8TaZuiQ39mlZNx5viow12n7mLW0gtMie6RHViXCrzKA2f/8DPdad0Ib0qjjfFrMzHiEGHRW yJXn0FR7f2H7MIU7I6XOmYYEmxRp18Pjvjg2Y4PCxLj1CeeVqdPa/PZwKNhduAl1Ue70NeKjq/C6 MgCDNteR0iQJFWjmWZyGo48QTRZT/z696FSKoUKbRO3M6d+hPszEdA2dNf2l0/AliFG4QTAjmUJc aIQKYj5sdoWEbW6MQq78doxb/x7s7m2awO1izkX9mOdhfZsBzUEdtr2le6/5Hj6Y33zGai2+ogBP +9UIBzJOjfCwQ0kq+dMVpjuPPzSLwsOcZxjjoMseePih6gPAPNROeooWQr37bGiokBm4XwSYeiAs YnDztSi2zH7FblOQxDRU5N4nhAoPqZnn38KrqJdae2gR9VjZ5C92Xrf8rX/ENFosMB4tdGtTE0eg 8PjjWRPXYkLi6gqZJFeHW8/v0hJtPJri4hhWPi18iYO1VMWib/yDaSFh5unq4S0T5HwUqENUZRZS A1dh68sj+nlZ4Wr59gO62ey/rRv66Ly3mBHBXpCH6Rbt4zL8dPkJbA1KzoNsxhbZE+voCKUpVLV6 LTlNikIPcqzfYEVvw36Sir+YXpxZ+kqZeY1NwMSMtR2GloKKe+/1vsI1I4xXg3Sa0rdjf4jDlY7I jZu/vqh2UFreH+VGwKoBd3JcchNIRIwEpZh7do8M4AHdwk4Fwgy2OAOUB5paFlsgjher8P5WPqBa /KXJyr+sYfVNV4xliEqHLkF46ls2gR+2PiPZ3Pm0hQsuVJaVwTz/q5gZTigpw98W4ZZlIuikZJRZ RVepHSD6PXQmqyKVF8zIq4r438ufW6MN4bTDfrchlR8ktC6T8eOe1S0jZ0dRhNSMwYILA7hrUvYA wCUeVP9YKcN/BIuFwuVUrVK8L5E40kz5Ki1WGHXmz7bhMPiv9fAFBiPSpixWg0n4RHNYjq0iZezj RaZNAMKaW1zOEty5iiYRfqq/8l1m2aWN5dL0Ge0kkOYWKoRDtCZPQm4OFcDSWiNFuY6+JD17w7IX Defq47SEOAhkAKmnvcMiDGtXkDHQRG4a80YM0JmaiVlLHf8Pl/dyJcyvkArxISYqWv7O9tO133iI HUlNeg6SYC4QS/1spjQlmlQ2W75nqBBL+kzmDfPPvOZDiZbnHWq+KiJPUvYR6UeJY3G/crIglRmX JT49z8bIBjgQRh0XQB3om/CEj+PbwqFKCglSjPhD/Ly2w06PPXuC37JwsOvz6NBajLe5/22j0EBj 4CEJSJDFqCXi5ETIbVLIi2pO34LoUL08x6Tck9J/5YKzfXraySW0AVAO4gjuV5sgDlIaOEVgXkUP 7VBmQPBvbFeFCfqXNj4kKSWQjxQIG6ugZ75xTnY0i7i2e6QZ38hZM9gOQTGCV1wdcygGFhPzv3DB CPcJ70/Sn41em+9rbIapxuDBzDZaujk0vaS1PYUEyfZpDNaqj/UUiEwElaRiAEK6pSxDstqkQRcl mY/9uru1SRNDRXAB49mAcnayjWZN9h4XUrhNt2cXaQhj9/kshGLTKosGJESX33yrsjHVHXIQ38lf yDU7xEeki5aS/6bcbJf+IG5RgimblPRhxdblymyAF+1LFLzjugSdiqTU0lNw1oUJp81G+KPuzE+G xZ6yGcoxGK8eLq+xjM0z90qdVVWvuN91EvkdKnn0BGGE6TEcgUDu7cIoGHNnlaQaEempjcqhq8SB v3GB7lVTQZC5mSlUw/fRAbshgaZOre4LmFB3TcPko5S6ExB2GGIJBBqshF4vfqu7wFuy8Kbbr+l6 Dx4AE3DKu7ckY9+4K32vGXYLMQWenmq1dThOhnwPej6LkbR1lc1ftvQboTmS//N1lDnYLmaZP/xK OqjAFxmdwJ1B5zqmS2pPJrjZ+FQ1gdB0cmWttEmMdMTecC97TlKzgZdczi+OIqjFu51viwIywuFM 0K8LWxlvOQlhPlYvV6p9/LavhZnx0e0clcRDiiTD/o6ZYVENBviceisu0KQTWa2VnltsoH6OVe4H eRRqOdAT/N5rrK9jzHEEBNUBmYCnZPNfmw5MEcFkNhg1pzuNgahsEYSeqfAE6iTcFIeGfhtauoap he+L33XlRvMbiIyZ3XGdagqspicNrqWttBWcxlVqBo5jIiyOc9c+rIHKWq8SBuL+mwFEoXtJCJiJ 5Vm2XqmeXl5g4/7SU4sftn/aDIXpYnBLhdAuMCpY2RGc5VQQkr7TfabLwCG1wUV5o4NSn7NmnZar IVuBfks6fe6bjRcViUZgJW6/rtHevzzPnc9ASUbzHs6agTJrA7Dht+2BvxgO+EilYFJ9nJPP6HLL BI903j10BQznjBTv89WOMaDPJBeLDsJdM9QlAqLieHLhhJPLmrEiCZqRQ2rZ13CXUY3QOTgWBfY3 jeTtYC/qElMkaIn4FvvwUWCtwd80RDanKHHaymKv1GlHBNAutgNOJ9OyvjIKQnTBSpRymsX8OtpR q9RceCCBtcG8yccDaWV/YXwvFr8BdhQ6bh0Av0LWz7YAK+JIC7VPecOdj/Mec0Hal7rkVb2mPIDo aqI4nxxF0E+uxUOnYbbbDR8NhfOgV6sIRERIFeyAE6xHDKUEcBYIUc7mBDR05HpYfvAOXOHggg0d 3VmYXKPLZVQCvOFbaXYj3WKcHHbmVnK7ESyW3vIEaOR1C/4ZrThexPscShyfo9n+Rr8Sz3t0LjRD n+l4rfjY9ijMzmdl9K6eLzSA0Bbhlb1DIjxmrMjBX43SoTscQifVaSL7WiN/VAMcIyHmi96g8bTL 7su4Xa22TCt6qrTVsjImSCkG13mix5ZQKJfOqQN94/nk57SgEHC2nbQM49pBs8WCO/h80Y+ro322 CwvbniGGoqlg83GDKRac7o+4iBV1N0pDgIYmw9Zc6xRj+rRvJA+228dQp/cAdlWLLI7gVLMjKYVm SSS3yrY0FuPNIdfkaZEYXqgHNldgzaLDlOfql3mer0h8z3+hON2iy5Z+0EHFmOoSPqsm/RebJVMn tzUiP1csMkTi6F4zp/kPTXthIC/Wglkd9gRdJ924H6H3/uhYZAeMPWX7FU9lSqtJinqoNz/2vwIY N7fDGaqZNNsV5MjnruB7cV7jd1P0IEupheIWBg1raKWBR4UVB8Te2EdRbBUloP/DqAMUN5qKVazL OCr9YRnb/6dZbRaKggm0uiEeb5AhOFxe35VVeinAJPbUsZHKlzr/XLJwjvYtmPMInj5SCfSb7JF3 fPLF8XciMA4QdeA6DpPfdAhvElLD3+IbKheqYkkiAGBnzRgSYzWiDulZmS3eXTZTCZrhl0OyJXZo BX8RXI+LcwzTMHpZUnVUc4rcDLvZZhbKU+XxuUTHtykQiQk/myGu45H6COC1k46f7QPzfh+fd/g0 LTTRB23IGv4hCWc/WdGKPHvpO1ruz/3bDsl2Fvjakqp0RTwlv3ao+WWL7BodlZKJYDb84HlyHsD1 Vqh0K16nNdAfgG4ENXQFSon8PFnG5jBuAe8OP4EBpczALjVc/VJjeEW6fDxeoZgDl8SoT2YIacZr wfpPf8ycXGDjNY2i2XAql1GAY9ZMu+YH6a9RLceaPu3ds/yIxlnAtNGko5HSZWFHAOLjp2w/K9cn EjBzRJnhF0p0VxoTPd3Yisr8uKXySqM07SbuA3JGH28PcWbfLZ8aGqlY+lLiyfID4q3L0oy2qwqA 8xXxu7iqCWd/H1KmJgW2YOMHeQJ8yE5vHC6FogGUzuPw8gJxX2Fa5MkjmvuSydgOK6bsE9YcCKIF A+IFcavyB2kYHF9sJOAsuiDOH3viMzGMg68D0J+WdHGP0dwNR/NldXS+cA5+F7CCqyVUIn8qONIw xyo/2VYSDOASsNSwNu8qr+imZkjKfR2UKjiMW6Z6VpO342GfizjyKZDVu40CYsKG5eq6hdiw8QRf nLsq+jzWv5gSCQkY9CHZO71JSfQn19pVThpLELVqphtuHDmzURHyOXDTznuBXae8HUnROEh6Ke3b BDqppcQoi9lHV7SujsSo6MiQxAJ9R9UAr7k31i7uGkeuLUqV85Fx1KKRz1GaWh94KA/OamaiT3Lg VGlPhAWSWXZ6IvuneyR8b1UmF/Am2h2lnUCTIc7xDkgHPCHfIp/r2NDeoYk24IRX5GrKU/GRs7RM 4ihDQgB8sO8erYjM+FfdSKJj77eAVeowVB3W7WYG5a8n4MDd8epYg4GRl/LXWJvXKcFDDvDlICCn wVMS0bY/slGF6cZw1KCnxTky8YlIgX00mK0jS+w+T5fCWKXmB9dN89g/qfnrWvsM+Te3g5X1oEnO 8ZUq2KPN/bpVbVdNWdbadeLR8KmuzSE/+msmMv57f2hVAO5PneKKHBdE3a169jrhFDEAKLlIrq0z 4MSD36VhzEdEqWMpiZ4PKQhPMpmHBGmQjLO9B/Y0iY+DimfuSh7diVaxFuhDW855+2VNEysFMPWH azjZEQiJrhWi1yPdv5vEKrzj6pwpbFbn2FTzSQw2l//GYLHmVmXrZK2SkBoO9BnQje/Sqswavg/f 6Uw/YBdPxBfigW76v7Op02BCr7V0b76roeqMMQpcF+dd2uMrQ/BOIOEerumCZ36XwYvhXALtibP9 EK7UMgqiZhtRjPOMcJvuPH7JcdhpCLyepomHcCvsT2xllulfAC70Y++gI1XMt+h1yBDL7cTg3lA0 W4uAarW8P6HdsYXvVrisC7tEElokyi+qqMCfl3JzdUscMMqH1TLqyUtnKG5P46cp2T+Btqc9s/OC qdagWQZD26IJ70oS4fYeCSbCNwb56ThFb36eTh5WqNTZZdVv3S899YyeGxyrH1j7RqydEk3HVIWe wSP0tblT+9stH3PyWLjz7shTP2fZUYF/dHNjYUmJjVk5Itdb8Nr5LYp9c3U5t80yKG6OTTmLL7Yf 1aCrfpa/2N1Ahdz5L8C0UM44/iuJu0f4E3Beqhkfsqn0+8TJ0Q2DmZ64MzNoT83gIdiAuMIXmGef oi0gOZv5dQrZQAaNGx2ObRy+O0HYwhtaaSksOQLad24zJobDriBTQFd5c7ws1tilZ7KVtwBUKQ9c C3FMQQj9zwO5EbR3h5G6kPT0K+1sKdIXO8W/tDAqerQkjQ9xvgp/uNKccn2/WoJW89gzjeyT9nTz OWYJRo7fIbZAz+mQ93d/2uEuON5AETRiti0Apr3TS9HwjUx/EolfVIdCsN/nSFD9wjarAiVTQws8 eQHY7zBwRMAv1gEXmNhXezKJceTgHpViHK5peM0fPiF5urXzyo2k+p+endzuRajemQLq7F7t5DKJ joKcDfJF8YhcbP5OHqlLZoK15tTFX5GUf1xuxmBveS/Ky+aqs5vRh+iEtO0OtU7KYgjHoPrNv1DV U0WRR0HdZTTFDVxKBd/SJW6Zz2pmFtThh5PIkFzWifYZElXR125p2wgj4Q1cOJKhH/Vy7Aepm8nR k4ZORXOG8YFIjJI9JOZEWutz2HZduHqs5yie5l8rV/SytkfCPOAYSVKfDDO0hrq7BPxdlEXzj47z OwLJuLUzkBMTGm+yDEw5VwcjfkHYd9gd8Scuitoc+1DyyGgTxrz1mHi/NNcT8/EiHhZZ7FovSYq+ xpxKT9oCJ3B4AMPtsuM1R6rFygCr7JDZFYm+Bn1qjOzHADqFP3t+YYGH5DU+McUELTB3KWxz8qNl 8LWK7NnBf1OkpC+it1nLfhmqoARohzLUyutVTr9OhFZgnxUZLh95ozQwxQjvown82Hkgrc6V4ze/ LOvG9kRlCr+NFduUq7Vjo3+YQ+oRnoHVTihPAnJxUuc2PqexxwDxelE1lEOTffCnMbA6CTh5wzwX VKdtt5E0efUoBIADUDlXHSG6RYX9zi0KjGlBJeK2g0iY0Xf59TCa+N3Cv6E9WAXxec7OAHTNf/W4 MsqAwiOTvpRm3SEjIYbkO+ZmL4BxoNQQgXhhvX4h5cOkFBbkbHiTVyKFdeSczkVJoj7S0WDwzosh 9f+9wYcHgoGcn7G+34wGAUL6IDxWbAFpVDSQYSyLSQaftjo0ddtj0ihUpvygQoiHJBUPSyFOL2Dl 1FEScvFOvY6oEfl1e7dY9TNB1XIGbCFPfp8kRunRlUgym7DZFgLSJ8cRzKqeMFjWuJvKhXQQGGeX XYQVjPFBtlKr8vecDKYfc7+UfKrylyOi+0l77P6fh50skuMcuLMwKad9A95GgyNNU+dToKoUUmvx tiZxUq/9tekQIvrOuzPbYd5MA30BhNXiiBsPgDD+TmnNeQUa+yHIVZ0tSLT7YEMO3TK+QYXa5H6S 8Qgds7ao4kWmRAc0qvT3H6USiy0qRo9LxTB6/bs1uKC0j1C6mf2eP0KItyGFSHNfgRV+YbJeXaAO m1/UNuuOdaeHD2Jtybc4cuvUOeZBlXucZ0fFaSx1uSdgTRjQ8p9DDnVaSVsSGaAwlV3bZW+nel6s ezfjvZTxBPsQgcz2hfvr5SAdvR88lmumIquuizxrOTReDs9R1H1oJXcnMvbAenSPQadrHqWOmuFq piPtEh8rtYh8ClQQlU+nznguw9ZgPjulCp+D/6LpTfO2UPmtz4r1Rwk30MuKJp6aUj6/z69dAjl/ W46w42f22OqSPt2t8X6E0p2fxIwbLLkR31VrEt5irqxgn/k+LQ7gP7wJwYzOr6T4JhHIq3WpIILa pRuUAROztTS6ERjQ9xoede/M0+gBESwxCeLtoTbsGxARNq7Vr04fHEOR/cw4wPYcBjtc8Rj8+dEA vpGldsXTwXLocWP4r43OgdliQRKL/ykVE+LUtcXJ0lr/6h34/8UcDiK2g9UR8oQOnUWrJh6qP+0I JpSo6Hfoje2A7Int7igwtZMaMEQHbcU5jxI+X/c1AwT5LZrAr0d1II1NlNV1DabE0s5s0dw4joPd woEfjYEH9yZjjw3sEWBTMXEDqDyr0EGN0re6wG6+4aoLYUFydD4wtepJsbiRM8uCtHBxfD19FrWs PIWgnT8+COKXNnSK3OzfEnm++KSoLoScwTr3dkxsGoB7PpDmCUnLTHoD2HEwQoaCGxmzYi1Amifp 4VQUNmnjXorOPa88JVGeaKa6+HuB6B1CJTPYCbOklq6cqMPvU6gzMslBbtp4+hI/+/8HUwVlsk4H Yl4DHiH942kzRen9X4uo+l2P2YQt4gqfZKqAzb6TYJiuDg2DG7r7+Gv980YqQ+uyaVAzG/bVtOZG P4Y1MCPdsNA5uZ7CClymAvR0Fu5ifv/X9UXDKmDUHxSVRk6OoqPOXbcQ58bmHpSQHGyi75C9RdRw 09hR/1SqmfHIeTJATUfRQwUnwi9r6uu4xCzJfXG9b8NiXNCVCOrJu3cINkdq2MiUc+04V00otNNN axzf2SgdldVqkQhsOV49r+g8auEKsjv1z9nn50RuCSDClOBJgMhoU3OZ/r3BS+Kv6/rpbsrS6S6C eLTZRqttt+Z0t+suiCWVTy5qoCxmpj8Ft3I9lFpX7XeeXxcr8m3t17DymfvGSHKnx95vfGDJPhSi Tyqh2yHLreiKY/rGXp2bEDbwPGFXZ81QN2HAGDOmHGh2zWENlRTsPvLCSAPQ8/x3FtdqI8oyZCN6 v2KnIEr/4TYLSsIhLfboSSoPr+e36gGNCWpTO0RQZoqSjfzR0V5TkpId6+pT5dDK2rZ+JmKF7LqS gPGVg31+fOW+mmcGpxGFr5u30SM2gpGaBtpkkxhH9V1+3pnETXGFGQHGdGknnjfseEKAsZsAvd/O 8qa6WsDIsaVtMsg4yzGnlT3+vkPrnuo+b3uA5knMaMz75snbK9CmvCYqn1DyuS+TeIizC/d5/7Wj m0SfIWFC8LL67etaLx49UODXSNPjS1wn06Zg+TjMsbN4vnVFRKfRdPftbY+OHfITf8W/ISgbYesG iLUnCLqaldTkeQGVrqzalJ08buaT5M3Dmrb/xpG87TQh6PMITyR0h7piFRHte5whhtDKVTQa6zef cohrkqssy2uSqohteT9BOksf5yjXDTqIVoTgiCMrQdmdAZGXaerHAMvNTfMFfkjOMXmm3qHLS/r7 wx1NVEZmqv07HAQeyuURasX09momKVTTnTfcVzwHQUan/6kUowQUdtz8P00OPdAlw4rh14qsXpFo jdC8zt8IcK3Yo8xrFalyf6kdsR0dno7XIxE3+FtcUXsCDAbG1JWlmc+FbVLZSpl0X1Wf/wM8VY3P iIRm721UhmzpcxWqBylsUrHaQkYcSXBeg2oU09+iDec9n67kiSkC0l9xKjX0gPyCcU1ycu4lFivx b58QylXm6u3qQJfJSCsaytTRt/1YLp7zpZ2uZy/sMgvzQ70OAudw5UtVABc87uxQpoReNey3MJtD qsQyG4LgXMRN5HC6lEBdU4MwNCkC6Usu3r6GdbgsOU1qlv61UGquHkTvFN4IhuRtULlsbc6fBoaT 8Dgp48OPVMKvjM1u00dnDKPwGnHPVZm7vKqxYxluhxdKcbstxt2HZYysP+IUIidSDizS9ziS8fXr CnUjeaxIHpDtOrY4jta+NVB1/kpqPgpIg3TJ45JmETPRLvZ2xjRg1ed+OoOQhWiR99gckPDSZ3TJ 6Zc7kH4a2K2w1LfAMXgJZj+0oHcTE4x4yJLIC8v/SH8+lqqH/Xp+X8EN5rH+cMLEMdEZEjNw0ayu AsMO9sQVLjiQNNGb5R2IW5mvMV4WK17LCKlLg5KkBLbnV9FStMsgcZEsSPBs8oSJll9i/RjgIxAM /YHy+mwbe9ZUNBDIlK74B30ZszHZxpLZd7Z0wts4BddsvY6pWBR1BnyvSEkRGe43keYFVW6V4IaG 8EeH8m/Nzt/r/hBhwLMSMcdFF9d8PGEJVOa0zgKXXLqw28kpoHF9uTof6s2wYhy/xIzbRAPq2xz9 +bu/V45hhU+OHZblIX0XAxbemCK7XDVEchm2vhEeeuz1QXK4fNUOzELx006qkod57G9LVHoOsSVr A3VLXpQmbVr/J7AzTy6juoeGL87kCdUlVZGpznibnXPvOocoppMCnf9WDKk6kSyKdfw32NXHsNc7 8nYbvtG6ncPAkuqSq1QOi2E4Zg9LnlDii7TMy3K6zfoOWRyuU5cuLlrsTqKvX666A5/o7bGoUIly BaHdH/0+XPeKezTeY1TO21WP/lY/CJaMkwnqLtJSuvWRluvACxYceFD0EGuWEPPz2IZ2+NQZjMwM Dr9UI5eW+Iwr/j0Q8Wa7zQav0pC0CIAthPi0WT7oZkVLEIcfuXzRSd3JbPU6equ9SgIcFIzYiQla SVfNrprMpu2/MqCPrj3nD3Shl1kC+3/oWsQsRqtadaSfDNRMmg6CO6pjQqBTKJd7jQM7KOGBIGUI RKJIw59Msk0Brpwnv76ZUXZdGLRQH1EHwMSJ707Ahh+HviAyyPtDjT72LeZmZ60Xm/kyjgnCs+SA yrsds4NOIMwj4VqQGqGNh9KAbvL+A8ArDwbuhkKvtM2TlpE3ZI7iEpUkDEUFeWhiyCDZhM82yM0K xN6YAY3GfT//6jOEdOIeOhqqEZo9rHKL+ZwiStxt83+qbB/jYC8pz6TUWu/kzjtfDuuh///Sjdwf 9wMIlTojeMixQvegzuHL0/H8mxXdfjbM2rDGYTiWfsR5xP7vqKHUCBAutQamgpAa5CQLppquH2pd eW8TJyi/z/0mvoYFpawFcJal8aHHKh6h4/MXc+NoGYkWQIoMHvDV8Y05LQk61CaUU3ZV+GOn/x29 WQhcK/RjIBtnaNCjMwNbKjKyM0HOTXPT78YkNPOub/O9a6scTCsrhYHkBV2Q/GWlsSlfPYK9YkaS MRwP1Cr4xucc48pt9cRcZpGaf0L2ikOsEpap+lwolSyXlXuaQ0l5Vw/hof4B0mctNKezVxA225zv EKM6rQPBYUQhsgZyCRztLx7Mz8ywFUi91qHf6+KzlXzBDCngdrCLWTEypq7/MpUxt/B0Li1aw9y+ ++pKZ7PYSSD352aK+7FrZ3ZBwoc+S/DpaXiC4v31DRilV09MjG2Dj4pQEr+yFOLusJXR8O5mg+xN Z+wK+cSdywsqfGjyYLnlZfiMhRbkn7X2d9kiarXbcfvU9T9BzK1jrqZfkqQX5G3ApjTvcvTgRRWg PT3qBv+cDhcCVVPLn9PURj/Y/+AMyNNFHap/GZuWr8hqgNasbnDDH3tq9qxUlOiFperieASlfzT4 j9D9+j1uQZR1uEiERq5aIo2izeGZZ1+TpFwTknTYAoZ6UzOF3M4Y/aZRDXydMhXI+gbqZuEFBF1h F0A+1Dthr9h1PWXz83TrJjs1OD4n/vk0iPrDwdr21uwLGOqjJFKh1fcTT7zfkWGsyKfJPgB3Me7C BBpGqtYFiFGKMQI2xMQSpQPUaFEMuhg+TLnpyyfdK9DZVZ9vWcZ4SS0u+Cp4rVqxVDo3hwNitbHp oKkNE7CvlAYYduL4I+albHPx5EkX6DKuQtobihGHbZYiP6ZiYmpbggBZvEtc9wa15wZkUNRVCqUj wcbgFlw0WjHAto4wjxf3aHHHLDphIR6K11UVVsyFuLAFA9Pqmc+R9E5+C8yNw+c95tOC6dwiZdRO g/88imnNIS0Kp+FM8VF+u6h1/LyZOemo7xrz4JPfx/An7blNOtKcoR6lVZjn1K6e/lBDc1r0BY6d SNqeXeoFZLKQ/o9wr3lJIbSgT+1FK9pd9KWuPBVnFL3lhIXIg8h/G2U79s0RIVXH2fBxYTO7p3qq DB/oId242TZJQ0mFrt+v183Nqv/5YE/S4bMzWAjh2E/D554hpxgkQjtjuIUh3Stri6ku1f7leCnK 8uT1TTwCm5PwyX1Jt/itVGfYqk0YUDFm0wT3wzYrjGRmIdbZHjVp9cPUSWc+1mW+1byb+gnS+1xv 40NvWmRVqqv1RV+QAdRu8A2CHWTfCRYYc2C1+bXjhAYLsPelK2lg2BNVKTTAgpdTUkRIwlXqj9RO fjl/o4HAkvHVDYIE2oDfmft8t14sxYwUeT8diaj6VnaGX+aeekD/Ihn2SHWY4g6PGYNmID9D+EC3 S2unT+zi4kHC6lM1hjlKz8Pwk2V07YxbBjGWhZdavvWr4wJIfveWgHLNvDx3O0CxVuG8u/sPikiC HN3QiHIo3oJZH+NJFwIAzLei0WN5PFM0aRtyBNo9OdNt2X/10K0NzZVa0/LqIADba/nAt8v1ankS DolmdNwtx4nMl/NxE6dQUWApzkgS7x6rgXF88gE2Sg31zvjwvik4Oe/3QwZVyQ1rDI4baAv6c8sj tn+IM/dtcZFqTVKknCsPiCgrSPhW7DXNU40smcIa6tru6Mc6mRJvqaMEd4RM1HQU+i0rpMFWi+6b Tzly46aI4hU8ECz6IKqYREi+n2ZmjjglYIxjWvuiVD/ZGrsudC/75GhUYtfiPIOvjmWFUVhc0lAb q/f5UXU0C7j84nedgOeSJwbBuqAECjlooZaBZLl6Eq5vmiy78Xh1Vv+hwD9gIz9EzbNIiktOnpNT GM3NIbxvoflNiqGU2zNeI5sRfvwrSCkOBvG9wCk9+uZxpuL6aHApD7Ng4fE6jwALQoh8v2K/tLD6 eU0fr9wnrh6npienefT45c1Q4p7rEK621dh3Vj7IEZviH1tDmrRoDl3ujNyyQzrGqn2iJyq/6/Qv c91uPe267o8YviZURltqJK8U/nnSdJj38jWEOFu7cPWBMFlgzod5XNrDwb2dky/Q75Xd2T9TicAT H0IKN43TUV1N1Je8D2cIFcls5M4FSaTmSKOcLJQ7YzfoAcWC3e65HaZWmk+SOnI8IHZcVm6MhC5K j6YvRmvHrzh14wDP/qPMFaI59zi+nI5+bl2OkEaDllrDqOXP6D3CNKIakW6R7YGCzmpHRp7CJx2m CZUYJfwbFhDmblWAmzLrRq6hrkeJZUHVfN1Djr/GI97stONJTLeuajXh4FkVUDXTQQqFBHydbWYq aw0b04CZF0i8Zt0E0YBU0N0kIB2fwk1EK4EfPvGBAZTuYrX3U92fUzMZv7ygrrstK4kOyXKx0zYA P50AJ+tUPVxqTfQkSEGQD6eR04uCEyrQtvyNk96PGBZcHck4bXUQfX7JQvCK1JCC66xERS3Bks9H 4CAepzbiboR0xibcWcgD2bhdb7kEt7O4ZRWg8EO6KYlCWThQWq/BHZtkMNlC34O5l5nwiQtLX3ms UJlO44uIXzHzfy/VUSOIWQSRO7GL2zXSkABgSN++mMfJxASDE7E+4wFqZ/dRededsUCsvG8CMv+/ upELxwSUxLa4LT2UiU9jI0SZTxdxievFL8x/EJQX+RWFP8ZRbig08vHDOVhq1QqwdG40R5a/EjmK AzAI7Hp8z1ua3uCaHbJxtC7mB9uKOUVaSASwJ4wa2gaICBMx5UJe6vs94lY7HhQyp3CBqauXy2fZ eCDWR2JclYLR1IB4KvIhc+mRD67dAF/8eU+ZNdQv9fMu1JxnHVsCQFaIUdfpONqWpyIENjaWF2WS dB4OAbi6gw4M+vcxoqz20lU1qt0NVv0f12O04Fw4a89ill4mrHz8ILKF4h5woNhFTsQw34uXfxSP 1PC+IIFyJv6EpT2WVX4Y8gZH1s7tO+RVOlCMzRSyz0XzrOzXQiX7W46l+UMK6SENWNDJlrbq67EB bKD5kz8+gyl02cK2xxk8TvzJihzpf8sNwl+0Z5nlBebnrRcs9leUjx6eNz9dej558F1bvqtWKZTQ 72DrNSPEh7Y8zxW3ER5sgrmC1VWRku1wDUwFA0jSh7TG4hSZT06GFznvaa4pMi7noNnZRHTFWshq pbKcit9qKgcNtTvmIz1uGB04nFHZDeLy9F7KA0pLjWxYLE2V+hNZYrDbjY8NjsZ1CIOiohOHULSN Zc/eQre+2xJs7GhW3aqzGKcgDZiU7Sy+dvmDhzNYu6F2XnF8iuxt/9TxJeBH4tq4r/JPlmn4m3X8 j9Qg/tKIqvySrDstSK4blswK9LOZzRHS8lVwAdMcguvouhJES+NQWqtRFxzIp/WuWx5icq4ChRs+ xumssuetmnf2LVlVpRsWLWNGOOLbjKsKUkzrff1oxh+fVzk5p0NSEpIAaO3Q0/rHqhYrraZ9cb1c b6FYniE4UKzrZ4jOsV06MlDz2TeMXpEj5cIrVOHvAZMNMIcmLy4+ThRkydHPO3sIsRRnRoRAczuS rdJbxOW4IDXez530/428M/PJG3yqG8zTiIwu3Yk6wrJ6wPSEQJ+mxNJ6dr3WBbeBYfhq+UdkoBlp 644qpKwGGKXOa3ULQXHiG4iF5DdSTGZy/PF+JI/ZJFTKutYxvJa4k183r9H7WM3v0lpP+8FVis3F IsGe7FUGjVRJhlUSjesyUbzVzLtFJoVNI1s6IxG2ZZ/c6VrfklqXY9XhHaMMTmLLWAn/h1SB7MEZ 1gtGeAsKEaNen+L6wGWAL+H6nrkSy8Gu/FfLag9W+SIX8q9DTTq/GUBoxOP9xbFxvouH5cbfQhT1 04OcYHrnNPpDCB8gx0u0zmCV+jJzosYANiS5ludwtY8bj3zb/vvBUvjG2gYLHC12uucZAYA023GV gNCd64JHjucMaZNp7ifQIZaLVtQz4A1UAt6xqhdfNf4S6osRR1vnvKCIq/HOIpKTsAMFaof2PRBq rmihFx1qzqm2w1o4HwdID/4898kZujoXgDsZ/a7OmTBKMKx2yw8NqsIGnREgeUQyVE+UxtagN3uX /AuWOho0otYNO/CKmr1S79N8Mqy114o6g/09ClxG67k5UN89YeVVsByWYSu9KVVQ6S8w1A+8RRcH JMJVyN2vHRFbV4hxYSTUNZmP5vXIC0INvGyRuchkbV51gvA460e9SB700uLbUvs8ueWFz3qpq/Fe 8F6tU5LkwKQFKd4jJOn7O8NfgIJq0DF27siNIaqia/ZH4oJiaFOVYiTs8MJteDIiUjHtrlsevn12 xM+954TymvbgJUJ3ALGfpkYvCIVfwkn5O+Ffha5lv3TmEVg2LvvNhtXjixNOVbeB7v1lyFO+kj76 ockmFStBiGaPhgPi3HwbO7X+5PRN98QKU2KVz9pBIeV3graljAQqU0oHal7Gzg9BdJqUs32nnltU Xlz171xZSPyydFmgtd52kq+ZogbSUisP2iG2oWF0/tBftU2+rJwcsRfFJbe68Rlp+ase73ArtIa5 8m9y0UGpDaQJhZiXFNDBPmgsGsbcSWR5PbasXucJ7AKLu9MvY0eGoLphtigrwidUI9RUbGMB3SAx kJjKJz5snAJAbUVl4CQOBUeVNa8aEPOIADpQlBI04CQmqLTbc2cJXT5ijidOpbEWNB3ZWtqyxYdN nKM4jwlcjB3sXDm9rIeuuCwi1yPT/ag8VksPEK7IQCc+z8okjwpZx5rR1EqRPR7fwlW5XPrtlZUi RbAmjyYEI20LLSct7FEfyFitnn/Fcp7KgYsgUF/BkstMt7qYQVPIojPTewhXNx26fY8xHK5cbQ+3 eztrWv5Nn5DO4EeNCZd/FzQCx+90mbzVNbiKWSoVIsrnWPF7LKE62YidL/Np0mcd8n5TDl5UhU9d HAR2+MGD9RX0oVueMRMdET7/4AeBkkOx7Fb5U5JTwQtXpWbRVSV2DMMaTRrEThRzq9NKMe6qb7/C KhSx+1L8zLmGlqYVv1wn3VkE+GcLUdMpBuyD3UFf5UnRcAaYvctrEGsYCL7SCfBw7en9f6anAkZK jc5oXm3diHU8SMg6BTEaX9sfe+Av4/T3Iu6ok0CC9wFa86iEOU+vePHbTZdErLGT7xyDGkAv8Mbe 6zgpVolCDx++FRaTcgPlP1vcEXb61y3vJheRQUD7Ng8vjY18U5urnuhq6ADKcdAITUyvBIz51uMU bGgFd1g6mJvSWbiTMmVbY1wnm8/jkLchXFPq8XBTMVbfAspcvsvpWhTZ5CUaorIoyMq12aqEgNMd 0vBubHbqVIXseQ62FlCQBV11DCwDRe83boExD+IBmxeAvyxbT2dpZH0qPHQPq57sxUZcJ46S78Ys swNHv9e5Y/NgG1e0D5wHUu8P6mbXx67dHmDjSLuSQymkOqmakeclTCO3T4SRK+cVxECGUnnEuBKB irlL7W8WbjBmxeIaJdoqCFl54MhPMInvoh/NpZOCoV/uK+jlWDKG1MPHGxph3suHozN1npC5m0zu X5aliX8IskASjqKhRpR3hP8RSSw3XHENwx/lV4OJG9BujPMgTnsikYIABjjF3XWFPn7tdYz7bazy nyjmrG7+SLPrJjeBzZB+VWDduBCp8nrosD533/B6OhMWMxDq9RuEQfi6I93Ak208V250ijPI104R PwDSD7asDe4rk0SsHKOMI7qgwiQw0S9URvdFsG1+fTP43Q9omAZ2xGBVzKiWiBdIG+IzRi8dtTdp mHb5nJnzh14xjIqKjYNUB6YR8c1Hk6edmbb5lK/d4EG4XuDr+KTQ2lXUwP9TMODNIBWfn/wSrtai sXuS4r7r2F7YoNtNEB07RvEXRRQaYX5G7CEwablOyKJZiKNK1qGp6pqeRhEoqi5ep7736YhRJNon 7iTwxNf+iXyYpQwdI8XhmP6dO1AcZ2D+f+RDp9gXmvuHe/lm32WEHjjkZWu9vOCVUevERLio5M1S r5PP1jhrUcvtFRdlI07kdE9UTtS3pBgeiVLPxyWLH3udM1IINlYm4bqzZcN7kSZgE+cyol3199kd RkrfkK5cv3NBZcsP3LRtI1Ne+JagC2y616f2CZ7Fh+QDNzCZKGESMJFeYEUeHZ7SyKd+YweIwSdT dEQiDcZdU4cp0S/atHxaPV3UV9iEgcs8kiejuYRRrJCkZ3XUssiS5nvbvuU0KZgVox3L0390+uJy 8awOZXmg0W+Or7dT/XKudrJi/3HiETlTh0b9MHt7UzZIu4AnaNOwa1Q8ZN8qfgeSMEnfgEqGiJNr +x/E57ZuD5xCGVotHLarOZ3zaPSC49MDnDQvnPiLlO7VYLs2YFAqptv5mB8eJ/rkI0mvzrD213+4 cOCXphDNbitDeg4Ox4OB+NWUkr7Hd/mpWmEYEz2vGXAzF+3WtRk6o5MFUkr9xPaNUc/w+jh6M73u CLuYosuzFzab8Oe84QDhvFNsEZF+lbT32kmsdJZ98r/+PBrPRkXX4zVGaZdO4i7nxrEz0H/qvDMP K4tYWAan1UgZH/QAt7AVvDcfMA6UXUXoTJKtdtrU08PN4t5oaq9STTDXgdrdMrB62Fs7gZ6RT0LQ YmQsk+uWzMbZvrTLkBkVQ8GIhPbcycTVwFoTn3XijFjpblxJCkOP0CBIGUvtyGLRBywHXBbXC1Ez W2z25goB7Wm6jcaUxV7IJi1Qy4JZ3RwUzurRuEzqF+l+XSLJYWu9EN5zbjxde7hgjfomV/p1ZnGM ub3IBalxUuUsdjsEBzRGAIoGBYRl6W1zP992PVxrHkkjFUWztV9I8tuxzfs4/Xd6R+g89GmiZrs5 PWvD/qLwUNmBS1fTG5anHAVzZ/39tKaElMwLylErZggkoIhqA2w02a8tFlfEt6NbFiifBoJ9kfHk lqbHbSDsOUksh/6d9Euddg84L1BkIxBQQES2ao6KnTBGpCXIij7jEI+97qbIwK3aV4Jm5BKbvtof zuxn1a+3E1ypR5OXhMqqywHm8RLzGXeCZCMgCiQ0Ps5uAKDO99+qURyFT6W9F37J1oOF5qL7edDi WFQ/Apeah9kY1xc6x4VQJ0Pa9UFfiiC69D7N/6JrcnABTqC+u37o/REab+hEPujhbxjnjx2tPAqf 1Rby34oNRTFsRV8/QL2L3KkLAOJrrgHE+fjxmxUyx+wWKBk/9SDWBujsxRdk2y3j5FsQilOA5xJz 7CFKearRD39aKRbU0uhCp1w0/Haxa94YwWebWHdIK5MF1ZHBO5Pq5FKGSdP97w6awHPCx+JjAUgx 3aWgeT2pnirlAObPhA/6cADAZTjkwXjWA02ejGk/uGAJTYM1VKlvQj2KAclXcTEdMJduuDF6e124 /IVIRw60YwVSNonaLiklX1EIakPUlYSGoF/Hghpsg+TgqPbLXv17Cmr/bF8D0GWZZNk7pWxc/oqi Wu8CQUZClyf+pZIARcDtAISJ15p8NfIKwyjsXQSBZbpsNukUS7nUduDCL12762yZWWtIQyDj4cAp wrk9YAzUAL0svKnyBJ+2XMUp/mFqI7jh13BoumFJfiKIimN8XEocoVfozBF3/y412m/4MzPRYddi Qk0VrPVcaFKjJCOfLB3wqStQdctn+cCecfEg3AFOIFGt/F0vI3ReS12lJmzgIJSqcQ668Y4xxTo6 8+7JEQci64krpU/95DIurd0MC5CVHO+K1rQwWbaHJGEqM1m2ZGI9f5Fv7cauBrobyGwdrUMjgk6r uUZPJb1y5Gp7W8LAcL5oeD/4/ylEYNxhNg6VzYB+paG50DJpb1f1DxFm6cUUBR7tV3oA4nanxSYV Zpe3a5U6u1hweQI60gFPn/6EAgSrmIhePlPcda9Iyj0idccOxlLs6C/vrCYgXKs8maKKpJVbKmDv sz6NvXt2nOOP6bDRy0QBO8XhoI1+KyJkM+iWaLeH7UDXu0+fzN78aPDNsEX4xX2hsiuXr53iJKES H4k96ovPM7cskMXf8OXfo40mF+vgsEEegA5CKm9Zc3mg0ebs0QhPy1+1H7EAZVgD3rbU5gZuqnH5 oj28r8oAeCA71odVbdZH8XIuQmnu5UuH5SiQilqDy8xRr9aMe6toWu8hNJkiIUH13VXrJRtcQ5e3 QlFuHg7/0/lMSk9Xk8MhrQRl/Ct66xwrk8Uwl2fLZ/o2ejztTZVD+6gHY9xH5YFWp5/LJSGRnmLE EO+JrSb2qGZgfKjciP6K2pNHEPwkvKy7YVLsHExhe4FzufoQroUUmDjHEkuQQvwjQmXIjkuPyZFg J2ZogFfL8CCwhgPtEYSYfwaSxBqHAMOMCUoiNNOL1DLlFO3vBrRIT0l6qFt+ET/eMpAbyDt6MYQ4 KOEwA9qmZW8CJlIiYEDm8gS89U8gc/SBwDmtO3Zbnav0MKDEpsKdCy0qZNsWMemYwVts5lJqZfTh bf5+NK/wqlo+r/KjWjzqqWxNkNoRl15x92sy31uq9Ja92E4XCPBfanhU2bbNoXHHjJ2E+THGxGns HczDIrdrov3QNjtSQCXmLauEK+8iivdNcrZaRMnmQkrMwJShIr7zUOHWZseMa1O5W9slcGcXmAxw j+f7oQ1/jI0fgzyqJDXLGasEQoQCFPYAU/vFElZTAHiJRhGFiEI+yq3RSkyosq3p1ikEccl/RkRQ Xe/QXgZYrYgekPAxRXNr0u/bjaLnYnVg879r4sop9kRXl8T4nNSJxqw/MRRPaAl+ihLNM1OIUNsf dLl/3+PyOfOHQQnM5wLC1I2HQX7BHMDo4SILVkFYox6mFkVHOxcn7aLSdCxiGjtBUSFcab6anJTC WdD0jPeLjvZ5qpSk+7gM/SO/26LPvFdnQraQ7PzT8BSAIbwap9OnMZu8QnnUP9kRAa3W2prMzAId yGwTZ9VZDBbuvATMoiwMJy72KgjpJznHbfB6PI49KLb6T+LXuofjPmkWAVKepmSLZBACa0AP4VVL zEjpxIBBEF0jEkTAJHYSA1bcwn+gM9pONqDQo6djKsuhV3cq67r42zCjWCwQNsN/Oi+wSnhWPmLt FMwv+76aL8wmD7CQ2HsEMKDBBp/TUa315iij69t4LVj+P7X+JC2NB9y2/k9EwCRWexRnbV51iFGm ibH44YJj4/MyE96oLLZI/s2OztdSh1w8YMUP01ML7R9nxiaPLXTkhM4drUth77w337egqsTjSTf0 PFZfXE7vXUBbPTkISMnqtwv9+AKqUHSEcX/F+3k2huvQFS/AS5rkiLGWfT7FJZt/NxgpCu/lZbQC l3/wobMl9rDJKn1KJhNBDxzlkes5abJYe1TNUTwOYZmbXg0xzQ5w9nY0/Ed9/fklb/wdlJDE6dLc XfewMeRXww2OY5+AQInYGbobNKFJz9ie6H18p1Nlw+IYrvB3lHOgJ2aj0iMpU1o18kGZc+5Fx3dP 6eOiEce//qE8BtBSH5IyFZCSNRikHpXuon0kpDw6NVnZ5rhsPrB65bWoQtE+uqdErvP3KzDGq4gL p/C/W43oA4q6ZbyxlVPVchSWnPuyIJ17NmgNHmpiaAbh3eLlvf8cfuSGnO1RhJiAwyMmODvciHm7 NmTunQyAzPi7aAB+xtIhVxktrmG34/80SGMD62Wy1YvVq1P4y8bSapLbBd/+ndAwrBdpBVr0iyMY CUWUj7ceIMwEWqhHyugBbaE3dW99CfTD3X5wrJpp3eFz+Pg5f7sbdotcO2MbiNTtQdjOij7pV5pc I6Ni8yj8tYUnQ/jlSFVAWmk37X3uNWIFhPVqUf9t0vLVLI8aN23/vdKVKj+5EwtL6xu8TwiKCZGa Ja6EF18/S/riOlzekRksrLZT7JgMXHYb6eATLcGLJdqlCtzoVoVpcGxoh1YMuQmJ1vV3rNIl9i37 25FlSBwk3C85HMQ/JnLCMIyeH46ucMX3SdEfd2K9v2uU6X4lruiqtegXAFsCSDUw/4EWlyXUBxSQ ucCtyVecHA35rwg3v2mjt08kA/Xbl0+8GOR/iWTUiw+vEa6vuvDWMqwssxrg7+ZJZJv0Mses0xGV r/Iv6xPjzqIs5GptffA4IKi+IKqLqG4o51kV0nZ7u10Yw+ATKtAC9l7HzP1uo1HAyyzg8C/fcZxk Rz5ZE7joIDdcsz1U6sb6vRNel9VpdPnLxg1c3KyjaOrd2hWyWYeEp0JNO+xaJmxaAQClKbcOmZ8U lVkYrGNrk1vIdrVO6759N+svnbBhGzNXVFVECY6BYp7UMcRS7D2OgkqqSw7GVEZ3QUF/Gkn0aGHt ckmCejw9GKWcxjstVmgRW8ljqTrfqxny7S2SUEOq8mNnzB+K0hAgMRUvpWFttsc48vToJ2FiNS4k jlqIYJjbHkgNSQswnPUjtI7SPHnSdhqsaV/B+7qCa3Hfoeu1nKLBZGqTK9KaHp/qMCUqVY4AuaVS X9jj0tpOLuH6VMM0bLKAQ62+H8hkt5lGPsEFKOTA4TiFURwFlXkgeQmM+ahW8SASDO037VaaGt3G oRfVLGad2aP5Vu1Ce8TozASIXQsaTNpkDUZ9mcT7psCT6QOPXzWbV/Jg6GZWnqA4cL8mr3agoN3o 3Uy9L/LYtUZpyN+3z3napcqFnAKkZ5zBbjbJxrsIODXC13JwIx4HMCIU2Fy8rdw/cf4Tzr7bXqe1 DQXkf0jEkkZhi6dSeq77Xb88WVRzuX4ubVyQqSch+ys0d8o311X7Xg7H0jjVkvn4EGMmFTQ099IJ ikVZZi48BzM7jtSEPA3f5ILL/zud0Fh2Z+jqP8KSYIahDG0npI/MNIlS+z+Yym3amlVdI/kjm+Al Z91nTx8tvn58p6zkZs4FLX4VLfN8TjgUKNryy21yYmt9FwYrQ9Kk2GwxNzvYdLmLkG84idMXVKQu RDF+3lTLNntdcR48r/u/H+BIHvaJEwtX+7EQVTz/IiNWYHCeaQJDDJJPgYealHkL6jLYOrURduLu 3zsGyi9XQNIe5sG/C5lhsn5zQ1+a9xdw1tr6sWD0QvEQr+bj7CVBjPaj5xP4uaOVGKhnAgm+/yvF s9MMDxCzDbONiNyla01ceiQthRWvPmQg4UDkEW8ObqMJqk/+BW46lmr9UpLbuSx74zw/NXeU1AOq 81OJlpb2mJ5LNNqJcGWgcj3oG9i4exxvFS8IOWqEvEbYpcK5+/z+vb9UxnD4KUUdqZQ7HF8Se/sc eWNHNdvMq+9SZC8u/AjC8EsUtDCqM71aUY9i/Q6DHbLrma/4IFvgBv+GZ4gwsOC1dd7QIKYAfX87 LkmSP9XZzrf8lZtJ4MpySi4uYdrQJ6XnkXNqjgATJLOFfaxED/PGaG0QK1drY4AgV8MViKN2FxSd Wy7Ue9tw+A4HiAKiWJXU9Hr2x6Sbqryqk+IgqypKmdOrUX0nelko5vJz05S5xfSegHge1nKRrpkQ RVOgfAXuyhNOK3JbfZfwqSRXTILVvykcvC3yeI9pfjxG4cDKAonR2STLySZjEO9C1q7CIe9dT5Vb /teFmts3R+T3BFcJJZlIIS81V0ppFC7mi36ZE/6BeWDKSMGzg+gcn2w1nJzGKwyq99nZdi6mZDsi DZriYHbH8DKx+8OE6kpMeRI4uBxaB5Tm1jtARBEguOvKU+z6AvPRD2xQBLRb7Lk+b0IcZOMHnw/y SPWNIYZaglJT+UbXjaSznLPhEABigvri0mowHVmsBI4iCsDevwAEiQ0CchKEm4a6zW2EakKtwlvT Gcp7oJ58mpIBCOI3HcVFfGu51zlznFfzx1wMRklrT3iJrQe6ipSdwBgBoIIsbsCGN3d945PRg2du UVWhwU60aSPjiq/SUnPjYA3p6rR5WpcoZwri4xUaOob2lTdH5mSog3NiFc/oYrACJrjb9oiCKtLM yofzYg7EPR5FLjEFzxDK27JoQPoRn7XkXlAX2ktSryqYJuLATjdQB4Et/zAbYc/zafMZQxjpuyyL upApIZ/kuyq6hKamZ8JYX/pYaSPg3eBLb81UCNXJfo4Uf22sFFHEvfwJFChWLVV/cg2wYxU5JqHu 1Q/ZWBoM0yuxlSGUEE+L/CmRgR/kavdpT3/QZWT01svC996xZrgiN/8hW4DNvIrcKb4U6erMGFre LMwp0SNorIfbLKXVa/j0Cjmc6dY/COle4uU/vi7Wrt8mYIfrkuyZLH2J8DYDBzgxQf70g+gym0RB r0T9toKRigi9FPQsuPtGk3RSswJi9BR84k3EkkbFydlqvBeUvSoR4ClFPUzXeuSOLq1ktyWzvlit bg+0ns4f+yJZh7lwXlvdxZDl49xhgct/39l4o5Z1nrKsey/jZ2OzrLxSvr8ETLDTecyoVbdkxJQ+ K9rh4sBc6VKtGgTy6mGM/yuNAUyjkI3X/5lTxlGGUSuwUV4W0LXCjT3MX94ppksCK7pLvMePINZ7 X5p6eiEnWKNe3YAGjPZpKli915iHfg1IWjbd9sHsiu2Ry6Q8GbqNLYHMcgUzZV1GqxYNGO6dvp0J xLXUpLhrgrqmhvpQJRKJ3L+TMfi0ZHRS4ZNyCjwC0fSTy1r3rGcaCVYZZxrDNqBb0EVEAf5YnZ5/ aShGXaNQhNcC2p3e6YGE7wZlgDk3iaQLAvSAghItO4CjsVNJ5bEcQSv74lNToSjYKemudw5vIsqd Cc+qGR5HtlWWKzaoErTkAN2terQZnAxGSbvETa3PPeVojnmSaP5BQTAU0mgMFEMb3dAgydhk5La8 l0qzuojyywleV9s/htoS426sSTul4cI1D7lzUWKptZJMjXOkT2VFZgn0VkWI+A18dGBzmuTtMg+Q QC3me8rVaREcRwGftPyJkQ21nTH1R4iApqYvNmNgORX53bGZtJyrmHCKFRFlO4jXyLIZ99mFOWzs quYl1B26YtI6KvIIalhsFPyl4/S4Qrz6g/wpXyzt88DU58zRLRJhIZyKTVfJIebRPK9SkN+48oWU xsK0f5rxsgWMZQKKWIfwVIcKL+l1fugSY/KaPzCYOkdw3hcwZL3zXcjpxaoMTFSYoIG4iTcFm24m M2k8a2+Ui4PedczGohfQ3luZ6FURszNAQtE4soQBniL/1LSc5ML3IiPDcaL/XxjWoGnE3DEXaqxu D7N5WhNyODnw1RLNuiLlWL11ZUl0n5ZWCMMXpnI6vAfkO8VQxklxNERrR6NcRURp9PyTawCUCb5u 5wdOjDXD3hNeyFzbh86s0sAchAff/2t3EpojO3POjiK6MmV5po5dM4prDdcOiBY+7Px09797KiLn jOALHaMEpo7/60hP7rn6OunPbmIT+SNIG8nP389obFpkIvOiTbkvkjVoq+iP6W0XqEYGrcIKUdjy svB+0ZTmTEe3MmpTD6X/lpmizZHrEMKR1Fh6InYqqsGKaQuufOwMdFn8X/pbIBn0YkCbbIsB4WF9 y3k7xlwkAjkRsKk+DisPoCzDHPw4+fT4SecuLfQ0JQUVDBjn0Px9ts+QX1HfsMzSxaR3+fVp8s0h T8LU4hIDZ0vL19TLZOXYmDMSGypbRveW3Xp8wyg0IXhAByF5+EeY90f4Vd9tZ5OW3xLXDySugk/O Ak5kc1E55TbYjKiYG7jkyZWHkSz+qlE+L4S5ziFe7+xlFyugH4c4HNVrJIjVCJWHwQGCvgHTwPBu N07MFxy73qKcHCJMN1KSc9KdgI/MmMeSedJnE1fbuxhDDxxzgIUvcx3JhwBMG+79Db0knH7hQwt8 +vbpYIQfW/s6TlCDkSFc6v5ZEkg56l7G0LlUguioJ27gMN9N3bwFI8r6klHxmm8OGfQLuYPbnHTA tr4C5E23uLpf/X6tK6eE2Mq/RyCZEjepb3N77T6RXfN3NPaRhirt/2YVBR3mbaDY0+24kT+lgcB4 FReYy5CzaON0KCuKjS7EK8GklkMeVfTrq8SIhbDuuKHG7mqJ4APV8jDreIy1tnJlKi0iDEXLJyxb oAWfynuv7yIKUc93SzKjtadW9hR2UDv5br4wSMFPWTxhdbapZNnmZnzKBoCqCLA3f0JId+NUOZGk KKq9o28VutI2nkWvsfS4J6lKYebDwkuShO6hEhqgue8kyTnzT6Zf8gXhbigY/Aobr0EeUrsfZ0Vm s3kpHMujzuU3r/QY0buYSxNUYKt1HqvYYRYUYOa0d7YVGIwRsnvxr+8jgYwz9Q76pPJzvbGm51iU KTPAf6DC6Gfvlndp5uGpSOQvZFgb/mI2X4uJrD/dESw3qU+O+Tf0HGTGEg0l0DeFxUC20pusIVsX 1uwo8lPufaB3ObYxuURwRDGf+Sed0ZhWhXBawmIPfIjLl2tThSrdm0Bt3POvDjzvMCZbMhAP84Vw DkF4be4hiWRq6bEJ8mv9FATB6Yb76dJduQomMSFX2VDqZApB6Dy2gP7bp34FHqyjxOmv8DDQi3q0 /pOcuYlNz50jA0P9ZCK8zLg58RvTWj46T2qUv3nrCfWvDV3WXGMY9QvuF8pigCUF3B7KWfVsJ2Ii 4lmfRPou1f3nUoS4LUPjRFCQCfEKywGOiPE3CreqwmoaAiT+OyCvhTutaXONRyikdYLxxfvI6Lor wTacxvc/jXZUP7M+/dpW2YXjqwz+0lZrSi3gvjgzg8TPIYLABH1sGHGHEHDTmb9TvhJwoLPR2MWo SA8xudjV98MedEdKvOv+ESXCaHldpHC3CKLYc4na1XkFQcmadedXL4Sbx1m0VIoA0BzHrfCPOtM+ hgtRQeFFVtTK2U6FfE7GkrNja9bzDP/kh2yoMuexue7k72ZDTuX6hiYhfiuBzDJ5k0vMUdVSfaii PUvsUbNiFyo9q2+XosrlSgrn/aqedFfzS0YRXqQj0gKqAftdW3kvk1ix/QbAgzKf+2+O4UFzzTl5 qTkfBojYOJwery84M7pA9d3pwr3bIew20ykd8ihKvSIHuaM1ZqiK6Fpx635GWzQBBeCF5wYYsTBb Vmh6maOi5kQazizgx7WIFKngIpX1x0fBZ3twAQS9wMjLaLTXxcxF87ISj/Y0csTL5hX7+gTGW/Jr zb1xQdYSFlR139gTxw9AFcgUL0U+nAthJWdnkLeWHWtOOBPZgLtY+TdX2hLu65R8d/2XZnPe9ODm fbh158+8vdQKpLlcUaKugscX0gaKmneMjBaqjwK22DmlDKdw/u0R+3nxnYelt/icMJvHUud8rFcK f1tQETC8cFlqBhFjscDNrQzz3LZclFToHex2q+7UjNmu8V63BB2PUzCc+3SUHDXYEL+19DP2gYQq EYh7TgNLOetqgW8zPie82LkFOitZY4Oq4QKG2Nbo1GDVNwbgYHnaZIQJ01ViqQaR8JnNWlxrdBlE RK9NUI6/wE9vuAdtQ2aXxJi1Of5hDr2y1Ae6Z7BxbeXu5vH5nmZUFi0cJ/TvSgyzXocuF9LwjGjW yzA3pV2HWS1xHW/AFow2Rw+ryDrMPpXMxZsDX70QCsLMSUN43MoSTQ6YmPP9uqv6MPwhuPzauiyY SY++zd7P79v8Xl7Vh1mYvFqrGgren7Ec3oidsVCxvrmNjySp0LhgHw/ZTrF1ItLHcv6GsMVB6IZL TyOpa73GmM1N83/D/SJ7Tr8G1MCe0o7Wa5iKr+yIjjLAzj6RxoR/oq8jYSuyI/XqLSzA3fbEV68O OuoCG/viFQfjw6zU7xs4HYfoNbDXKo7Tn5soo7E5F9MkpjHMKydYw72DBEfoXNjAu3Hahlnaj8b2 bEgRtnhdk3u7ybLjq5Zg2EB4KxWzPScWHzePTa9LaYaVkNecrhP7d3iaMjljZESAtRpVhLUb29uq 598xQOcQWsBjs7Z9VqYfpNwm9oPS9CmnypSpchSG+Z0WP4KeAIxsjmLQPSktuUJjcyoGk2O+52Ya jb0nJBJf7qpc2IIzYzjOKsV0agRaq/IH5iWm6ZIDfhNW++KWH12I2JnLbgT5U5nf50+H3dBTuZHa +oXbCoOrXZCv8rQ8Myg42ymN/4iKOvkPfmwgN1UqzCjuQKW7hocH+D3KqwInDQ+utQpFkjZKs6+u tRDNtoLc6hcN4LRXai0erdK2HkjISg6jU4QkmAeaYqJD6DVE+f5uxPeo4DGswSgQy2tbXP3I+JCA VugjqESJayQ6hS227lng//lsGeHdzSMzvLVn1baN78SVmPl2ZVK9+pVqX7nN8WhPbkEiuK7pw+bb A+TuP7oDpqlgxVksMvSjC63W+whvURCZzqkBFTX7FF1PkhyhaJdlB8PPp/YMS1a0VBOAGKpxnHQl SKrcasf85SndyIEu7tE3Bmswgl6lg2Auxe4OFard0n3SvOrFi+QxDIn8rWhNw6L1XgDJ+P42Y9ug PNGzKj1rseivYk8Xgi39vHPi2I3CFWAxREmAOjeTy6yLEhnmG6gN7wGg3Rh3FG24adGPFnVvW8WX wBaUwBNjmizyaM6HzKdQ2U2j1ANkPmlUydcEUf0LO/9TeidrtxpL9sZYdCc66eYnH6X+5kiMWI0D v4h4Qjf5ZKTsyK5gqplMIrzq/mX6toc+EVrEGMRIISUSLS88wTFUtOXp5B2DVMJPuN0N5kv6Xh8Q fq2ln50sX0f2lFRTBFYl4ND8DJ+rrkSGqRh4B1lLUVc/14ggBOfRMp7NcwDIdLXMDKKWD738M4iZ g5z/0pgckQOkJCDn17T5d53mqK7CvCJxgPQHBGK8kJwyC05J7dq+TrvBdDIU/7cC7SrtmRQSrgJS v9tPD9py7Uh6vPMG4UvS/NcdOYLOXYcEKidMfOJXnzIc1AsJcnhoc58VcGFRs24EklbgBplF2jgr M34k6w+hPrCElD8DGfbq8NVMDiRw75oxxgmDM6g9arnHNOdNV11CbZj0sJ9n21sv3W4VlzL5ivbR bYLqxNQ6BgF5fc65Q+euyrgn5aqewyj/iKRaBm9k8QrQSU65126FPTwVhL9VAyrmlQqemjOhH5pS fqzwVWu31xDOFE0Ws+m2prNiEWauO6vhJuXuTkiteelgjusNe+OsaHEfxqjgCwa1zYd420u9XSRD WTG++wtnZkQi0p5EKqJQCiuoO84N3tUsTmO9VFeNnesJUS6FauauAdxQHJkpfrTe2vmfJpEZA/Aj Ny19hTl9J6WEaQtJ1a2yjPC4bMD4rT0XC6jg8wwA+cSykCb/M2NW4hwmlnpfBdI6egmQU/KjBlMf uCWoWlGb2l41DJ132j5Ds9sjZNb13/Ky6cOb83eUizIS97PfI1dJNs7fWufS3N61oWHk/lji0R6f 8dI1bOpU0UeRL0Z+v+ArFa8oHPWnfqqPbiEKe49f1upfnsVfMxrx7DSTgQRrSHL38eNQGGaRip6z Aa1MIZct3s+pgQ6i2J1aW+sxm9UiS6X8nTVVD5Ft9YOvmMHkNSSICi7AxOJaGKYoXLL695GSnVMc /bnXgrlovLHEYqlz+X/IWVyRBi0dwy0wwnIszzeXM8ADzANbThq6tzvEjlsHDJ/KarPK0VeYOZ9u x4wdP9bc2AhijCY1sLi3Ye+Q8WnLeZ79ub+ouOKop5tMPLaV+WTuLtIkP70265l4kt7J5q4KZovv GYZ+Dp4v4gxzb255Aa5SiShX9SD/XJp7c5SQC3WVqsRm8idWAr1S777d6SX+GkkLMdDYAAxD7G5C Z/AI16cVJOelTw2+35Y88lc8P79EgpQXtw0wyyNaEvzG8qzuaYaTsSS9cUI3sZ3yWyMIGpFokvuf hzK8fpsi4z7yofoAUVeOqEquJitGMINkEmiHZ0QpGQ0Z75tNBHxEtrQFNYrg4RNJImbYk4uEiaY7 82wTDbxiyrQLcJYnTrofA2ZyNX4u7F8vNXPHNkzftnK5szHQlqgJukmRWmkluxGxhq9GUsahZl57 09gZCMiOrZT/SDKvwSyHqzUPnEX6vLXgHc41wxeHSbcud2apy9nmFi7TuFScZnorsm2Zr32Qsnjz 5bYOsVyMGfnaVUrJPRbCVYpFRk5RvdK93Prvx3vTsOG+Rg88h2/2NWAztjVflWFQ6Hrcxuca+Xty 4ApmgN+KdugT4Mh/6SKcui3/YJVRl8NHCf53I7YvXQf0nWKAywc4vuGlA+meyWozTrjb+095YgpL NlFOVZTuSawAbm2OwCacDlHf+ezZrCjk6gLViIHvpKMnlGH64FWKWjEI36844we3JM74Myqe2O62 EQs+m9y31skzx85Sr3vNMXVRe9Z/vgNrS9p01RIso2/qrjeToG/+9PvxQvv0opKJ+SZVzHM8j8b2 mDmZB+dL2Rtr1m3br0cWPd8BTrAEI/SiinzTnnN1jvjE2vxd+AHTmZD/lNYm5mWC+mcvf0jBhU18 OO0HoZ6p4kGx28yVQD/aA1y72eVS/Zf/8tSN6BQnMUdQxny6sjR2gxsmV/EcCkEuBNppVNN2eaHa 1rg9zcuFtalaSooY9rmunICyQEPsvpeJHcMHDw6Iq54HmQRSXBzhhUp15H5oH5enX/40jIoxddV9 fZd3NLalGNFUmCXIGYBWWGVMjH2T8M2bK5LmfEV6+aJ5+0xWVGyheu9uKX+keJma38kjV/BJlT2s +fny8X3PaVdQEkThuBQzDp8gYvDfQJXm7K9KTrYZEBN175sPaIpW7C8Fhx6AxqB8blpgaem9jv5k ODfOkxfIfVYmuma1kw6+Yw4/zP914mrk2lD9eipybqO4rMKPjRYPmfzRiOc/6v13BeuDnrw2mMg0 4/hjoRRkkHXvwWSMCtUvwmzOS7lj7KGGmqEkVxmel+luAdsznRwnKHGv67gOWbkaJ9N1UqSkQqy5 2six61Pav6cyqX9gk0r2OmTJELDP/T86hG72x3unW1reQd7MKOf1cAJDW7oRVxZ9kRSMqAz8drJT PEaSUQyql1cephFbXKDGTFIhpziDxoGAbs+Ul7eOOeZpVhjyFbjx0iG7jQTAjEOgIQvQoRUz3OYx Ewx2EFmOlAxkL/LG1lnQhzMRPGF8vI+szQ0tbR0K/9Wa5bhE3UVK0qnPLyaVMwR8oO+X6AzZeEY/ Xms6Dli9iOLiYwuF7CmOWrEpOLlePQcdZYHpUupis9R0g5+3GINJw0d/TglZxtQay/rVmjDQlv2i PkErsSQ90BY8qPJJB7O2TULhMtxdQCcx2h09d4bRFrywc+MMHQ8fKFTIUxrQEP5iUZeTjiwpFfoP CUESDe4okSYPnwkqi9T8O4QtoXxWm6syFuRerqNo2zIppnnrcrlnN8sxQ1y06xgm63S9uuDElwyM 4arqIu6CRGDFDd1eiziD/6LZNU634MexmSr2/28jkN7X2wctMeUmIJCK1EsoCvsc+c1LOCetEjyO 2ukuk6KJT0pFJstbAE+80zB+SFe4YibwGHbop6G+tivgz3lIT3Q+SJno7LxLlnzTwmRzH2Tj41ho 6Oxs9B3gW6FwuxKZLiK/j/m1qDGrtEyYQZmaMn5jehhTOaBC7yogJl1bNqnlPrQH4F+HGI0JtJc1 G3RDM5w9Ht03+4znZ2nv329DEtLlKEV5GUpkunpKw9JmgfMtw1AADLS0O55nMT+9gqOn6ES0zIqB ULSw8opTqAE5fvTbXW+gRK2JZGmVpN5sxH+HsV8RAV0bglSYAn/am/T/7gobV7m8NTXcVyTLaGCp mHGwG0t5f16TtcKqnlSLUCaD0YwwjvvYhljUMWtV5OxnmiaPEeUbqigkuaPNMg8hnVadFmzZDylc mgo4CQ6ZNVsYmxYthdhzNzbTOvxus0V2BZt1bfGOLVccAU7tN7CzF11cPHkhA9cP2pmKcLJ4N1UZ JdbG+DZo792jOdUMh+puRAcWcrlCr2sg8N6SgpgrYvNT4IkBFFU0wbNdSlimKOa3/wYTp5gQl7V/ iKgPZoPY1K2kbV1p9qJxCJhwCI/9RDGfPq+O8Vz1FiDWxFXBMV1kYwB2a/pH/gESzKw9n1h33tpo efbaWjgN7rCgArU3T2o3vo1BaLagFiJkE9ZGsRw0hEF0uzxAxdA6xJ1svPIRvjdhTwSm0u/UVts+ CQLK616LZ5Hwp/2R3QVDjbJDVN+WFAVhgBDy+E2RGPWyIh72+b6/VtIjbrTQ4TcNT4YNmNZIJNVo zrOm3e7RoM0tbXKfHetg6sGZO83/hpCM8w5S0VS8ZCpZp6v8wgls9aahoqlMuZgsLUyBGcI5pUX4 3JiTnusPO9TG88UMcU/m+1UecUATOLVdyoh1G9psC2rpNSoXiou0/qak7EXXoYTrt+Ek/RwTdxbZ 6Rdk86+nXYICtHvlbS9KGITr/IZ/dEMqYGTi+MbyfSs2/CpxLyOQyUGrOD9oT50XeEF1JXFsbOEW gCi2iyqlwP9G2R14w48BqV4/ZutgffSNoBTMOj14iL1nPhdXHrhf9SeWvXjrS0tPoAeJmPPFWIYa Imf5KIxr0tWXhm5oA3o1uRPLvV8vxggDex2Cbimw9GUTXHItwuWJ0js1Gnax903NqioiukdaaK+J +1d8qNT808Y5WlXrcOtBLa6peSW5gRG1QeR0EWvwzjS6q+o5TijwSBTzxmoM//xobc0bAjEgE1Km SVQZpFAWO72XeZCLN/dd0Jr1BtSgtX/ycHCE1jhVtybajyyAmwHprl3q16yjDh5fcSSjnXFnvqKF p6hY+PLKgl96c2mNWAY04wtLmwaxR6kh2Z3SiG1Bt6xhBc15BDkeTAoCRvlMhFHH4TXAzPz1xox1 85ebJlPEqKkF+rmwMP6//L5BrHeLneTrV6oWiVdiKvTfyXjJAf9csoIsNUGsZyI5G0B4upBOn3TD oWla/LTKNrS+7SQdgva2KTrycB3ai140c2g2KushpeLqd7XAggSFPtdSP3Ek+6icwTgTmjq4H055 MZsvxtXqf3POVgETI3qXgytrei1529+uEWTKZRt+ktbGh7AOJPf5Sx8vjaspdutDWXHxKX7Ezih5 18ChchYK79z7H2lkF1Gzf0KXL9d9L5HSaUmQu/seWnkcrXTuS7OD5ty+3aqAeY44fM0XYszP0nyL zKOGaYspE2+HicHSWh/9VQDWUCFeI6gxySsPh/52uco7Xexm7pW45oBGQcEnx5v9cERcz1LfxfP6 zq/nuw+jk0jYUPQSGX8zNnnp5UqWHqvRHSLNf3nFjOoHaFiGDbnLdGRyP73RY+cKG52gGL1wzbQz Z2f8JgWq3fLBV3BDAxceHAILplUK431e0opZhlrwRDStgoQCxA7mC5+ucnkBUkmvikR+pHcb3bgK d6bSUeft2Glv8pDRK7qlI3mJgI+Y1rZ6pkG5kjuBQi4DWUuGsZTPbQMrtJoGuHPF8DjUhm90h9pu LKemVo4OqbrmSJIjMSa0rPphRE7QnrvXdPuCBhxNaaErJzM03yRh5VYo+05SE8qQGvp5I28v9DRx 92EtSUQeVgM4VJ2XFIQDeB2OqP3uyhy/4rJnXagTY4DMkRi+Y81bSvaeahA7FlwT3lQw/Prnz8GN 0nPXtcOZw6+hbvPKpk+iIHV1y8r6R847d99Yiizc8g/4DZvtwl7lrNrbmGZfLT2hBRnBHjDdAguh 0WnKenj8ZCabvBP/ngNWRxUd6sWnJcozq7d4FjGLuthfrlZcNX4Q5cyxS+deoL7NctsHuUIwLHlo qa4kZtHnECenT4T4L1DaQ8/gdMtCkDlM4TK+JXe7ulUc/ev6LtOCADKpSsC0Ua4z02VCz4d7EcWC uyuNACtp8JQc+0HQ1pzMUaoNdcEQuF3bdc6SkQoY1qiS48/wfGA7t0p1iuhuMiZBFqSR/9cuKAn6 D2V68gDxM4uNQNmSbJt6ol0LjdOkGA4ZjPylW1ABHI2QlMa5lC7T+RvtaSRtCswyxAMbWThIRpTp jxUjxCPyhN26DBp1F9CjULEpPC6ZvOTPXCjsQ043acRReBjpmIyZKQZ1Iydb4RTujV9i+HIxlLc2 Yh5JTJ0mMLvkwGn73l8Js8Sr6bZjsLTGIC+MuFni5FYpG4/n2fhqvPjKGhGrDJrDzjUvtdUsdyMj STaMZhjLCqmPT2HvUewWRJZ3lp/7h6LghTSLFa+0EQ5NVnNboThonOs0goCXNnCTj8IT81kmRN9j YQBEWkdPo0hsn4I6TOR/BaBptTBiTWFNbN/m+Q372rU+d+jtt1I69Xa3ClwR4jJ8ptaJzrTEYowH zotzsfdqPTaQKhYjp6fica2/jYdK8icIAvWwhxHwsjD4XeshRAr5V5VBXYN/eOJJnoB/Oe5JjSWq /iIVcCvr5Qw9EtFAAvJdXeVj7SjQoq4sPb/01KztAGvY0jCzTsNIUaoMqJmw8FUgetEMmkoU9Q/W pTsG43NQGZMTIdYFZIALL5UVZTsjQ7JDD8LQ6w6grHYJEFLgwh5JNjnRX0HjY2HlQ+8MN/1YwyBc 8ZFdC/80Kn/7/46yNKYEUi153c16wP6nN5UmBy0Ai58tnaZFCpAekVXkFWUEImbbLLhL/aQaxNv1 l27EWpNhVIsM7djoduFzS0rbHqImSTweA+XIfItIy2i6vUmxoaLyhvT+kOG5TIN64H3G81mRhpDG grF9ZsVgS0m+38sotnf5QJlOGjE+ghHP7A4rDR6jvcBj0ExikzbATUtqoezhFY11HtVtGft69ver 2JPONVGHj9lOwS0sRoeCpCSoz9Y1ev1+XNgKUn8sc5Et0f2FWbwNQYmlAtkZgkyIGu5sQbLrh97M JcimCK1+ANEW0yX+HHBDGwIsPj+q+4rEPVSaAu9gdEw2bDwWXV3AgIuIlJJLB6t9C2njCNaOSE87 KzdFj2HW1X5sG0qYft4+skT1yHDuv/TX50WohX2uQDhpJFT0n8Tt38/0FGaL9aOOLaACiyXryV4x fdb+3xXcBvRED/x0FGR6IELOLZjYSnTpkD2Ng7pAm2C0f2buSyXA//rurTXXeLD6bso7yZDOLF5f 0lJxKLOxLLQbe3g+8eXPIJ7IvXA7YOYFevyNRa+0SBaJCHAg7PL31TPRFvEbClsVGUCthjrL+Z4p /I6ofFqi4wF/3xs9cJl7aHkdK/BorS318miC8Nre5dnXxX+FnG9/joZv1ge6dccX1mlNoZIMyhq+ 2vKG2WqljSdRf1LbYRMtgIgfDlHPSjdpgUXYDnOskKsXGQSfKXUi8I1FXyQUP6fyXRCVK6wuR4bn 9fJt6kZ7Aa9hQGinNbnN8b8lJnkJZURSDnKEq4FS+WALPi0NK2IRiVdE4jfI2ga+LZjhdvtC+TRL qoydPkCVVGxqTRfaU2QLdWzczZHWTRvE/tH5G7YFuoSDq44fm4keofZC6jdJfahEXXkXzMTbHsVw +CY3ZBfhaFjMJoJG/SX5URH77feRJ2XgN+XYJM7EJHrR18xJzaQi1dl0CHfOLSC7CvO+3EvJrw/W ybNYoGLJI9LFWhZeI7XLg/8hy5P9FGmE30r+yMewNgHD0yhP8GoF4GwoWuxUjD1KUYSUFjcAnBYJ jTFIXkOXTIqr+1x1ofowyD+5FytoWoKow2B+wt15YQZK8SzeZ/Unr8kmXz1L2CrxlT4vC8vZFcsi SerCGCxeKDlbmVo4UxWRqD9TpcPekTvmxfEjS1aL7PftST7OFuIsmj5eT3ZBFnxy2pUjYvvSU8Jj iGBhaPQ8w2ptRxpL42kluoUMCLp44mLNP39+14GkyN8PHKiTvMr4EApItwaN1Cfjf4al9m7aUjFr 3e6WhlaGF2kP92FCO4O2x+O49NWRhkyZB7ks/omeiVTKpz9QxnngUcL6Wpa0MW+E/ueKEWeJAVZN njQa5crkBazuFbco8IMFJiQcUR947TH/AqfphAYJz9eZphf0ZUON3Hda7VPA5Ov3G74mlNpNKTC9 tTs6tcX0VujiV+dGj3gNoR0SzAsh9w9NJtXTVtPzSQ0LzzehRUTWtD6ZviLOaI+J2jjMN+b0V+Jg oN7HsfE4g0yXTAhY2BP9TcjgH/sRlbehMDQ0qq0iz/4Wb+verkkBJAODXZN7XIORBBrJLPNwZME9 vNbit7ddUSHRJU5OOGFNwRK6laEfvHTHnHKjBZ6HnplullTnuJISdiT5901E0F1nmxt9ucD3eeKk PTZUt9PMflchFFdzMGjpbQPm+98H6dNyuc7k3wNFltFpION7lYxKHRo6sU5vvhFcju2PF2d4ceSe D+Uwsq6esu/u/kOYv4J58friPy5dGqTB6avb9d6bXuKoUpX/j570McCk9+RT5+t4cAsqnWtH62wA dqSm+N1Ejf4sdFfuaChsyW27I8AvrGilO3NXIOKYAUroN449lE61NhEQU15kMCZhKGd6Q7UNMKc3 Ew2bciguEkAWucZXHut9FVU798IqKhYWRwoxwuhYL3hdfKOEqa7cEy3Cxg5ao+PyZph8jxkRDB0c DIeX6C0C5SC/SKPCrE3OovH2VwhcVkIp1WqxLb4BiHIGihBl5wwxZc/dk0SMu/an/46QqQ2gmC6w 7gFhl5xOYcYvFUWvf4r08GBrox5xLMIicPChwO1fbsao+av1NHpjQQQw/leuDese4duuGwjT8ZJS A72gslt3hIvXoozwXTB1GQ0RawdOzKHYos5/QQVqVR6oGzBuL3PPhmwcH1Y2jHh4elDBv+pOG4AS q3DemnSLg7rCPlshWQtbka+JHsh/mEzzE9cqYOVJzclL/Wvt7PslYnltSZAxnuHjlqWGMd+2pO4m 6DGF2ZGEHWZIMkxk8u5A+AP12AuHIaWSsezwOQOzIKHdCtRESThYHuxgoZziJkmSCsl08FMjDVH8 aDr2lJIm5LC4j+VYnpTtNawC50HbemViLr23VVNzsiNNDzJ4dpaMFI6PvWu+RlFWN0LqhKKy43/y VYeMFDyhJ28Ecc7HJW1U3vtnHbF1NLL3geaCfv16kR0j5HBd+4ZCIW1r2rRZG9fjX5Wp1JOhbn1e ciHl46x7UQryLVW7jWMul45AWYXkQuxDrFRUqFcU3JnT0pf/aWwG9/jvs+o8ZFkyt/ThS68NIMG0 ebLbbhASxM0D9CiDyTiRTpewS5yXP81hAnT8p3npUqFF2wmXdC8h+WQl79pSPj73jkjfksToIAz2 ey/1onmQOH5snfN8yO+b/oneZ+YyeFRkP6IoKaDURdvetMsozjDQNn/4KOMChD+ptnaDrYSOD26S JZB2zeRN3CUPZVtMfhOF+4Plo5OJWEMPwAx9Tvl4JKATdG1TpKxcXQxUt3kAbURG9BE8jYTuuXeR EJOivarptp5wAWrI1sYCnX/RpGLtPZVhOMh6nOzJGKDifHl7tgxEEmf+pIOjiGbG1NTh1c8wX9vH 9Xeicdni6WfSR3k923cAndjffAl5UmQRo3tE6KEOB7LRAjoASbgiWwSjbbSHgSDGtnxSL14qmgWb ycEdTnkoH5r7N9qQZXbolsuc5uUDNqL+40KGcMIAywwawgPRQeaqHouWAdNBonVoi/RTvY76as9s dNOIb9Nd480KkCUitGL5Qa8ZhtUZSTi3rm4x7rWY81T3FtahJCMfX+KEchoj4GiVe3vLjTfaK0iu ItXMxV/c/Rnk3/3nfrIyMNd2UfLln3UrHEaDcKE4/87q4WHSJxKoJnQoI9ApVjy9bBD2NG5oynsb w/TFJpR93BYnFi11jH0j8qsPvzEuaI8gSjmzVRm2CIG73x6XdmxDw7guKyO4QTCrCUBZP2dcprck jiXaCY2O/m9e0YxnvXnc3HsCLflFZ81wPzDnYj1nb1AYXTh7IV36vzQljm8T8CtfL/xHQ5+gou7n 6JBfnPZWH8f52e4yschprVKjbOReR9V+//ceW+65zYNokboQklimO2tF46ppPVfLqlWy7Fg5DPob DW1AZMjPvevWp5lbUpf/4/B0ycz0Yry6iE8/v0bBrNlPAhmWn9AKrVerVJ8RdjYB9KMA+/MV71Pj 5xXTrJPqvoTbqYw8dENW2Pe2oU20y1znR/JGKMG8uS2XxqXcjb3MwsjdmRTi4rMTM4sLcrDZ6/uz +zJS4VYBEujE6KV9RmMjqF1W7lpr+o3GepjKN5LBJbbhLT9YNSWnq/VOgnvlierl0DCNMYdJsNZ5 Wgm+AHkgwn5SN/Cfy7rpWhrrCN5oU7uVxiNyXJ+j/8FKj6qVtxZGvs8IfVWLRb9quzl7q2nrhVDG LnRa9HPEXT+3CTIc+OFWGtnlJz0aSdmadaB8VY2UfbCJUsJvk/oeU8Z6RdnsORLXe2q53M9GShw2 +Ouw/nShdBIvbnfvQ7U+3jr8oKzjSUbZs1nhB4JNQzzrgNFsgYrwdPcQBeWYmGFh5tGm/IQwaPqa x+axmakOBM6fWOEoUbtYKlJ1mJbpguNeQZTkpsgekwYae1zpT7r7fiFCNI1pD/4/HAMRTtKszD1/ MkzvHDcw420XyjquV7ywk3hIGy9d5a+5Z/MsHK67vS149g4jaEj/LjW2gAOmz3h0ocLU0ncoyen4 dqO1njWeaLHmRMSD7LKWgnOOSVCin1jiGQVojgBIDMw6nF2KNBSX47jefhiz5etYtu/dTu7uBjc+ vKvVuKvMrA1IlkSo6i1LZSaSxxZJv9MZmt56AfLapvbQzcmRvsle8jIA+S5V7BZ74DSfuNfGnFee dKSIz1j2eyT2IUf+/DEaRX3Vv/NsctFJK8cgHDs4w4CegSOB2tS5o2e39NcnOk6BTKHTbwk5oZDp y1tkNV61fxDYYgKdwCYJEhiZhLirdniDpzDPk6H4xBvjCc2pII/mjl+eQsjdhNz8v1Pqx3xlCvJi qdCxArrfB1bfvTb1QsfpsIygGOGEE5ux/p6z2RxmvgxXfGK9Pa0TFGyHLeULIQ1aUUh94o+zHb05 aSMsVKNXu03WwD2xvtZbT2xzSS5xSr1SyXGSJThNffPEuhSG2u7JhAumuSpkxsc+uxcgYl9dvCix UJuhyYM/J0aVUipA6jQDMgoYjbLeBzt3FAhE+Dkq1W3mi39lAXnVQNKWUMtlbdhgWaOOs3tXODPi eHWmJIicfhC04oU0SN1LcOsg6CfzxzanArCemU33nFHE+89qCs0C/I3Yj4+HrxLS7+oYkA3JO+UW lg7b2GUmSmSZ3nREsXhALp4E7omjMgTO/0gYceO5h3HB02KafEWkMCoYd+NRSVa1h6h0C6foI3gd 4AEezkyeLybMyoLoWVanUlmyA2sRXw31A2LBNaLIYhqLGbKTxGgwugj8TRFZrD5eJJ8jMxb4e2/k fryoYZ/pUOff/6YJHjC3RfTIh/UdPqniGa+enpqGNFG3eMZl99wWBtUzKArSeuvU1CF6efFP88q/ mMAksUPaznHIN1023isxoqa01R9lBKz7OSmXj2ihB45o2GQs2mI4oBdx3o5CoDPZKOmjiUxBl/Qd fAdaNfIbqdhVTp5281CnnKQ7oevUw3vGzzHwKdaOsDXe26IBrqajZyOsqhYL64xkOe5zoEGD2K7T kOzNFoCz6oU3xXzj1lIJqbfzLx20pjKPjKPNBWKgQtDVkVQgzRLXxIgRZiKVLtSRyy0gp/fSVYCY E74zAe8LsrlQbjy3MWZ9/epYep7x3bZeo4+u22DaQUVy7SbtooIrfAnXOPauLL08Sm9TF13PheEN MlmjR07fUKePuywP8h81w3jBmlLQ5/8HkfddXfsIwH1/Is7FpaLmG+XYmaJJ3gTZnJg+if6tIfAa NNChBFgfFhzsesbS0VMk6xo1S3kTJ7eYhgKBujcJeTnYAcgxyAoJBixK+ASKqnA4OCmlF2q/JHQe OoE6unQRaA5G24oF5B4uThCH9Ax83JaoEy+NSCwZYRCckdPKvYjTC6MLYLt0y7K/DrIGh41LCiAR YdyHPzCORCuESNCylIvzK4SKH9CpKCWAA113xgWxFrbznAUAcwSYyqpPehapCM5b7LV76B4IFWt4 yFLfymqYZOP7YLQjAVVAjUycVmWqAufqxxrDCju9aHDJtWU2BwAGPFmqOMuRyguf4fGRZ6ZGmhjt b+3CFzTWccNe1/m07SJ2xiuJFboM7tBos9Dlh16DBqh7C1ZEO50rMZdz9dytsjFCAin1ruoeOnNr mSeIhsTdo9WXI17+DdB488Qf691aK6SxctK72/pseMfQ1BAHOEWu1/9xrrCvtn71CHWloRd4G/k9 NEhD61XDX5fduiAvdeWbbbI7yyCa5oVhmT4crn4iWpaer/5iWrtFI4HkoK4rKcu4AI0862uLnJw6 Oeud9NUqRsBpbOoua2IcqrIMBkZvQC93CNSf6dyXkwxokja3qhuAhw/KgBULfR2nysMql/NYgYjm H8ACPIW7jQ/qmqe6a09VJ0nV1jPbRa3rgyOKwea5YPJXS1yFa8YniXQC96p2UcBr7wvYIahG0dvL tH5MvJOvtWOjGWxL7t9ZP/GU98ttqeHuUqM+ba294iNac/hu/jM1KoOMF7kvd49QyU8PlQBnhXwl miV4tYtfRvGPYXX0GVTNtJMnqYfWFu6CE7S3iwB9ukACqWxEekPCVENOPzr6Vp4xXMt/IkYqYXoK Rs9r2bnyB6psetisLXlqKrKpYpPYijXJqOLrPF0EfclEAUOcbJzEGStXyPujj0e8SYozRRnfJ8AB gJPeLrpk8F8/vmBaIM3ObHjl4MNwecJbgusp1yUZeAPufllDaTGmFa9QHjC8irDfwEtbry8ILpN+ L9Pn8Etjuu95dbpdq7rtjGS47ZjCgqmprGf14wGnxeHJv6tjZeB9eKlb12hIPpCKbTqbMdJMcdPD G+Hx/W1F6AggSh5vYzhcmjkkuLglTCykVDUMQRcxam9DARB+NS5G4/hwbLXoLmWfrNU4/TcfcyQI sLG9761PgT1fBOY/Mb/cYwyGzRbnDU9L1ct4p6RWXAyr0zraxFDUSgEBbRwgbVvxutcaoJxlEo5f FlHmhgtsWYnpmHcM5NwsBU8laFLgJmakyh7afWh8ZpG5u/tnlfqk+CCoaIcDqFOZxY9aZNFexALJ /vpvEJNGWxODO8Rwwj+Lhcbmt9K6HKm+8fvCqmpkVRhu9B13Oo6JoOK7SUqpPUsOTVtvjyeOf+7K 5gdPB397fSzFN/CYOgNpNt2K3+DrtRD+Vco/YVcVdk/mt1D56nZPusPBJI8fqGwME9RGvBA42/Qm aa+URGxtIPmMGb7mYO0GjHZKJnCApg0En6yite0+B4Wbzc3m6c05YG0nOiZKlLVRdiN/m+xOfLi8 xqWHZGytjUwnPtBX2g/PNNsPNn1DdUlfVXB4cbYsIsludoqJALOdTCB7lIN/iPxWDn20DDqa1G5K tIHLA7+35Zg384vNOWky+fKMuzKG6EXoBmpho/toRGLsUMmCoUTMWnIi/msvrgkjWIwer3CNLGIm xw6GHCQOYhDCw4VGWXmX2BKR67vzXSjmujoeY442EIn6esMfsnH947eu9/z76/aWcWv4f/ANSR4Q o/Ezg3PQIARIYs5WmmGM5bMBF9oiuIkAb0+4J32PMBQfFvxtFRr2eKdN2RsYQhj6SjxLWlujjsKD Zivog+Kpj2DSXbQKKToj2df+9uK7xPoLnj8ZKA+24iPoyHc1EKArcljmLZTAZaG7V3Ra0HTeDnQ2 eBipsnWML+yZsmqlQc0xYuueOiRrYGj5r4bo5DPnILk9ZRnmRys/vC2O/otkgfYM7tT+F9SJpCB4 KWWYh62prWZyu0Qpel9cR+mQUeo/LGMHatcxtmmhx3KlZfqVkd2x0HGUthoNGjXgm3mzEEKGFr7r Q6A2TcMrZrxHMY2LE3LWN5YT1cYfbI6/aYMrJAHXgXZ7yoq0fbSYAVHy+h+9o7914zKMvxo2yeTw XqigCnbzD5q0QlKkvNj45Sgmj+WpeTdaUYmZsHuynV6PVZNVnVzXOQJnQ5hC7xI1wm9pGz5UIq9a Ig44XTQqMPxTqSZT8hL0edn5n+6y2tSZaf8GhHrRtQg5Ys7zBLl2FqcM7sTM7YCqPBfUPZgd8y+w IsId/1HqWkyAhOHs1vAerPr1idK+48VLElr+EBd/fVErk+dvt5ChxMYSJ8nzw561rm7OF8HJeuOa Lc4UiQJv/8bWAYpsd5OuKnKN+sj3/WJ2usOAFgIlYaT7ky0OVaizHJMqcfWOmgU6w8XgnYfzo4ho 90FKL3JNDcxXBvNqazW+z6Ws33ImlOry/Gf4juX6s75IjO4gHUbb4rDQ2nrX76/A4NnycBvVoMEI KpyYVu6ABxCKSDJaA9KTASJlFUAly1T2Y+uc3LRGkzkZiMLSg8qvyq4jOGFL4/6feEt+m2v4rpmc 8OvPP0DPqMwnAWIe3WXe/mB9pWGFtXM+faST62zxjurU3TkbTMDcRvqLfxgcXDxyrYq7LHl/nGzx tZWusabkd4pjMBg2Yq8lddfJb1gWG2oyn+kNiNx9Ctp0vU8CJUwUYYlXLtZkbsXjyGwu33Chf5zR RrKxDuazmLWz49qYogKkASf2LtSwvvP4710bVp8IEIzhTfKXv2f5TJs1yygjkCZOpezQyBeD24MD hhIeEz55vjvQ94CPCjQVdSD1F1eAxqxTbhYVM0YcUXuYXEPtGe1iTiENZ+Ut6SyhPmMFbd8U3/+7 fcO2DAVLklKB2gocDdxmyItTRKxW2+Z7DQfjOw2mUoH0mWEOV8TqKoe0+UwGYR6/4VN/4+TNks59 qRL8/g62EoF8aTc0x7AeTHuH8Qc6yst7CGCCUUuMwTJvcmsocG+SrnHVZYICNCtNDQrA15lc90tU HVHlIDjhuSZ7eNtbISn7kP4fVKqghkmGSNuRavswV1PrmDNol7Rqi9bp1Hbin2NVBlqSie/OwYTc F4hMItE+Wn+dRkGtEdLs5sGtXLFzIjKTB3DBltLTIH3OBOl0w6AGRrw9NsSZyAXanMOFE3lTX9o5 LCUa6MEq7XlfI54pSpaArmuSKpa64w/WfJYaZwzKjqLLND/CcVJtP4nuB16v7JseMAUxtaMaEvD+ XwHWNGlFqSOYwu9O1A0Ci8im6JutLjncu0LnRlXDP+8HB9gK2O8w/BwwCeX/xJlf3xehO+/VL5GH XXMYSaU40PFa7GpUtuwb8xtp2CXUgVGr4l9vHx1O4DSF0+pt96QJqhTKKa32tky113MdF8fG0Sv2 JAJoo3bu801bgfrpMGg4jOTW2mP0Rl2DuX/peOlYLWoVYMqGisxKveDEaKgnrwgEujBQSjtzw459 /7qrWa0fRy4hHXMPeaCw39Cls++F7XTp992gE0hlAbCpiHJsVSyDOPcmDTP7HYusDtmZpWV/rRJ1 GjYrJguHwBxFj3+XA4Jc52rmmVb0QxB7fAOSekhVJW+epabQKzEnUHmvA5SOJ7QWr+jwrBnNPKWR WK8r5yuntKApApxW1GZEyh/m8xfcb+S1uKnRKoOdMTQc47nT/+J4slsLpbjNz5mHVu/ADOHNRn9I 1DF/bUB1P0cUfs1DNmIgM9ImdaFZz7DSJ3qdNVU/Cty0j0kzhHg4aZujSf/6g/tRljttKlwces3O CfAhd2lP5v7/OyeYYJPrN8fhGDu9jhq5yE4Vu4twu8XwDwhe86sypy+rxNpbyq0FXC8Fc9J5i9ET 7xu3KpKe1jqgOFdV5DhKMk6hspfPuMk3GfjEqiqMThw1deVhsT1aP0ZuhmhyYt/gJn06k0maMJ7g o08dP6o7qNXpw8vxyCZnNs52aSUIdZfS9fkHkGHImDpokNei1W3CeYBxOkTXH9r/K4sjsG7oLJJU 9aLBZRSk/NyxvE6AczM1MshHexy5vrKYQ0InpKJG2snCQQ4hUG8nND3LZrY3ciDbY7r2SPQ65stf 6xpz9hkP3DFpr5l6GGDoQv3h1TJxUeTXEtM4Lipby8LfaaltBdZe3wxiKIxg716/8vhDbBju5a8b 3oR1sxi43E3rJrxKmHBQr6mbo51JNpZHYr5JO7ooYzIo3UUnd0W8g7EZagi11FOke1wOk+HQLFhk 9DrWSVsAqgHZgZhHp9xOIsDPTQ1lgbVMLgYifvBKUYFFJdprkNzY7HN8k/MtsZjQDhSmpsZydCfE fN2HUeka+GGugNz4zAsG8EKBsX1/+5JRW64CIn+K7caDrOjvb36od8AhSp8Rwu7WYyHSb800Ra+S ZP6AEqoPgDhWD+glDUzIflIIzDy1RYbilFuh7vhrqs0bApj3fP3slRZSgHVIkHhNvy7+CYJstr2K ONh72ONBArY5F6i8uwm3rOWew1OCGECniBxR+izQ170OA1OqQpCuBWyRnRlLrky8dsn2Quld3e1m qqKjYJ5EMiOAsKQzUTZ7yRa6PKoiIvM7++2Vqz3QQ9L4WtQK3F7+zEuPfh7dtdiXhgQhl1VsbyeU 5VcYCjekzu7OW3QeaQOkpBsv0MvElIUG8DarMCiTrsZyrghD6S5On7o5wy5IEphur8o11g7x9M7j 2IhUq2Bwfc3W16amMpyHzXs0QDQpmJnOqzYbqmRTjH3Ml4tvqRWdsKOPnjoGJzf5JtrkaOARYcUP A7Bg9gliGed6HLIOh40mwWEllTjRRDD6DV6Lxw1rlwcCKsq2Rq1XtPCJNJ1WCnpqNl4lHzuGybxs Y34ZwMZy0ruw4tZe4gMx4Dn/AsFM0QKEag9+xG2eBREyUQfFP+2UhbCN9DmSXvxbWJjxk+gqrsLo YC/6C2V5uVjqRwqM7c9Ux4p+4F+sarU0FnGWtTxubryReue0erWUNSeBx0VpvKnv2OjK+KWuBDkS vPOfcHLxsIJy1vVRGY3VH4vUl/nip3wJfQnLtc8a4g2t8isNlWIJvo5lNu/GfFY1elXaLQSjYgAU JIG49aGDx5mq9SU8PGZttq2cJxdTwDm64nOQFSRBQoKq+PMs2H7ktbIBGEMJTe/hSRjqteMXUgTq BT+qmAuw+z/BI/741PEUifA6HdUkuMBsm1Ibi0DaCb/EAuEF6/OSd5Dx47o5V5DckuQfHsCYRwZN Dl9YC4nVprruScisJcfv/q7btcjvsVe9qtzvPmDiXBfpLEb/fdvi2i7v3oQneW87bV8CikvQMD/q WqibvpptwQ1M+Y1fH2fqKbOjcMiZIBg3rR3I78d2gTdVjqQP8cf2tX5ErjWnfW85J8VwCNf3yA8c 7jltBLWk+dQC1E3Uhy0vV7IsyZty5VaZqRA4i3ctia1U2XaxrIihw2Ytt2djqVtJrHkVHwR2pcYL rWBk1QzS0cwx6v4VOYbERsG8mtNyfZiSoMIaZYQNPeYkI1bBKUpvGfaj55W+TBKwvRf9CN/lQjem q8bjYOnNPeWk0du5VUYXF+W+qW5InfY8uDF+MzuG3C2oCYgVnO5aIgI8YPSh38l7BPtRDmG7hli/ uzYxgCalLrL4Cnv9In/SIX8TbV3ZmP252DvaMm+szKDoBWctv34eX/VM0LMt7u89PcV9dnZyAY/Y hkhQZDjEoCKoG7O10Yx4Vrh4si/m9QWefrSf2zH8BTMqogu5XBXk18gJ3MkoIQo34g7m8HiAiv1d 8H8cfwBhF6slQYBN8iSKowOe2bWWPBFZdDjguYi+RmIlpC+ky2RtZRVImxFl6NTsXqg6UzMnKWxK tVqTo8Rl4LofYFeLCC9aF1wBveog9x0wkfxs4Zpv1lOsT9lSEMuN8zOUrAfrpr7mW6KD28XSqLb/ F3Hu02jeftxs9JAReag44vU+b7f8hr8MI8AtaFerdK2o1YRIkITPjSrRRmHek5RTMy5sn9ZxaX3D o5UnzQmVnYgpYdkqn+Uv9Ip77JNMow1whE74bUeWjayi6+cs79KLuw0b5dIghd04Ge9xXQVhvSAH spodEItxKM1ytKsL6iV6Nzqmf6CgnAklfdFVpz+NyqqtFzILGlorQKCRuinC/ld2y3iaAoqGyIiE OFfOqR/6Mq9GDvfyXyE9hdDfe+Jg46470NCnAKJO6gaeOcstAW54XogLDQkKcAVuOiJf15INTk60 HTwKRZewSeu2qO3JlzW+13vadZKLDdxYh7FJu6sUfapTptFsfKi9oho9xsEOzBGlCtiK7OjjuTSl EcQH2bCbzLHVFIQQQDzlSFzXZkURfgMdb5HC9QwTp6DVQQtj1l3cG7rHHL2Mmvak3mFernQvQo4j CZxPqqofC3IMKIEWYHBkB7Rq4H+VVOyL02t6QPfSs6iPpqnnIFCykyLeqptBvSrnKSySnabQFWWc qLTgthaZRZ+42YoFKyClztNTUOOYnhaWuXbaZIt3H1N8GjyB6GX7oh5JGc+JjhMDrHM9HCcTWedK am1c5qZq0HO5TzwZePyK8RWpJelGa92xRoI7lbCBRyrwCS4/QIOsf8AF4nLhxQl+StARmEGHyhIs kkvtxvUSRLRhYf2P8jL5RWc8M3qvG0J6XMuhADopTG7zX8mwvh62JVmFZb7AueOKJzRk7KDldNVt Kmal37CBjy+s1Q8NbScvf27VWhmF4Or3xsR+o9CQkPiR+a5VZztBS6RXxpgu+BBPzLCnP3ieCKAQ GlNcaLZ9xzmvfuBgFg4tCBDtpuCwyVXKwNmGTGl7mFMXdkDVBj2aYwRkT6de1tLuWGu7y9x9jjnW s9RTxr/8oY8ICOhutG5WN3U8aqAracmo26FNKWUJefW06oEuBwcXa8kI/rKDInHD2tG/O6xmXIrM kX26c2tZuPZuVVaTd+Zp3ke1DgiuMi+6dfejwxm1sGBwHurYoGo34na0xyoqzCz1AvOOf+M5O1/0 bGINnnOMRpz/RGFCQhEI5x4JAtmm8uCVCS0+4Mq5EIl7f2mGOpm9X2R3tfuxQijeSvGllD9dvc89 EjzKmDAqgvcOM9iiusV+npZaT8pzd0w7t/fDWQL1XzFajxfTVHvghZohb8GJA4pcUgIk5MnfePIt JQ3B1d1HRBeo7Uuv4eY7nD3FZs9by7o4nXflWUA4LGPEpzeF0fVDc19bT6rarrhwrqbzAV0CVBc2 SGncvUQ48tUUiBWlL9k5azY6M+Yirbzc7lB/gBSvm/pRxxReBpfYo9Z22NIiZfPLTUtgFBcZlF3y eVzq+9mDbetDJtdk29zU2puv0ei17LSH1DG0qErJt19a8MT83ZcY+YPR7E9Jehyt+Uyal8RR4weV DDkOqb/fNX7g/aJyuV/zDgwoBEjqWOfZrfHpX3YOtgKpUI0VGAWkZxNHW34uF/82ompL4GvVeuK9 2itb7Iq5i0jozzbVod1035QH1VC8Ian44wjLsT7G3pqNO1X1EP+952lsnTTun9XGR4mQxtKkprBd CTJEBCJGVeWgDr9r8aIMgJOvbhNQpv8FLn8jBeltPNOdoI5Y/0xtjKwKcZv28acRowRObNOBqyEL tQ193/tGVevHXnvvVo/XwZcd7WBwNyaChHUZmV72t/JgflA3B+TE7opoScThms2YaHxymTxxGdeY Ya2clhsooKqkcDCNErv1V5bZZV7kwE9n4drPdPqe8QveE0XpmjOh5vSMRNshQpx5Vj+eDywItRfb GV5/+kNOm5XH2OKDXILZzgrjsn2N7egB9W2eni28ubEJCM1sxI5mqUcLrvpC90rZgOe4Io8itpjP cWjTAUzmjxpbp8lxejE+z++BRoQa8ROfKoabj/ip3Ga8y2iSaEs7VlrttypbOWf1cMUsojUVnq+S +FDhRemUBdUgGrPo9O01WG1cCt5+MWsfDzdBZiEBfj19sUWHkfU3hXFvO1qBFdHPWSvfJmb7s7Ad JXFCQy1NmDj1z+OBRPiPXndaEUDKC8tOAJ0x4IUBjvE5Qs7LqBYwVxkJVK4mnjMCTWEpi3AuuD7S 32xH1kS7JoaPV4decz17tAsPlnYRvDKTEmISdqnllgpy2aJeaoRDvi1MLuu8r/PmmBylp48M3Bev 1BYA0ASoJd6Fzy/nsC4K+E168NTxk0ENSvPKa61niE8yscJSQoHYnH7RHg4oBKcLubUtfaSauQXW iKK93p3xhno9BzNutHgyawJRBlyI6oMq0pVRMLMset6ZjvEBxpMaOX6VuC6ERgDFSN5oB4fSS7Iz amLpt8yryhUqkDjC2PKk2GOM08ILHpbAkNKwRG6AHbTSmDZjekWfRVB+ZHQzvtwSrYq4zxKKbM/0 oR4YJQnwT/+HLV4bUl7m6BUGeQNKNALTFynJbiky/pLZGRVvZXcUG5gnbRJyQYI32Al4+ljLCSFC WkDEwXGPTHBSfDKSFZlljojgnH+npQ9/6LfHRI/xjuukny1g9LUYGW/00YvvwunulxPZ4yFnEFSa DW/zYZgKVU3xMaefsJrvAjPXItrP8aksTEZJ0LZLBnTw7CkaU8ORfnAgHFLoeqDsYxV4GaenMkT6 kliTmdRykeuznoHR7QiwZzlKm6rE6yTxNBgM2cTcBoYMSfF0C4Mez3vnVA8fORasuDrWDfIdTFOS CpWyFhyoz0mr1yijZx1VJhgX6QBYygD74iGT20ZHmdx34ZuyCxJmXG1ZO9vDXx0/tjov3AYnEh0w uyuqeM5UPubfz3Ws5X6PpchfT/cDrj/jARcZBnmll5Gy008/Yh+cDeufMLB4fFNaYYwjTV9oaLW0 mkeKjtwc4Qw7KPnOOrZsar7KQGGUlUNS7LICRy6VD2yX6JfdD/d73oRTO8RwH1qVQFXpEyXZf9w7 BahpgKpNSbh3OsuG7lsnwDMMzRQdyB0+X6vubEg/2o35rhLuloaDuYgHkSjKUIp4RbXuNSJ8Q7zw yYs9G4TO6UjiXxpYap/9kZ3lXkNgCfziB6BzHsyRmbwbVelhEFwHhtpVscd/4ap4tEdwu1Kf+S/5 NcNC4yD3NJ3CRrn6DIx6OTKtyXTWASG/bC3ZjL0E8AjuxMJ90i/KeLknsC9GGMpn86GLNr7EWwaq GEemKO85FIDhV/fwxgxgqaKSPM3QsHZK6BO5BKLTeyc6RAtvUFrU60bb+kLreqxPRDFWzqnmSgqC r8JwkZU4MYFSl+HyICmG4lRDjW4np5jbELp6kSV6jRlBGqXHs3f/PMBl1O9WBRicr5by6jsuZdW8 /2876gcuzg5YvN3qvnv8Skive8KxiwjB6HryPr2BYnOh2QzsieFsVHkz5WB6uoTJCfxcH4Uyd803 sfK4Y2o9B8UdaCyPzj4ffQgt1yLK0GQYp2hzlS3alxRLss+/fRPqOh/INb5dao3gT2+Ej4jf2SvI TCvfTXnpDYVD0fZKiSb9ogMBfpkwORhi1pTA7F9f/INLFKOuOuwOs5FliVjU5JPNoW3ij9njsK85 C9bEQyuVws1FTHb3qt+aVEmP6QTq4WWJlbSYRe1RSoS2btQ3VZVBFYubsjJH5XMNsOUJ/qgX2s5O B8JWQGZdq9gAE7WC/JHtuTnzmtwZei7HkUSAywTXSQgtjUHZqhiXcKvIet61/hus2aJG4JFzYCfa u0TKbzIHdKuSUNlJw/f8BaHNjQrwLSolxMhyHyYaG7FzgAABOaANSs9tiQlY/WzZ+IvpkOSFZHt7 caGL/Z9NWuuw7xzw+AEavvXIMg4fKCi+Qr9CiXqE1ErVYvbaeqB6spq6s6dk3M4IDNYWj6Wzo8Zr UW5IpDEgfZ0B4GUJyrT9txEQ7dL8NN0Z74m6jMNqJMEOfA8jP9dxILo0FtmXcEp0Crd1H9eDGrcd XixHyFCbr3tDHnmO2ViogOqJvr+9YTP6dmoVxV+AkcLD8ZjnYOMy8FMx6UwKdbx8AAIvTyMvQzJd zQMpXnVfI5bq4YqS/L92kRXbBWh15oGSoY7vJmHW8wmEIMfaupEQLu+mhwOXtzwMBADE3HaBpHWq 9HdqjLZ2F2M2NqWn2WKXcNAGVZzibE/mxUFD2aA8kfPW/ivlctal7IFUCTB9uf3aVvkqwRzKtVhy wdhrcPRnD5UmHRo4EPW1tPuJI+cQ1W0tD7PRVnEc2lVRTs8kh6gir9sY91mpFm94GhHX4mpEUSgm FVmDyjs90nXw+r/qPeCk2vglw9h0DNGo3HA//2ThkrLnxifydkw0w5Pe6BgnhSt+POIHQoekH3eM 4J6cej1ywv6Ho4jRPh0W2gFmk4RI3AqwFKd+8g+TgB2mUCv8L140DTFNGCmjrHqycyD8avUpxtAG mFHtjeVyee1/4aUOPsdRL3ehEfmucFkUn7q7rCYirl7OyDLKF9TvLEsAHdkb7XgzuuAwPPpNMYrc e6g3Hjf4e2cuXkthnLbnBvIyFFRCjizVrJM8p/yjwTePsEMACXErlMoOMRTaU7vXWMITLfKdroYo IRfKZ2toRLccolTR6TBGPbgXBVEhJ3yK+No/X2CTaTS+h5kHYYgnabUspgkIpunrwGgyOD6ax4G5 H3DZCkIicOtdICJqTCiR4707k/lJ2C0S9bkVK7vezCte9yLvNc9hzgIm/4N723SuAsflERC1P/FE LxlSIOxT0CAyBu6aozlFJ0oqlyPRV6Kd9WwzB49vanxyJeIbH4ymybyCqf7ov02WHNlXl+FZ6bxH FwlDAxwqaIPckUh/z4AukYWLct5wS74jbnsyUQUsOurZZLaMvdCy5ggYS00PCed7S81GdLN9j4Sq WXQweneKyvcZq0mm/hXN5gdn5rh8QAIE+vwO6DKu60T3aYx2JbusQ4jxR2IkMBXQl60hK/9co0dY dgPGJ9PHW3CuBKzU/M/1JHsmx7vVwjUPqFMjqUWN54F/6NJ7YF13w4zynhGeExkKlBGE7R+Yp+el 2NhHk274qBXck7+U1EwWff0Q7ANXm8cIhfvFt6/HHVv6PDd38HfSf1WHxEKPSpN54wMsck3DIeTs Op0NyqdaFyhCvTzQMu/y+iUHeOg/SXTLk1pxmelWuBLFgefWQ+T2CETPeLFGl3pgn4fA7okkjDE8 YV9S0sH94G8b11GorpuW3bsPAI77jubIWZoUIFoXr/IDL5nTmaVJVbQalONCzh9RLZ+I/xfDYA7y euZjwFh5kvgpLXrUjDorHDovBGPqnGCoM+w9TWmJlUZOK2D1fwfZVyf5Tqe2NQc76/lw98yN7Fm3 CZUr6Xn78B4ax9jQPehsuRCHhlKV98YYJBPCa3s4YnQ0HDDZkR/oCFQSAVkSu0T2wJQwe3kM4aGC /WRFBI1AO/s52R6K8Kbjb5uMUFFof/BiPtP4lv2fCLFZ72YZ3WbgFQfbz7snrxEoxe77Zv8yEXvM qlCQOSLiyB+Acqc7stLyslA4o0TPrqwHmstavG1ddWBxk9ILDtANLP4R4EZVdZG8phKuyFf4OLjH BYJVGs1rezxp8b/KwJLYpvd8szzUFjVq8FfRG2CoFTTymYXnINf9MPOT4kdbNAXOU/ShTeuFAC+N zgs5rhSAREItzn0ompC8PBM947IahYG25iedAp5tTcDIwEoaDTTTGAn2kaPlxwEgv9ZxGyfe1XxO 899sPgEgfNCAhhOs/v1qXDJB3KlLYCq2f/ILyV+260Me3jgiCf/rAIS58xBCS2hIuziTJNlN5L78 UvPWBH9hqiHZ56u7gdz5z/0kIr7zm6vL4tnw0dHfPHqUYkE7HXy0uIJdb/s2swPgC8dicdmcEC0L WTrpOQeo2mMvDfhGMi+5MG6A5XBFL0r+isKhVySBTEyYyX8MaW10Q7L3lLheR5YPI/QpcRUpcpMU LUHAPHPH+GQlAT7oD4sYPoc4nApqyxvnrqMRFkU9rkYbZ1u9D1w/OxwZQKzC+1mpdpDMLMv9Z12a KyG8pMyhHEVKPqJE3pfWBZwRQdD8vkREP/wR1PgIcWt8wKOKBXeaSjh4o2WFmHubA8JKRCske1bl V3z83EMlVAXsXsJUo8/32WN9Ef0rKzj2tv7bngics2vgFeAOkJa9t+ySXpoSoGtaygIxXd5bGPOm 0IzTfTkHJYytk/Z1Qnor9gcSCmwLzXAKJOXLei368lW1L/vz1HMzLd4f2ZrMvPtBF0xzW0/K0K2T PhWRAeFgcUckeVkVJv44m71W/EFf1OCyC9Xg0OhR6HgccjYtKaKX234raZ4MhIydpStTweynmdm+ +efLGhllhoHs9Forq7nOEy5yJ0vpjLWZRsMCiSY959z+ni0JYQI/iqeLPu6zXR9f9eBhl9CHqZV+ pRrtMHUC6jFFK6nahUt2spZZtIs5MD9x6PJYdBEjmkXq58439aKCeIKgT7vj5SuTomRBDzQTynlZ zEwuvMSYp7kXwgSHNFkOjXN1oT9OZpdBGGWptQte58PbjXM42BQqYo59lsTt/N2DQt27Lkraps4J XCkyUViRF2FDJXvgmziURLHeYY9qN9XhjfIbrqcmBwVRUbkJCNox0fBaQseWyv1N2VffLHuWorCb qqbtsgt0PIJrHtSQ1q62ViIKGQKNj8oH0PJl2eIfXTHZnk2kBUieOyQU/1EgVtnXTkQl/TNcfqVw 3SFbUhy+Fo99MGNnaJ80u4ECiD1BjmIHR+fo048WOozjmhaJsIkIX/bxv3axAZGGHahSIHzk+vwN QUvShCA6eeQQXnu1p4J/PK4NCIsxgHVrSRRbKAAysiARVWrlEO11Lt41wQ0RY5gHM4ROtGi1U72a ct8sa69KOdJ0y5qmqWUa1PxAOISfiDO2B1EDQbWblnzpVnV136QqNV92hS0DxLKYbZYERpnceTcI 48KWvtDxV6Ua5D8IGOwdDh4fwqIVnDM9n2qPEAcv3dVqekkqkXRpb1zUYNjef7etDe9BO3WsY3Oo oUBOCAGhjSPiaPvjWjCSybh5BBorYbKFGr1srZabmFij+T2/EOmrRndpQaHKgYsS+oTTjWcaqmIF Y2q3kXcWIGv5EEnWIMh1G3cebetdGmCs+nlfhTXLNmqNvNl7xtSBu3bXzaiIa9LuQ1pIzaUfSWbD cxNB63g3nktKAC87tJeszzO4N/xlsjSowdTGZXl4e8wgE8EbpVUIqK4wHIt5onzCD+ygoyYRdAiq lb560Nm+t/PowFbo9ev7Fb+2wI/Mt2R4YSL9tj1VBzdbX3Cj4k/ZW5kkdcFAvovkRIhKsAeyCb5c HVtUKCFU3f4fSsqh/iPMajLggS1pNgDuNsm0LFqAW1+N+O9/uCgid3kcRgDUA/05fEE0yHJqYhK8 Mcz6p4Tte6sQGIrdFEPvLuZ8IJGk/DvvsFFCBsaQP2V8fNhlT4JpB2PCrzsjgeA3iURI0TT+7RuC dkGc6z5hgo8Yc3nPIRzxAu+tPxMDOfmPN73jZuYY7K0psHokjxLw4xi7Uu3/pzu3gK3gnR0xjk4O wrqJhZUwaGY3vCsrkcohn/DgHHXyecvobR/J5HuhoUp1lvC1EJQsSo0CjypCu3t4uPrwdsacfaa+ dw8CN7fT6MJviO5Ky9OUs5J6jxwDTatqIaxUTfFMUiedOyw6KLinviZCl9lIXZ9BZLEgOibFhXKX oPWPHKRIaB7HKVG+0w6haIzCmSVFxyKf1q+lRLYGwNaZ3iQQw4VQdkz5dJ7IIF8N5F9Hz6xhU2sQ i32oU2ec5wR6xPd+0Uo3wNeE5PjlHK2DkUdWQdbjG/F8g5im314ztONSf1dm+LrmwtPds+YH4nFi WL/DyXzFe9HLQ1SecvD4NkfxZXI8hrldDLIu9Adff37FBaaK1fr1m3VZJk/n7lJPh8ZrBDoTdMzX H0MlGgiaxsOimdT7FnhNyagYoS041ehEGtpPqBB89G50r5zLwmanQ1R3SXqsaBmV94F3JnPUuQV1 RrIYiX/I5alnSeXPomalPm1vGNjwhqdam5kXNZ5Qw9K1k3/uP2h9YnsVuKRLTp44yklJWwsg4Ynn BUZZ/vbcf40tD0kC/7phRKhMMhWaAcI579vUxVKvp0qZ+lK0jU+XFjMWa6LehlyMULRg0fa0OgCS u7I9Uii2Ofo+YlwS0ldXgqdcwXhBfgHdTNq6NwWidfqQlzmo1+gVYFzUwVn2i3eqvOv97baVAoDt ZVNKB0grJTkyjFdLpgiBtj16VL6xd77p5x4dXyGvoeeKeyK2MiSvw99n8JqbpVSfOZPrbjTxuXV0 LBIo30b7B3aeDl6oVh3c/wEX6pSOSdjVlOMiIteKUQiMQFHCOjM0ScF8E4sk7ZORU0K5QMxNJCj3 YnborYEZ04+My4ZitNApJHmrahVcwa1Te2LU5RVBgQq2hQ7dlFZ0bYa9hShsS/R4yH9nr3uvwuqj gT9HQ1jrLb1GAAv7kOBY7Wo+yLahypButg/aZ70BiNLaXywRMeYNiLrZRgAssz7HgUL4R0kD4xcv 24BTz/FpdMv0B273pYnnz+ZWGgnWew8tdfJcncqNr3bItLYAcvvW4vXnFYBcmkILqauzBbSaxOce yJUCg9NRcxKkT/slmFPzEOVlQqHdZlNUZJArUmclKZO1ethReowUv4MnOJTfUcDbC4gZSzI1PzTN mG2ZQ9DgL8zotEOonM5/Ok4sEVwP+Jh9nrxpxOgBy94dtoTaoym8bhCMdtLEVthDgMjwvuY7NlHR afgEDLg59rwQ/nX1FHXv/ES+vgH8zcI2oP1QECTGxBpqTUolAUE9QRbeiIGYDCqFzcs7NsU+grwv A5BEiWBAVX93hfgjPKJzBQ/sV5BdORId19G7uQnCEbVOvYw0OnyDlu3MMysaGZeWEWGo3T6yaQFj NfJhaHWWWVn5LUGvKLI71bP2l6aP14/SDpBxZdRWK0BMGcUKflUtY0pB3+LfE2KvGBHgzZ2zzez2 YPWtq1o4mpzvmEIm8mKlJ5kwb0JJ4sbSjAhIsPTx8aSggfz/yz69xiu7juJCNkQHVuV3cYLobVvC uWHFRerohWTZTmuQqIkqdIFdPaqzY78jm+qcjTKrpL3j/4l9YJUagSIFtxgHc9lljc/+wSwNp2wL Tz8OUS+62Cnr7w6HaxeqYMZgRVpCJfUjf9rxOcmhtYWJHENFNcj1vq6S+0GRYb72sa1UmO1WKy9g pzJhKm9RyaD3zIycmr6QUiEX+lEa6qHDi4E5O7iMTEJtJKadhS7D03d+SlV5jPcLmC4ayy+9Yre/ amTIUD0nSzwRP798Op/O+FHEyj8Cx+zyO2zbhjhlVIP/9QRABhAlY0q8PYiJBgwyyHArOfewrCoE aCO5rsCeuJ7q3azUrLtWGwC0vG0du5j4YJdyOPpr8rAy42mOdxbp6pjsVVozOt9DDz61t/264FX5 kOErirnyS/yl4bPf2bvFX5zykziTlSmdFquQe65RlL28Ouvi8TrB1kbVxblm9ADtS5jdLEVO9cJM ppxBETl7ItmKMJd+4UEnJNJGXDUAE4RlHjr4+J5cwnN9DdE5w8AzDWhs1gJcLbAetUlFdBz2qDXP DpPfqBhYJq6jqo+TSUms/vywpGdj8ID0r/qG2RjKwr1HcHbHcjTGG3Nd3UIfxomy7go2UdKo/RhK yEgjBCCZNj7e5RaJsMsqmit3dEUSnuTOkuZ5Ji5rrdXW8VrFlUM2H8fUxNpSFXWhVtW0G7FWkEx9 dojKHxwzP0zg03c3ZFlNmXEG23d+Zk/ingmP6nVc8Ds1TkIMqNuN2Gi9DHhvAy7Lc2svxDAEMhSa Tydy2calIr9AU0e3HgOE8qn71TO/EUv6SkzJAZo3jVD2Zlm0cMbR97M3AzMmtMdIq2z9FFurCihR G3Vwe1JFggkvFiC0Ql601G0YQZmvCfuOZuYd8GShFd7ElYpBUGJPlYB+XTly/yVc5t7eq+Ax6O86 eS3Ln+DIs1qhUObwjBuZBnblKHBnCcNiaX8Jz1SDbjMBA//+9jVNiQp1omXsC9/6ZbRHhG/TiC4r iJnr/f5+meHgo3epvGcJQ/LR//d41DIjTmFY1ZG4Ex5tt038H+A3jWzwEE+M0yG3iLVyyqhQessy OMAbwr3oDbXD037rHsPSwPkkCEzpDui0iI6I2sc7x5C5uP0bbHAe19KrCvZ4g/xErX8JxdJYWggV M4pfLMNaeANfeIl4KXaOK3Ej1d3X/vBGFJ6gwJnplhtEKamRphTVfClAgGtsVXDwkqeB3I/ft+Rd SUBtyHRXVXKHQJLKGLAeU3J5QJdPYKgU7o45N5Ob2G4YgZ/L1LJK554SizK5HMlk9FuR06+3lJjS +FJqxuiP9KbClSAE8KNWgNTyk5rGtji0llH6gekvsD3sS/OfMZdQ0PTuO+Prr4Z2QOtoPQh8HoKF 1wOCOTgywakwMqqaT4MStl6GyU9ShIiAQlIbq7gcJR0TNjc0HCi0BCYfRa+eLbLb2Q+4YI6GRYJL INsXB+VWmMRfY9vOjoCHYcxEDovdppGeC9bpFYZGL+x/dbdHgTKc0P5hpHyVNm3DCpMFQEIevxpT 5c7GMa43NKecUTY6hwbZNYnRPdnuUm+ScKAbHHNONTthIZfY0BLbhJqds1uEFsA2YHFRIG7/w7Q5 Ru03SQ3i5O/p32mlAZQyv462YBwhd8To9NkdN11tg9Wb9lBaWP4NRSOPPcVVkpSiubweZtScw/D1 q+S9CUm1aW7t+h911+N8Nui9U88RZ91hgePCH25zTX0yTYtPX5Kr2lKZ0wuz3y5TzOm4mAeLud2g 9R3m30UBiTG5w2fTxRxDg5JwY7FKI+gXqGC0VJeFtLwBnqP33DVZmUm79w2OpLJQR+RUTXSYD2Nu NQzkRsuNHjhEZZlUYaw7R8N55kNBNGoDDBYwEuhSqFr3vu5xr6wA/6oK6JW7R8WOPnZCTUOFX4Cd ieW0OzpNsMM2ziElp36kOA0mCOxX7YPVva6m1UGhwYco5+OXtUB+kJAVlYAlVVswZRYpW62+ZnpH 7krXMfXhnce0veKf8CSDhz8+ihWgtAuRzVSBIy83nmgmEVc5SjVkBG1yEToEF0ndHp2cZiuBRgBp 3rMjkF8Mpvc3zE6ZdnTZcPMqd0xLKT64GKPmfBupWbRRb8mh3kHSTGadqyaQCLJQyyQqmRdStQZk clGjjifmM5z90ImI18n/k4JhmQ2bRbz0ZUjpiwD4AiXnm//X6jaBR0k60cAh0WjRMBGUaAAqMFpb Ka73mukYuuFSf7PF92L+tGiiCIcB7jcc/M6IQp5JQlDCKBOOD1D8IHQvMTTGEw2PHvX6AmX5XJ2u 13IEzLbovbVopPFGZwfmg66yXMF+wlmp75GHZJfr0rVPvbkg67/pAiNm/wU5btlYYqTUJau6so99 cm2RDgYimN6vs10Z6+hkz1f3hwL+fEbrEzEWriKODA/QzuIui60rMJgSGcg2+u3nbFkrs0+hG0S8 TgkmY+u5ECMNLWM/jxfMfJkxjNwaxULf5wWtmvleBiTEFYF6MJNfwC7ZqHVUS054tnTCVdMs5CAI ztK8tE21QwuNFlK0Wc+srdxrAxDHrBB2zHXU048W2vUYrnQVwnWafZM6rkRsfrn96YtxzMZ4V0bH g2sxBmH9Oy5bbonUcx3QaClvVcDtI88Z1tHIDZbJ6fBzg8dk6UKrp4m2rdHJSXKVojIC1SQrzShL /v6P47zFn2nohORAMq3qAwnmWjPgN98vnwVDWa8A7EQ+fG1FyB985Te69tWf4FK6cgFec7FPN8Oj AFh6rPNcEdkTDkpiaFOVNRlAtuRbaKsiabg/5TyHrE3sqT4/ab0FsNxIelQ4onbwyl5Uu25foF9r P/616ju73J/Sll34HWufH4eGf3hAxWLNGG9Bu0XufdTimlORzTt2LtSD+Y6dXupfjpS2iZEaW0iJ Q45S95XuW1tAgbUKqdBorUo0RcZxPWZl91A0Ql8vXAoH4TdNnLZaqVEXjeSEeN+uzlgoOH1bHEGW sL19cz8PP2rLyHJu1bsnaDCxv9nEDt8apM0xl2GwIWYYhzRDOuKusGuu4mLB9DaG5IfZys5HqEgn CRCjbN5BRNEzLceGJ5EuJuMI8wFxcqJDqemVMinTNgYd+k4G0C5X8zMBEdyoZYrb1fc4DsWknlY1 X4dzPLVx8ed8TVMJ2jU3gwM0/6ORrgCZLXfySXhl0gOCmZlgoGkOKVqTQI3FSNPZKdi9/Otb/Mly ppZTt+5rjXLzMtaCtLCRNqkWWNlCja+vXNwF9BLSbrvScZlKtYZyIqeWmxUhXgRVWa+8Ru2ZjDOV 2Cjh7FaSundgepwB7V19gozuB+USDHmnfiVfaBW3nj238w5C4CN+BJ48dwJSorQLq2oxiZgwfkWu JOu86fOk3wsFS0vXXZ8LJCkAQgHKDUfUFsvbTRZWbdS1Vk+2I/ziZYCRY/iyk3w2lHyuDRvhfUlx 33P/mg5hilL/Un1B+YZkDeFuOWeP5IQEDmjrt9tKueZosH4oAwGJ7EzSghyi1bLnz7Rjh0EZP4iI SlimqaCKWg47ddgFYqSidloZPsskBo2gqfpq7+TDkig4iARuV+vie3bZCqH1prRNqeObi99XQcBv 23GV8PPG/faMtP214Pg/AcLXTksHjDN97LXjo3UqJSWXMT2Y0vw3isT4gx3ToXO9Kua6ff68QSn0 KaUqBWXP/0cMLmCqstuWWfm0kB+JcBhxLSyxywtxZ+hAM//RwVUK2pnN9b5i9rJDFr8U1C+5eOw3 lek9CogLoDBjTeeMcK294xImTjz/+z7nq5uyDA/SmzEKGSy64KSNG2ohZIGi/S4QjfZC4+sWf9L9 gb8roSkJVa2O2TW+2XYYA9LqDtVZjXNXAWPnOCtEldGjiMhN1V5qcfs/8XITglVz4hvSqzWvpJbk aqu23zpgMwssl6itE3J5gG7DqgHGQi9uY2g8syEkoLEzR3goOd117wYPTAdpcW1biCKNmAQQPce9 K95e69omPN4J3iSyRSZWTFVz/uYP8iZ/2zf3GHiMVLqaW4q7uZa3wQjeAWeLdYcvCTuhnoE4DZaX lSzW5ZPta3S+z6o/uQpcEeSEBd0Y51m1GK9ntU52IYs2xhUmN+A61pQ+MAGgQifNJj30l1zLuqP8 H7u9COBgIxycHrtdm5YtISvP0xTLIdxx4Z9GJB7py0c13lMZTGxJWbAjbmhNHmU5UFOwsi4rU/xq F1ukc8VBYy/f4LdokPbh81lmaMH8iKyylZpLWFd5tBjLOKDTAgf7bdo6fRsP1TudC9rGrdVaxrr8 +chAkSzhmFGuaWI9cPqC+aJbtZdeb2ReFtRZ7jvSf4GOE6zNUBj8zh52u2UkgfauAmct+fTQuSM8 Law9MEY7RZ3iW04XrH3MicEWucZF6WaWAxUpv+1lVP2hkl9YMqW3onJkxrST+sGY0ujv7nkLGeKy ReO9nmNu4lWzhWRnBhxAUKlIqgju2/uj4CDT4trF2kvPeT+z5ZpNeWrQZaJz2KhyW2stCLgHRgAc EW/FoCm1uTeit3ZewGbgvnu9ZtOZK3Icyb0R7dxBuRKVjKsNC1D/YLd/tXrgP+17mPf/FZOhxChV 99gzlmxpQtYngHmlCd7WBn2mzkGwfgR63s9EK+Bstin+Z493+MqWbh1V9sY7cDvIxOc+an3LQYuJ 4+p13dCnooL35taec3ahfl1xZt5RPI7DWERJU9nLhvL9GMvC0KJBQb5rThzCcSOcXDiaZ/eG8UCn ldLFFNAkYmMSsBHMeo+XRIRIqx7U5KxT3kJKAePjJ20DuNg0fbitNIe50uaiR8YRC9q5SjOsCzr1 dqZE79e9K4M5veLrHBFvIiDy0cZaUFt9XDBJ//Olot1VEbxNjQEiWz5+dqGIhJAF+hOxdmSA3UnN 39ZmH6AwNi3jKJZqpNEJjmLYeXrIofdDmEQd5qZx1Mh0fh0qTF2ZQMGGkznOs3uJbJTKvT0a+95g 9jUZPwfuugGNrttM5cejZ48qcdtl4uhms1X0RL2Atvg3VdYEncwAyyriWsKIRm4XuhaXLiKXIySb L82I5gKOjyXtGxyyzve+NloKGiq/SzoJMdiwZtJxexRQHZYEbm2BHOxcoavzLRg5II0LDnVWpGVK SPykbWXkDW1/OHA8/4S2ULQUOgIAyDNQMu8bCl42ufLJBhiuNvigs9/j+VCCun5d0OiRl/GMhZbq 61Xrcd+voPTvvY11GXA3p9tKvOGydQvA6X4X1lB8HElnn862FH7p14vT4QUTi+YgXeFkQDm+4W0A mxZPBgZx1AWULl95uvi+wPjbcCtVfTcDO+AvQ9fqYMN+bjqRhdFutb+8DH60r+CuZsj9HwcYH+Ab 6jajjwwZUNP2mYb4aXUPWwED3KUDhc1P8Yh+Qs5WyQgvltWARqvtYTHIVKy7G6E6Sa8YYwu2auSZ XbZScraZYntrR8IwInztipq0DcNtqC2jvwOt8DNNIYjk8R0P+zepem87btvRMClRuhSNS85Mv/0L EkoFJBRspEiMBUI0lPiwMv5bZ7hmDMtDk3i0evg578tFl8qfl7hY5MrcgBBQb++fcFEYrZsnh4SM eu3KmsMzg6aTMuUu8dD38XTuUs3D0RsrR/AZIqk1iuk9LhfEJ+groxQzODQpYW9ZXhkfBbPdiErf UnX06cEldupBg/xlxpe5RJsC+RZGPjVpr0sz51X11e9JCpLHac2BLSmifq6KFhVJqyK6641L40Ne vktch4H9LHax+P+e0ggdqw67dCu0JpCYrY5iOOIZ1xINIblUP9/wsrEcR2JinWkd2ihOF82x35nz gwbtots9mY8VtTotbPP/XVQbq2EBSwxGCB0a+G7nqPYjzYycmqHH8H+tsawGzUkhK5VfErkZtp1I eBQemRtw719y8SHmWuo2hS1V85XjXrk5tSNfLHNiaCGLiWb9Op4iH+nNn4cvblDOOnjWb+BpiiQg Yus1yq/wxiMe5AP6yh2SKNtmItRRE3wx+5nYprWU/K86ez0F/EHPBCPDQnQYNXQ0eEeFqwSKlKFf DwZU+z9EGMYIiwMGyb4PEL//5XYk48Y6MAjnwYk3KUZuvtGNUocqhePeNDuhx5rOf0S4sUu7NK5y 4si3M4r9CzhHlemXGVvfDKWHdJYKxCh7KUnI40Bbac9LiN7N2ECWglKJzTU3sudYxOmd8Nnn4ZIX FTE2uaIi1J0nYDc5qUYWwj9QzOX+rCWZ/j40rCmpzh4kBX/q6rFqwM93mRsmyk3zkveoxv7VTzIR tod+oiOEn9599TyYRPl9ScTtBD72srYqcUAnLRo/F+mNXiSQQIpiv/s/n5k/TNWv/v+ptptROLfm trB8brMFswGTbslGje09wpaRtycloPcMaCWNwLaXJtOjr0Onw8U/ItOUICaxu0IJJR9TJnOolkuF jBgITgEztTDjuzPFGupLDvN9P5Y218zSxqQOFH6PjUr3ns7YALS7Inwm5326wL8C/ArRDv96hNa+ kBm4U4XvThgGtbz2X7qa0XOnYExfGMhns5GDlXyv5NcwcQBo2LKhX1Z0L1VTWCURrmRjAmwSKTlI qc2X66JTNsRUo8nBFaScX4q9uh+IxekSvi3hgbZj4+vc+NUPCrHDnlH5xngHVV/vhi1zRQ9b/9PD sJpbAW67cf88ZQ7e0phe7pST88SK0+w46pGA02M9tEBEVBOLYA4yp1xCgL1PjwPB9l4qDyjdvi78 +n9g8MDI2tgaOqjeCYAFjnpPZpFUlTlQio1peSNM9xEH2HiOy/7jnPLAaFjvcepedH+fWMPjBwa8 9OP5bMCfIKghKQSOyk3SNQ6xA/xA3ER4eUAtZSJYe8XrZEkcr9WWs5puvVaSJW5y9HsQl7/t5B5H I8EojvKSRMx4DGI7clKiBaLyFXwlKAi2WhXQPNEK54g1iFubMHAORQ/aojSEUye3beAAHnhXSHBd 3JfJkjsPnQtxg9T+ZoNwysxrocOu0YeLmVOLO0LwunZ1/dtgYFOdAwlIzHhscCFjLZQTEphJLH5y mCDYs0kebkY2aPhbbOuizMtqIsf3vTsj7BQBKee2JoefSlGxqbLNL4H16GDPu7ti7U814C5Hd5pO ctqxvZEHk/OWukGfxlHfwWTlfWJxIYhQ+ck64z4McAd4xiNGIM/Y0iJXQ3qCeHDkT8Kk45C411n2 1hrZQI4hv6paFNigpxl39u098W6aPUaL7oThcQMO6927GiAmhD7CvHN0q1BuT++dsrD9l24hhYva +Mr1wlBCxRN+WARWKK2woNbW15A9/CaRgOF3yRMp+1ORs2moIu6zPTYoWaB1sczXzzd9Rycq2KEW s/ImkoKJPzzuq6V78aGAclViqILr0e9i8B2uv2PgUf5LTFsFb7O+bcZgojtnJ5LlapZE4GpqoeLD 9Uf0FhngK6m/I6Audi2BCuO0TXqHwrFMOWY4wgyYEqHA+bhLOBGYq3D5S8fyJi76d+kPHZOHkYuB 79LVwETPe9iRp/K2M0zJdBjrgdhqwGFtE3cq5Pywn9QCvmPYhnqU0KHGkd1tEsdQO++8QsQ+aao6 KsqTKZv5wc/vUEJRa9IPMGH0Sfq2T1LXTkcLk2akull8PbllwcLWKhkqF0dYNYo2OJ9yTOb9pOSe jGQ/xrl4RGiCq81Y00zc4nn9pzEeq5Ng+IRPPdpDOFVoVr7Yr2+OnM4aCA3lcIv+8+LYZV5dRHtJ mKa69cwh0hwV9pvTzHlQCfhT5bpBLNNn/hyR+BI03E8Ze12RUXaKJov8wUBNB/QmGc4RkRr9WXyY sWX5+L80mwzXLuW0WRs/1m9Je2Y5/snpPAekEjTn0vM++Y01bYJJe5QWBVPQmDB7/x03gclBXKOU uROMUP5lz+WAldJO7ArSlnBRCCjaJ0l7m1FdWwvQ0am219jubihaAvPYuNVYjm7g8lxAInADEQ1r M9CJDexnZ4dBFDPkZO457BDMTWwGN0dpzmQo8BtIf9s+Xa+MPYX0djop1+eXPB/4RsnvTWDLJSUe dGeM7MRyYCIuJjuAaPuezhmdC0jR0sIsTSaKxuDgzUZ/tok6FCSsTneY34Uz5+Z2iYP8hqpBvFDX tFkXb3PM/jCQdKwSjazy2E1Q/MBjgxL/COWigl85OcgUKVPG1rvapn0M6gc9H54jfFxEJo6wL72j se1OZLtNKYVP4QhWWUVdrAK7DsqdCtvWgbeg3OR0OvDqTMSEwoS0v2NLPErYCOtr6Q4ZGYIXyPsr 1+Hjz/LH4SwwSQUREisqvnx9UzyUDTvIvzIYp7O3TTrz+UN/a1XDo1B/tjwpvCpbsu3veN4/wzk1 EJXn09JmqG7dXzJ8bO+/vqTa4F2B+0TbF1J1Jp03ji40GAK4tf407F7kW9irCTTjpduxWUKwVnY4 95M3nZVNBFWfdKqO88nClP3j464TYcK72VwPDiNLkooJL6cpdsmID2gelgc3DEAu9uBuBLwYYVoO 6LMJhL8sP2T/hNpGdNzdgx68vXt7NjK76Dn3JxMxj+H358FHZr6NzJ6vltwr1HrBJPy2ETknp0dE kTW+1DYJ+Fw2KDl+9UwrRxZYQGOL0uhTiZzu5pIElQ+UO5Hbui8FmYGEfIsauTKEygC6Y/ERJx0V mhv5QQ/Pwe8DaX/agfUOAP+lmsEe+9sMiXX2Kljs8iQMKaAQFq4tbkbKmf2xaCGFbCYOfQA6i6gc +wbVX/eID5hO4H9XuV26xItIYfb1kQKYWfGzhlAVosEcTCjjnlhE5PiH+hLH0g4ApnKqnmY0PCk4 LHDrnyuv8oLDSDMdRjD/wEZEG59NXQrTkJtdKVgDbDxi5FigvIEWsnaJ7LEe08x/g2XOWOfGq6f8 OF3bPHArYZPDhuNf1QIWYQhjHTxKtRyy+EgRsm6US1StVw9SrI2Gedzc2LqAjmMsej1bbSYJprMN I5OUuvptD8cvAOljhVtR6UEyJvdz0RyDJXaP0b0JhMWosecGQ/WUxYKN6yO7AQAcsVjQmjpNDxLe oYCNADiw1pFHCMa/d1+m3Z7RF0Qdnsavp+dcU7E8k95lki1tRU+mkNEoITPbU2yNtsum3On0A+/7 BUWZJPnpgYyE4lHafP5pLZB5bBQ1K0zYMmMr3AbQFfsFlaNtLtgU+jWyF6D+mrkg/yqao96zLNx9 RcaZhla6pyVNGetP7pSMASDXwd8vZKfMNZBptbzdxN9J1QaJnnP6ak9j3L0EKlY4GbPTb6YqCp8a UZRL8+GSpk6MJl6Tl4LsfNq/XPdpmzTDchzmYxL5b7wfjXGWm9Kw+sAJNNO6LQk+WcJic596cC/7 n8xyhZ8OCS2s4sDM6RkA9lFx2IjdD19/fp5+7EAs6FgHQGrrwQi1vty90CC948G/kyTYPA9zCtoC g9WFYWYF86oSkyN7cS57RmOyP1Jq4fOGfgglOAMdOPyaBxL/t8lEZpttCo9JJWRcO5Btw862xRy9 SuvadXlMNLrAYBCUEDAgi901roqDrBtcvwkkMrBw1He0UKxD9mEdn6HhGwi4r/7pfiK10DdEQ0/I 3AvMr44VJAmmxcK1b03YcKjDknfJt1dd/Hy4ZhGP40SELAweaA5lxcOKPLeanH19i4IIbAT4vljG at9Ohpk4p+Ib7GbBt5H7oa3o4BlFdPD6nndIE3SWyEvxDJsq8Vl9rgCQdfDc/uOl/6xdhHXxBldl pTVV5UjPX5BVuVzuXuQ6lKJUOtrUtTHGcBywvhyx1rSPziT3p5qxMb+HahogGHFThykq1O3rz2wP 2sVwtt3zDhq9RsfkQ6MaXmdgmC+qz/S64rExylnjDVe+v9l4MUeqA4E/nidnmObR8T22PWcARFLC kIYLx9LrLf4W2iFUupdXAhAqYYQwWhL+B/zAcDATD1awBy+l1NqoSzLONa1pC6xbFtpjTQfPLBu6 L79KWNAvdQ4BaxV6GA3dQWIouqsE94JPfeufTI5R6743DK1Igxfe0GlWYavGgUOQGDVEoOjdwVG0 BRr5xChgjPAczytLh5jEiBOKtnQABktNL9eEy5u9MM6tBXbmLKWriA8JD8ddgruGeUY4GzQ400Nc S9czV4OgD5Gipl6rEGYQY1cWLnNGNqB6l1311bBmuorn6Vmgy3i+hJFE411wh4WvLjr1oW3hyf1W 9e+DyusSK9bcwBrb99K+I1vS2iqwD3aQVCGujTnAgUhECvXqklZ1V5XlmJvuT2BrnSfND7Y8qArG +ybMbN1GA4UdvILnvAlE17oHQkygcMxVbW6gZhxTi5keZsuo4jRTySy4XPI+erbERqYfzIoV0enX OW1wPVbifbK31Xfbd0f6Q7isPf1IjsWFVhTcDtLr0lJ/23tUlrUiGwdCdFrz4BSeb3tfRLOf8gyN RTJq/r3JdsbzAIRO8LVsQNifMQSqk4jIENuiSo9DipRVE/8cqz7g2jabMu45g4YLTJhCKunOx46D CQJSyWBApobW8ac0X7ah7pDvV+OJLaauor1KwyS6JnLpI2UUyZ0KJ3uFMIKKAKMMQ7OgBRaZOfnY SX2A/nVeeCbHKZFfeM+f3hWoVdnP5v5Ax8kMlrT8IPn43g9D1HI1IVygcL/iHNmMpyhlEHkkqq78 bXzZsf1bnnCTPQiG4gB+UWmp1nMi6L/KQvl49klcLn/Apxx/fLP5Y9rw3uVwbAuvXadrSPEH8HxO WfUeow4IFpfeB4SGiV0GX3X4Emz7rfqkMJJXJIWUlBPwXqg5hZL7JvD5E4j1SInZnEcyWE+L1zrC 5YrxcOJzghJO6fuVwN5x1rvzbHqPHP1/I9/VQ/biRfp0pwakGn6Xu4WJVYIVexlVWXmIRsz0HkyG 9y371EPQRWjyq0JCU4++HYchg08wDeHUD14NKoXOCLr0bMWSHeWZG/i2l9ktdedwAkZ19kwR14D6 vBFDEi6OILExWrVo3cI790J/3DNFR2yd285PVNHBFpySM/W4ReP/uq0oN0ZXgjxkqzDWzwl5fs4W 6t6S5skTYkV0vQxO1Pw6mre146tQ6doiFfIW2zwiJI5rnOxdZTOTRU0zjVfgujsS2QXxF+7VS/0l kJwKyyR1Q2copQ4bt7IJiAXZq4Ii2kLuZzj/IEi+3QUqgrJkAM7KVakCTWZ7ucuN33jYkwZBq57g TMNY8eU8x+cbQU9XufRkixoMKMmH644yHoUwxrbxopB2SmRXON0jboy0YThs7CTe3+5WewJehnzT G5kYOxKrc749VDbGuqliyFtTIDMCBwVjBiY13pyh/Q/2TbMSSbidyEre368EvWXUmxaQuZekWqvL Czw+xNCMR0TF90uHRAYFBoyt0VVuBoXB15oPxR+OOUe1at8Uab3QzgN2AqOH5VrIKRmVsZdqvXYA ZCFEuXTfmJSA8MzJkt7YyJ3B0vAqleQgnzBz+W0vkKphhsxjnILhPk/12QHWXp32or0ttxmnEHI6 qVEGwgbNf2DeRp+9oF3wHK3/PkLOT1St0zg5nZAsJz65Q+Rt7FRMu0Th9zsfMNpb2PLil1mCPlAH /pEyGUvhmiEJ7LyIGqoRv7I3YmxmjIIigJ25er90Mkh+lfm6x5LQ0EWJo513MRY2GG8Tzljsna5m JjexaUGh9+C5nbOhi85l2mPYoRw1EOs4szBR7F0UvcIuRw/4lP7077+Sg8+PUDkgCLN8TCKPQZPp k8wt1Fj2JQE+B9fyRjRRQmf7AroTVvHG8gd1U26M8sN9bQD0VUmON0pY5AWGTvG0uoz72RtcnKHg Bw4r7fR9RASlrIAdXB0008XoO4s5hx4VlxlB8hcobk5d9WUkCGCn/EeoHIBONeBkBiaeg7bgg8uE 9xQ3rCBi1upJgZy6u+0e+bY4lZv2yBChh/WNRlCVtnatKT61+zmddHM5ZzvV1RPpM997KerxbC6n iar4QHBTGW4rFiqxoRyVxU41EzOyCn9lKpslI4p855XiPidKtYXUW9YfK4qUaj13EJbD3h2n/R75 rGAZb1S/4y1AufCPRqpMMS+PnfGObbhPr8NYu+KUSu5ODsufqTyJzKHLCFIOdqGca0+FaAKRrJir ztSxqnt0ehi+Wi4meAcDfBpc9RVahWtjW+1VzLgVwp5QOFApWQxQjR47ZXtNN91YP7vTWabpw/2D J3QuK74J6q8i7FqL8oyzXhsoS3UYkCj9Rmw3V/JVrmxdTf7o/LefYt4ReSpCnQXOP+zHYFbkEHi+ nMTmdJ8VabfLBy4otJfCbBsWP+Hk42RBailnoGz0Ivay22DLRfsGfJI653WCV9HOsevQxjGD6Zha Dd/JSEfOWe1TbVojXgUHy6X75LZ3ILWVuzyT3YSFE17C5HN/+FI+TPT/JKRXuha8hPTbdEKzz5Wu xwSthk6dm7j6ntbZSqFTLqNw19LxB0n8YJru6+nE+ovLsGduuznj9h6/bxRc9todx3uvIe2cEwUX IDrjys/dL+WNHkdWq2amhvxEeOA118FJb5Fe+5t4ceNAXtz7SqVKtubZBRTVPQK6NjPy9aoMb831 MUJmjqOGZFYS0seGMWMD4XJ1bNIeMPhRUYAax4jVgy6PVoVnRD94tuTecJpRMV1DcGYT6fPoDTdz 34hczXsMW4KSAy/2RCKlPaCssuG8qMgou+HbXMDWMNTra14M1YBKkK6sZuTRtYJ1d11I4OsQxRy5 SyrCFIwQ3yev4rfM7ZkyE5rbcK9zxjzD3xFKemzpg/PhNQmzGB4b/vgDbQ7zvMd+c0p26KXv2CzU n5pXuC/nFdAY20J78jLbPTQzGWl4IeaL0/ONHPjvaSOYcakNgnqvxWUtKYy+V2EoPCHizsIDqMS+ gzpJFL/BE1YKrei7DXArk5kjIi5BWnvI5873TBarWN3TpppkcswsCnGGbrzaMdlDFUOHVRp9VCXk uO9p59C/0AWQ7GxJorWksS5PvvhXpICeZMiMdjDMR5G+2YXx6ppVaivqH4hMwkRfjX7hU0Zus9R9 LNvUEIPynBfLrs5cddSY+Obx8uK95wCKACNDVvpiGOKMbpjJ6GOfzKkVQ/Rzc5zKgfH1rNYfkG+1 urCXg/w3rk0idCbg9XGjWRrSftfpGE40O84qBFZ/HIk5ZkUD2RmQ+kq2qUURroFnPEMAUDs+JNqs P5A1CrgJljRCN3mJa/DX5hvAjWFDzRB5kTqdWHHfPyBl9Vc9Ukekk0e1TRUPlC9wFkuVpJCVCVXH VCmKfyu171GNATAOpA9sDtE3pB9oh3hT6wKaPG6N0BgKs5P9ZIPcrs1za/X7kZoNjtzACUP1ZL4y VxGkN1175F+3H5uQBJiqQbr9ipP6Ammny0x2s/vG2vzZomauO2xxZv2iShLBD70DwL8tdd2/n5bi toQV2Dmv2ncB69WVsIZ8zkdykXwVg3AyFvqKltJfsdPTnInvucaSsxpKDcGanIRlv9L6ti2xjnz6 W29czmdV250ivSO8R2ax4p2kaum/67X0JVVFFWwN2lhIOl7cSku71cgsNTaQpAmVbP6mDSApzmWX 9b68jQVRvoVnwnvFTQorGEvqFjUXAbnlqySrSwK4LQo5Xdlaner9QxIeZsepdp9pTbFcRmABfVtC yAxTimjqoocLz1v/GP56Y+ErIoPQbc29d+vWFFWqaPbobqOvZThJ4V8wJKSotCoo6O51VZxlW5mt rKV0Q96dNfy2G3qbTRLrOOnFdiyBafMcFQJYdDIZpZOU0vvJaoV957o0Y2fyizM3fLrvp1IkL8RB 0ni/kvg7kv97/CO0oVYVy7oo1Kc5eaMAjZ5Wi8cSKCu1xSMCQoCYY+NDVo4/kZ//2RWit4BqbLur L6svU/iNd0trFy1xN+qio4xeYcHhq3ezl0diYGfbj87k2c63kvQHnG3n/Cqf0w5jIr+2BdS2kM/d G5wOrZ9Xi+ZqaeWa2iv3ziPhQpGQvgdT6ofz1V+VMqz0iVciCftI8HnuOarU89LIot6uOHGIt1Bo 7QjTnSxRfNZZsKihPRwtHMhPYJgt3qmW3R2TbktsvAryfr9KigmHShJzknwcmFRlhQNiCgwgjqgr 1ZfNn4oG+YBwaiE2VXXxjfrQovSl50IfFWjwK3BN++VChUgcOS1+p6aceDEFe15sJTV90WtmIGlf vXXoksq3z5SSQtZQhTm2aq9izun+jsVqMAF2TqkAvEwU8ROMFXKgdcNxeAoHgqdggZcFTh2LaECl hNaOVzMynCkK6ecg7HpvEsUx9KJ/TR27uNX8rJxv/LLlRtHnyrWUuoUfdFCc/491PWosS9y1GhUN TLaP9yy+YEQJXku6RqVQNGhB7aD5nJAkS2tk3UAtQjwJXer76GcLuCIf+QRpZsATknNP7zmmtE7y opKFipve7/PxqEg2SWH7UTF3JF9lrc/ByJL9/4sahI1kfOW9fojm+5lWkQ+6tyE7bxM5lu4hDbMS VRGkdUIkV/SWfwmSYG2X6yxIMBPmDllwdOJxftbYH8Fs1Q8X16GuJOATJ92w2IOsCAj/eqAEO1/9 1H0gjtOnCGuhS864rjTB/P9k6zvCHMB7Z+Q0z3RE+rBt1QA+J9tlOR8ldZq7dlq/jIB8+X0zVk7c hUfcdePjVfcGJssAI0/WWzLAXpVUxV8siLZ3UP+6cf7CJgGdm81gIGc0N0GgiE6x9DMqykutbuMi oppMGGrdD1eVBI55pWwtoa5Ro4ZZolTwFNn3lUre12K3NmJby5NrNhE6lY05g3RODDT5ttXjpVft pZnAp0623bH2dLMDcbL9epWw2Dn+d64GU83yj/btWO4L+oq/oF0842Pjt5MqMt+Jo6HhhSLpCGhR d8J/OdLMWHniFtkzBTpdmDM096ngdAkkQJZQQ8ztS9u4Wn1NZG2D6jnNmuVKNwBNkIHkr+eOwtHG GaIMJ2qDc/23mczyYA60GAdV7SbFKH4KQjdOh5pdAaZS7LFvDh+kg2OY1y+AWPZXGgthN8y4RsDx oPPtGEBGRKbPAKYEOks9OHrtYFONi973VTC5aa4c4dF0EcXtlNba0d1MIBr+Pe/7BRKg1MWA7QdU 29hgyUI6N+IPlHKZZ8iScfJLpDRqWFNp5EuJB5P6xvKegkAP+H60HQa65EW9bF2CU298yjF10YqQ EEnc/KBb6tG5fUVbVpg8nRYuxWc3E47kP/ojcrYcSLwYpovPUtPJNQkjIB5F6BSXglpEShSCPIvl x0ENzS4TdnPBig9sV7NEUyus49YuKe1qoAYm4OSZtbDUKWS2bQLFYDNh5SGyLd5TGFy2eaKcJj04 HsrhSo9A2hjHhIiXCtJmvL1G6gB+UdaCGoOjQ8bGSRj8GQEi1P0bovvULl4d6PnT0Cq/2Ug4J3tx 6N7FWRCOgaawa98mmOuympgZJ4ZWzTDmzwSnTRvpUcqzyAK9bOZ/zsrli8bEXgcddlknWEVZKGF8 YJOPXk1M9pd3/INERCglCq1jA2Bs3TMchB841Ra5oI/c6xK6c4pbawPHEL5yaKbmrORJLt62aiQv 0UNuGutGKp+5b2xUbhDegg/v2C9CwpLvZXrYJXODcgahWOFlLnma7vC3F4koL21fTlQBaner9WwZ BQxErbIGXMl4YbHlgkWbqsniktD3kKyRWbHJSmyeqicxolMlu2yP0uu7LgWsb4a9go2P+fpJksEM u9RpJCwf9/rvU9L9BM32VypB5Q0VyGA4oZrFn6UcVU3eVAvQcSs5qabWbxweDCyf7ci9lccqIAn8 LErSel50t2TAvHAshvoPRt/cAFUxnULm40QPONSCmOsVu90w8LgBl4L+qUBNMcVtNnhvXcOt28HU dE8OkaWMWavvbzjT74gTzTFcnB9q6xvKvJvoB72l5pBKyBB51Etoa81vAqNO3l4vpTVZfCzfnztx 1RhVa9daZLeyexeD4gniBL6JUORc6MCbgQK0qyv2FI5YSUOwAG2fP/btWA/a+f+RxAjlQ6w9/uHL 2yaP7dtKWTaszyyTGwXPDZD6y1qlLEz4bv3sf3rIc/oaT6UdBTB3LmiQCoo1azJQ21uiadZThmaF Q4boQmYKMXccXb9q3S0gCy8O9apV/L7G9fMVGD4uczH1Ch7ELXFs/5W0US+nBEpmPMrLSlNC2qZg Q6l7iDQKpQDNjrKExHeVDdDs8IJgA5J509Vm148PRY33MvioC8T3/mUmYUQmcuNoFfZ2bNXQZAJy XlbVyeGnGVcSH7+SDKJ/cWs5YH0PsdP/vlK6fj2MtJDk+b9M7cfmSwUIdMtrfLC7i1Yzgh9qccVr xp5Ed+J7zUdQr30V8NhnjPrX/YyVoNvhRrF4XVoN8kcOr74iWRBw1xECfaFY6UAE8aiEXhnAXb2f qfI13m0CtdQv0EbpIFj7pE0Ori3rJDFFnubKo6mE/fqNY/dtttHJRheKB7IJ8JuN8jeVLAXNzIUK JdQ6/bNCH3krayF38VL44PdgYJoEKKEJnuSLL4bsHCqY5V3m+mtKexcD52ua/+LU+lncaj0RB6is 1ov9lWxXVjc3TJFYDUQF5mMZlDB+YAlw/E3QIZ81BepfesObbCuvaQIKjboZ3BORj3698ldsDuNB HFNrn6Ww7yhNjNBiPI5hpeNwUFfFal1Zrw2ZQkBkhNH55U/3OLLSslyayTyiDwclIMXajB95UGss rvptQAwdxwsOLHcqzgtTzWgC259mgd1RrDLmtGAGpCjxyHwCICXcN0ujmpHCDV7qGPMegmENhFQ2 HlFBA5ECS20YrKB8FMIvLekwqg9EBpsAPTyByJnQe321wnjLKw63h2zE7mT1cRvkme1okZ9cggYR x9l+V02HqmZrvahovYcoeAdNPx6UoWEv2jNVtQIQx84qd0bEGI1shiQERAOVoPCydxtWSt5iRKDP 69+Zidbk7Zccxoaxp8xd3eIwIPQt3t1oTvaX9nlze9KKDr2iiGA9uc7Vbbdyj89f8wgMTiFlCo3j 87J1LuLmtLpQicEYNLzAXd9QzXpIfMvBFmQzZhKiOjYGiDHhQ0J8PWxlAvVN3IaIX0fjameqOCHe 5d/hVRtlKVYgDeAA96NMrgcVkgHKEjMB8xpxIOvTk1Qwl3zMhaD8w2vvVo1zTjvjmZLZ0Svt8B8S RVWzC4nbIYhlVOhaGi5Cihck5np+ltf+VjM1wWZ/ILQRXvlSPtZz6zO/T//BKGwb7Z7mO0X1xHIr 69wq/9AqvUSCI/L7tm/Ckep1kHWT/7tK0SswzHFyYdy0DJb1jumjGA+koBVB/uKIESYFgLjA2UcO tCCrrv7nzNzxMEPPaY7WAlkHw92M7qDTo+v6UE4ZTOCz1fjVXpQE4gH0H6oaMrs+0t9KJcLpoL8z FDpD5wiRNC3KZ5HbeV3YruJh2VSZ7tITbYAV4/wlaycpVwRjERIDK9B9xi1vx5P9Ukpxb4LXYK1T u9HmZFqOaV1BfUOiqBYAphglboFwclrw5PQzrP+FmbkvdU7k/0ZXaSa/wRatmUzwBv5lhzOwoFu6 Ej5EjfxckVRl3U2WqUPn998ULdEo3NZG3vnqzhM8L7jKkZpXd2BCUkWbBZnZ9uKxKdko3yYPVLNf tSQtkczySrAx4aJJz+ESj48JS9ESfT2/4mN2obFJG3jq0LK/JkgjyINwDPVNoTX6t8AoZO0+RMCl ZHqHiq4KZLjZQBcQlx5Wr9z1qVotmUSaQlmfq+B9dypHHv0nsb8g+m98ItScu9qXWcHHgnHEcZnv dde5aHrU/qiiKPBHzjK77J+ejasKB+ZpTVTVjXzty9j1USGm/TNC5TKpnH5RDiVBpSw5n+oN6mfa mbfkpFL5QIp88w3G1fgW1VPQsLqHGqRg6TL9aDae6DuWFcHRO8ShQjjiZsLMUdqTfnCSw3uQiKnv x3bE438e4GH6b/xjntQ6esgc04IT2SnoTW60boOG4E/gr8TKXA0TPc/ZFo0h2RUfrPFnzOR+GKgE nJz1bc60WKvWZuG5DRLtWOIoaaW0i1w66I066MuHWpH4e1+FqVdQhWRNUKGqmsW5ccDw1G/uh2BZ 0EoWCUN5KGviz/oa3Jm97gpSjjtF6tCkOUabacZytAdJPmttqci/SoW+a9ZUElwcAdy9zm7ezxKr 1U/UxUYTpDOxkMss0kNYdTKDluR9ZKtfpSE9QkCtAAL8BXxmkpoIk+vybeQR3YEgpGydksRXuEkf UdaOMIIRIMoieH1/qfGScG3tgaVq4anuXQ8fYYTKu5c0Anhrq56sWelTiSjDKcuHkta2jTiVCCsy i+SoqtLd05+z4VjqpGkvEzMC2UUa9iOrxIqSuoae639W7Z83SZJP+6cgL/SDNcjZlB2qk7eb8r2O yqDfD3a1zbQEhf+up1YrFC0Ukza0u0Y2xmI0znb/dFtSjnaJ5a7go8mcVdZ2Zj6Cg8toVLZ2gqHL 8e2wg/gPMuRCo7YYSIujTxIlgpZkRKJaUM9KV29oPyMM8wSCGNDJkI9QVN21Uc77L8tdkeEn0smt JSDCsQaT3MSxOl8o+N9TE6nOZ5Y0OaZ74/5uRDvzqZU0oHO8AiqrjijCQFZmNXj1nctwAdfV/dXn nOGBqifwPNzIT+1o/Td0Nrg3q9RmQB4ucOKaHBvUyVEZ2tkLbz2hzDOrlYVNMThedjaWjCRPOeZL 2TgKfORJoTxBtJiPwrgM6G8zh1m8yA1U69VanS6azu+70coFKcFMe7duH4FfrDeSbk27ZxIxXMQ8 Nj/03AZTGYUcpY/Zod2DwZTpwOQrTrynCCxtoht2lQy2buo+aN0YN2xjWH3veA2Hlbmh304d6Map 20mzMzlE4y+d9c6BxVLplsd61AcuArPYxStBXcKEeSI7jNmth3yJc/5r1P2PcvRRbtEtwN9WAdGx QoPIBplkgQpDV1Yxm6RRhaOxJ7lCO5IQ1tY0gogyD4S3zCaBHcVojrG4aDy/3UXUk6ZnLpcgPy4L Fa2K4ANMo4zb64aYAyt6rP8WV35TKQZtY32lCW2X0FGhdjpGRiiZmCaG+Zpx/c9Hzy+eRJV92H0/ xojs0PSmTE750s63V7tWU7iaX+Pps+v8to7AUzwEeg+g0vIJ6X2K2vT2+tKqM8jrV+OQVz7ieZ0f 8KUI9cLI1DhyYHK49q/dgAlHzNZM1NIr0Jy3/oomY+DaHb1wpScFMEZ1ZUNwt7cY6Fv0kqGGKdOm pJOp5HCoS+JXpSqDnzgPoxHjnCWDEoL4hs/RfCze6dHJ80h0WOqzb3RcITnA0eYly4w2VQwDS141 tt72ixvg/4/FXui3WLIKCmREpx/omeIOHIxWTG83KaJp1/QH8UGDYDCCCrecY9znCNzYTsi2x8xX FUUs+8OZwJnnE9Hte4WL/g1/ndU7+TT6u2GSau+KbJWE2MC5h7Nq80+CnpaMjfHhsCm77aU6CFpR 4bIoN70M06vE5xahCHcbvMvKObQIKgXhQrDXFF8245CDNmRLpXB4+EXWjmE8635nInbGxdtvwga9 aU7MYddl1RhLl6fzjkCEwaQfqQOhsR7Me8q80PSM8RHyeMwbriAJkE0CDiIkiXnCDzFPw1H4RxDB B2+k4lPyRgG0dc8IvpjtZZzDpauqcYpBGPk9AIXQDz+4xFTJRgLMN2rKQ8TjO1n30l5Q78cOL2ra RnFnfuvALN21VD7hlKmE0at8S0LHx+Lp2RVgbsxv0Mi4QQixyFqfOXfKsTOy4YDAffdDgxMkjjoJ 85hlggRPf8hqV7/KYc3vxz33gKUmfbSTTalqDxqG8L8jDqlsr3rZOSrRy8I/CyHcTb5Mly/rEYke ZP+9/NpKGktJGxYX7KqFgqRMKz2E1F4Um2sCTXTET9BFxqOemv3ta9AEckkGH2Y1Z4vfcB6v3+8p 5v5Ah9nxIBUYibM95YbHQMi3qkdImTBhxCkdIndzaTZiF/0Dimv2EVu7MGPkbb5SGRp1Fl50aEFG pjkSB0g7+0l1opNS9RZW03O75P2k+ldeQQ4rpV4p5Fq0F8mtHsDaDfpnBgKFnXXgustNKBUZMGFu MgyeTgKsdohnteK0F5NhDN81O+XpzP8/MZd9DYquC9RvOfDpGZ9fxn8gBk7wItnF6kT6sS0XUINx IfW7DtLg9+1hBPWoKMTNUb88xgbROVT269N2yb2d8Ty4My0dDUmm7ty+4b/9hMtLsWLuwAG1xA+F vJoibCfpOptAA1frZkVErlLX66G7yrr4HZ6Mu7smalwgyZIkasXFGlQjA3T/MfsaeYOLY/cWc7hZ 5GflxtWTm5BgfdIa51NMM9zort8GNSUpPIrDtjjf/9A5gh8uK6xvxyPuFE9JiBttbddhQ1q1xgKm TMAQ1UwbMQnlwAwVVUZsrwXSZ+oTOg/aXBwp69LXukMwZdeCg1sUdMyAQ8gHDh/4DlvXJQLJbasX EJDuM4j0jf7TTUb5QP+RIT4TEbfy8i+I7hi4U7YGXuTjCA8BMiIJJ5fmRKjPRwZxF8DRTCkfgTpF BTkOxCQ2Yh0I1ZGPX9oFFFLcNgLAAxWL+/Ue9EE4KFi0EifFguf+HX7nkx+Cc4i6qMWwwnObR8go iYuaUdx1udHMVvg6Kb1fgIHYddlhTgVkPvcsDXtOymXzMtLv4/nfIcFL45Lx2c0K80Nb9HbeaJPq hkWBj54+TCJ5QcVZt68vMdMlGZsFdssaSlI82klZsLTAj8gd63Hu4n4ZL/VIelFULuK1PCKNcV2H ULlyhmSPG2Q/mfANujr8mRX3HKGDKR8/4cdHbXgrrqVpj26Eg8CUSFshHAbh/vUr6sbbwpnss4Kn CUrOQuTSS/DJj5x0dunyfGjzT+JDERyxjyw8qn7WvuBj6UikSHYExMH/tK7kg2VLRNqqMQJ+QGqB oH6MB49YJtYdFwdWYOXK3oGUeB6FnBJrEWeXhfcZ/2O50FLeYR9lwH/FYM16mg0eOrD7+ij3Zway iLL4SGIQ3i5TQzBaqgTnlscdfN/QcOwXEOyBLjPhKYlbDMGY5rE1cD00Acx0kNgc+8QYyjcoWVki MsIobzejLqQyA/wt6mG7AKc3FhQ0IQMZTtqTJmxO8bLiW7VFx6lMLahS4ScZikEOop24oFXWSPYI qI+R7L/0Fp1bliDZupNjEbea6O7ehoLFH0t8sFEuhqYwQ8NI55LpBxuDb6nvSXj1nRYYzfSmhMBf IUfmHc8rjfhoQAWu0HzXxoYe2Pgz1Exl9sTpAKMJk4PYNAzccfXhTnek/EgZyC380jl4EEeZED8y yfhdq35v2wGSwGd2Ao1e0DvvUsaciNw4RbI2oYpd/BnbTGQ6/mN3ww+veBGGFC09LYs02m8zHB+f AwCbenS58avnAQ3mgSacQwvLvlInA3PYkkGWvmbMbHDPEIjc7rKY3ADr++iPukiqq3LuLXpZvEaF Btha74c00EXBi1RxqRMUUfwd5lvm0DQmkxPyNMJN8oMgkuE7ADfPY6YttlfBAVKcNLCi4xkqbgSz wQt8hMrIW/L8+CUdrqkM+3Wq8kycnm2CE3ZlpBi+jk4953mQXh+Ay+oqM5C64V4o3KkQiTps7Hrp 9ZXsx3NLlsrRWIB4QhhnxhyLCxXjMZFKjdanhS1kYqbeyMsvfPVs6P0R6wUsxh9K04YF+zZLl67z s91/8YadBEbjNsDGZZF9QJNGPJqFrH/ByK05yGNJGKax6tXxBH5tt0dJlHVsU/JiMGqAshgLY6kS YXunMUjAGDAgR5Ile49VG94cYxdWLAfbWRfWACKqoXDYvSeSsuxZ3ZsdjDqZ2NeUsNRvrKGj0Nuj A0kCfmLmz6gjiOApqik/l95IeryVtvcAkuRjTWZ3cIYep+/+n78v/xa7+ToLhe1aVpjpZ26JpBVA NHr3dQQBr4mI3tz6ci+SdhvnBO9rUPKw+HO7jWFIPg8kjvXgfVJZ/WOMr53gMFiNMF0W6yyfnAlG RezAIX9tHHnoSRmGp0IU7pqLE5Lp8U8S7Oa5OTxgAFiWUHbKtYnNwJpKpDg6Yt/5mNM8u2nDbz2v VyxqpcxHnxUnFZBys2WHob+kgZUORACFhksNpUVe98NulMb1B3aGh9OfgZBEjsV8CTDAhqPPNjtA VsdYPnlb579rI9ITzxQQ3K7+tt8lFiUe4viSMH/AZeJuS2CGprq3zEZpV7WulTaEfdE0pAnTE2MH 5QuedwzJIGi9UEQiK5Pm8RXqgmUq9DuMor5JVT9D+NVpQeYYucLGt3naZmmaUu7aTx6Pz/n8KfG0 4PXEV7PsjyJ3Xs+32o1yQlvUB1RdSFjqaIDWGzmAUCZRQhm+m/+Qt8OCWMBD8lkr9LTMWEXgEBM4 IAT4wIEvuYs4+Vj23D5puwnkIEJ3NcKKf3fdTfwqiS4xMLbbtJ2AK5+gTjiLfEoM1Dwd0Lc4xqxs zjEkChqo++DQlToGjYOmRhYe8rPO+bq81NmvgfSmIILiv5qmYfx2oa/0y8a7zY43Uo3XsIxbB/MS L4F8QeNevOs0097M1whoJLbRTp7/QlFkADYfprTUH/eNiYzGH8n182mZU/HTkpV65LkPkDWxvWUX iUCMRjaoyPx+CHPNe/XCnHpHfRXl/yhEsdJN4+2twwpUhadaLhRagSPxinNU4IEjP2mkQKb7yJSa 6C4Eaua16F6ZVFFVkgmBxRCLLVNRbqwDh1DNK+c63a3W3SrKXdRxYoW4FI//kW+WUFFBtVrW9W1W IN/zFf2ELWiO3mJBS4lpdsI/uBZqhSKbtroTRbTb+JUcz9AwVJC0kQF9N7UqZw5fzLHEuZeZD/6I 3Qp3r8XM3WZ0cCz7WT7d/Op9SuKlMMx2R0HosZq5sNU5XHurKCDDrkD1PZaybrAI0HMyX3JRC1Kg VBAB8gK/8uA1Kou9YUZsfKB9LPZ8XGQppmCPNxGaAMGQGuW91jNbM9iA1QzhF3n3Cg3zOoS07z0K OYFUIckvYva7NjlERmID5oABdpHtS0k3YufoKC4oxT5/nQDfAPlc/iv61EZfqaeLWwJuP82XMVQl kTKdHDQUilcblOaGwpVo/HBHKBbOuY7N+bJZZbEyv3X80MF0xvnqh/k+r4EUTk3Zqg7egE27z9yA 03e1dbaenIchAAKdKFsyxjRFQ9wRLZwYW8mRXpU1XNPqPpKqmuG0kl08SX7uGwl2LbsbuheHHF5C rlGRIenPmZKFt616JGOesk8w9S1qWHznkmlqiJTe+LPZBg5IPk68YDd3RLmOGTN0BR5BXIWmgXFS GxjG30lazv+FEuKmL4sR4LNhf+J6Wv1Mizq/CigwbZ+gwAfjcKDkUy4yfVZAjhWVeb610iEvWOwb sUVMci0UWS72aW5cRjIvLUSS/+ithCIutSMOCg1iWtDqqKACsXdcVHnlWX/FSkPvLeJDhIpVzLSf IBurPnDsVAbUa+fv/2MvDDZ+8m/OtNcQ/Gd66s0kU0hT3JyGPwi0f4fBQNJznm5rcusbidVEoK9t VYLrZbZiUzyxHkcLp4uX3FmhlvnI2UTY7WM+wm69fs9oQg+Zmeavb7EZyXwmtno8wFczOiyT1e1P bqyS7lL+TRzp/az4zidC3ibilsUBFBxCOPe2m47wOkuP54pswb+a5l3W3LJL2j3fNsGBBtEtmV2j H8TCMTCqUk65I/q+Y39pIrX8tMCiDphUs3FbwfGBKpDhdCCgyFdcG379Cx3GtPPFMI3G0j3rnt+s pZhqXTZcXmyAGUyB4SHU2frch4Ps/poaR18seYmC8Eo2KKRP3nJt8lyxm7HpN2cHK5DFy5Y3wTic jWVDZOe+faccrdKBrxVs+l5AAK+0oPOsz1PrxyMJbeeSknkQGchPbxM7NQ2vJy2mtrN1MxZOnu7v Oo9h2w343SwzKN2M1rexV9msAiYgGGhwHPqeLDqx1gfbx6sq0VyDxTLHkIEJ8/LehCrHKbGWQ5ay F9aP4lcS2M0maeVA+cFr8I5TD8i1+0OYQjOPwtmkjjUlNhf1Z3o70FcT09M9LISU/FiZI3Y4Bhzx 8c0+Tdq7fEITeQqYlULlLaDENEb0A85CrmwQcfaNXDA0/qLM7/koJM14tOok15Hnw1OCQLLylJ0u qMq35I7J2fVK4o1ppG7A3e4A24AIn7Zu91xwCgnngu4cK59ppGpPxWv8nqpd9/5gcGoBiOMpOT6N hCrKIVgHizZJ4M42G6QQbi+w9tsJVn3yememh8AoSuKJrUZtDowvr8L8p04+5byzrICfYcbFIiq7 7EL0+sVUusVVs1XTpRx4bIz76PnAJtY49SzyHrXZRxj3bDkfWGCerSQcJy8RrgypS8MBi4NPLTPW zTUCmnkzczDvV6sWI1AutnyyTzYXezq89PgGL+us0hL8x8kU3nklTUrIbvM00vzomuKXtKvv6MGu Ghl+QUx+5XkOUPdrTROobM/z4nLx4Mr1kHUckxKTjmjq6ZYeFKNILVqr3wnSXb6Cv4jVz5Abib6L 3MD15zjrmMDL80cyThqQG5/l6cxK3D2M0NI3faxrzt1TqdzNONMwyAqCSA+KEiWF9fd28qK/jszT VyQh0S6M7x0eB5gSVFJGhf4oskggAAF55YmPdsNpRgS0QywbLSDzJn9FuLgInJG9lnrZhfCCP5k8 bMhrBbrZ7Gr38rzqxR/4N0v8q9/tzl+E4gZNNU1vm4xYLCLT7oQG5CdI2gK7Ol4l4PILh6GKuZ+W jk/asCbhjJ3Kn+Y0aQlw9dhRBPl3xLE0QpqJUr6AGOwUj3VGGNVbE+wCULyY/AoQxhgnu8zcyhM+ Q8okFke7lGQQMVxTYFvmSUy85zZPk/b89WVUgQU76dltMiql1GCQVkOZE7uBymhOEKO1QJoylvrJ Ct+5majAuXYzufihvA+2xyADvfE0uo+XjNMmc6GnWcbbBi8uV4YsbzXSzCeK/d6R5c63X5m8zPMz cndWCa+fp1ZMyvEBcCm9mkCCRYnGz3DgrpyQBLO5S73tUMqcKGK7YR3oNl76z4hyArHqchY8wCdP VmucMGY286tCccnXT0islAGRJ45tDPR+Xyc8NSiIW28uUlr7Uh1eJJSkv678NXQjG/Ag4z7Ic5r3 A6PR3TQP3N4sC5tQKuucqgkMm9hdSzH9aGtzlZPOoWFLQYT06c8bXSbv3ombJ/tPri6VYn/CiJq+ 5nv9v2D3qzAN752h5tbzDh86c7MKcL3/oVD9lyhcrKJAqY6CSizRJxvyGEztMXD3kPI7w9rbzEFc QBvlhsXh0p3BcujzaD2jBCVZOeQ3e5NKGYovEc9lVOXVbR0LRnh5Mfilre7qWDjqe1TEnpD2EYTm 1YLUBNWOO7VgkaRbLGqtij9KPkErr77XHUZzvGlC4Y98AjL5e13oiKyae9KtBOYWhlIBjH49JzGy 6xB2Xi8C29Z/OnYF31Q5xMxU8JFWn8hPhfJz6qo6EH/NlZvf24sm0xym0Sss3P1CY2D0xqgDE1zE TnTZPOXDweL4I4CAcv6ofGvA+RSI3EqOb7VLf5pAMNpYVOIjeay0wIK5nGStRpxP3czHn9JwuOmH T65bBIiTgSbx+vrXPLPiraZg77PTkn+9zPZbzcfhz/5R60mLe4dm6H6/vHQxuuIGesB/UeA6gqRf xO+IJKqi5cJvuMNZgzf71FP4Snla/klGTNNUlPKAO6Uv52oKt7w3cyUCajUhe09NecjHaSao+/JV M+eWMsrv9ofzdJ/X4QFw44mEtSZg1VhEa3eVtl5xVwVBCGlRzlfKsVMu7YH17EdDMwUZ37Dv4Kbg kijKG00MhtnSctrVpVlKeQB9VYscuitHwNhdUKY8EQZEClKuwNCD1CWclTPdAlnLfBu4AekGnqr3 GmR42M2SZBkL78heMB8BOmXlLzK9/Oks4+slRHRLUOV6OnI5jyfQ/0fvkd3OEqhXAGhDkbIzamwM Fpg8U8ogqEPcov+dTBQVQlhiDhRJp107QM4TNcS15bfwBkWKY5GuVU0NAyD9twrg19Lq4wd1YRJA GnKewmvc/5btAT5bk7haEufF3L3PD9pCS2fVVHFBOmae3/EG4ZL31FhRfsZvZv2bnp7uKagSnAwM TCsxdebJnZVfqjDewJ1WlCqyYlHC7uLE9CxaREtRWfxcVLZRa0TqwYy4noEfZlUX4SiUycLi2Sv9 xPnmlZRTWluj7ycPoBVQxf3NCmlZjappBc3LU2UIzUnF4/Hft85dN0j7lPtMy4z1Z6y9C/etQKHx ffjoQFDg8tm8tmCd6VcFIivK+VlfSq8BwEoITQ99LYXg4Ga6C2dOjr1P4yXI4lAKXe3sOHKa4h+H tWtPEAx2h+ihAzjQOYOYNz8Zr0PhUiRz34wfZxvcUnG1lWlknnopFJzCyH/1+K1NCReKwiABSHyX DpAQCMLNx4l70qSdAZ6s/2vn6Oflhrh2wLKzZmqYXKv8yJgylnVh91D33yi53aaKmk0g6kiknTYv brZcJ32GTbuwnIShEbn1Yudv4RkQwIBLnW3wTRi1WpZPNPhpUAr8WMjk3BaPuI5gy56OeGo5HfnX 627bPMzsCd8ZnxsOiG4XTHr8VjzRAmIQSBaXFaR9DU1vRi8RK9ph1Fkp5nvU2Vv2mp/xAc9l2uja 6bDFlBJvPCbIHdqmCMKhpEtuglCBeCVWrCiGNXcsM4eWFxwORbqpxoxgFh+l6H9Dsu3hcpypmvGN Te3WvDdtzJeROEgy5EkdeokwBbY1j02YYrv3v8/wJBOUjaEEoG27i5m6C810SLeFilCFQq7HsbDE 2jevA8LqQb8ehb2Ggw6kRJViuWVykcdbkLHP9TOV2r0RU5A+iAPJK7vAOqM+oyA14ysvAiDBkVtq LDfIg3sCubModUYq7RaZyDv7GX8aTDlfnFSSwDxT67pu/SmqRRsnnJEAh9pVUhLZyQkcWKyNRpbO DLKTs9tNSQu3qV2hXntdJY6zx+a7d0oo4dVEqHsDvKzfzJTv+vqqsGCgcGMdncVhgWDa2u81eq1T pIz3pZohNRLJurxmDoX1xuGnySckBn8f1IDc/cuYNjuiftHxb0QLiew+oGKkdPJ5wsB64xxhGPsX w4O8a/1NMK4RMyJSo+iHCdEiWzKhfRHJT1cY994fzr6YDJHln5QsWEADNBOH/tZJpz0ZKDw/5E4B 4XUgdQ5XfAcaN4d6mKd0/LMJm98wBn/22uClwSQa7SI/oIobIp0/tON/6UrVb5OTIRr5z2Jo/m75 Kd1K+VvZky+mmda0B+vsnRafapLfbzYtPbM1uS3XHpCftAoTqtm12EqYtP9brwjvAJQrAiAeAdYn l2Ip5OT+mf+BkoM6Rgm/ZVgiuNZCuMd8Gv5c3hJomZxzAcu7bVWYilQkslZBFfVjUavB5kVUllA2 wTgFIumhUTi0nMczoE1p3IJTNZkUjHdFw1/IPw7cljc0Ek7Pxi/zJDn3URPobE75GIL2n4oSN42e Rf0GCdBlYdvoogjBDBT69PJlSzcsefs/BJ60Iqx0rHmdPMAw3g13wTS3tJ51hNZ/2LXee0pAB0EN mgw4UB/A1vQ/Xv0WoQpn1TD/R+aZMfSugp3j3ZqtNGjM8s+PLuomAb2m26MA/A5Ryq4yJ6ttV5xs LKcawMeyR+DPWyzBzoJa4C0c5DQJp0x7cltuuokHMaV1sNAEDfNmUHLec/debHdMJl9IT8GilJ4f jY05a4tXN4ZjBJzc5B0ESgYwVHdc6IGB5hgtAIHVEnEwgElPqC9cfzuSTDunuussz5zw0UdbOS9G hVZ2OjLsb8XxlnUYtKyJfkBuj+r3ri6xPsDcWilxgUaFrg1sDW8p1aOWR/GLzV1/VVuQkYVXIHjc ErDk8LyO/KF/RLycGxoysU6QbMa1j7dhh6JrdrA98+PQvySKmzr2QqdAXex/YfUpkFyLJF0ikymO bOAfDJHI4xbyXv8Q1qxWcgxDT0Hy1HFkCXTi/jk8iFDjS+XzOfvZfA1QavP32wtvYpHcTBpR39t8 j9XBqM66CIEeZ/jk+amxK52w/Dwe3Ael25ZMd7CpSc+Rr7tSJmVLdu4bOPWvIVqy6kM76I6A4B49 optSubqGAvLYez5MXt0DqlFlNML9P9ULAlkGOIHoYq0EtKuUgOEJD3pttdiAz9iuGd6xOsT3mPU3 Tl7YjxJN87uUoEOxPTKRXvYFzh4AsESYbSeVX+7D3xqBkufwOgNtCOEkr4XBgvyQvJWaLzzHrOPf F0NPapso5u10dxMD7HnR/Y2mH9jzuJahDrusBTLqPa/Ckde4xM7GJl4SvHwY18niDQmrLOEugZnx H7gngZcPxiWsWsjqxCwSqaiBPbQlr2ukjWvGA4GGPrEyzC0agrzx8+eX7c596dmAm6xtZASOEdw8 taRPBGhcXSQx9D4JVoR8pEBEEMeT08oqZGaT8SjXy34XAIDLDn480ZjOHEHjwQgWl2CAg3V6ivVF 1bQQuHN5ZBAIlRfvx7yiXRl48pvz5UYCAqJp23UDOKQ5LcnjtVQctot5xco9NIpQNXyjPNSceX1m BZy+Q2053xWRlV6SM0hLyiLOsjvV6OkEjR5rk1aVyJ6+FhnjXcD4VmobSPzQbw3uVWy/dpH+3i4c Nf0gyR6/e7BDZV+F0BNElzcHREZ3Mc1V+fhq+Xp4NfgjlqNACSXIq7kYQ3YNz95TsLgCFe79Pv6F CgJuJqdJqAj08E6XU8weynEBmP2+zbeT9/dKFir88OM3XR1g5V4lz0NG8K26ISaHx1p1B6FB46JW YQzv05Dpl4og1bxENPug6KjdRoXk4WJ26g/pOv6aO1G4mTOoC6V3FZCXgdSc1m65RG12ZrpzSjXi AQ2B43oxNKKvsBjTeBVefEa41LqlB393zmxNcvxtQi7o76xRU5ctyqSKYSUNH4q3Q13gt5lvX/bO QR4+QyLbDAIJ9CsAAiz5RhhBhw+HCBfvr2e6lsjfUcPOmH/KxAT6WTlNbT3GbCvO8HZjp1dW+tjQ BHBKdgwT+gEp4tyqIRGgvtcnsoeRe2+0SKGH7UueiAEJh1rYaQ5/y5eAn3YOyQ2c+X02kXz7qL/j hjGWN9IPVP/Zw0ph93mECECO2TgQFyQHKxYwA/AzTbqF+aSGVbrsGvYyQQAI/oXctYadHUhnxbzY qjvGpDTptVkuPF0K8ZTYClAIuXB3DSgitv9dYQxsoc5Quj1LdxgB6VhTuxOKHVrRtTfFh/azbMLr WdiCW/qpo6+d5aZ+vBwyoPorEayof5LjLVE6StkG1E6wckQtT8MqTtySE/tnELdXQ9b4DWw/HIYv z6EfduT0Ty0ttszoNCKWJQyUftlYlMBr1QbXvm8pdnOc9RCGAWN/erIH6EhB5687avHfc8Y3TZvs QQfieBEnE677qA2ZjLaHwQLvOigN8vId1xeeCOOEuiOcrBgwm/fhu7VdrylAVKfzpOpTJN+FCkQ5 c2LR/CUY+YvKbVG1uLRb+2d/JMz7sDaqQ4muPsAy7h+2cvDGF1XAfYqtppx0XvFFweUuZ8RjnSKN WzoLHNMGfIGenCegIv5sc22NryiiF6vgII3bwc8ys1kKcMLStELSQAviwU/HOlxZGQAtjfX9tFUm 9s7HeGON3FbCNiTVXx3ah//bSJdQFSE0gCoUblrDorzrNJhNqzBJB5oBXckuoX+sS6CgfaKgmb91 eK20Ta5/y6vaz9jIxaLrJ0Bd3C+/dmCfFh8i+Z6JGzpg0+4guA8yzUwgE4Gapr3+Vi1RrgJmQmQO Ools5IY32geqLhNz/BokEvnx6xa8vq3vrFkuMESReTKIesRsCK3G8Eeuhc8hRRf/536wm+TmD89Y mrqq0ZP2YT7Xk8VKBBbLN40TW4KsJh5dL5Ewycmb8b+uwRRZsuLjrPV9eTwQvpe1LNG08pCuD9cI jUZQhpj9vgs07S8UFQIC67W953EuSdQvTna/ciCWm39lkZhvXIfoqjJVPIc6bK0urHHr4GVD/OSZ g7uTT+MKsFQwC90GL8xFq8B74zCeIVx1/OTogfBYUSNNNx63LubtbplyOq+57R3i6a5gFDL+zJJx tJUr2uprU4L/TJ5f276vj1VTZl9YAjEqr+dv4WwVeqqnc7h2OvxYfKsAVpu6Bi2fPUsHQ4W1Ov4n /PVbA3fSTH0b9TtaczeKGTMTPoZCIVEBlAWtJfeHqAHFZ2E0BWRdssqxgC6xp7R5F58iLTL9ACj0 lXgEN71n8CdElChG5nOXixeksI691NJwWV9aefND5bYRMIUwplcFQGT5BZHOBvAtzU0aA2WhZqrU GuxkQusJh7ZFLNgupajaKx4Yk5wtVzG7U7xGbVchs1inxT/oAkcNDp2Vu8ixkuF35pNGb2Y8foKR bd+QuCIHirLl7GUqzdnhCdaUeStcWR3r7I5PiQ2a9L0u5JIFvlLP588u0PUsyRq213dC0N3Mv7pj T5d+f2Ym+0RbeNEAx2GwpBmBhF61bA9fH+nVWR8NzK7UB11oP15ymT/q571Y02MSDLv1rFSysrZO HMXMffYTw/zhH4NfQ1LsSnruR5jZutNq7SMrrxDT8jVvtKGHykqWwgrc0xhfqTp7ZhAVZaWfSbEU HXkfHU2Spz4Tjyl1PEUNIiGUg73/SFkhriFEg8usXVMCIyeMI+D2OomcuL7JSN4XmFb0SaUkhUFz BtbpSWN00YWCju/YEJHH6SZlSDukrqyofQ6NMH3YpZyvU6XT7k/CRvDIfHpR0xSCUy6/PStUkcKL Yx2zEPIAtTYU8Lf7TVhyEAhe0VesMJ0AuNK8UEAQZxVgUDDjQl/ashywoVa3J8Fv4JWQxMxEjs4G HKs6CNmzlXkqvnVYxfXb5RzmFYpdUeuRgsgcngQnFLQZnbOeKieDlm1l+u0jI4GMW1nafdsQlZ9S BjYfTScS+CpOAGNNLSlPjZWwp+WoagBN71jBP+M+stzsGygwgKz3/qLSLZy9Drbos5OI6fY2Lc52 CzmaQ5L8obaZHTYozTaGbSlB+hbmJcTu7RiTeWtBV/hOKS8tzMXB2OQzbGcV+p96R61jOqqxoxqG Hmek8/4iauGm/anbqSpatlymsXWrZiWtlReWObvqply/h02Z5sodXyLL/0prajkiy4X2vjWGOs0V DOms9cR8nY+EaIb+ExCbTO9B8uDUpEWgiMDStfxN7EogP9QTwooSjZsx5rBxRtqgDHoWX5OrjY2c tjIwjWSWceLf+NOoY2Dgjqy81BVdyG9B9nxLwHWWWpXJgPMWm3p+/ZXuVxkxX5IMuwI8AvAvbNfp BZ+VaSzxqnENuAeGnzK6eSH/NNV4dDOwEA9Bc7fCrl02muU3KF2bT0KiQhjmn/xa1M8Jl7ERz0Yv yi9CaWrYRKLA1+T5IkrqKBXvBVRoY22Mpg95v46IyNPnZcZSvSlhrpyXEzu8Ct53Z7kEbbeFHej0 pw7i2qBwN5qyg9LJd7tFXAB/l14q2hR0SC0hp4D8B90VIbeMi3a5j1+KfLMlog3NcCA4btJKn/CY U/ibMpo6DL1zwFlRlsau7/ai9j8QlcsaqpxtNYdwk+w3Xo1La8Bf/JYyEe/3E74V8WdxU0OZKdhS y3NEr2FNEM4LCeY2DGIVPmInXflI0zWac1jqahXAjbS6NQS8Q4DJmCdFtLdyUfJ0wh5PKEcbG8Md h/3cdQlCrZPBkU3BTrKVo3LT+7BjRqfE9UU6e3jJPMnTcpICOYNgrCbJAQpldVh4ZwjDs/B8Qu8+ qHG85VUDt+mCShdHOb3qjaaJsgJTRQnIDHk+mzLCI4L6SYnezVysHbmJFzBrxhBZOt49JwwKC4Ig bG70m7uIBU5jBkcoieQpQHir5FPLy+Dbw10QUBxlNtxZPoF9R5gZDCL75aY0Wwz2eTurdBSWQRyI bRuO5CasnC8hIzxJOgcEhlJL2F8XZAJUzEYYLO7NJzlQCQNW/hD4nvPKl5iMtVLgyJGt32On3SiC xl5GRgj2u7UhH3zvCm9qEPTYnoSHC8dXAM268wlEsYyNzhafIzBaU+gjESIogZaCCSahC/yYkrpU QQnEgxn8/upD/tQkPT6VKVqYMjlLFsF5J9GGr2AnbNk7S7pyS+Xpu9vyZtOY6nJaa7tOWPdsXscd DrGcc9fv/G3aolVoRTbG/kaBU75klwvgaKMAe2sLShvLGtuzBoBxsv5G8dULh/nSAPr4gykadvlg zO5h0AmLnryfbnnKd8asc34W/sD4CqDt8rcY8jXT7ZBDpNmAekS2RXUZgbjgg4bvSiTv1UY+Qaw7 Amq+5gUaHKxswkxWuq8ChczCzRtVcnywwvjLuyA1lBQvEP4Ps/9KUY+b/Ig1pvTaradvBmJnktWo D4eLOkO4RWc/AXZn4kqUtd+Yx9vnfjOfEZMDuT5Viff+5tA0aPMlW7nxxF1y+nProXHl0ebPq4NO zXS6TrOOu8tz8c1vOVkSVsCIhj2Uochrd+57ISE0yjTvr6Vu5aiTymdztQqSuu9SGE5lStohRQwC qNwAVdgbTqm99Gm1zNKRF8E0cQ3Jo+/tVrwzomqck4PxlMI5dIntOHf2yLqmCtSv2/ixFmkIVLk/ 9tgseH1dMdaY4N5ni41r5lqypLDGXCqV2qPvjgCIfN9plY6yrFWcpZfWWVU41qy84rD+H3O9sVAH HuBhCBXN+bVdgC8BNoKKETYh6m9/2tVyybTiNJiRw6gI9+Ww1/2k9QeQz+ZbBnnddr4c8hRmKT9n 5oFYgtZT7mwRKdWDGB60vwS3i+E4OP5tWpfOM2LAyC3+1iFnDVpId0OKmYsnyYPxR0anIwXf3dJl VGziiCsKjCZjTZwDOF1QxLqi4w6kxCaRNhaeV6m83q0X9BTVMj3L5MMCoPL7fnKqe8zsmBPPXulo cprgr3QZzg3R9DJ+qMjcay88dO9AEVNXkLuT6ySY90js0bkSh6CC3M4kCax3G9eiK8JsuhtbvdKR g990u4qQv5d9Fbq7e5vHAmc+DwOggMrzH2CZ5PUHfl4qH9jfWbFiht7s0fjiXyTAOAJBspAxTTeF YhUn9lppNVxKGV9GBBFl/bQOXsvGxNO9mSbDJMUcIo54uo/IdYkMTwRXl99rYY+vsl08gWcYMx25 NH/NWY7or4LijfTAsGB/ueN9ylC5ybm9WRt0Dg0vnYCJ27la4ov/DN0mQE2kv8dzFIF2hzv4E2IN yhqBNL8KMF6p/2UVRHB1IApbPYHgd0DjlK5RGWuT2ULuZxvA0ySwCZap/cATSi7iVPE2u5V0LU51 xu6m9kKjKYIvJIe2zXJ73866AFugW6av0cc21QdWzAjNif8ZQh0aNq4Gm18gZdZxWLOJwPfMg2WW c9XtVFqDfQNZd0YhLpZAOB9acIEjdN3h7n679YtMDGSVGJA8jVG9mPXq4x+8yKTf1y94zNSjg931 hT4Le8QKMrL4tQ31BzZCORWpaqt12sAI5wx6Cy9c4EBVUKvhXxsEZ5dNNreF4Rc7iHyZTcZS8dPE dmSdN1eE3heCqGudAhqRlZktfiLaYNb/2ZTD3AKFrIXLMWu2iFFN3CfhbWNo7zLS+5sz0r17LkpW Jhc7JXV0xSVDtQ/xytKUSa/4n5wQOgUQ3vUyz5S48ZNaK8GQ2dwQPmhpUtWFU8geK6phVLkEsxo9 6ABALR3bQ9YEbPpWzmq+0eIBw4Wb4pAWgbshP6GAPLE5OiThKlONK92m53VUzbFXSslPN6T+Sxv9 ZAdry7LzhUoaYwh4xt40EDWd8ExnTtKIFou2u3rB32R0rhNaOjKO8PmpRwzzTsMyvfJ/4PijJIR5 6gjswk3KBp/Iisf+G8dCvGsxKsw5Vu4aUwxudD+BUuyw3XlpjFaYIe3dnIQ32lnBwtTq4xZFFO/7 CysUN4M0fpgE8HzZ07sojD/reg6D5zsU5ei4SX1uIpM1Nvk8bEMfaMkpkJTC9ZCGPqeGx8LjBEtC HmIpw9h9KFBj2dxRwwWNNMF/+hjEk0+9thsFLttalaA7KSVrYL40d3B/v93lrjx3CDPWWdFx++xg p6+BDiuhOXmFhNeJTfG/22mhYTSg8alFuWEQkl6zRr8lAlT8/BMAK1reaDdqKXfIEo8zZM330lvV NoFPeiYvkrWgcsWaYhdIflT+k/iGmaV2cVH0gefBTqM9KWn9vrA/aJ1i695xk+jdWulw9sqtuby1 /KExYrJYf6Ifsfoi+JblW+bv575NXJaeOF67wT84MxIHCcOgEuU1ZPnGNBLcUwUUI2O3jt3JF6UI KfmdixeyDKFEK+61eE6fnPTgrt6312YwdXszQF6eHMlucxDJazO0FQqgUdz2sYazhQlolM7Qltx+ i02/YK8y1cmC7ExHxSVX/kvpd50bLUPxa6k746G4adnrnsEVLYAkdvIhnjFDDx8Kqi55+wVcNCc6 5P3HZ9mVvzAPh1nxyh0AaKO6ryiTwF0RBLUdRT0+iX32HkRQmoG9aVe11BWAv1UPrJpM02FAlMKA QaQG6mO7q7AM4IbxG5no1MqmF4/3ZN8skkRyuSQhTAsYRJVgQjvv9lJr/6T+wIBtcA1n/l5gbMCd ZRdnGa7/cqCJ6yKJVyea5FCdzXz7T7EV62IEzMiiROSu6SgwuINzszDjExvCP8By0Vh9KYNM/rQP jtc9MK4Ip5BVhPLz/sk4Y2HmVXpJNZXJjrMHEvhTLPC6kb/wmOLrod9KKsRvPqvzqsjatpr2D2oH ILgG7mdWY/lvWcRsQsMUTY/Rlkpj62/ikcvvONF9aFOl3QdLeTxzdcbPoZIGFpOIWtKF+bPHWm1b 3ytXUxvMFsouizEQwXHwe8xJWi9UtwbndFTcW/vRu8AAfvK5tsyWh0CR2AQtxrLZBbRa3UONykn+ wYUlNuQUj0XYfFuhf/XbCJ6BKSaJ52ozlhErC9TDQ1956LUYw4OjJ1ylrY4olpROt2+WGKsCVOcm bYrHYq9NM6nSSU3E2fX6vu1ef8ebbcvAXVNkg2eU4p1nftHfRPsPhwHKcvMekDQAHiabn4s9Z9yx AklavL6MKIHT9ToOyW/jqaj+qPXPBAxv4//cIHH7dI0bfjfKEScSC/pRC3Yktt58TP9hD7G9H6Wr 7X5t2pbQy5pB5TiJW2/B2Y8o/Guki3dSDRh5/2hRoXmwWhYrKxpj01Or26RFD24Z0ECbkM1Vhs1f AclqMzNk2L/J0TBaMnVrZ1pvKb9OaAnADrSg7H4If6xnPS71C522lsEF98EO7zXGaK1bWybLXaZK 6wchsGYBg59iXmKoBQYF66QvDm3T4WfO3mvJSZ3gEXEFWm8p3MvvbDRDvHsnO7/wfJPGNOrYgTxG H5BQEeiVacaVhcXnyFdonnmJKmn5Q9lY/87iHHgDpTqD6Zcx+A1RnbHl7foyS2PJmSTxx19OJPig v86nnidaPV2aSwHxJ6BZ3YqG9qQx+mdyPaQo/XF7q+CfqNG0jTdyoeEsmBtjbOl4spIK1zsdGUIX 6g61PISU0bjSifYnql51NGT8Tk1a0BocL/ojOpIkOIBmPWJYF/Q+IujpZpapKMjM5T2XA/Xo/Rzl hvb/tp28fmyiRSmSt+NZDKnkw2st9QpjNg10g93/q2LHJsl7gZ+MdivpI92B4kAGPZLDcJmA1w+t Ie5gHzBHqNqJ47i9dv0V5TGNtsFNJx5URNbCFDsKm3fBU92Z25+0+0Bf2DUOhDNDKkwVZO0ItsS9 C4E2bCPko+iWDhapz9svEOp8aDv7FTwtBUJX9NQKafRqlEpLlUTJ62fyW/w4IxfoHIcfyuNhhr9z sx+ux7SJkRFlRic6u/Q6l5Wv2c+HOHzXxPmjFwv3eTvjfVqOoZvsrrwMe/rBMQ23RdbWXzndW1+S PixFQI9bijoZ17gU0zHAFyVIxDoBBiQ6EXtuF4RhaqskcTIl4QqrPXfoUQpbOdlr2IqVswkfJSw3 DNXCw8mWbEVeGfHq97mcQQitPB5O8ssIAQuwK1xzYbRw3WHDJzsKuj06xc6k+Z82cMuH/1s0Ip0Z sVaZsjvZ+ensofcsnTgQ51AhMmMWcK/XO3p6vrObzM+1V0piFZ3hpchTTW1kGo1NXtYWSIYBBx8X 58pYhNDrcj4laSUoFBf0peARmSLSCoVUeOHX2dbbLp5L/HKrua9EbXhHE0q9qcNY6GsgMTL58H8S zDnggYlqp6arY06fLePvSvYInoOz/sO35Fd6py4x3TYwb3DH7cvB/kYsHgukz6gf/rQpjbMBUdcm QAEc9EJRUWJHXOT59OAZD9pNO1SP0V4EDdxnwfx/J+lsMtJuZjlD6LwOUbo8YKSC0uSdLfByHGUl McO24xUFu/7eiqNN2YcOnI3y2Jqq9wRmJoihkaOsZSlKVn+o2IzlNXxJWCp3bMKis/f+HVpfnqH7 RuLu0LqkQZzcGq9LvM3EQcnv9cxrlHvKdtfcfzrNeu4TMLv3mpFXf8yvARn6RIQWBcs+j0G7J8f5 y71bEAjRb26KvnePeZt3uFf1zw3Ku3z2Wl17FGrDjYE7sfU3w7LqvdzpIp+q69N9DpxfpiB+Iv94 NX89yxVwErl2aJQqgUcIKlYWiw5x23gHTlRpsSox3QD6WLpgfuRRKNzflkJY3hRh3ROLevBvzUXx 6DpzHLrNGRk6glVMf+MnvdE2I292Hea/4erJVaBrJGkoooQjrLlz/wYs/zSgcXMsLIuB4SVqi9x4 0O4rGG9Z1y83NtcpDj9MU/kIf1MQaj+bSAEDDq29+izuQHXnlG2kf1KmOTXJ+H9hFGcDqYM/uB6U v/CiRk9tCHNjn3VMtt1ruGFdYZ5q87R7TikwthTeGEY51F+u5o7IES9mHxSpUfeImjPZ8WTpNSgU PkAIOHk2PcbK86l9nhWwUe21VTRZWVuoRmA4z8jLUrXgNs6iW5o0o2lpRfKZPdzXWimyiqbtJNO+ Ov4NeuS4s1lND0SajZdaJX0BubxwYDqRIexLmmi5CEJNDmn/MW8+poN8ffstTkxE8u2ZeYyzC679 eF5xPrvfWZTZr0G8vpXILRvrbyvmBMIf7+fHg4HWhB03A97AK+nFwVnVflho5ednibpihQowlvfp fPhU+ceZNHO1wBPoX76IcF8jeUF6Ufwudhv8XffK2WQszzL+vhMQZf1XzS2LV2TPDupLRAJgGpwc RlG275fn9cx5iCnu0M6OlWghcZPujwKfNpkpkWDEQhoMQcjVd9Suz1vdrhrFpZcsssP1z6VghyKC jJ93fq9asFWvzWwPzaoNh7qIAqWQbD2ZemEu/N/PEcEc/6BWg3dkyfdDmsgfXaZH+PLvdb9w1AKf DBj2NSKF6xstoUSDagl/k2bFA0HCSujNYmoDjMeQ6qMcx6cGMi8C+Wj1d0dkGKsnV23JPjNtYr6W 6Tl0Wcmp2XbEmP0CKeXW5J3CReA+MIqIck+9+uVvJhZuv/7LOsiMyQIVZ2OD12R6i8B7fP8iYtKp e1La7bheVzQrkiLj3Unkcy7XvjPBkZL9Vj7ZeTGXr6LHhH34DCxfBjRAxzPej7HzJ/N4ehl3L2N4 wGRCMp/TZQfVFknUEn/SmYbDllm9HyDa/WXbbzfeTMvAJXYVfXytUpj26AzIgPk1fyaZGK0fLayt Bx+wqRZvW30JD0kHTmd/xzqLj/pviJuQ6Gkze+kUM7s22bGSDdHcInF/YwXQrTYF1w3PMSupSzq7 X6/mXR9xiD5X6QeeqFP09Ugr0FihgrCzi0AwwlSaETw2Cl+jnGCwDD5hi29seXg5mKl8hP+uqlyQ FE9BBF76VjBWqq82DtN7VSwmatdFRvMnRzvrPZ2fex9IB+dOjVlhnrArnHoKOc6lKIz4RAuuGMKl GL0nCp1f3a3E0HsPKPRfrfTUOYKM/m8uAKC4lYb04PPd/y/ewJIr6OT+T88l3H2B9l4kvOFy2jib 2/9+l4p02kcm+vJxPL7WPt48butraYFRovG/9QdxkYfmJ4b2g4C51Vf+naSuWlFJ+AOwYQar1SHB f2aowfVa1Tnsg+1eIEarIZwdWXtZQeYaznWiMk9uKd7kLYVu59cyLJRpZ2MbSab6P0CLbHayxV97 IESV08Wh/DGoUnSb/RAL3YeXVeBkz3I9LKcZ23lFOjzewaPL4jwgcXkJk9kpiFYL6t0F7dIbZZqJ HoYKNoGQrftRKwthFuQqwUHZZfYlKqlPanfUf8AY0IFhrSkTMQHctxgCw0+ug7yrp7kMKqCeL4An I/GiogSKv/tB3INQT/Wnk+LWvOnuKLSX5+Iwjqoo0PYQjlCRedvQivVR4jBh4DjIc0Ga2kXkiWkK kle3uEVOJ88dhVsfXcTcEPmLz9Njf+jf+mJgBoATjJZB903fp0S98aqt3fbUsQ00eLPbqX8vjOVb z1ANMcEbXUilW9LLcQ964XtH0B7pa/KKgnrKJE0ecFneMZa2x5iL9URolKKz8bLGM6fatrRNTEzl w1ec0AExxx7VWMA/C18yeXhAPO5Ob1PiiOGZtJXj6CKiMN2YqItj5B79F7eki05FpP34VZ/xDVp9 m7smQoSz9eoCZ3HoW+s10/ZtU2v/c8VXaf3hJeSHblSf4IRQwxLc4h6nTLLwWbiJliftHAF3W/Pk LdneeKysMMcb0NUg3Amls1HEvJinAmRs9WDhWpyzCAn4kB4mi+3vzE7yPvkwRt1Nmow7PUbLqpiF BUALEjvCYMy4aGROO0KJDUyFHa6iSDG3AvOVeHjZXTeVnLAxt9NDVz3z5rbmU6nplMEVZDPA77DK wz1dKh4qwxdmne0EyXNeCmBee973d0EHC0xg8B4ePKCcoFIHg5qv92XcwkK8Y1UkcSFPI0ARX96z JgSjZSnVBKs71COAXq0WF6VC/xlYl7t8YkF1K6thogu7h0JGINxsO8rdlzEMjcRJx9rGVXS/SdX5 sF26Y2WjMFMgH5QHKCnaXRF0OnJECWvTlr5i4HQJN4dYLJvDG7mQZC3OGi5HdbbOu4gn5/1oVgZU h0lP2gItnzBCjV6YbOqh8GDevA82wLE1/sjTVw/S/0w29uodMhiglZBTUPqYfrjteVc1vl8Dm0lb 8PrxxkoCU1Y5gg5HGffaWEZ9pPbqQB7rME4UJoFQsbHdO4b+t33NFPJbWn58oHPsErkCAgLJUHK6 RzNCj4ej+rD+0W68VOUXZArDC0hGttwl8V3+zVAMiworGKwypcYopyg3QeaY8GUuTEGV1lcQ+ybp cbRE4t4CeYfPmEk6Z7vVj+JSaB9k9QatmM+RD50J2VhEaYr2WnpVMMuq5117u01WPyFHEzkhBgif 7mzX62OAfeKMDt/H4h6yf4/luiPRi7ClhA1upEIQ0uB+xnKspdNn3zcovSuX5fmTxBvdY0p/TnrF G68PTEooVGlGzDsMYSI5bctcl/5baMN8/j/jWNkytducIzz0849rHuBElMq8KqUGWlZL7lYPAw5L 7Lbyl35liq31chdu7+hz/RI2iJmtgsHNvTgkGj9bQWk0xCfsPI895t9rfKcMcVxKJ7qgMLyEfeuW xX4YJq6Va7JUni7vxRws0UHH6CAtBRbepHO4dpTo5jRIlsUQzb6imSncIeBl0ep1HXpSPP4yXjAB vke0b0q8AI8Qy69/bN1z1oQNU5/E5ipgOJtAwglf4l37/xoX9i6skd0oCZg8bly+/5GHEY3/cSEd Fub5+ZuGIRImvfsZKNu8QXUVyVyiByeWG5b4OH/jfHhozA9FnTE8Wc6e/3gapizQXxh1sD/F64yj HPNI+/+wP2Q+LHvF331IYdMjO5hGVpThU3Ov4v08KLMfrBUehRVLNIDtdVBNJhd4HGHe+ynSjAef HY+TaAytNGs+oqdHo8ExwQc/xJa75NsJHvhxD7vSSY7/Pg306vNFtPxl4v3K4yzOsGzE12bvGuLJ xl/eitqnTeaolzZLc54NSjtg/jEjk9VBT0WSB0dJI6H5kei2S0JFz6PvCBWFGxhmSDNqbUpGIbkU 9z+JKn6oD2jnUx0u62quTzscyv1oshNC5Bl3Y7mX0oE1V77RJxf+txbRvKLsQYc+wH+XzluD11Bp lMKCGGRd/4DgMGQG/+SrVF9oFv+8xZLnHG5FH67SJcOZEl7s6wl2vLXWRwroyyq6oWkXZn+rbCD5 3dNpNpzXzB/p4MSo6YhDgOrzPFvdTgWW2+ZQofDnv3gbdcax8wFIhR7zufHmA5Jw2isWvHjWfGkp pXxt0hTl4yd9uBlGJ6kC/68gGKk1DB61b21p6a15gc9uZv7aDxKUKLJeWUfuRTSn2UMZNxX6xcTe 1dWXnRQSntX2gze/n6q/meQRqZP0eF6Jcc6CgSa/m5w4j22JFiv6ot8YB9NeXHUPzB9jLC/dRkJV LE8EZXuOPSBuBp0BrXr5ZBqRsMQPepd550PMJxJMQIThfFmHv5ScqwxXvNXcHy7aRj5d9lBuoof3 up+qdurTc60e8sF3gqKBcSEPPizkh9ZsVmHptZ2t28g0Qq2BXNe3u+hGrBLHOWJ1wKtg5PQ55cTs VKPn5HmKi0GI76NbiC7m4ILnryCUWT9g1UawHu6Q8g9jAWl6wV7AO6pI8g8czcAAKBdmWLeICxVe hQFAf/w7D6wsADYbA4cRuD3ftdGRkDcUrwmvqyqCNy8LduOZNz4a/of1knGsao2AF2SSizv0cbLV HCKdHmC9v06rWcejYSSj2FXhUvgBambZ/4ASpz2vrfizVUue1xestgfrJekbbA0WXThKopSUsjRP nUOB3QbkEJdw4rDiPRNF4QTmAORLy6eDn0T8K0/gY/aElWl8FGit6GbW3Y29UmEO1u12NCEoI3Or gHwXeS54Qy1i87WyBnxU+15kJqd1cHe3GcY4I2ZIO3cqQRZSmG3niQQVZEY/rzjqldaauKlwq41/ MN1LXVdzFksoH48M0B+NCTQP29S5/TMefRo0P50uU428QxczdCTYKrRDNb0FlMCU45otGZpmK+Jt lyjFdim5IkHL6GWOo0LiXNYh/weUJkjX4jYnyDEOlZhuCh6PPfODVfnQTjcQTF4EDJSuJgy4h9li kgMqFZCKokCI8zmSUNYeuZBXF1YhoZ9GvMZ8seZq+TjsTELtWWYj+LM18A5cFuDADnEP/l/BJvCO /rmgpSPVfUL6f46aGVJEl7+mkACe7fx1LLTxEKIrCUfYezfMcnKlG/Vtgwm4zRjiAfEcb2v4rGLC /W7kHch9Z87zAHm0QzFbDl/JWEv4hBy6a4D9EMFJHd5LpzZ6Lr5Wr9/yUnB+ILgReVHFYTAZfhm0 ZqrKPc9kTJOf7FGOjqqmARxdGdvOsv+p2fFdrDDEKP04RoXqOqseKZHGzLLnL8F12koQMHjWbNxh LpG3wxzeeQ2K4nrAGZGR1UGQsBAQUD5E53ZjUOl8rrqVbjRBs424lD//H86H3JlZHi+lYhhEa12m V86gW03d6J1c9HECqhACOC/ttuYlkLz0l98hZePEgBn33Hr7EsKQxPxJCTtzye2E9nlsFoBOlGIt VA+sfk6C8XRKgDfxgAQiewmiiOBy8TpEMa1uYMoHLTQij1KTz5+bgYMpBox52TrdHKNUuO3VhvWt Ff7DrmFEq1WalUpkSZ44eI8K/nP/RKp3vlkA1p5RT8qoqKkrPnWKowZ5oWdChKYT8VpFma/IVaRo e3ka5dU2Bl4ErYMMLx+A34PbAbyGEu3Fo4N3vaQj9fqv2QJ/2QqCGV19bQ9gq8EsUBkfIZ2/gsIg zoTmnJ/y7YQveWMnmCZccOthzeH4w3XDXXA/S97dQ/CDXj2bsUvbTDvUzCiLGfiaVvKeAz5FdSjZ POHKRIEwSGBv9P14otmZ/rnepqxUzlhk5YlwJc/Ox3xIXFfn/8R1JBCqDBCvkOxJN+cIyNRwOvwE e1tIDVupytGqER7nqx1YkLHpKQzP59W84Ant5lwP673hbxpg+dO2y2QNtlxP8+Eu3i1NUETTXE1V 5/sAlrOb+s9sHNXzzOmx43dNtixZo0L2U9qLvBWfTzbA5xV/dXO6MdCJsARpCiEPKZpvBczyGATQ or2z7ji5R4X8PkyXWSpR7UvYnUd0woKhbbSHvFe+QpdIwwG03G0o99titbUoxSQ4jishCp7t1Pm7 0F+MX3C9WlbJgZMIfZvcS2zMerZ7hrlMJRzCSB62jZxwRqliU4wh1NwPbddHf8AtGjFXbWfyEWR/ kxN37NY14Qyf7JTqYGWXvPAwAkSs7ow1NH2Z4T2wXtyN7TgWmmGBwirbLFxwzp5O8nG0VYnHpP5F MIEFZSW9arMgiWPd4ky5U/mVFh8pKOW/TN+8IXvPaKk9CC509607xBZFPzEuujW/0yfLB1HpYUp9 ojzqgr6stWT3EmQhRmQ83oEtTIrGEk5ziQBLrwWa3vCxXKVfSlwZkI7xG49FF5HmWH6NS+VlAeNO BXv0dZ9iSLhJ2lxCchLSteTSIrj4TlIH9pSc6oHxb4r6kt9qPSixsKsm4c1ZlEcRwS5rb9jWSL+u J72BeZED40FSRyHg0l5qEZoI/coWez56LHFR5RN2xocQefAIaeir5qkQWeMIu5Pl/6QS1M5VHGDt 69M3ZSV8IX+HxmE7I6ims3PuXYtE/qMrx9d7+sBExgmT0x8Cbkn/aKtiBqgMr2eBcUOwP9XFaox5 8ZF8OjlMwJRofJtQiCApSFroFErZHoPJNczYsppJO8UC4zYS4l6em3RPqG/JpZIkHJFzxrn4pWcd PFkrUYyNVqLTecA5qqZAHDmJiX1Vz5PqwFQfSwattGdDP3x1E+hFATLSddCpPJk8UyC/L72hmFt4 w/CH3Wr/FQIhalJHV6Dj5gw7FJCgOGhxb3+nryQ7u6qaHMcFArjhLsMR83epAzoeUjmrU/HXsiFC BshOq2hP738Towpm+xb66BMLmGLJDocTAsnI3XedTYcG49MtivoyeYADnFtQvsOEtH8RszrqqXyR a9tKRB2pMSwfqj2NhcQb8y90F8beWIKubeN74NTfmyr6pywMaleqVx5MqDVverSKBXTud9VwbO7o AehWoaKGrDx9y48mS+LUa1OfCp6vbm95gAqD6cVsXuKxXn65UgMVOwKLrsChcFWwtMyrhn6ArQ9h 03FAENhWThdiHfVVhnt6Cn7wGEv8rvTD99DvMjOpFvu8h9C6X8JXqMSp0mkX+BoOgsdxKJ74yGrk sO5tpOUqeMZuMy0D/EkOiWQjvJXuXFEX530/p9Nc9Y4xIKnUUC3rWccBiuAnUA/6vKM+stEjpFrg 0LTW/dUOMBQ1d3rTXOcnw9IltxLzQ+liX7yX2m5OtEO7LdUWPOd7JJiLWnnxaZkn+rVtZ+zFKRAt uDieZcT/lwU0MB8a+HHY3vGpnVntmTcbJ0xgOsE5bZ7fc2r6PEhZYibUK0zfUUyXHfRC9k3wP4ZX vn+EhdcrXBo8a1EIWN5Cpki4zasgwIcMt0qwAknZRnwk5ArhOII7ZW18bwubIIoJUfiTzKgZSh7p F6E0wLstKs0WM1yKbs7PErukqcsYDFQOhegsA+znHetQEvWYcjnZ7bYp1vl04ULKzNPQ6JBIM7DG TjYEMzOgXmz5jBjrxGPSYyR3DEMeIwMsJN7aDwIUS5MqFBZtwqycZPVZiLz05Elc3nV7cuhK0LR+ DzslDrrIeGAmWImDBzyeas7xMuiyRDnSIS2gUA+lQMhJpHEXJ7ajHrs7MJxb8yKMSxJnb+De1LhG W8RZig8A0iccnxRcYsbPvKXb09bchbzUjTVs0cZeRBa6khKeTOK5gkshBed0SgxengjQ7x+ghl8U +Ff+YzIzV1oEp68yvkdra1MNRdooDQFMtmQ+mQ4pHbkarrXFujlAvJ5m8Jbr3PPuOFSGKFzisDud g61S8Bwe7yIYSuJM8OVLcHkjYTqa+wbk4felyr1I/aE+tHdjXp2GKivtUgZKS/n7XqRyzbl9n++y TrmKhkIvswu33fitJBRI4psOyWyZlbbfCxeJ7IK6YAyW60Z89BJoIg+sDgCjppfjLvVUY5AUmEoC 86iUBOJVTYEo/vxJ2CDgrRwQCV1BkNXetL0M9YWR55mbW+2omPOkk9M3HbLfmW2UJ7qYBoeAN+5e +mKdE+Pm/py7iwO60L822BdTxDqUzbHSP8djrRj7SHfNgV79nzgWfduSXeaQaljE7PupSxRLnQiW rPOQ0cTElw+LUiuS7KsGjM8IRw4GHqrJ/8s4oqajnhYFMe7DwnVsg7fQQQAGBsthb3pZcoV/yZLY e9b1BgopGntDYIHLgUiuflwfM5qq30D/MJjAUvKFbe8kDCTxBGmk7043ldot60SEnbyMNH1HpyUI m+KHZjilh6pKnRqfYAgnzUo18krZMPaLYNdnBtTrlW4mQ6xcXc25NSFGAGIJJhQH5LZcoxu0WT+i uAdtw9P5vdPhO96OBH6jW1QBSFbJ2/L+Z7IJQIepVZi09r4lTGWw9Rou83Kpbs4KL6vqxE1QvnN5 1DHdQbFUQeKG0AH4t+XE0GPR+D8H+YxGS57S0wskNcPlb3C+dWCqSdgDhzlc8ildOdlMcWKClrOW bqtWQ5qQIg7CzB0R8EA8eepZ4wnZAm7sQ3ZxeHpunm4sVXffXaXyTDM6MwSSofcXCk920/JXIUVT VY+8Dbb/U9wt0jp5Caef3XE+53iA8LUGpFXhROEU3fQwq/RsMg0IdD6S7vsTU2DyPNo8XFK2jpwO ewg76eUZhS9ZgEY4sEDhYMjtXHAnyFH6PZSdCbBnjfffKhU1pq5502p/sAG7kjT5wDkIwifAKmlV rgXVnc0Km16IM16bp0MvT58o2o+WsqMgR4UJkZ6c4XZq8RrIA/T+B4G5e7qSQx3tWvEbHmjd7yBI 8oSuRzzmNd7XSqQvKt0RLO3KA9I9Tpd1UinwzSTrkxU0IZYpr15oVrtv9groRVXf30HA0Cm6kYhe PhwAZS8KQlIyX+cQg+2REwYfCdz3q2U5mmgK+c0RsklMz/YJj4MGh78ZMhwGsch0PjfWDqKK4JDS Y2n3CxiCMbIwEjdCLen2hwJbGczqH7zgXJ5drmXU8ZDK6nrkrAxkEuC7xUmqepDN2ZjyT39RTweo LoRvc2lMW/v+315tbzesh+jdLSnmWUILJAYUtQtFk+KMelzpi3sL7j95GYFEab8GG4XCCOY5aWEx WIS6cJdeSQGJkKJvG7QiMuS/D/57kW04KAOLlT367cqE+G4pl2Q30B0FDBL8u7Wwr2n/wExb5rS+ utrifZGrFHNNQbUmW3bXO0HPDZY2dxmrGguyTwcUorxOEc5LZUQACI9zOkHlXEea0/pmLa5d8b4p RNeRksztUJ70SY9rkYV7JLqGpOFB7s8Zs2PAprqldbzst54oUzCyJOuaiAqTP1oE+HduzaC6kFZz 7CiQF6e0krB0RIaJG1RMD2/Fdr3Yn8wL9kjcVd/H9iEuCu9KT46TmmczDqhWWAJzdhrmUbNKjP1M k90uUeag7Z6E6WUdfl+Gjg9CmwOM0DGYdeeOrAk/lzsS4Dc6X6btdktn6Hugm/NU5/vfD5sOYy0T colIcVkXw8sDiCgV86qwIM/95AW7cbdUjA1WxkKYytf3nScPzBhbNQmFIwtNNlRMQKA9zHh/N6bP 36KA2HCFJrzqBecFrM2Upb38Wnqq2lzAlNT3iyF+0lYs+blS5YihtUpnrmC9Cw747NLjtLSMP20m exRdnoFSS1vWgIgKTZ9eOTAsp9EZYTn4Fv29Jnt1q1TRRRbE5mVOM32LsYYQS5NsjYzuiPTbv23b zoIqiy1OczVPvxsIgBlV3iDe81Fz6QzyPc4GQkJWo0D5aW5rYh5f/Sy6P8cPw1TA7yjLcF2L5Sv6 j8iAFu7Ze2u1nnpLO7E20xUqKq1nP7gTuTLDNbETVERmNaM89mhqlJQ77K4L2QpWQH7HZ6bGKtxe n4/h19vwlMBWhRP4xSMrytttIhX5S0zvTFNwvtQtKRgfQXqfalbKm+kiMt9UMqpGCvYzIHBZWrkW BPKMMkBMzFFgDdnk54grGN3jZCnoaj5Xy8zUl7y3pg9FkpzctegrNStMcVWPJiUaeF/fZLM1G/yV geMSZeBLWcqFfKgK8J7Xj3XLdzsGWMqz3oq+iGNjawpL3bwGn1p0WTye5mfCt8irQG8EHvq+qd6i GX7hqSA+hTU/hDqYK9J1IFNyjbV25+VjuA48IwoFgrK0GNoxeQfm/1/OHuvxzRgjaVJXh7N4z7dD 3TcpEoVGot4gk6Pb8oCAPWXtUY1wK3UhmBYA2TV0HsS7CkJkP/m2ub8ddX1tNNOMUoFnK6suHeZo CHkAxdMYgNFQCl95Mowv0ifYteUXdt9PvkNbmVQA8FkcD5TRZXLAi3iCJbiSG7+cRSBrL4QMgPAZ iR5bMczS9/CjoqWiws3CddzfBZbjJP9AN9/sk9io/j2+YVb0asHARm1UcIvZ4N6vmttjshbjGUKm WvBhgpTobJh1/y3If3QWPJb1oIzrXIWYNq4wOHP6fjoNY2jic2pSgysJP65GPTcKvZ5DlmCIThZ0 KeeVwWjfbSu+R8wGdhSI6kY8x4RkBIwXMbuf6bdKWq0LVlP2iykxpTNqm+X7cbZhb8Ep1/pg+hGT J/CjyjFX7Yf3CiClHzZYVY68Q+Qgx9hZJ4knE0HE48weQs0d40IiFEJDwWmncfWE1hArasl0ABD9 yoNBjZZ7FWNRIngwJm5oJ/9VIkxgcuhi8t8hEdDmSiFJglQJ4ROxlLgIBKeweT25pkkO28h6WuDf YAX03oNzu7s7ep1LqH1kYLKEuEdsvUMhpmxo1/0qs52SPBTHjmkW6Xf5REbtdwMNXqFhL3D5O55D pqks04psEBwwf5qA8Ic3XrRKncOMWYfv3H2mwhxXGlkzafs2bAEj72HVrGnGQNFpOu8D4vMCI5D5 jMGT4OPguVSvD9UO5NhrH8o6AltUQ4WGb0UR8MJbrEsYavcmrWGPb+dljtdPxH3T/CozO8JlQeMd Bd0GbunfFn/OOZgc4JhJc8pqLppcsDgiwd8GUvkJdWyzPGeEf/ffOorGeTaQ3rMvAJhq88++3AaI a4XyRw8OU4yfxaQzASUD4mmJTMgAkPNslA41qf2hPRzg09pRQH5c8ek5MoYDaQeqghUbo+RN5A6p 7gZlyvlu5mTSXWKV+KbqYgCKkUZqIqJ78rrrDx6OBRvZkDiNjMGH3gvKvD4WvXBfULqrj1jkIguY fmrewuZM5mvtEtgNi6M9F13gewNVNTvT779aq2NWwMuvervKieJWjeUnXKiSLMjp4SRc2RzdRCKS +1zY+JjIJ7mQKa0DoXQZA71qvp6o1jYxG+n9zImNl5H6hdbTTtVAjIbEYufupQ9FE0OxETJI+GoF aVRFx2L0sZiLUNWvZKg9Nk9IUNEmzXiDi9Hlz1AVSW6KKu/80Qn1zWLFRN5sfipA+GFQZmkjZOG7 D+SJou4yijXIez78tKNMBcZjhLiyh7fQ8MVE0HOCHqQoM5EgLH0r25N8p8WQWsAm2wAj2l+k0Emj PbwuBqzmdMN2qgC6BXFGXHAoIVi7vAxhRmZFTXB0gMsZIFTpCHnUvpNTH3ACeIdmJhR+r6NzoNT7 d5NEYf2MqT4+WW4iWZe24DMkc0BAO4wP7I6/GX15HZX3fFUiv67e8tsavZhahGgRPP0F4BhvbbX0 xjICJBfxueWaWL67vBHHNFrhnVrlr8L3mLEaNo2YVhvm3B2nCISzvIeG4PmFiDV+VdcmLmixCIxd L/HmK0zZ0y34cuhaNUkLsQVcIW7Yeqm7cHTBSn+LTfr6nFbVHqYVtfP0D7/uf5F93iMW9KUTeL59 Kn3edNUgo5wq6YmaG7qNkesHgROEFoo8zhi9H0nYmszByeE9VmvVOVWGcHkSLpvTeumCnzGtuqdB bDS/LYgkgdu8fUxIo/u8LFN6WdLCKrMozIIs3g00XQYTyT3VsRvuKLvJzM1xQJq4HqOIAEJUNjUn nM6tEVJ1evN/iuVHNehObjq2B1a8zO632ieGWATSIK9RsE2AW53BcgzAQx4uz4XdZgIMw5foByvQ Ce8GkFAgfUBmtKOzPFpriTvFfu+wrNjTclmQ2wlA0eCldQPu4Afy4FaTfxGYWqgMRWM1+ejrDU9O XjWtjQ8x79KcBpastXgqIvgttTyUEOJgI5Y93xOrHF7SMF5O3wNfrSEQtjmil04LxycsKeoajfZe dE1n7krX6SMHMB79DPfSNTGkKZequIic40qL0cU+CyIvvA2YupKNM4IBMS6/h8b/cGLDNd68QfAL YOoybcjroVt7pW7BV/fcpW5S8QeDusyh77PSRYTV6+F5rc94Zx2QyDOnOUXokOL510Nak680NCBW rhH428SbqHyvY3Z/M7ERckNPhHudkq9i5wwILqu//WpQPC4pjWmDp4kNGnYvQZmhPf4dO3G3VSSm k1EtyqOG/Yf7S+bwWHfm0TsfCAVzQcFAmm3aJifpvZgb2Q2xwMCjmQNHhAlhZ1eiJTsf9hqSbsx8 Qrl4ZV00qQ9oOZjzPwz37QzhqEjdfyxu+d1Yhb5Q6dAIv6LvDkOJX26CPqEG3PaxJGmQU5iMnAjc Fs6PbQ+MeS/GagA1WDd4Jbt+pl+XLzHTZbDqPksiwlyZMpfISgfYdvrCS6xm0jjeQhtHV1UdpFoe 3QMPzfT5RycJBVmSiARj40jQdqNaJ7+YTKE5zdKBJ4AhJmdAv0biBRrJOLKbuG/iW/rtELx6z+GG TKrQS776gNmw/s7tKQdCPlSfvOv6ozJJpRk00v+XiQpqSEU4BxhRbLOaIea9JEIwP/z4F6/pwBPD BxxDc/4quwzLi13AO1UWEXludMMH3VleXPNbXQ+IV8MzRclIu0MgxksqBlaw0ifh3+GCqLKQdEDL ZjBt4mH+H3M+W1knzOSuy0+Wwl0FB2Syk+SLBEnryGMwGwhFIh7z97IQ2+fHNMQMPy/EPZcHxQ57 Pr1scWBFtpxSvNmUx3oLKH4mjT8DD6U5JIoibrJh9w5qQuVw5I8YdTkhVhfNL4T4Oki8Lo1+9340 LbAhTkw0vSbA/VwwKq3n7ab/DgnJ47nmsTFxS0ov049SJ4PnmA0vmgmCLuiagBPFxy2Sv0om+dw7 Jw6y+fV3wf23X81g5oDqTrK2YxqNxhTln7sEnu3BrPUODI7SLcxWYSubHP9xrwJHCfsFkworGUc0 QrNL0X6kdoOiu8vOPQUAsO5Tg9EAoXuNjqnztT4pEpAcAUNr49BZbAjDZ5QU3FsF1pQul2ka6AVf MtsfCX0OHcUH+0ZSatAY2NCA5aFJmkRKmMG+6AuzB7W2Ovxku1f/aYUwGnMVpikorvqufRDGbaBn 4wV2GixJUjrFWuXrBqd1K5Gs8F/bU0/yBD7gHHbyj9AJr8MTuohK/leZ2brkQecUxT0J0r4DyQmP EWBAts6P1nSffBrKaj5gBjF4CQARqL1ovLeerF6BuZERtfxfyAi249T5oOnbl0QU0h7ZIwqjTs1a oOXWxxpxtbxjEpl5rLQaWYTjsPgnTQOEBS++HIglzAYTAoDKHqDIrUBM8ntycg4YazpXXXtIffxr CChuurJqCJXqD0nzVP+MILZSXUW4bI9bbNPOSfXQOa76avTU5oa+Bhyix6U0hMFYprQc+Yg5yand 31yAoCKybN3X1Am31PFnrHutXsLNPBqeSyQXexJENE/AcBr468cAan40Pl8qUvAjxQuGK5VavQG7 3lY/QEPx4yKJLdrBdi3UeRUaTOt5QmvL882IQ96YKl/SM4j/sac/Bvcb/xRBqwyW2Gp1btY0Fedy nFudXgf9nOw6GNkd3ejxpL7OuUugV2xpO+NFzf3LO6ihk+xdfTgMfymPCzEAjCFS+eTt7gqoVvlr 8ELGZ2JTIfHMBP6L/dNWsvjQc70dc9OCyBiLMw9CFs46boswfTT98e2Fdl2eKnkGpGCUoUI3vzU4 zLC4Lm83MM/+oAfh3X6shYO+YW7f9mpa8Ot7xCybXUnnE37s/ft3gGLU8f812uVaUdFx01y4ftd0 Q+VjfpBvzFDt2vKxOP06jAgLlQeu6avTVg1MlY+cqELjpD/Cwrc4XREGA6PnEVvyCk72zId6YJOv l1zJ8QEqKBdAu2Qt8ggGHSJ0LG8K4HAz1gs3GU9WWj2TH93CR+hPbPLn46E+S13gWUSyxJ59Hy5E apaavJsAWUdbsiV/AeaXQYesMonvtIrRnF17uzcNdZsCXPRqXa4BajUNaqyQdveo/YpPXEWuvnds BJv8D3YOUiH65+YeySzaI79jg+ESzForG7uOPY+kDynfWAbiGXMS02BLWOFSrW3F1p2lyso+FzGg btFl8/Sr1g/vh2x5A3Sh9rf2ZvMyzmmtnq6nSQ1KkWv0o13wZR5GlPM8qLEbvmfM6HsYxK7QmyZS vEFNQAbAPrSIehi6rCciVPmDduNeaol7Bz4K4KWpuATRNApfa7O5yqiFBirfJIF+zqFp1YM+TPCC Y+s3wRRvgfl3UWnmH6AB47s1scGrngq0/K9X0qBoxwc2hYT5pRBmcz6v1/W/RyltEw7BIue+z4Vw TT/DldDfpfhDoEky3OYi+4mXwZ6jqvoUcE0dMz56EsRldagP2Vx239NHDWepYwzEFkUoidgjp3cg 76l5FPI4wVZcPnBS1yvtb0PoM1u7Ov2nuZnEmOtJfeYmGyqymBoxA3XrfAYSXPlexW29RFdw+D2N nWhjIvGuPRaCEgsbgUzdIi/iMr0qgNUO5c8FSUZ1J2AeDx3EI6cB7t8zpwDDgsYLqdN1Bp4x0cG9 +7zkRDsGR+QBvfi0r7UztQoFaT3JAoULqTVbhTeGnChazFt8k3QszQuCZ9/E8XrrXYIqOayJzZcH /AAvhscjYIQvSFulWn8FGX1tq9gihKSFd7L3bb+RMYHf1YZrJN3UCODQWhhM8+QC3fhRJUt/DHZg 3vBTAuc/XtAM36kLtUzUel9EPm41rZtu0MMyrwrgcTldz8pvoUPC4MSe8qesceYBqDv4vF1f6V33 mzGkSDHKsT/wMPEs5a6Qa32kEIgHPa5dJYiLUTaua5cgtLOBdwZlQEhy8Va6UP2xh2ZDxorULSuU ENPm13GymON5BAaYrnZoJfkrls5MYpRq+FXGyfBPKcLSO6FuLTo/w/j4Agi14b7x49Kh++VU8y6J PiamgxBQPAL+5n1ztSV+AkTwGnnntlANbObLaiV0MKrDnEakD683yHEiiz8ROxrkVHn/joSw6f/P 2FDnBkouJb3AyNR9swz779XRgh/rZBwojPfWfAUiYF/6bPXHBMRUNxN/Ybd+QqW3iK7Gwh1KAjTE baRpgrWyZa3oV5XrGOPu8TSq3iSAOkznlZFkOC8l1zY1nLzx086lg2XbWlTGGga5gmCJelXnf7H0 raRlQP8YTTCJ9Cz59ZjoU3iLuO07VXfz+LLu3yL68Ip8gTJmJU2kSCNKoJ3rNXSRaxb1I/cvkiL6 HKTFRXplGUKoIzCvQH4/8gIRoXlWB5bUdRQm6ZxtKFh7xmpjbjmVsaenUDpESX0Ry9VgI3mZ4iww twkHfECYoi/1xMy5GhXTJbQnf/cpLGrW8Z1DFb0BolQJ0h6di/W+wpupgd59D9lUCNa0b1eGu5mS t2YC2ixsO/oiqGyMGCOIfGUvZF3X1R5kkaiAw0bcYLhUTjwkxM1aRHoCcrrEatYahs56m7oIy/vH 8B/0sWkD7TG+Q4IZRQMaDnYSnZOk9wYgbnM8gCgOAPBU+GhBntAHNAafTpab4WnSnX1ehBwQNvm+ 00/LKAflK0TSWk0N0Tu1ntHZQRS6sscSYVxYuX4dLtFbhqyXlTgaBWfxCtYd8MUcOuEIUsUYATvn PINT9ZzucxbGhu04xztXKVS42/GFCC8soSAfJh01R/6qwJVh8tIH1yNzau8BAe0emwTCwN74U7Qx oCcaPXm1UA9AM6l2+XRgIHP2BIMEBcBGxsb7QzZfReZR3G7nPRXhVOWQgrU/U3Le2mud6VIwD4kB CYkUgLo62jmm3Fpo/ZxbDtWcMr/p2MxCkxBa77BQzzgr7wA7SnqQQ+W0c8kafsQos8mH0GVXz+7f HlYBssv5n9Chp85Ol+F+8PFnEyapEm6/AoW7kknXGcvW38KQcfPSRi+v0H3/t/Z5Z1YXT+LOwvGr tW7TzeMZA6XX1SKeeztjw18upfD2sjYjAbQG4HhQPQVYuNhZCQ676P+fFtJgxqrew8Jq63I9XXYO Xx3q5ML1DW0z2cyVAOA371wzCIjKfGsShGmIQU0ip2TEdiPdjG91ZO4WPvpnAAONTDOAw2DziCJ2 /8NWB+RXgJX/Ukk84E8hj+folSRxE963uI10VMX8zK+taFExfb8No36x8L74fKfqAtfvu/6YCTOm hqvc230KxbW4jYJwPU+Uq90K3n7Ud9lphyYAxg0Z8JoS95Sn+QI+bFl47CsxDR77BwHy6Big0Ipf 6f6v9XHhJVGI/Q9lW0wx3qU5UjxQalwPbhxTCZt5N7ITBmyL5pWDi9LsvWrmcoeqeJcJiKH5t/TC vj8ql2jizdTskQzBaxvEkyWCBPgMbvk+zzbA0wqgBhEdLdm3OgwuSA7oHBFenPnwG8hMz+vkpTWW 8tNOwFJHGJUGfOv6u3W9dGYI5Hx2KBH5UYOo9raldEgQQu9iRu5SiHO4OmKauB+Q9fd11xXAinyF 4UjO8wgnEgudlsfYYDgScL5O0zhAqMdnDTFnd9IasM6HinRPO8MNiytdMChcKur1nl7nMSHDsaoG X1OAQ3k1tqLGuhQsp4qZIYJ1bQKMKezJmB3tly0qjwKMpS0ZT8BVNKAmKfUaF2epLU6queJI4ahe MOEl1cdJyg3caBvfI+/suUPSM+6FgcA9m2L76yvby0DR8XXdyXC0SDnE89PN43zQjAlx+B2uZ9kO +UE1QUIwusORYJ6SKEbXrNTKliPznUID4/S3DO1bglKPG7wp6gmzw8tM+pM4/jmj5UgGAQsD5vqq bhCEuWhYNgHBjkk95e3z6o+5C0VTqqvA7inNql8xPhmqrzo6jDaNeJp3KCHoKjOqCSUkpgFnaTCr TrAGpI/6viz4afGpSoLnSj6HiRC7G6BkgzhQuoaBqIx96hnMI9AFlkRVtEMzc99y3zfxBE7f00Mm wvEl1H+AZcgeAEiJxRFxxiSdrLsxh0pj8K/iBb0JluGLQcdMdvWC4U94aZyKZMYNwwKY4hyXdCEs ax8UQ+J6efexQLdfk4xGLm2AZ+3znViXcRifzmsW6lBxnq47zOB04mb6VNWcHx/sDGbgsxrRn3rp cNTPyRPYsfNaVQXoy6NII5yDf7+D2kiUiqYZd9rR6VgyD1dVoOJ2w+fVjDXtit+gcnhW/Wts0MQk Z45PYBtpM4+fnZU8EvIZqc2B62Fl6bfjgdx6kFkkf4x+T0StBcYUM9UKEEA/YlgOdl3G9PxEESKi LoK5++VSxNjeSu9NyhN5c6NElXlzDJA9d1xhe0WSGPYwtwYdkdrTxhMaw6A7NaImHzkRptpJee0o RQvx3hsDZMNlcFspbX0N9w8E43FLiUY//uFVpeSYlN+N/QzLgP6ThU4gNRPKEKcmcOH9wFITP3h1 obp4Dx7awnGVNidCJRwvqIWKvGJSbt5sCCnKLnIRTpav9jqYv1wq2DWmaQV0jnMBEij3Cbf6CrNP QIelItDtoxOqfYYuUif1xlrewjeph6giLTA6xdxi9BlgRoQ9cx0JGjUhT/KSAJYkkFCwltSX2as7 lTzxs/2ojiRiHElMSK3cTEAuXIvFIG/RAC+IsO93eEGLuKDhiig0vTV/MuFtOKypNTVCf7A7nuUN gTPPZcrNz5m2pGbwZXereKTBryZONOW98I/5neWcof/hRX1h2zjn5NuKfHjVMKM8o2tTXhiEFIUQ IF9CK+2NS6NMGYdk8oxXu66wzpfr+rTAjbvpQuF+N9kpbLR2/Z7GWWDvwujt7wEUWLXwJdBsK/f9 wKsA42xEvgr9fULuagkZlOyrG7dMSeXV7u9IrVy1/FshQhA7c8ZirkBVcIyfgBJsaM2tL0tj0+I5 mG1uWcuEgZ2xypN5dqRxR5vjo5CBeKzUH2PSF+YRedNt9W8t8qNSwT06KEyn11eW8qmlHTSXahOL FOTOO0MndbDTgeXppEOJyc7NNMQ7PlefDcZ7HkAjFMrmjGWknb6K2AnOL5SCuG3pr/IdMjrKWl4V V3OVyTh4gPVyodnaQDpKYhUqGIjMojokz09912XRcRQXLbbC7IR8jWZUohfTLOXyYDTSCPL0jC7F mkILlxL0k6pDbWyHvUz98rsKCLAm4dkeF+wgisXYD4aiSzkcz1mR7uCiG9qHIK0w5Q1Vfa/9IsNc Ovv4l++/VZmLnAGVXFzU5/B5hUQEOzqoMzolMGmvUVxeG8KpXUa2fQrEwUHYp2yjwEmp/n0YFibd 3nmMy+znwWFa7UzIDnDm6p939AMOZMGjiFJGGom6DNMdpY85b3UEEyb5iD7Aq++3uYIAq90JzJF0 p/YUL1MhQgTFrYr+1WAILjM5KLvJZIFTkDNLjI/TILS2kaDdpgYkGX4Ot/SrCr0iLkybu4w2KuhI i7+prRZ1PmStiHc9Cu1CaiyfP4Ha8ro9JUa3raqAFK7d8reT2c5eAQeW2gQsnIEol1LKGqQ5W7GF ZAH0KSANHOLokGlz70qFBrBz2GjKhdjND3nNAMHORCcCPGy2c8xGTIOi0iMxrJuX688KAGt2XeBs DCbuqXTE+e2YkMhEn6/xCD771PVcFbk+pbsheE4OU7sIg8WKdZ3qJvspu2mvAFqppSjjeeWhyPSi XnoacGW4/u+KeUzutRw/sdOa89gbs6+AlkYhAGr37TgowriDRMdI7pchyFBEEzJi04grcjbdAcvT fCSIqb8cCU5EjyC7vi4I9oGyA6aAWiJbAZ3RNojbOcTNJxodfZXfLYsT+N449MwrkBho+ADjd7a3 eThNMFdZWhxJ1kQkfDmf8ZIWS8+uiZYj6JsBAJict5iVoBMDKqgxxgp6g18n5S/DOsXSRol9aBVL OtaIdvcvY44WFapMYZLf930Bjeq2xr+TN9f5GNhegFiMd5GhJJZlQZCRxsjzWJoNgatGL4ORsMtC H1AHxZWTXfHjUSre2TNfgb4dnL7aZiiahH0HnTetZ7MAAG3PFwLru3SNAH5CbLyI4WxE5fkO64w+ frLnQeZAsIZb6y80yhujgqiI+t7oOSZkUZwLebACp+qkvXhdkzGFE5Ish/j+/hN215OosocCTmgZ lX4/vaA8h7sx9ymeJE96wJtBVVI1QSLgdcm83eGhdwJqECyJG1GJ+3JlAu93ET1Sko5hao3G0q9t CGas1w2UwVOIuYyAXQgNEFYe5Ow4ZHIemue53aoCZYp2Uy909MFfCNc/ze+BHtCv8j5I8utsRh/R ti3jeRWptxUOzMhclGCUdzNCZYP5+ev19G72S2QeVh6+EHRTngtzPuIhIPgKVO6BU5lsHXjQMRL1 pfdze3Sv4yQORiCxKs39MjMpFLXdjcNbvfm6lotI4qSQUbbxqJKL0YwUk3KlX9ve04MQ14VOsqd6 wyYb3YWmp5kJeDL3MkXX0PSDyREfZ47STyq5Fnyx0JEYF+qLfPT7ptwWMtS9ZDd7Ec619CYRdLM7 J5T62vDQff2Ys9jKe2cs0yT2VdpkG12alps+xS7Y5B4UImLu4gPDXRBFvUFn/mttDO/3kXpdi5Zu 1nE8e+r4DBXabzTVx01N61rqZu9soPXMu9ylfopfRZgpg1wLma53nyXRC9n3bLAI+gBxRWVFX3rq 8Xn50z+m5qA3Q9PyKeNfwPtG1Y1jEK5dqLu8hKINTlGLLv1yRSLwAfPPncV0HIES6pNlGBQwDpJZ chUOrYOjN3BZNXlNZBLrBnDH7ZXK/kMnRW+2Hvz2whT7ppdQwy38cxv4sJOoInUxgEq5EzJ2BAri acKdAirGhxKObUO6XRg2eFH+MkfvSDF7l88Riw1y+lq/snogA1dguaPIbvF/DEC8eJjTJBLgiaHH b+rT4cK3PaaiAyeMIZZ/rjm/gJJmz5WmvIupd2B7HVzs6ZwUaDsB4go7YVEAxaeFfJHXkaeeECOr /ze6LAor1cVqSI2eqy/ifsS3pwvch8wDA0dqaM9tfSf2tWFWV8qL0C9RHmoFLedr/OMQ1AhiJ8CS +7NIZ6XQE0omVJ0a42F20Bc8Q6kFSKzVPrp93c8lX69HW0Im3+n5bYSOJnE8B6yy+al7pY29i/z9 k0M3SdVxoSYJtXsHpKcD1kvoWQkmBfH7XN7fzX1qaaUer7iHWwsIFFJDag2DyUvKZZrSZ2MPWHqJ w/tQ4/zpCZ9/pntYdyL1uqDmhs7OCpUdTeWg0Q1JD4kpz+/2mLLFcQrFuwkqoa0rWmIQB1zKWSwa QSFsa/QdQguQU6wRGv18VUZiYT0ZvMaOdakUH5S2N6BiZWDHSlrIekGJBfsz4xmptgtRsMGA2Iqs Uddpgplapw0Y0G4ZbChdHrr0UWoCfAhjB0FPm/vlixu/sE6Y7zBe02hOtIyWTPimNEWJWyF+SoUM G6E5BSN9BJc9urTAq6fQ+0UdBfQX4PIbsLGi7bNZL+zifoXvg4Pfm7kGI+DcSyu3QSxqZTqdd8e2 JYYrNpXnphHWGSl+VZuYUoHDlr94zns2VDWKZddkm5BMPp/Y+nmvadVcmbmlOzzWFo9LsGA+f7rI NZiTJxg7bJB71qLANqKEMQlWvE6RCicxT4Gmgks987H5b5rikl7TtL8iB+B6KWi3vff1QgvX3wYO gukFzi8M57cFDgDFyCtS4MbkQ430gDj6S3miJ36XysP88G5+wCZHTTtVJjM7IWVrltiRVKdsyuGM tstgL0+hj/UkzJWw7Emeeo5nhgH+4XtW4AmdIDU5LUx+G7x6WKx2OMhkODyfOWRRXZCJEsge1xM4 709vrtBdSEL1Xf3ZN9V3SN70JMI5fZ2cWrzXKUUKYnt2nOrpKohMTEMOe7NWPmhOTXxwSxHxMddB mO5CfwBJ7XF9c5VTqBVzGOMwvo4lzO5JfrS+feB1XuPCwmuKwdp/4yX60qtmirEoh2PpQshILZ+F 8smyED69ItVE/RNVDphxgQK/XzlTDgloOm3mr5e52Vfqkxp7ThhZHMbhJa2zVHxJSTDNdrYaaUzI TpqQG8Rl1KZYQoSjLHEvG+kJj9i13b1u+pWcNkdcH35a1bkumoN8DY4RuqVmzrnaLZ6KfWOkXTh1 qU74kM+P3od5VmSh6L4tgEyEyF1WUryEzt4xnj8sm6JXclWvdS9zxMlrd+zXbBfJ4Foh9F00URpz vWwhr8lCt7MMgXOkc56zsNd2aYD7tAEj6kClLD1/rOHni7HkP3LwRehkJrd5lRt2/9llewTtPtA7 BWXS+Gk5cfYoql6CigGg8K2JFXKyFBenH9+7123NB0FhN10GyAuEjv5ZL/bSLdHHDPN8Op4qTT+H vSxRL0aZsM6QvxHWnr0FTtGosK8u8NtiQhU/gktElQbSlKNsgX/ws3Jp90RTAG/r+t+GRy1QDJ97 tDTkc7vuni1fpA3GuzQPQ93Kl8MmWD8pgbTcEtIqhD9mfaw2FpojHjGI5X0211E+i7396oFGGatB BbK/Ir36W2fiY2EeWkUzNBQjo60s8SOBZb32OroWsv1qBZsoYaLPtIcUv6Ov/OGJgu8f4DAu4qnW 9hGsDwpRQ88FJjNUgp3OtzuxYHithju+lhSHLcM1RRbNqLRYUFDwG9459krstBGBDLSbBZ6n1r34 jnERDONJmodbnUatVxNAvGvBcpFz7gQi5+3RysX7fBLTdDdoxZiY1RgL778LPeiFCoTecFhfaoJ/ o1NGJCjS8TXrLcQwmYwIsCr/1Ixn3cgUSRViGmhlpPE6fDIcn+VFxf/QY/8fYoElDP+geV1BBnSJ EXaHUrLMkKrIed3a7lO8vSRDDZ0HjWUX8emk4WkGpi8zjkxu86ehvrOA6sNVs49uv2F/Pqs/ivg4 mANH+QT2kuA1B+nPkuZkteuKhfiZOdWfP1HB7i2dsx5y5jIJukwfhInU3R7Z7gpJMCPhZDwsXs8K NZ44p8BpvFuro9P+DdRQoNWVBkKdiYsWSDli5SDsdJ5kUmpcJoKp5hBK/YaMIRLmvG0OYos7wkn2 /Pytw+o7GswUOraKzOdVHWY+87OuiyanCoWHO2UpmYYHwY+29F7Am4r/d4U/P8r5b0qEJmL0DIZB 9FdCfPY/vS20eT0C9WROkZ+pDJKa3/SOwGlkt6PFec29lK19YdXCUZFWPO3UznfTnlc/7bUarVW2 Fm0vObuPreufGS7AopJhIwVH10y0QZsJVfE4ajZaZNLLzERvGpEO5cLvrawoQucL/78VN6jscjTK bD3j5fh8kFWGONGvdbd8fQObX2gq5ni+a1PJzrDbt0kkZXgkBYmezer1UWdXx+RNkglrgPc2PJit YQppEf1P63FxCrmK6NwU/GeKg+Q/iAUcqlXtz98SoOlT/ZYEnusErhZeJ5tNN+RcpYhQMH/r3L24 vrN4X4BoQkVYjLaA36rSQawQ/ALM7nlH5uRqJnp43fnOcrmlIx6Rj4LPw/rczwQDdtPcR0ver76p Dv3+s1mdMYcgtjOOoh/PZD8DEaOkDD6Q2Ut8O0KWtVEJ9CRUWN9MjOpuw7Mgp9qVo/+mFcLv5rfd BAwWp9qcKWzmzOiSNjVApxlVVZyVs6HbhVghTVoHk9z36Vez3SreIu6kPiuEmR8/Y1+9P7hGWvUY i6vbaJXFaO/uMi386J6mmZP+NNGj6mc/IaOjmpdRnR4U+G5G/fNcBITnMWH0DJ4cPhSixq/U+EFm EwlJQez0Vyz2TbqJXPygtpQ+crjiG4DEjVQ0j7w5ikisOOcz0pD9NQQTcLHNMM7KkSRvaL0ktqc3 kUOej7wbXLE48LQIwqCVJ4jYaFpxajN2dIawU1o3Lo66Yi5vJoD4itxyPJ+azEUcsMsrk6hjVZ83 WOiHAe5AtGjfpbvea8cUqVTxRrjKQLnDY4sTZ+Suw8UB+d7pm+aKr97Sj9dSIYrX1VvdhqpP9YnH +vx/R3mwFhAHI0k9Lcxvwjaz5tAPk/Ydv3q44vtgRBWlkI5MP4mev+onsPHf5Sjv9FNodZdo3f2v lMjIeYlLUV0MRJRl3aWY9yk51Xzi0rSd5+7Z+1Fk6imnAZOp0fjfaxf1pJLguaFDilekNCG9Jn9j O2LIpMqSHytFYu++3DB/VwzbLE4dl9wRYooFzoj5UGztV62tDLf1VeWREC33yjatlsWgUWTaJM9M c8Gh8e9e6jOca2stshJfLq78ZvUz3mPoBlz7rHoSBvkjkwLOMBZX34Gqu7kz+dMOc46NocdXuzIr GoN8jrKqnkSYqucjkBIhENzwKP/s1EbpXrfVZ20fBD3Hsr7ljDKVr8+bxCcZqJEqcM1rFYneSg0d OAMMO63fybNAQeUREQYEimJY7I0DWMfFdeOGcm/vxLGLWPtGaeg0NCHYbdkvtdh12f4SUWdcVsDe Dr+YF2NhtYWryJU/QCiR6WeFkxQkKbDpeb3wdrko+HzpWKiBrC76i6M4hUTgVJKxaAUZNXhONNSb /qvnhIayiQ6+WU5kBRsFEaxnDTLX53FDz4WCr4sFvNpYAVA7UOiDwhKLDHzODGoEKVOcwRiAquyt I+REZVPQ0QkGSR2LvrzWedLR9jT6RdKpjTcshuDKebG+tITHPz+3mbJCnjnqBgQjP4ZVDiL/9fNt rxcI8I8UlFmFHx5EHK9TelL1FdiVE3aGIq3bewfkzFWrh70baXjeiV5hJhU/Xwxp5BvabJNDxcfM m7hrwJZu9u7naMr/b3vfz0gtAcK6z+fF2R1rLpWiir/lJE5IOHr23LRcaRQ4nDjRF/2AZBEQYOSK qzlA4xiCi8gXWauINmkmiXQKSRebOAIZ9v8jnLKypGhXxtHPXEJay7V0Xx/nXP5fbq4joN0d4YfG qpZejLw2rIWrQhyxTeO3EJhR67Iq5kyeqM0hEKtVgeFnyEbzWFO6R43AkA/X8TWiMLNVltPOUmOf 1PRm+OSgjRdIE0h46ZIER6FpPhSVbhiXbAelH+2qNwUJfg+XpSmXjrsmbDbefqNmEzVbmAFDRu6F bVoEvRqSH6LEAOS8QJrABCyr6HG/SJ7l2m5uvQOYSFJfZKcu5Kp7jrJMJD/7g1EjrfEI/OIfZdLV 6oaZbjw9Q0Rs5ntZqYEOw+IOGftM6qeNXnz8x3naalmozktiY/bbVrV2VVid9y853VHOdtBA64RT Wpp7scSngCjlS41zTZDmoPnYDCa8gZXnT9Fawn0jS9gXNCfM7BxwkepB6/UsR0eMFPuvGdxSTB25 AYleGmh1AWCZJcPKtqB4wYxS9t7l7xtF6Qq30HC9Wj7WAXumVgJUhNSMw654zkPvN4FWMpzPT178 szc+S/8AEnXVqIZWCgF/CNpAr+/ZSMRFFEGBuC0qR6tjLDJzFv5PuPbVxJ7KlTTE3Jloo1LTEFQz Z25rmS32B1gtSys+vyfA6P+dU+CXIniQblJ7OoQ2sQqyz54EB5NQcQP4xFjNV5u/ZrWQWIHLPqVv IdvnWqDmPQFR7ztCsCg8xqzVDwixR5gyFu/lPzY9gjvBbjEKMjS9UsRjUnYdX0xDMTaFTsuswinM uxKmUds1Gd8zCrnMSg9oJsQLHLiksjuCy1iCw2HK7kRUxGRXR5SB7tPB3iGjsrpfvmoktGRFNEPc BIktumNhDPzU4y9EiBieXYiHoalt7CZxvyPjkoevC/YleeAC9HgO/UdwAPt5FzcOeavUrG2pQtSF 1KQLZdW/AjAE7hrUYapZllg7bPEVdppMNndUTGeL8uv+qnbT9lQlWAiPGdDTetetXPLzWbqEfzdS Yyev8hg7zEHb8lmQR1Y1lVV7p0p0WgWeO7xvvpfrYFBwY0nqU/Uoi/wjI3z7DtQv6l3YlTtSwpSW Rssmhvh9TJAFZMPEADqP8gXL1Vi98cVuH1uuqBgFzrPOaNT//+8gF30Qu6YK5vZo3YBwwAvekENJ ixpoS/aLXgRCfz67fPmGcHDskfnrncFOOZwqcOvyO3BF7DyaR75clTDhCiII454NAAKnbO41XOtd u7rLBTciH993nDcklz+HWhfHOG96gvQmT1WCy9YYnTChfho/snYT0rml552iosSiGRw5ANwJpD9U 3sLwaZGuifC6hnPXOZFXQrbhtivdz5sN4ejdyrgwlH4RbkFddV7IehFE8r0AHP4QD1Sj1rUipa9N 37wPH2/dZe1mYPA25/fndVmoQBN72x2OTuBJsst3FccPVOyEwnA6bmoxRuyzB/E9XY7hGEcW+6Lq LhxwElOioYj68pGbLGGl8N8OFguVJXttvNED9YoAUXRblfENys/RF+F5nM+lBt23+cqsHfM0KXdK 17/9brmIzp7HY+90YOGQvHQiWAuoeRcw1eLAkwCkkjfUc+x0LEzsFVCQHMf6lXGCjL32WIv+sNHj +67MEFbUOMuAW3yNUWHEaYabWLoW+TY/RLpQyv7GXg8ZGbw4xoi4Yxu0+uQOk9R1Yuy2Yn1KAihL t9RAA8bbRCejQ1yveOYkOSzbctf4AwtUThGihZ1JTcxeLPNwi+260wsMW50n5flEp7WxrxtCwbNZ T0faqRo3EywcBZyNDJD3heMbhAYeCwdpS6qO5m3b2ul3Qq7Vfx/ABufNwg04ExJL6Dzr0DBsz3OQ AoOGcdwFDE012v2S5JyEMLPSzcUP4MylpU/0FZaQdHlrO0Nu//iCG51XC6wpT92YzW5a91nxpt8h PNmD1kaXNPVKpthiwbonlpcAMOefcJw7JY8jaUiQDe+KWEyXp2DZL7xXnoj0wSSdprUZJ+0+cUId +zhbEjUzdPevMf/ugk7xTUXh6dK+H+eWsQeh/n2PlKTSc7NrX/wFt/YbkQvMiTsQCXVkIaevlmfb Jn/lGiKhR+DQVmAZRvzbrbVuXrEiSRS/VedJYFKmafg7Sbt9PKflaLThmcBGAVTCmN5Xs5vIAunM 3Z0pAHVuHV99VXqJCZyZ4uvwhcsoUzz4jlkXg14+hmO7EOz/SWpDL9r6apBGBsFn9+5WQu09ime5 QIxNICViX77E6HuznUbqLK1s7y8RXqLn9EswoO5gg3E2f0pOBBKPTN5YJBJxeJVdX+wfaLacfO3q DkEb7Ids7IVwA6TtHshWF3kqpyloaQBAmlbPmqTeCQ6/Glvkhmm4VB58SBVs041iqatfu3Yk1k7I XYt96un+MiFjYgaQ8NO1zd3WDQlKqP0IiuV2LZesmWRC23iPi9FTfN87Qpx4udf8FC/FszAR8Wgm ZlT/5qGEu7aCJ/EPU46AtyQrVRygeMkfLRuupyDPEhN+4CTfTfsnEi2ja19zKLvbOVCuCtmmCZNr PTOiPsgsQpxxQtSQJVbt0g6Vqq0xofa5BgrMJB8UulKTssG618DmI0JjVmmJQZINTNK984M6lUHD PEursdZh6gI/2iTxJOxS0Pb6DQ0hkZ3UBM/SHdcnsqdn9jUSvbeJqE45KYFBcZUEl/PHRSetPjU5 mcC1eap6nEJv2OQnJAWGbDfazJb41j+mgxA9ZmtZhx3S5tigmkgSU1r6p/3PbHWeTeEtRy0Mdvq+ mMw/I21MkZr6bfuTqHBCUuYB6YJMf2Ji4JELuIXR5Kws+GlUKwkEhZ180AK99z/0yy5BcWllnzet IXnENp68BADA7xkMR/4bV7zyCK4RVvMOpAE6aaTNMizhwo25ssTlrh9ttz4Mil7sC7Jpg6v2UBQq 6TagX3S0OcglNU+m0vsZ/i1U0E3BZf+1VLYHzfCayxBxhw/zzcws0d1DGdgl5+7BjrEHkzV/d12i j1wdXulJArX5w3KFtJSW7pIVA7gXy8+tyqHKyt4byVknAlDjNODahnlUUx4gy48R8KBnmfLzBKHW +288hdn3GrGlevaIyKz8NEE5ueeIaxmE+5vjOCByAuk7lQZj43L91O3x038ClQwiunk9JKKyC5FZ yjpTflNR8XneuaK1bWgO3izTU4R3A+Bokx/HTab4OCPZwnn2QoInyHRcrW6zAqRHFxquCSVOMFOP yesqKk4i/VtJ4BhCe2xF0yD7clrC7KnB3LTFYw7iJt4K9j9lVD/ebu4Etv/uweIblQWpcdMBX1e8 z/6pZaQlqUXmH5QfBUOHKhxg3u1HRU5GXWk+AAxDDI2qdmIyFqpwusNFfGDe/DU0VOiUjceZLLmM KCO83qLCrhGTovXFj1K+Sb002/mYcUpXVeYjtz2+StWgjhJX2QaBxer3e2rNZ7y9i0a+GDyMAsd3 WcHpbXdUyhMXRKASgvJ9Hec67wPSt+p71dWw8+xRgETzJX1b/g/lSK0bO8Izo6ZCW5xI8fLhwLUx tW2lqCjhn1NOiewMvBGikHw9mDj3R48Ldk32N0ZZVgI2onNs0Ggf+9MAwDLN8hn2niz2J1U0yOJo a5etEcu1iPV7piU3F5yth8pkCuEenQBX0YF70NYJi550O0BI5pwwZoIaJFst49yfve3rJrQYMPmi KsTLxYnWqcoZoAtlfXA6VwGcRVz3WREfWsL0ffuL3BxRCzwNP+tsc8j4qyOTdiqMDI/WGW74fXui s5LCXfHLtA/fDbTi917uTLFggg8VGVlty4bknl+prVV+4u1bOCIfsLbM5KIw0ZBoHh1UDzplKrLh O+x4ZID1DBP2fP+ldyqWBtxIV8gGvCPbph0ytGDNgUwQCCA4/ue6LCygUP3QcrcSYJ54au9D4NqK 2ih3Z35mC08AjdUKTxKlea3X/OIf9+g4rJIBeNPES8BnFYtr5SwQYFbwjlY9T6aGhuxrHIzMl68o qF0dBSKVEM36sSVmhgNfILmNyaxVzMsnMTH7Ce+opYef15XcDm1zzm7wC5ROooXh0WyBAybnuplW ZGr7Krn+S8uEJPoHyrWg89DtATD+cmkUWo1//ZPtHnZ/l8YAqFQ1QuBlh1iztZUNRX8gz4XV+bJm 8lD2Mejg+wo0PvlX119i9+mmBFUvDnaRU6Ugr8adRGz3qxUu9XM46An/b/CspBPb6ISUQKem5fwG tL77QGI4NPVFlrGkxiJ1Xjq91FruFZEvRA19mFvW/cCP0qXj54zQA5KhgdqVm133dgHf5hb7yAyM lcp56/XqRtqCaxWb+QYKWslf5FfX/x2/qwd5DEVc8TsQGHg7bRvR/RArz4QF90AsUv0KkZlzl7rD +USk8hFgugxzhQLC2NNYi8F9mnzfXd31fc8Njr7NBQq6+33thEshHYPiz6lpiTXkVDXseXBjDyLM FJjJskjHwcTMLvAALfbgwTnWejGl2ypjEJIj+J3lqkV4RI3Kh1K0+/raArnayqI9+bneA8sI8LcH 7wuO9fxlOD6SfXNO2JELax74t1EG3MdOUaQS4ca7CxIvMcTilR2+sHq8ENQGEuT4/1nMl3VuaYX7 fQVErdW3L3fLh4u0zzDaeSRa7pzaJjZYARXpK2KZRTt+cDDRenHeGawZOjP1bJol2Hvl59s6st8z mQYx2Yf2kmru7g2TKjsp0GCuJr1fE+iMIuea2KLuBFYtZWvbMv+hnuNp1IeYi/LRDK5pZGdykzZO H+Du8xL8fi2osXyf4wuqcxbadNiVcthRbJJgC2pep0gHavFja3boge+P/UlnxMt7GMCWOOWI9r4A YahFC/Db8muFzTq3DduIFaY579JFOshM4uj4dyODHhMMKFtE9Y7J7tm++caiyBhBhendgEv6Cpg+ Hnmt5TM0A/6/0bnJGG+o7IeLwWX8XzTKR6SBbokYkfKsWvxQawx6uLptaRwRs2lT6E2ojPz8aWMP 06OP+oTiQ2ZJqxwyGOploaaStzQ6Tzky97hQG2x3raK5wW7OU19hP0zn3RXj64ePPmYxVDfPOc+1 H8qYlNw1WQ8kuy9yKl/xCRt7/NIuhvdnlpylK2WoZOMG0tudx+xuuqA78jduyRn3BkAQzkz210t5 3biZqCteXsWfWE43sDpe2bo0XHyTZI9HWh2NrLZRL4RWHrHrkiXHr3kdoW857rUrYX0MStUTtFoE YILd+iuEECWeq70yNkyoKehnpuBsHOz+XtzuOaByVskn4vfWVGQu7eedSO9xtIYmosccvacEZnFC rja4YpkwQDhCNkMY21dSfVd/6Cv6VTjHEfsp93G/Db5RmILQGeFu8EbXYO987uw7fOLcDFs4y6UY FXzPIGynIJ3KqRo8snuk5X5m522dxoMA1qkHZQ/Ouajq3huxgYQAfLpBmNky6kIh2XK1V1/WWlLu yt/vU8CAgpkOVq5JP/E1NLCRJwFpgDXmErkDtPNvAxMNnoZgEmFzIt0BnteYxtLvs1riS6bhwbOp T45ea2jsHvFpDEAsPqMdfkGFEQNjWDHRc3nblaNskX352tWWfq1BpRBDyAFBa4O7JbaCVu7lattP fSegOJ4S3b+FmjqEAb/e6RZuQHfQCshEChpa+ezy84T+E5GRz87I29n4GZt+G9J/fCzYvtzW0oJo 6Y9r+zGJwJudbcBWdPdd4ZneUQRDiD9lWTadsrxF9OleN3bR4xu6oobRG+4/6JwLfZQxmqgYrR0+ gix4LJfdHRjqnLolMbPv9IWHIdstNSZGfN5Ai3I4gG54pWzrT3Pfe21bB11HRTWwXDAbfLmvxcbk wjJQsx1Hha4xc+E9lY1kTljLAXJObkfjq1xAPLlD13YlV8IzRvnwg1JRcuPKVdt5SzP6ig/wz7Iz iiDqLhrX5kCLSJg4TDrMRpvOYbFGMI/zKCn9+EH23/KKGLpH6YBKf3FybkujUE328RQnup0eSfKz cdA2uksoDTWPb72Fpi0tO1/qJa+iUkEWumX3YnRKYiY8huYt8s42/KpqZSSMyxJVpr97Ev1CntdX Tpjaq/n4YvUGD/ENJH2gFFl3lLATQElUbE8JauBW5d7mqvrHcbvTuDVVC0lQ0UTtP+lZqUYhEUL+ LEyUGUCGoo9Rp8M5voPhGXQqgtNfu2O6ORmkRmKQEnalpU0mg95Fe807dLyDGtWe4jN+N0tEIuJS SYFLaImtMxxvGMyxhE4ruKPD+liNjMXfxvdeHvtPx24JbbtoPITVScaEhWPzgU5I3Opp/Sj1kTgs NiZfOBovpRIVS1lVfC9VkSDOhgKcZO4aH0HEcVrxt9Dw1scutnMr5i/QUXcHHu+EeC/T5Oohihn4 H7yjCYDkRUY+xjHYjD2q5u81SPKB9+zSflHBd4uRUXee2TVhgAHFr52n4jJZLFoUfR4x9rVJHzuK mSvNbCt2yTIXF7gHV3vNSnG6kph4EXgG9Migyn2TPVR3czI+hP7WXhdC+ehY2esoB09IFFAtzZrr YI/ts9Ap6RFq0MpbdS7A9tQ7wOZ2XnZ1Je6CkGNKm/WcM/oHjOR9KHgyR/lFUA0bjkc9wAVi8Gzn xGMxWpUS5MEY8nDtBYEcU38nNNBKbOQA1m/VoWlCCvtz2xxaW9u4x7IuzW0NVjmZ4Fq6UDj6IHUG ZFMuccGcvx1I+FFLEckkV5YSrVavJ3Xgvg7hsGUC1S4mX43asBV0oDo3ptg8U7sSX9U7m/FCideT UoVwNg3zPu5ya0i4tjjVMD+mI+XM670BWtpL8naM/IA1r7bTgTH0NtuA1EcJX81f7HMuKxVvZ7Iz sB10wq5ABeX8Cae+EnoMwRgYhCRRTJrgMO7mDL7IV0s8atTaZqze+9itkHhUS3gtzAss+hLg+9qc leO6be8Y4H4kcqL+EKu8TOWzQSUIg3ExV4P03n8zx/02cJVkHSOcZ2WKPGwWLULhRjBCLN0JUl3+ 54g9DHwyjg6RCTgChJ1OWTnsmR35YcoPZgMfEv9YOCgImPtQap9P5EHKlAncqk/u9RYxeFfK3Jw5 +wS0y6FRPMnZCWtfSHUsDAzN3z5xjp6R1HuiyojHtYbwT9r6m8pizPgk8KBsHhyaM9VFKHYOFvSL IwDLtvVy6Q7nIkw2ai1vA4JzGwlUkUgRvVQoflif7notL8ljQs3z6KB4jIcMG5E7yVatItCD/91d WMKzkYl67Zj/yMEcdi/AG8NxUlJeTOnhJBYCpmGgPriB3i0TzjOpIQL5RMHWCmXF5k0LZ5FsyKan HPeQg2/K1OzQiQ6tk1n9DpZ//NBzb+ycI0IZ9K+ro2BC+2BtZNRTbEOpMocdGGpQitxBXKWq9596 HcRP55Rz4mU7+58Rhk0FFasXiv+2hXtUiZvquWCJ5qODA5RAzjYFb6J6dkORSyn8Trbe8n0eVkD8 vcoTFsxR/oQGu/qkz8kOZ4S27TF5g9ENYEaQshZrETeez3Bu/1WcRjxiQr1PSiMStcNbCDKSGthO df1lD644dkxC68nhgZh63BL91tAGx2gYLWw1rUUSgW4SEZ5giDD5cHgFTb+H3Y3d5bjp68OaKEst resyzPZJBdXku5ERhaeo8r3QqwRQjc54WpevBR4uPmYkvsNMgLHoEtHMLIpiwACWr5f7/FZGMgVQ e6rZmbpRbOxF+4pTpvFMKRQoAONy7eMJ5Z8uWhsoZ9QUuh45l6B4CSpPpoIYV1NCnRaZbaWbygD9 g4GRoDYMsYQgsZPG2Jwk0nin5uvr3yv/VsgmRo11txHwOpRVdV4CWMszumYNiXcw3+HPC4wP/nqF 3LUGtpUBc7Eop8prri/gzp+6FqzOAUm0Vmy8dsPz+/FShjoSsGW84o6xhHkPCeWlAkjByslAkdB+ zYPLMeZsYlvK6zm3HKnE0C8Vx/o3YDC0OamOam159KZ4QYuwg6JyJ0DSrJtzxde8tZ4klbEwBma+ 49BLXe5IyOATn7KHKhXddTATQcYFZtdW3N6idz08HipdfR+r1AdOkzhk4WbfKmVbtc0wNaZ5hGVu xZPbZF6m1Y/19Puje1Lo7M2K/szfEN0sY14Z0m4mvktNDNCCpHe4xlEbxl0Um345G4xNYeP8M/qq DK4dh//1hOBpeOMbt72KB6h53iplGboS9xit15pf+RORF7m59O/tPu7qZrqB0E8M/dSRA60RwM0i A8iLQH6DBgdIcNq00FVA4AEI6/rJgxFlRq05o34kB7y0b2Bj4k39xx5tPJ2efd121A19aZOYKV5a /YQm0jUSr2toDgZSFwvwDo4zj/STF5dxKZfL2XVJPOD7CmNDxEJdqNeuBe9bT5HALCWSh1OH2otA +F/CDCPagV/cuNjkCU80e5xULVjaPw8iRADN0I5+NA+No/r6Sscglr/uRQS4x10xseKvKwkp20JY JfJQtMXj7mlu80hpJVtQS6TQM0iaUY/GQFeI15wKykWN5TYj2PbPwk7od7KVGeejRbKgEIEXqlhH DgtKMP9/6ErTU8T+i91EU9Go8S68tF4ck+EbyYDYuuyxpOM5bOkkbIpdBHC62Ijox8OaTaqKeboT eK39KFH/vyafozDfXesiGINDFPtULGTSxRtYXFeo56WP4twKobDcZkkP5o6hH9REpguJ8l09y25N J7Ap7WXbsHAq4apzzWE5yskYridgilN5dvVeK1z/q8zlS1Z2QgqXYNe5F7PYJuo9807HoMCZ5MOM INGkHfVIJyrNYpWWui8wFSw3ubLXdjTqcfO61wU/nBbLc6QSYZHulF/+mj7H6Or39S61QYL+K3sE Elq7+2Gf+B8H6Wj5NscWnC6kmKIvYVDzk2a3eyyL0EgRMvkr39fF/dkGimOKSEgvyleK2ru7vFXY GNeQupQOn8JE2q8ziWwPfOSbrpsIb/mNgV474AjdUF+vx3tetVgvKXXV0aDzX/mi98NFBWD+94Pf HKO71AxYXkX3Jid4J8fojYBxpwY3uN8CvcJZvwrSD7xfqA8GbgaScCfey3YICf9A1QoOkd6zPyQK mwT52Oo816tyPChzdVhzuqGBQC+jfpMjW/80Yzjxw8N0+wLqBzA2APLqVh3veEBOjQHAzYVwbg4r s60ncYOYDj1hbmimMuNE57GJtDgyYn5baxAootAWFwy8ppzomxXC7BXt2Tcpt1x+mI/uRPkH8n98 U5oov9vC4e8KvQAyHZxLgNLNJmjWiKEVbThkY6UFnNgOOIQ8pOIilP3QbwQ7bUkFa6w7NwsaxAnT x3nIktWUCjbbqQ5Inuir5Pub4o6lk7bOlXxlEpmXOQv8FT/51Vht4ZgtmHNN/TFwWft4RRokHJ8W yf/OQE2ydbH976/ohn9lyjXmY3JjbovQH6hAcXsi7FOvS8yFcSvoFFsAcFpdpSaSISkcQNKD61wr TDGRornj5mm+8jUtatGiipQckhRG3zcEQuzfljsTtAK167ydBB2an1bAfkEcCOdEOMPdfC7OaMyG 1nV2aRvLE0mjXu7tyu+EQatT6p8ZCd/dr2WakuAechhLTs6RIWtNeQBJeKt+26nVM6d0R306Nmuz aTyB3JgMPnoO9UhKsxMmtfIGjhM4NqbbcAgzY8skQAVK8jWpPdeok0kndfYEM7hx4fhFHB9zl3AG 3i+HidJ4OSxPqGb/PBMV/UmMZZPFXXY9cUkfFxJDx5NRvwWIh8A5YNFWMBCFqx05+QDil8J4dReH o6sd5OoByJjOPtR3MORJJVSxx3DktUPHugkpTAok6Bme9ic90oHJi8gAg7gkZboWGvun67tiYmhN WovqtVB/nWeErQ0gkNfkyM6pJagPfOkPH2clpgd/dEcBxP7bvuULiCvoiMaTsE743tiWVC0ZCvMG unIv7sUFLCkLseWyOC3+5dSAc9z25T4nA7JttFNtnatAg2YThHsAR4WQxKseAuYH9R6lsMhjvITv NOSA4ZI3LE/mAvZ9Fhx6Xykyad32WOvnPqDD8FN1qu4zsRXZQ5eqJ+64Z4ydK/CcIbwMHIPswCff yQFo5gkf0rZfqfSlr4Deceq1IRGcH/JwQSQhr72sZrQtTopp22VJslTpjDlJ23FQKfP/6KiIeGCc /WSrqdsqC7IVqAUn5417srwPq1XiSeZi/h6yBE/LNVeS8+3YSiscd/mH1r77aR/uvfKtz3qVKQKD nCuUb+DNCApjnudao0Iqtk9CYfxDn6BcECoEq9gF7XmTB7lwyOHcln3s/HqovM/HUA0lVGQOIkWb 6DO3c7tcyVaprcT4PjPR9e1h8GEYS2tcxmtZwm4MZXreGZcKYvZR4Z91c7p60I5N9sreEPDuiu8h FZ3c9eO3lyBsCJJBFHsNC4lIenABPYUVnjQ8FntUvDUajP1DOPNvfaprLokYUMfg9vajSPL0wWyC lKT7WAiF8kH1F4JT6lb1GmtPFbNsCys3xqYCua7kthnf1xYq+ckimsXM7dFh/aODtCUly+bWnd7I /lb6sGN+uvUduiSh4gmpjHPzsNqMAq79+hg2TKiO6cT9SaRSjWu0ZLkyoIe+tvcX6jQWrPigZl8r Xi59tV/nL1v4IXmpYWSMk9QMhUyigFyXDcWf81kO8sdHILkmYrynlTbVvjVCLGoO8yLyDFai1CWk qeT9CqbA/5AGEBWW70Louoye6C1BPa++N7VVF0HeCsZJa/0hzsnhfaHoHCWRRcXEqWMO7M5Xpo7m UTl+md8dOUI9ytrHZBD/CajrSft2eCBwHaBh+NHmHV8S8I/KQuaJFD5ruZos0bTqYdybziiGow2d 0T01ZXKxpDGcNPaa9vDGxxpqgQlZlQT+r+64V1Nd/5bT1/3lc1pERXvOj5x68kT7RZ6Ki967Qq/3 ZvLyPysePI9ZR+Z+RZVhKYMU8psBWKLxHtmoi86L2Z8Qf5QuJP1Xye38j3nE11YBf0tyydIdSWuh Cr+j10RXq7GmgyHJ1XUhBoYbRWAGT6mkjh9bQ8fVeBS8BPVAeicg8oF/vJ9pFOiuyDfzq6N6vEcI DmXE18llCOQfIUotLNsC+1Y95WjY+sSRl5IJFz7DhFOr0ubod/FOjT5/U8nIAzbePm/lHL9Md3hF 4nLvFEGNkyxTpnHr0PW7Ivytj8Dwpo7oJIA2ie8O2f25Hb9d08Uqvo4a/HJa5Qw84ndkiRHp5CSn qzJgi5WzEbAeZ5UmSfGSu4ASwhspk374PuzPB3Y6sxGAtdcwVLAcrT14L7tStopU0H0dT50xZG6x GSxIMXpL//VtjsgaMuFijxblF1nsI4NX2SJ9alDdgbZ8PXD9l2ekm1rPmNznGYzxAKExOHZMhbHm MACM356jwlOIDIkjU6pFd0FPqTqBEQHbslap5wO+nbo2i4x7bY9FDLGrrN1FB7wYQa0RrqXEiqNH P/PeMHnp1xf4mekaDJCPetsK0l5lpYGJRu4+dskM92/3sIzbKyQO1pBlV4jSTT9XcQJT6MOGgVGj 7Cg4ltBsedt32wYC8KVM2wvgkbkeANWHBTMhtk9RA8nBqc4hGkKSExF6Mon0yBHQRqX2v4eIG8/5 2S1vADBtRpaRiwDkOeHk5RDNU7yyMsKDCPwdbkO91QHc51KL9NFcwhLqUROqrO6Pa6d0EOPotqyN 43GFKyyQmlzAgNSWbO7nkxiuFWuKo79wXLyBkDne5XBed3UIC+WIDNuXSdLpVBxrIXFm1/MtHND/ z7WkHpEZhwp+i9UTgMoCOfYINsNMHuh7kH964dBABgA9okD5fYjuD8qfW7VsYQ51YUZZphODJJWL Nwj8KciqePYoaPQvacDxXWjS4cP/KpLPNNsMARDCuRqRRWKIdeYEZSEO7UfJ7GL9B2agv9u4GZyx Yt6BsYW44378FFf/2gwXZd7qnNpAua/CZyznvjaLnVWrQpznRU0Gb/Z0VolxFmqufKI5QPRlCi+Z 4GDDfQ1+fDDXinpYK0i4ka4xLIcxogAWpm2xSEw7grMFJQ2X6q45MbNhPvVGpDpW7g9Z79RwYeFn uKGqzdKYWnNFvm2hgT2Jn50iRtzJHlGfXclixT3P4TYgw7zp6ZMu4uvdUCpAg+r8JqCv/fBOX5nS 8c/1Z8JBU7O97Kb1NWLwf5jzJ5hyyZ07/ggfQSUNKwVBXVkvLogmG+8MFLnvELFBpzCK4wBPCJPZ seVR5YGHB/2wsrW1yrV616HfwFSOyhzUhCAXF4Z2KJPi2rgJL6u8Ntxi36fjzUSwffOHLmYfdD9p qDjfDdtHjgIpwN4fNrVMQphBOfBVC9geq4DSOvsiNqS5i/rULmAf2SvE6zsnpEyXlOoFQpBEHSlt Z+rFgrOAuUexk3vmP1mV5pyFpCH/4PVVjPO06NT1v/+l3pfYRyNALdLR6B7vtyPTdL9Gq4nth0Vy vrg17uqMLhHSgk99MEecxtelgRsHkEMr0xuqhwwTegS6wTe/mPDvPfAQ02YtRZEdIm5ZBa/f4JwO 2C21wvjdYIiShx3hifXMlowZnXO7qPOihvedC6jL3Zz3ewga3miOVYx7XaA0AltqH7Tq3GTaD3u7 /k3xU3UuLaz+0iJrG2/9iJNJDneJSc/lvESNDcEpglokctmIcE25ZW3mhoZgvFODcPXJyg5n/b1/ BiAKf3dv7OCE5uKWpDh32elxMLLIpAv2dNJVDq4MiPKwgDoC6uQEsn9E8avkAAmPV6m/sEV4h4oP ycqJIq81WW+RhxJfQro09TNvhPdWcJDByLDSO1qvi8w28FVKSbgm1yxFDRSbc0z0GWPGVhNVXcgE 4d0+2Vf0uCEi4Hwfaex7qYYw9Q0BEEGenAEV6kO5iN8uE6VqhRO5KbCirE2myFNgcaPywUb+QvOl lzKLPaT8xG2+ayrEzS6+KhNrqeaSFlxAtf+/dh6LRbwHnOJ9F9uoOfaWRz/XhaQqxmiS8hF95BVp gIMuVTVXRQKRSEH+uVXMwEglMQ4pMV2LJWEMbF+CeGISxNWdvZAhQy+YU+6AC8JaeGNoKMQHOBeQ T4jNyFjQeGTFAeU/kkjcMwEeHueF5iOWt3p5mO3QSQ/QL+bdgnW63lbrnrEhzpmEv3YyUj7SG++q oucc01q0QELF/j4lV/iYUYTidl4s4wGW9tiTkycnGrCOPfd8lpBSoF4F8Z4hvIPslVRtAezn0SWp mFbR0PJZrF+tx5ik6v70R8/Cc+v/KXnIhqtzSwgN2cXVuYiW0LMo+0LCNJH/71FKJ8UyBGjge8FG aGBpNgVEOqdcrencTd6J8lgNBhX5Kg8CeJK0seL6blys0heDF4HGjgVoxxfcD1Ai3xQKdExgICYD HmtqXhFpiMDHhlsVVfnywFVe+fsXD0DxqAlbR/venLs6lT/pz8YLMfu6NK0/bAtYJI4bzgMKqMnQ AE0RJ7PY0rpKRTKimz1jmXe+qseRyzWBKetaQAIQyQYObMM64y8V+A7EFqDV5ZC4DuTC1vxfh7Bk z8kMFDgxHdOu0zjNpNPCkMEBi3DczmUXctV3MOpzwBxpKyuMMEgjfW//sxi+pEVNUGxpHyWuDAky /6fFmF9BZwWt8TpUv9Yfi4ZKoGUGONWFD2W/V55D8oJjXUPdkFfUwohcINl9LLOD0FtnGHE6QnlN 2/+M/TdKVPXoMO5/qTlFEZkHY6QCnnpHYVURM1dKrxnEfhRncTRQ5MwHG+fg34dVhAfeePSWOGV9 p83WH+f+DAU6Jds3D8zYRyX89TRRlxO4W6tmIV/G1dntd6KHsgqDO7Cu67m6i8kgceKmF2iMsEQP K87Rg6Kmk3JTGdc2M1Vzj/t870AsqgLTDCelpi/7VPy3i/3TnL+rIUivrHpQaadWCcbUMj+iofRx UI9ejEoixqsLXcR5YTyvIB/cmCeHe0NWIzwFX7mxokJ3xr22os9jjQbdwPFvyvaOm9J1V2HAZaAl avIR5F/63LlUCgL03FXM0i2sUDR727Sp5BkXjksxX0sylTlt2zRSMHYpHSnI996Sv1hP9detE9Hd rIiqzniMsDKAnrJfXYobgq2MgHbYr9QkQ1Gl3W3ps/YB9f6iaV6Dlu3BCbHVn1YzaVDEbumcmcdG Z1vcU0hq5u7WkgWiZxr1Pe+l6E8p7ukgTzQH8OYD7K8iDxtdFipy/MCvlJClnVRaWQj+7C3tL/Fa 7o8ZcmvQ7OvHbbEcEQXbJwNfZnCXk4RPrKfznCaVSGmqsFtRwuWqMpxVFZ6rgcY+GUqGifmddqmr KskoPilZxAysK0u8idcHEWuPhLHbppAQiMqRuZxCbyM3YGq+r+IpWavebujboLfSkrJAgvXmeltu iivQgFlbiQcEoL5G2/blf9C0P8rotvklaq9X5VCJFqgmMQn6b6t20Z0ksHAVTmWWbQhE/KGQTEvM LN9+cRJBdHQHkNdrqytTC9v54oVL22AEenboc3UswErYWVmDW0D2Pq5VS4LdgZvZy7ioaJ/ou0nX iiIYKj3Fg9tXYrRnFoDCH4Xx2QhirkYq3BNZb9nGvMOv5VWOD1KG+Ra8VjZygeG7pqse8algVify VPRe06s8HJ4j7cEffh71dtf5LbDnT/wAmfPNuxnJklR0TxHM0mpR+pXyNhJ5GqzQRSBQ4xZH0G/8 gacjyt7KqjIkQiNuXW2gTMF/0XPUkd5OTHxkzEBsiHh4yKm7/5Hvx4uayTtyv2gcLBnMBjkS5920 3jwlgsX51LtFwCjf51d5vpKV4exF17Ml6k0lly5FEgIBxhN/pPnL3eG3zXp7epIC7sjR7IBG/mUk uGb1/wG0aq7IiObA93fNFIfHMpBl+rbyKEjQbEfXpDyLnoSKRTMrVpYjWYhGNfnbjBOkDLaf3fyp 9lPj3wxnMfkicS6WAmgdB0ufcK6YEVbm3ZF5x1zQfzY6QnTxeMoKfTDr+kqFpErq+1XWrACFJbUG kbzB3A8XMu7vLKOYx1eatI3Ntd1LpY0E/8AeRueWJc0Y8rMWeePuXY8clDT7zbgJFAeTOODvsoaF 9oIEO6R3I0ymPTQgJz72Z2DE1o4BPhgLhXpnWqj2KRTjRcW5vr+b+k1EZ6nxCi5yulGZamyqUZcc LuXTGjRQKzJSE6Y4I15pRFuupaH3aJVkHpCU5u1kivtshL0Ja5qzEk3HaZEOGbxjAK2qs0tFtffH igBcNKkMx2bD3+z1YbO8KPUz2H8kMZqkEF1wnhfxNDVBC7vmXRkPSA7Sj/U1yDy4WZaauti/hBzK FAR/cvo5dSFFRciPOywODoEzDqFGH+nW2IzsIIGkBDJIb3tq49iwCu35IK9KhR/XfU3GXri42a9o +jcFQi+TSx1YfZOWmHm1nNIDHqJrXjGe2EPCCkrx10PNjxuD321/wgTlRoeX+LzZmAzBVyR/C7Qb xsRnf46OCPWWoPbmkoQQfqo4VwhnL+c8TrUklZXroDttZT8i4hPPg7uCdoEdB376k1FNqjXtCTJs v5i3Tn62ZpnJRve88XzOzl5h2RPyzuVx39Btjn/DLOlm6T8oiq5p8346nyd8nxjAYem3KfcwxXdZ sSJej20ivpc26l6IGIsh+/HNBfZ1MVELCDAV4uH35L19R6YCM8wj36RZjVlGZstIf54WL0meNiT0 fumHWe/AEToNYGbZPgvcQjZjLMeaXed75CVPm7kkol9qJxHxQFVN4mBdPbCkHDuX9m49dJGTUXK+ rZopId25fGHEtIVH8YvZv8l6m/idz3mJsv9/jgsa1X5dS1TF7/6flB1bTEDPg3Ms0I+7fBkOHp2e mnySsoftFfaAHWCfQplcirpJuB42zfHempIrKI04YKS9lKSxPFT2Tyf55JOlc+TEb5PjvUgS0FSm c694b1beTNOJAAXx24kEiXmP9TwWrvecuhAlhU7bW+Fk7twRvTg8y9O55ZDKO+jmVmI2ddMqWkXn Xw26eqjObku2Q0HIddWR8SI2SoBHPnS6aRE0d4Yn6/KBxnjbg1Ab1HK4AYXlhbct3+i2qQGpti8Z zXcpHPRPK95Pyds8Qd4RurgEn1qVqhjBxp8eSZ5QBBLJGYGeIMxHX53cBt43LtManbX9hLMF3mCQ dzibSW/HTS0ItdjP01I8n/+iLYofbllQAyhpKfsgpWmd7jmJk5FE8Nf3oJUaq1e4poDjnPH7CVGG EVGq/G97LjznpgmPzY85mLmUXaXAKssQcS4JEhya0KGWFSujCkQcJAV4QwmUn8jtvjv4j2uVDqCO xwwolPVraf8p46cGVU0lKhXOi3Nqx29pCau++U4RfkW1kA4fO/zhBAUQ6xCtangAHtqz0Ci2cNfK uOXVLEGeABEDQToxt/zpHzHKFnSUz9mO6N4UcW/I1X8yk1jkBHDXcjEhnne5HxnjSPp5uva4Hdf0 K5ZeZrmo0GbwozXMxGIZ6+vvkUlQbRfNFkKUuXTSaAZtNQZyNcI8q3JRJDjK0R+YRgR3Zj4w89OY 6K3GT6TOX6R30QjUTjxXGZWguoIeMWwhKpJoJPPT++hEPpccEERNpexqoY4n7pnHTt1udMz4nAZm tOCPbnIJWGdHRKmtYV15dnPyvHR30jUhiGN9nl2hZWs53jRy6KamOCDZP38SL05POma3b6loSpT+ IplzBiP846toNEaclJ0bNeSDiVUOXlsaRM949CPRR8ytk9hYbHQ1WI4n61D6ZQgLp0qABPIttQi+ Jc1vQyj/0OCLVTp+BksalFQxpCtflDKrA1N/Q3SItKXJpHOPgyPbVpOocKBzMnkQeAuc/2chMgvG z7QqHTDjpAR4zUhavmLDNoK6OiHHuz+yFfPUQxgcIzKGVzWmimhZQVuM5Byq/7BkqVgKJ7UQ8m/N Ou/e/+1N/6Fh6mULXfbUH9mpn4RFYdGW1lMvYrcOEFpeyLcPODNxyYsT6AMYWEVPQm73ZRM2nqQX I7mcWusKPumMiHYebz0dmjgV0zmOlmMlYO9Tjy1W5QGbugNbYj3VRFvAmXg3P56+pO3+mGWJpAaI wyNWt/hu57H+nGuV2OJANvF25x5kZd9bf/eyNs5BeEAlOiHqq6bduhA6SS5Xo0V97E4CrEN/+psL 4jiS6hTG5jcp5LbfFDqMlwB4+CazOvMuY1G3iBW2vXWPRPywaj8Z1QXVZBgI3rsGFvhkr6QX9zJj EcUea0W3zZe2mnQSZkXamw7PfHIRuQylT+ycXauWCeY3YCi0DWnitX9FQ2Qjb6+4t0nQ8p0iW0DY 1wWF2eODo6U2OtOmfjdMB7c5Sht1yseN3fr0I58QGNa0QMYNHQxGDRIc7JknQqd4pgOC8xN3pzFf 5wmj7EFqzUV394bQ4ZT5n5yKDBHw4PUYW+qvxtyOvD/MmAzsalt33eHorkruZSKS9n5ZFcaiFLjR FDYpVuqp2aM9wq4iP2eL7NAl2uiJ/XGeT7mgegdFzgEHOBz34v6lSL9CqCNrP4kToaAFmRtdGLqR 2vnCDv/ZzjBNuBUJsAU9v7+sjOeZw49nx4qkgGArB5oQNLihetyY/LtwbAqxPRGAmMMFcQmall4A ZPSLY6rNV+IZ9yfrsSUdx8qPVZ8JgxKtAGedFiTkmljLCu1k8G9hmIPlKPkD4mq5e5PjW7PF87GI IfUegm1p2GXMB2xct+wWYGDem3r7e97W6Z8/NqJJZjyATfAfVygXUem9gRdLyv9hs365Ad7IhLZa xmeXm0hgAc5+Dl3eSxtaNb821oD8ChTME6Zqr9D2vlFuzS+2HycclRs/Qp5UM5OjJvdV2KnkA3Ma xocc51v21ErQn8OkFmJw7pvkEMUxuWfzzFSZoFPx68bqo4BssX8XKDOGfnwyp3clXJ5KwWzQbKtm jP0kCb3mtuzgMWuZgI/I1+o+NEQCYd50EdukC/QSV3VbCMV9GWolG+bjklQpMcQbPabEFuQ8kau/ walrxf/sZgAbKHrBgJFRDtkRS1lraqBDz7HF2JNi2rSC9oZnql+LUxMNiKW1czCaxFr8Xm4O1/Pn vNuEHS1iA4AqZ2Y7zMGXbxM3YjkKPiaGtagq383d5jBVo6ZHMcbDc+IriPF33m1gNzviwDJZqPAL mZxrd2KryFqO5lDpwU2yNzUb8XN5q8NOAtmiYwnZcPv6HOb56X7R4JaVZZ8PYHeuFVVEvHTEp40t vlC8lA1KACTYWG0h2/ORBL3CuPS7ZyH1RyFlXi/Tuj6nQrF7SaGH4ZdjmyNFmBbFxqnKJNezYzvD ak96T4nN8qJ1clUVck58XcMzX7aupH3PPFrwplQ5JMImR6ZCEwCHB0hibdHSCYYO901nGe6EiQBl 6tISeA30oIMC04f2ITUAwvpjb4nAIdttMfoUSnhs8zZuWmuqZcD/u6yZ7PXRYyXc/8OGcty5jikE aEMAKB+SVUL5xjxs6GFtIh3lImteMCwQSo7st1AlJACHwuQDQN4dyBd4t2DBNB011zgzAcYloK/f PvNT5v1DPziUhxpTjKxfSGQFvY60Tj8fFv9xplMogr21FCX8LBGoVsRq+Mh6SvDFdciDOWnAjHNI GGgC9EHRAbSw9zKdZmcft5sfBMz/2VFESj3F+0WjSqzVxH9TkEGq6hnGlZX1Yyjy01pCqp46mtLL C7Lq1JSsBifmlJjwqyGTWEluonGQeOIZFVVuhz8Gxwl0drxZuEBH1lciEGUDaUnFFt4PUGfFVW58 hIoFpPKnARhpvcr9PrD7BnDRFUeRLryCj1NsRzOqIa9hSR0V1qFvK6iF0MaqdacGEa2z3FEDY188 InyWtuDA5IuBL1AtQLs2oPzYV5/eVbomU+wZyrAwa7ZubxaZJQYWwCO1x5v2qktbn78CaVJhtnuP YblYmJoh2XOrWihhJraJ7qEFngVT+ek7ENPpwcBt7b3nfWA65+IV2fNJWX9YjnVoSp4zttu85qiA gFUVK3mvcix6dILPJYJM4pUlIHQCkaQUdVoF99X2CA/TK5ujALWmnIZKgBknPYu8Jvwy0U2CpzCp JSZKLyLWGmUP8JX6uEprl5X7aIpwGrYp+sLGReo8vk4PnpBYhIoD4SquBFgA8gq2J9Vy4T/ADnSS 1P9fpAGFhPZsZ1qQ93lyGb9+uzpwO4vL3SysG1NLxse7AQoCe4drE7xkCiY9dpC+immY9FQ5v6rA WNM945L56IVdipd0T/WzPOWjjM7s8vIhlPSR/neQnRdfLQ4VR04uKxd8HeVKKapCbeQ3ROpz6F8H Z1t8iSXBUOIZhA+ol/5D0SsuEkc2I/o8eQpZ3FWp443EZNDCt2hZsx+MDPBwOvkdfcas7KioBjO3 K9dWwZnvzqJutxZR1azMbfcs+thxEdVMbR4Uy6upP4FaXsbaO/GRAQhivHLAR7MPyjU+BxOLN/fT Nl9InKk9roqKekNJwmN/458zheEJiuV9g8Q60g5mejQN+4+CGGF1kHUm2x0N6O5akEmY+bcGuxra Y/Nads0J+nC4MCONCw+rZKrTZUNo5/s/sb820+ArLOp3TiOJUu/KXd5l5okp7J8yYvrp9GiH92IU l9TtEdtxZAMLDUuv4kG81M3YdpeZRxz/LigMx6zkyNgMMTdvlPIl7vcbFD7gjHP/kHNfH3Y3lepK Oj9T2Dv8ZbIKA+nOAsnqZM2mRN1/tO511NKUCVqaBujA6Y/VKwHXQXwmxDgqDBAaEohVAr9Hyo+7 D0qSVq9b4kKBlhUNO3iVUZLehVn3RW4JrCfKZCt6HrVmeY2DCiQwkEli2/+rK/hbBBm+KXodq8fR oeTl3qXHl3yFMlu2rZ4gaA3ZPUbzDu0PmG2R0MMx66XbDcXIX0H6074T9IGKpBfA5ZdahcxUgEZS rLXuNS8RyKSuNEfP4dgfqEZzsL4XTu53La0u3wCfwG49+5MjEDkeDGMEqrc+dgzNw+q6QePs2XhW 82uEGX7biA4kMwpFEIusWg5fU5pFEGuBF4+RebwXuHEUGpfMx5Mjzfplt0t7u/zp4+U6UQo4iFVf 8/SBP3+vt/wSHESlpqXEK2yxBuEAicsdWR9CsTjfhqDV1JwmsTBlUebele028x1OPHqA6+jJMnuT kV3xoyoj3CeY5GFxjbhsMcScwo51gKYI9kw75zvsZdryMwL5oZ/gUbCEC6dL/O6dFjP+1p1MXndL KbEBzDRyAiP7PcHi2ePdlTWqT5LcvSCY7fM/Dr27jI2O4xoyFiZ5AFjtEFmDq/MTnSqIO/qOVwBD pGg9/xpmD4L95nZacpLQG9XfxEA9XqDbiQA1ipQQz3Q60R+nelM4qnElvZalaShfB+w9StrLgvQQ hcpjWVfB7dyTZSABVAXOHLVvA+gEmUCmdYSeWAK29E7keAWyzSRlq1nj1C/Ojkycd7xVgUQsF3BA UEK8yJX/FkxZ5xOpUJnyxbxEghZ4sllwiPQAGqh2wihJ2kexYI35evxSDBlX8shIkoeLHd10Quv9 RAv1cB5N0uQdrzotCnGiWlfQ7mB/33boduiuxMlMw3aSxv7MGu/ky5NA5nrj11vyGEe7QGbhdnFJ 3yq9uYMTcEk89kng6OOLH/FouL2Ta6iJffIIwNsUiV9igkgif1wO9yxIjrExh8AXIi4HqPKq9fap 8dBN1DhDoRhFEVL1vFYFQZmw75e2AXqG9qNaXmpzCdVwXkImT0fTxOZ7qBP28CArQ2WWqZm+wQcB YmxL7+Wi9FvVsv/ist0LpRh7fpdKn3loka8iMGYQuxxJltC9H8FxgQzoI4A9g8UFfnNtgNL1HVBX H4WLOfL88HkeAyN3RmCLXi6ROUDsNo+a03LaEGSBbnuVyb/UjIyvR7Zwo39pAPL2NSWWLO6cwGSl 8Dt6m54xqXg+TuWHFvgYjOoGHKlqKV4v8obecZmlpalUMVII9z+HZuI9R7cebXpiYmvSaB5L6+Ev 2JsZ6FfaFdDlNCEo2LLeA8utOhmdXdHxxpJawCZ6oafa9K7TIiqunr6WrIQBXoW5DuRD0U2wdnTn L/DvQS2OndWj6m3eDhntrrZDp3CgPvR3EiqZmNqimwovBqdUKW1nZZ6HS4kujQY37/B/l3r3CRIq dydO+PY5ftoF/Cg/QRPUqig7M0fnZFr8xkg2RhJOx/Gam5xBvDaqApLHsPMAOYgSWPk65UPPNuQe qJ0TdH9tLZgDqhyQrra3ih7wBjFXDfUPB+5lIy/68w1rCLZIAsRmBm3xDq8W2Fup0RmljFZys1T3 LAoaNHJYYIzcn7eesVFaVOrKDPYNiBd62o+eMqWBkGiocG3i2dQDryGXomOr/LTnh380WLIggFoC AYro3XKlGDgYb+N8MC7ZFxNDfHYNX81M33P0Vo1xDcIeJk0JWOqUst09wxp78zvrcejDc4/TXVul 9W1pWGze/kveWbtwrPc86Wc7AHdqCi4/CsP/sJo9d9wSTWthCaYwilN0LOuvBg39cSOn9FuQsDTQ iXgdi5if259o9LdUpm1Hj1bVmtQi1TdbD6Q5t7bWqIB6SuCCJMJYqiZIs5cpqgR1vAu+7yH3/ojP CurXECb/SGpM9ciYIq+/UALYKEXel4x/jnxjZcV/vu2x9LQO45+MbZNQpvufOgWTurzYsiuH7t1U 4A6hhGWqnRCT2zMeSywyA+kWizl3odwdYhVCkW87YzeYG552g0BbptjijMlxNNqHLOW1FW9v62xh w1ajdZI0YwfchvY2BnGgxmoxObkp4p+ZjWeY56sjJ1L/Vy47FT2pA4yiB62QpaCFQ21mF/5Md+XE aexorxQHsMpRo0rZqiFN1yZAwRIruI2tsOx8TshujZQ6MqQL1uE5/gEHBn9dP755CD/sXgdtovCN Mdvsig4QpdMDes041oUjp1qj0id90s7XqqUEzaKvRM1cHoz3CLydAm6yksmzC/k37xU4onar3CWz 7Dzim3rt/FqVcqE4wSozJY6CepP4PtDecl7/TYxoqUbxbalX9Uz3xr7spp4JeYK7sCDdFhX909g9 1oUwcphYyr/T40z7cRHy7Wd3tysyEqXFVCSMFue1Kkv/e1SL0xmu9OGdl3Ol/n5KefyrheoVXNwn PxNK6VJHPaknBuWUhypcirNiG4ZigqJeLki5aGbKRN8IoD6VtU5InoMSE7rFLocwKa6sDK98AITz FTq3jwE/35EeL40BX5r91pj5J8OWr+xUjNtPQTDyBY0zMCyk5L4v3ynv+X6FmRkM+FFiYvkiW82M 5lfJoAm7WIlwjCglXJ0AicWL1PM6z2qXkwiGJg7i5qNuWV6fXEL1XGjfI8oXbkV+fZpJd/szSmt5 MkEzDv06NHhdb84aP9jOguX3fcG+lVbWs7OcZtmdwGedxqWMC4hheIXeEmG9knlXwaEQHo09wzTw 6qScdCMXCyxBQjy97PblsPOOuduz78h1+jqk+sbQnSzsyYkNdCUJ7i1mg67/xNO5EhcaNJV4VM1b DkGWM8J37/1KcqMtqd5ZOXLMCVO80GcqjtOhryJY3bgNwgg4PuCadwkz+jYuAZuu01p9xjDLb8YV sxo3pvh5TGUUYc5Eqtbq9VKSM8evrQdrqrFyLwFTpNmcgVKhpg6Cfw4fQpr/FxFDMJLdWZEe/t4O AG8wBIH0cUe/dF+BYHjM9akOItz54pMzgQc/UAKY1AmXHPGVLpmpouoq1iSs8xa929BYuveYu3wv sQMdjSbidi4+Nuy2v43LnKV0RonC4rXXaf0BQIib9KcSqGEPzHw+yPOvCvUlG0TLp7q9WG7ujjrG JJh9OdNMz0YjPKSBenUmgfBw1LvzSBkZolQu1f1vrCtW5BrzY+fheLyBY4VigrSzl/iTLl9dkqfR zPQ2/BY3c/Ej8o6hlfmKMrwZO8794jpb0Aa4c6J0A7h/A4dgowW6PW5bnnXowT+YkZ7IE6lgHcF3 ytNXELp0YI1toxustd7Z+RdCLtRWNYkdv47PmjGxMJBXeX0Nigzzf0s5FgrmFsd7FMct+jyfbMIN h5lUz356cj9gvAXI8Xd48qd3dv5Pq+OuDzkqeV/CBIbyWtOpz3RWHVSLmFBfSbbbjfzKAhfR9DaM bokrgHR5p+ZYHowg1EK/D3SJHbUGJiBOADumHUbABe/XhPKF8OI2tWdgLqvFKq1piJMZr44UvhZw xEgR2yRIYF63r96k0INOeT0p5TBgFHenBF28OY6TyLkdfYLEXRO7fV04zdG70mCGpvyLzLiYZJGE Y3Gx9L5T3nlmOOW19hO5DIzKN6U+5hSMGK8QoXC7FZQkp2xvd5FU79fOQUajIK+5uala71bHvQNq fMhBotWP6DOXUP11nwFlKM3/dpqQhacB1wz+KsQsCUUKkDGDjCd1GgR0zGBF1snc2mVFTiyHWdaT 5lQ3419E4SX2++NzdrJzrVKzaHOw/PWu9F3YUpZZrGAFPWIFc1eWvzUU7QCXebSGESk1hsIt2LWG 45fn5lnGFM42R14lI1PjHqdCmxJTjaItmgK5rEFco7lkjdgwviYEU7jNA1sU6stQYxkWoGEr3YIz QKu/fbU4BX8x4QA9Kv31MCnxlsWoi14DzQYYGp8cP31AuG1//ncSWl5iMoIohymnGMtka+IBIUOp 6rkCJLL3CyRARoAbwXOiWANx+lJnlUQGYRXbwP9mSyJ2pQVJzRABjVemLWfVLVD9dhsOOBJHD3R6 GMhau485PEue6naXD+3fGtf9fD0Bq3xMayFUbyml6i0p8oa66YzHl3zZf88A4LeBvIjJOE9MGRLk ul0DvAmiZcSjuBBQYWk3eQIgfo2vBDFKtJcF3uL4DqlkE/oWujiiEC8OOaf+eYZ6rPBUmm9gxuUk r7ziGr0Zbpbtm97qLNqgxzYAu+Uke5qqG8Ersq2GB/c9zK7ShnJkz5s2d7C0oM3rJfut5fF/W3G0 v83d00PILTZ3/0v3kncaXOvciXcSTdLEf+u5tlHOtjicCB13TK8xb487a3RGckrsIWoitqkhvxwu q8FhhgAbxg9YyyF4nJ5zkpm5JC5m+HgY43wLeKQmTrmF0XKprr038oyImI3wEoaTSQxsYQsNVPTO SHnCv0IZNctTuWwea12/yL1fn+G1VbPaicln0N9KVeAsuhwYVcLxgizkYIuw+ssyTFJfOsooDo/D U2zKCMV/puA+UxCYosxQB3xkLG6opwUv80OO/8OxjTceFtnvb4asgiXWAVJHr0S/dznSXYDusclh rbZuqGCrDpB3SgEH4pR0gLeVEZrCPVAgti+0C77GTP5/tMhg4oVkwO7QFg+b6DlqStZTFh44AzLm TEsZZirtSMrlRwdGaWV7//thaQXN51Pj/OmCXr2T3ereuTfregBZk9v+P0fbe6vtFzyMG1KQrdAX wdkBqqwCjEU8HTuaSm90kemmxgNljaLrRglWidCqKnn5bmfxIsaSSPtrWYjipGq3+8KPB+TuiRM6 fdiwMCwSChS3IyAUA9NATEDUt5zQlf6Vbg4B1zkUyWy6HpYJNMeP/NZragPux1qo54zOMpDVw9Bw PznNEtAmWQJ7ZgHVJ92yMoBbVWM8XY+nduffLxccNkXst/IaCXC6Hs04+g9nXu9YxeBFbsz4kuos lffrMbnZtCAxOf3HqC4Y9al3Dl9Rs85ZW1345At1uCRWnvnI4Yb2UecGjZSGJcAnryVkTFpd9nAg thWo5Rr6yQEft4HzYxHyKLjxbabqKSHX1BP/iX9nXkiZXBPgjhAoX0l2mLWJQnm1BND+ZWWh28ED upd+htnIpOsX42Yu9pe31L5twVhDMYI50bsxf7jJw+S5Fpd48iqNK6QnOZAqC5TuvJPFEfYn86LR 6EVZLLPgFFiSL8WuGKpfxk+52qHWw7dHWf2zoxSV+vDO5pGrooQvcNmwAHl6iXydNGvxUlqpt0cs 4+C/VidT0+aSIZqbrELzY0dzHFmy47pKwV1fJ0jXptj/4GmXwLKdd/qyByWBAtk6S+sILtciqaX4 lFvE96p2wbIqzcnSFK970/y11YgyDhSMrfCWxKldiZjQgO3GtqTx6cVHl1ryPJfVbK3BPZI67Q06 iiGFS3f0tezP9897PI5upC/HlyE+aLN/DC/qAqVOE6OUpbwI6xuTcc7+dsAa4TzPINMO65hzU57f vozwCrkqYo5hz62AQOjLby08Z/7Izkv5XiZiulAnhTWmwiQlER93jkfxp4R1rQpVrGt/MjCUohyU a/fOCilLQh3DVZ8K/54JmAWJleEfmWTndYzuiDfbHEvhobuoUGRNALM2r/X5hckuTUG+ada/HjMX XPBPMffIsUkTlCW3ZKGacwEcN+ovDrfbteUYZozIHoKP+/WuXLkFaawBAd61IWrCQZsSNh9hL1+Q ViyWCIrxpzrsDHoBaGUxrCqDkLdpCvrjs+aZnRoCuzgUqpTfZ43WnBVENG69W7K0a4xVuAE6FC6V Ycx7sqxRi0ByuBkwcVBr2XtKyRABIMjxWycgqsR/kqV/56BVLxAwnp8I7Mnqz+2sUhxygvS6sCCn BCTFy0E9TsXtLTCbOzZfq6m7PYTTV2OF2h4YO5h4/2YThLwIE4Pq6xbwtaa7Nd1PFeIXQ61WvFhV 4VrGljFSX9RQ5jwbsBR4yv5/SrcGSfbEe20nn1PMz+JPXuxCGJZPxhsmM7jTwNGKkDTYlodyIaJG hFzIl8fTKrKFE6FJI6mCEjoy6hoQEunOZczbmJHW1PPuWAj5Z7JQnN551Qi9Q26wPIJ7rDY9hOmF IN+qn7JquUmEzYeoM1oJs47n4BSSNSMGVZuB+Bt+s7V/pUvHq3NJugHpZzwFkkOf+Oelt4WSuvez mRc+zEuNx1BCrhnEOrLp6Zb3zRjDigZQdWscjgi+Ex5d/a/LuI/48nTZmSjijWGLb1xpj8rxEMoX kTrZYVM+cG3CzXXZX+lIu4IS0JT51vpKtjmQ5cue8AOr0txK4Eo0n1IPHmqf1l4dqMH+2UUAAY9n S9U3hwT5BpSnjEE1GuBlRnaOgwAiYjwkt68jY1zwUxAXlQZHLgmG6VhKNoTar8uoW2Yk3QyIdmvb GuFE2+grezT0dZO1ftU4a7i/7Qvuzpw6YfF6afhL4gAph0SEXIA4mSzChnzlh21fKtbtclAZiQfL PBxY+PlNpZA659J5+He2KVUnY9+bN1O1XMWB7V4PesD0deJ3SDm2XRO+A7i1Fjzv1QOa53V/t2jv 8hUxuK8Upt2oEiDFD+AYClQkDM49mgcDDiCM34Z0G7N+bWjPHy5Ft4Z8+6i3mQ+ISPMs5lRHMLZC PDbsrswylokBHAeZ0k0gXNAVFC3VlPjcDIjSnp15Ku1NymlRIH6tj9OGmPw5M99kG6V5qdVI3aWR Gkehq32c91OXPHL8GINpV7PNblKZoFrALa85gskUgaciO7QHUq4Fb+rsuf746KzwbGFc7LOcrO5L nTQ+YPaTyGE4K/4ydFXhoBVa/954BAvRbmZkZnGa70V2BMArx1m7idb/PZ7azEj9CoN35KUXVdsf dO3ZxcQPmx8mx/4MCq10SZnvNCkIUC72+nTTrWvTV6x5DJRbh9DivYCKU63h949HScFAMbwNIgcG fP2mkrNHnKjgDYxS9CJhWEmv7IYqCQ50aYsOUT2pyqYniSBkQT3gn4QQLa99LPlXP6/6Js6txUQz 3PeG2wUj43uI9NW3QlQTjXgLVq6Mv8SfWZF8GQdY+Fp9WfgMfdPF9cDCdY9kY4mORZBUopu6zqXW 1dD6S0FH4YqDrmolKns8xW65VpomfDZkTdtTs2HhUtPNM+9LtqdK9BFPMhuuiWfq2U0RSezeTnF6 K6UtXULTUH7leEZpw2VdswCIb2KMnsM0vWk5VkGTn/zmonnUe6sCZ9jvffbTgrjjiOyNKAKWc2nK u4990tRKnDEDhPe18XKQCvnF87TLnxxQ4kIf1koV/k7FCvBtxcnZ2Qmhoja0KsDZ+OOKCm7OXCIx HOOvuaj2FB0O7rqrBH6kYiUkDE84pXvePkjNFGYEnhUI+j2WlQRNQXTme6OcXwzhfCtkYzb0BC+t UFRJ4CQIpK2UshDYE7Yi6Ew9JefnzvJrCI+8qaamhZWoojO8gbuWfdlYbL2UlC/ptEy2z8YJLPG3 0v4NqNZZNH0Aag6E8u0zdmW2AzHXgtrlD+qatAO5Z6M7cYnMQt1eFL2pJMPNGkZAhNxQDcLWX619 0Ud0m4hiJexL0fUY7q4y0Ins2bTF7/N7oDLeatmiK9LTpHfM1QgAIqWmToVlrzdgwP3c29Udiw6d t3Gorf+BLxlEYMc056V1cU+4b2GtxqtNMOsEZdaMJawSMQaQbKgZpaxd6PQRyPAke97fHVtxuw40 enHzIzw8OdlFV6PAktdBoeClBC+Op1p0csQpcOj7+8vxDhsI5X+PI+bEaVMxLWRqpzEP0ENdRyEU NHo+5bJVVS1tvQeNY/B6xNORQmYnC1Z7PwdteBYe1hzAy856PG82BwEXluuiKc03ApNdgZc/PmzK us+gVrhctwulLq72jCrEzxuXcUDKVz4jCm/W9Tcq3AJvxcovSq3GZzCo7lDqX/NKSd70DudfBwSB oH3p0GR5uSmvCmlgUFm83x/dv8u9vjkw1We/V2pjlFuAqyafnNRcCsdEkG03cM6i3N+4LIVSsk7o R0rnvNtvd0fWuGIRhOHUexxefaB6u5UZlrf9ydDpWuh1gD4UYvST5V/u+bQayNFDjphgbLlAsesJ KWYt6tAg+MfgAhs9fmz2WYUc07cF1SIG3suk28uKENfLyuAQvL6R533xrMQ6UCfb5TaCkanF7uYx oqJk4ewqxF0nT42woJ7VHt8TtAwn3IZUMTUe1uVa4zo3Z6txHwQQwhGc0L9ev3XxMiwDvdstlj1o 14UwR2MCRspu2gRLz6YzfmLrQsNUBR+cHT3EGJG1PpivfrrEV991BH04RTIXuKmGfoT3Gwa9719j rJRqWnFrc1X7dEb57elgac5Fl9D7BEkFwRNVxN8s459DzHsg/UgD9jHnTThFczc9wGrBgFz/ddNv wLhWaNrpgPRN9OajiBQvHvgPg4SLyUWUoojD0qC1DuvktEOznI6VU+wGdCji4oNiYeqRWCPPq9ey du9mdo1gsAxwdcOPGsx+lIfnZD7z4Z+kDmc7VG9uEAzcq5eeZkLetiF0zMt9X4duJ6yayavVVLZ3 1J8QDR4MJ4UDjgJI0SkuOOntskNU/yWGA1O2HMaeLY6qlRQjc95NFZYw1UafCFK7MC2nc+KqeZOo OAz9nHF3VZ5NgD6S91nj4efivvSsCopvmNHxOH6GcgKeAZEJIZx258riO7iA1K98myoINUUYTdof 9Hu5B6UsWMKWzt15cZCTQqoJEC+Vv6i8DA5NQzqD/UYltJ5FP6kTcx9Q9t/2X+w/iGQXrPlLJy+D QwuumQ77ULJHnG9saT3KVOVgj/GJLsp3ueXkG0B8fgxrZ2yawUx0ZyNSfKh3/X+ZDa+Pd1pEekpj hG99rFTYYYIeYlRQlTSpwIVyXsxRlguMIA8YBXPAXRXd5d1oCjSTFK6sRpeabjoD1eIFZUqvH+SK JL612DN7MeTHIzae/EnhYuy2FRMJzuBLNc+9NU7viOb8YY+hHuIG3ooOSKta8+839Ry9v/gLJEd/ f02LVmC7oO282GbMJYCUULwppo3AJsj9lQcHo5R7U0MbG2mgpd9qLlEDZbvGpVUQSe5gOjuXH7oT NPdTZfEMsd3CU41mu3fXU7dEwzkKwNb4x05SHpLZoq+8vpprnJa0kXZnsHE3EfjlEf1imVNkFaST EixXgVRTpL3eOemrIsfIx/ln6bGCmptcHU/DcLLmNl8CGNI7WbXTGaFAxNOgJOAPySCgF1Xp83Sp WVXd1Vmue0YOsBljwBEQq29B+rGU9emIJvQNThYEPV1rfjF4n0GhbXjckRIt6FKERgx8IVWRcfOz bs0xu1pdrJwb/nlU+RtcsBZSyBhdJ3KpD2gJbSwrqj/4tLWtlT2LgmnRV7wUbCI/U3rexwgE3F8D HPRfZqA3MveFKFtMaK5VnKSJqYrlW8II/Lvz5lixjmdMUp2nkyf1FhWXL/Tffflozqtp3vEJiuO0 f48OXr0CJnCeNlXcUk2dyB2mT6WlLQThtsNimpY11a1Y1YvnwNH2VG2cSXBBAVmq1J14dOu1EygU uwseNpL4qE+t2P51fv7Q6l0pHR0t0+X9f1ehaCQsye5J1Upf6WfW48QhTEYyLEL9I6KZplibgbVy cWIoQyFwiFJLeGGdZazzgJXfExTWEx5mFV7D5uWakZP2euNrib3B26aZtk8EaMcalw/LnHuo9rwm xE06gTsOsr1W7Ja8EILPnb675kXifNmVHwrRD/g2oJ+0pOElESsxpopuya4UsHbVdaGYwXwaXtKR 1Rvp78D9jIOub8/a4fDStC5EmIcxmqLW4oBx5QPRekuvVh6p3cdz+G+ZLMRugzrTFxPy6W6BDA6d 86k0EJ9i0hviGdoWvu2kUeqAzpv7TP2Zufoi0Q5EjyP6JF6W9hBNfFQyvLBTTNMrlFbzghHVZaRe VRnug9hg2s3OdYPJhQrWug/RblGG6SPXQpvDjfXbRL4sC3szqJCf81ZR/hnYCi+LUyLd7I/no28k 6oKzlCa9TF6S+GbAMu4Ho6AU5h5VjEz6BJbwc3teRbHpmXkeX4Nd8m30tKhuj3Ca6BFggcyJnaQJ 4U5QRCV8/jygVW1IWvh3TjXBTCYsj9XYaWRGF1nJFt9woOZiF8DlZSv0JYct6U7urrSW6MG5wfuy h28CrBkghtKnOyVPnbYslc913YtBUdoXFSvNbpMSkUk7Lq4/ErsIlu8Hmt26G8YUl0TYeMb67+C3 +3tPmyZSZhrtNvc3Jmk2lMCBKKSeca8f+PE54Isc2Oh2BKDYy2/HybjpJjF0p8jR9gvfWHBcLnWr WCkKbtflI6UTjgn2fuFy9QYAhs1f2hNyk+Ob6+HLGucj6Y7JaoBlHOvtZZjZLRXrK228/iNZlPUB X3rGvzQXNvWjLHwMIxvlUR3Wo3PZZU5oXV/LzKMHxx2DqBJlPHPdp2Pw+1QtFTSreoNMHSR55343 MppmUKnzS1Z62C4Q1eIUs7i4lR9jTEvU5UnH85ABIkDbYydw2Gr3/1V+xiZw6+6nxD22gVRHtYeC mRv6Df+9/utg07lNdt3t60FM6BVzWTvptw6Saex7U2o0FhZAIDqezKIODXgznlUmRMe5Qgh7QqS1 tnhp6tCDEhYuYksQA3KrJfUw6iurnvDZ+BB5gzEWtHpXsuFYhB2j1NCokPQvLGQURTftIFOlIZoR OTUqQ3asvTy5iYm9+shsPeCM3bVIhRAsP4nFRMwulG/4eLxXwGcjB9xxMd5eRMt21fhiDIwIGwSI 7WQoozHUM7eM1uz2+3QXMrBYUW79lFx4bfe4uoIwxyJ/XhiYG711KnTxUW0OcaeBQcN6Bv+AyZ0r xbnmepzEwLdwOg2yatD8ArH2hQSxfYkF0ep1rl0IhsSjELrOdITSnCZY8TWmq5zYE94kjrb20zYi Nl/G2SYONWBQX/31NIwyi4IEABLCDGWBrZFF78E7YICr2v3bIzilN4Wxeun4+hvUaJ6HGpki2kvz QtbA+whi5bkzLHNE6qvenyULJhrcUDVATAiIGJmX+t8w1E6PrgyZSkDnQZFwawKJPu8qQa38nwyR oqQ9Ea+YuejJ7DA3tVQWedkJzh4ZdGzKg1IvaRGjl1vwNgxsHF/nw97N9yd9v5eQx/+Mdb/ILphQ HC8jX7gg6SNgxgMLnCohnA7QzyRdqyTMVyMyHIY5O2cIrDpany4Xxzk41AFTWLvY6XuF3/lxh8aO XAhjvdxhggN2lVZmGH9/3EfB7QBUQe89fvkIyP53gRPXjBVj40NtI7fxlD2zIVR2t0gZbQHtvOUM F9c5QAeWMddaj3n48V/gCJPaNe3yaYbnu9rgacBYn98O8cMQLcz4fdGvYfE1l0MzcqWzD1VPD5tZ gxz1Ped44TQgG+t0VmmoMUrPNBpDX670HLjPl9ED8TP73D7nKixHMNvgoOFUu4sSHDQyECxQHfsN v0Mfz2sBPCQt7m8/bb3terPs3VTmLSqhj7/h/SgmlvGlU8oWliS9DwDDMl+Ob70Dqa8eVmq8x4e4 K7JhRsPrHJoWIJhY7LumZhH2m8+aui6aWUWHidhaCYB869V9aPap4LUb1iW4WedUT+RpeDahZ+zw iUWsYDM2An9StQZ0PiqC27miFxsQJk90PtJs/W+VIfHiIjNtAhBEi7wGwUgH0u5xsfmpfpiAUxQT Ir1F14zo+6k5qeVc5w+ukJu5ZOAzqfsEmcNp6ErbaZkYaedFimaTorc4pYvNP3wjnB4QCPQAIlMy paIdrgU3w6n4PqnY63Mh6GuR/ljjnBMhjVkHiHiykVYNL1NC3+VErPkdtR3phZKOHAS+XLfwCBCN 6WfW8I2bIIANsM8K+Nvpz3PDhCDGgJYxc7pCCBWfn6B8MvEQ33ExYrymC9iVZ9ph/eE55xIu3usU WPdYX1Eou/lSsszPkBm+hSnaaVSAI5ozlJKO5dUAwQ/bGIwiWGUbiTZNqPRvDk9CirqwmARiSTX7 BIXf6IwRj2rhsiG5ZHjp8phDz1jE0UC3wL9xBk9hg48ixA8Sou2Hw1XCXgxQ8YCp+aBo7IFFr0Pv dkluuD5pwTtgvVuoJpFYe7fST8co87w51s6Q3WXlJKier7p8sqwgmfreqv1186QNJjm71Q98pw9S /uRzbmaXyBjN8/RGiYajfv9s4kSXxBmBKnU74q1wvLc2u3obYo0/g6g9bxVVVbq8ccw79+IAaHbt 6VMav8lVoLcFSfOgrYNZChwWsol6l3RScf2AdRSM+DTmaRtZX0SdHUpvVlaJHcE5W6nz1vSlf8dr lozdCwaBRz3FQl5nfq4nIGQUrnpyEVjJ3+8Q2oR3unOOwoCYRXiYAzRhO32KY4E1ZWsZxLZCa5qr BF5vFkXVZOIBpFvDW3vZVoXoa2bTJAYTaPXYS6SNM7YVF2nb8+RjV7/zv+5SppWFyy+eWwgtNew+ YQT12xN6i4scSPYflRJLHZI4hv5dm2F5+uNuhfRNirQ6Nns0MxO9X49Ty2C3SS7ha4UcqXoIswC0 D22g8wSDxgszPYcAaW7DiAHYTb+i5hvvm5BXn1f+C3SzVsLVl8eQdk4G1mawpxHNTIcU1jnpu84e uxmcqRcACi6HemBIVBOUwNXu8VzFB1VzBRIi0T4ME1C4akXj9DQQWt2oQl7NNLbULEM7JQjvgLlW 9jyuDThFW+K8uXslHvuirViRrY9PLJrJrq3bTfDZaI9kGLn201mRfXvYLsD1kWWHJzmJLY3cs3y2 UKqY8p8C0BPKsnFVAicP9gdjf/e1vMEuNKAMlYYJLQn9DRN8NlV77xF38e20i0OaJ9i5r5Vq3Q12 Uyqy7dm/Uy7ksVsYimVozmv2s/TMY/gJsZCR7xWUJt4/dRCvn8+CkjDyUfv0J4i3/upoGyvGlg78 gpmktgxvtw3ifSAU8996IxGBVQER4hvMGmVlQlJrNTBsIO2vGDVIVgN0A2FsOuuBHT+T0XazE6Qt rZUWVvlYvzTvvVkr937GD4oo+E3gwkUu1CgzLLLIVv0NqvclGeikbhEyxsoh1f6TPPrGQEgq0Xwg BrbJ/JAB3RhFZpdjoarHZFeea2w9ItOUr/rleQM2ujkAnxUxh+XxqTQOz8ORq48MsEaaTRDbropF AGMxXZ674040hkkMyOBpOQTajkthd7HPy/HKuPqyCR+Za44IwjaTbRNFCkK4Xg+H3NP9WoV1inl2 lh1yy7hLSqYKzoYV2j9E9frzIs3XhTdUTqTT3WqAwEIaqKFh1PT6sN/zgkrev0UtEocnPQEvr9nM tSfBjVSTYAEn8xhDfJpnFEpD8FVx4qeKZ4PBACvZiD4sbBp7Efp4AQQ8UujUiC0L9G1FIkXQIGry M5EdviZfcZGnaAmy6YyPKzvQON1ZjGzVjwqCto5eJ6CdYZ3V+zple/vgCy7gmEBbF9B/gvahvJGM TgCSh+D9AAnaNOV4Ofm68jM5M+4iCp6txWLWkWCm1vGiQfzU88fItmaoEwa3R5tLUluSLZKmwJRf d3oDcCL+dFYGcDPotF3+TEyf+eGa47SDdcUw6iIfHZ/aIVDZeymzFBNPBV8PtXLDj8+axnH8tIYc eiOg8mb9w5wQ7o/eDdDwBqSjhnOmP+f1TO8Zu1NNWCLYEjRZs57nXlDAZdeQj0Ueqq8Rn84Je44S lNeaZzFi3LEcIVglTbQhFav3uESC8t1+lXnlkyMj75bUHY9H6W5wrUlRe6jIQhofHGV+cN1RDBPG 3X27K69l503/+qXSGXEJCQEOjMD7KtEOigX3LS2/bFg2+ahUFleujX0zqfp8mjU1H20BjR6c2Nb6 I8/fNRm0t3/DHju4u/FqYwNAqsGYELxQw9Hdx+5X65eycW2LPBiy1lph8bvu2qA9ALqNHPSJzNX1 Y6OJVbKdFcb78BsV8HKaR7V3Dgl+6c77/rouJgmk68RYfDU7HNfzPjqrQApoCZbL/hU0QHhQg4ON F1LTAtkoHpEcERS/s7V/ZclUuDZSWhaxF/jMaePJJ+qMBfNogTIJuDcSbDTgucYZcVTAccjFTtN/ a4JGds+k6A9GY+9CBB41WnWlV8xrO/Uwi0RbIwcI7h9sPCwEcdA+rmkQI9bF8b3g2JgczFzHTJ5H wcXAcPW9B4GKTqHH0mZevuB1e0bhUX3lLoU4NRiNvrbO9pJHQEHkCpEP1/c5yUJwb/DfV8AshbVl iCTIesBvgrtIFuXDY04Ejtrp/v1FtN9rNGpbKM/PAq9IkdDZRzdKpQUOlrGmyxG/hFQypTnozH2E 1+MH5OYDyxckncmIDNL28oGz6zy844eCH/4s6hMblf/J7yrBiFXlUoi7TsxBRUyiBn+ovstfKFDG I5iwLOui/KCmKezMS8/NNn8RnbaeE4bRIoEVu9FssJYHdQrFwf6lc9Pm3kwCoOsSObH0gBAJemPI 1d3ygBEOYTbd8uPvX0XzKoFGygquWbZiiK8ICJImv7cazeNbpDTTDKIj2fcCt8GqUvqbrmg1gyj6 RUsji/+3oDzwSpt7mCKUYFysnlyIXidFWYJp7w4x0G5uMrYyLr5kGq57lsD7D1p9tH1DRe7zHZf3 7AtYtXf1XsmhzmhUn1aWJZxm668lXWuPHcmDq+KOrmx2SGh0exYWuXVJqrBXCjwTRkH8pBPUOfMJ 2mAJ2ADoWJogmLEO4l9obK7rNfmwRjvMB232xIev7iGm+RcyzckFm7NNKSd2b/1U0+DfOBBceCXI GH7K//crciAuewzdJrkD162CGdRZihgh+etS+W5CxAkrihaNqoLxGBZ5thBkquVoDfWE8TW4p5K4 wysplJiscp9ULJSvQZ0+tx5OH7CC4oM6oibMcL4Dnr0VLH6TIJzIFQRzjpFBZBAoQKrpIgZbz5PH DUVBt4puSUfGNKOlzSpNVNyqUhy1FH977G5JqqjZQoncoMpZoKMM5eOo4pwlnakiCxk595Z3O49/ tnRX+adcG0yvTcMcGyHkMcibIKQ8I/sGqGfR7JkRZkmdYImlRBDo3o5189BZP0AT2BZn83G7Tqk3 lGs/GT44xqcN9ODBf3JgNJu8qK2sUCIlIciOCMsof8gR5q9YTiwihEfVLBCXZyGxs4Cpxx4tBTDH gmtmiygCAvrY0ze5r3B5RE1Hz5XPbcwQUUBQ1Zkb6fC0G2davp33bpIvrlNzynoci/a0aE1J4GU/ RM9d5V2QBZP60vc3hR0VY7q10ZgZRVLmKbwhRQf+WCnAQWe2tTI4hMxLn4A4hlS+TZL5/ceNBJdq x7OrqLI//WIkNX/b6aIeFe/JT/7dbNIQBOPqOzP5epIbeZzuST1+x4fmLxlstCI2bRYWR7oLQFP2 ggHhim882IoUBB3goneg8HjLixX0rfYhlujVqG1MiKGIWAgtZHr5UyFP6cb87w4W+JOtVpWoFWOF pB7OUfEDyveHP+5gT0rdFBbUeKqZEM0W5BVDBPTVB6NxTlhN0CDB9F8Ha4rRg+dK2mzVoStrVJ1s 4Cr/xxfD1/wKVsrRNtABxyybE8eqBQ34HfirVxxaALbO/zTzX0xdd4X5Dzd9rx5PKZ0SippFi9bD X7Q3hOSofiS5pCIsXl+f7k91H8hhcdXLWLfTex4VIWLpoKpjNWINBBF8KB+wUorGQQVbpkunf03f 0TzlwAqGpjAEfqfLbzi8wC5Bjdrfvl7k0oFyw8WxNer46UfkGtwR1jUDyyk0LppWAcW2Xvu9x2hN lgMflvRHmxvkeESBVx365F6fdSLuCisesszyS8UnDoR4z70TWM3GP4aDJCLEeVw4z8FTROVrfnLp nDPcA4mEAwIPOF417oHiQnUVG9BuIoZ59Z2OttgygRio7/rB8XOck3xV2E/YOi9vVYN6GA4cx8o4 KV+C/uYkA/fXhT386Z2wQKU7lU8PFsiKnvQGO/5EHVT1qCdDwZcjTqGsTkYXPQKdPLfaDOmwJs+e MDa3QZm7otnj8cG1JnzuaCTn6b01p9tQskhI+wfG6+ezgD7qh+7EEBpeRgVsSR9KXpchJS3PLGVN FJPyQBXt1buwZRNTCG5fdYgL2RCgtIOEpq3ZNTY9ea7VBACvvR648GJdalTZlIItmMWwnMsV8RwL qtwEbBZbkoHa+UURV2nFT0CAnrntdffyEaeqvWQqq3SpqcSYHC+73eu9tpj4uMXlSqRny0Y2NHj/ 1eZmcsr+45u9g6Alzed3BCH+GnsiR9hS8kNynho3zGF592DMXNQBPWEfmBYNcGDNjX2s4Ci+3/r8 SkcmQigra10LLMqrCgjOHw4Z8xKD9CRzueq81qPXA6A2DXqz1Z4yBGdoM88dNdhzpmoK1N5yCe7w /axCjtAGo75RiLKdq3MXL6qVfcadddNi7tLORxdTMYRtVC5E5b/g1mLi3J5CjFbrT7+IQ7es6XUR 63fX9m3u3UB80LGn8U3I5PhAQi0LXm+C0FLHFH1/OoJrSzNPhc2YHwgbNoOsnS6GwbJtmkhgD8bZ 0xylFT6Sm3/mhz6ESNEx+faxSg4yF+SWOGDVnM63DLuRTgNeTdPJQgQEXcshZZzw5Ip5CRFjbuFz wijQuIlmBbGwsB/gO3C6aBc7oJUeQWVoRmzU3XFvGR3X3xJTZJ2RCwTTINOgL7GGnLrtUQPTffoq tjbeg0piLvktZexRX6Cl3Fh5vo8AjNKeizpYNdCOCGfuMxaUhRajvP8aMjvY8RGXRJ2zTuXAL1JT IO/9JxUbhEG+thrJITwCT97UIsH9rnH2oRth8FVq6xfYxOAdgHWzYnoM5AaNJI/VIoRKJvc8E+vC dlgMJCTEuokogFyogkpC0aX6YiuomZIVt+nEAhhzeu9rD9YT7KNw5l6IMOu3hXZyyVTVm0UB9ktO 0mHYZWt0Ma1wkNskNwYZZ825OU+sxSD4WKU5iu7Gor4AAoqHRcr/nwY+pctMY82qPuE7wGUbtuEM zoeRN7z3rYLml4Slp2yf35tp0b55fcyqXBr46CgqKwV8cQ366exxymUEtCYdsb2c4NELD2axrwtG FY2kokg/t5NE5zArw4eF2o2emqiliPdvzn2bYDOzwECdaO+2mPPOkwuP2NFpZkv/NX+R7n5/q9f5 NSO0L49GWOZ5zIkd99qtke63WSpImTrh4Tl2hlqP5dVd2C8Opjct4b1vSE80FEm4Yz3a/TM2b6x5 jg4kc+cVjb2+VVt7prrdlbF+7sVV8P3ow6rXda5WYRoQ1UpshWs6CfGiMErTH5rLlM21FLKwLUcY VwoeXU6NKEw9hnE1UQ+wSznaXypkyGf6EgqwoTJHU3sKRRK3tvtKqPGVn4LBgAz+DsgRq0s0Skqx fzASBHzdBzEzXiA4C68MNLjMB08sgVTEbJ5dNGItghHjLLtWyCobHZCGNEsOEY+UqdW86tMSsFki W9m41+oNfawBgvl7IwvgC8lKi0YUMCrBfhc5dMuT6FZXi54RBJUj3HmbncztLp4mP74enzeBlcnE v0XS5vtGIXGNpA9bMTW2gUXjY1lMOOPNokliMNhfUgua8qKVqR2otU+xdY190oXy2b6/I5UrcuSE SdvRRS5kiN3/sNHb3362nVI2ihp8YhEo3V8zVLaCoLFNxWz6pqNhK+kbY9m2vXooxg6lKMO5lXt5 wakuVB35N8sAsTqgKyrE7KsWvXXNTE9rsFhe5/KHuanAyLrdvODzE/W5bzUgRjzmJffxkkAPwEx6 iH8PX5XnivU8Airl7PH0Havum2TcA6X0N65jYTxjtVxnRuDZxaxPr60iPAqgu76UPchEvCREDBip Fnbt9wv9V3f8tDSTv4e0rvn8uXtZQ6eUAr2/khzj5DRByVuJc9jXD6PUCbg18gMYf1SJZtBknTKP tXqad9ubRhlXjVb69eoNPpcdQEERINWs/2i5cNfFC7kqlo8fn5NMV7zR9oN9K52w0mwbBPELlfhE Bi8H1jYAVBEERLYFR4P92ZEukI2Wlwbs6XAvs/S5ajZ44TciKO8hL2PWVtJdCocZidBd9Cysa3Q0 DKXyFI0TuRy0ol7/1r60CLFHLxVSkMoYhm6HAP3fwk55FrSXeI/bQJCYfniTM32hjBRjFCvseMsQ tVrJGmaJJz2kfY25kuce5AS42w3KrUK8Yi5o3dgqTfvYwgDs1IOrARZVr1y1MXU3AWzt8S/9GsT5 C05GAAnW5zYpohTNMDaPJE5BHFTMNB5UJdSHi0Ic1ITXe0es6ZpFRk7LVdX1kHdqidq89yGewUWz 9wZYhB0gP5VNLDceRcbR9+lJGop62JAKp+3Zdqe38SoaQ8wIXTrqzk/OYCHw3tkbqQxlwFaVTjGZ ZmaAe/btBJfjaDlxznSKKIHKyU4QBae2iVONuxLbILpfz/sA2woNcT7dWD3I8XJmkr2+DP3Ncgsk 3+cP4zRZKI1rI/ciBJK0C5P/irATYbwbJ0ZmWHWHLqXXQsRyuPa6pEs+6ob4Pg7lyOy+vAXFZAxu MeCYM65JBHusVWnntBzLDI+9SagiEt7FshuviW+qj5I1nr19M3P9ZEmQlpLYFVfkg2WP/LBDtxTc ifcfEXdfxrtg5RX5o01QmJRLJfNV0H2riU0+oVKJib/4Pdu47SpigAp7JLcRKaMX74baHBWU6xw9 qTHKydpzgLrYKmnMKecpVVzoUwXkXn7UY45xlOllI28pUPcB9bhnr6Xgew5UWMUfeu16lRpu509x ljSM5EMuxaKvALf6Sg63JqzwWxNmtNvRZb2vk8EEc4hy4FLtsonQbmFNeWCVcGPoNYWkhaarDI5d Af/SPSInYukNuwjygJGsT45sxHuFr62FI+3TnNr8I0chHZUhjktGhGU+pEXTSnYsMPsDiVXaL0Wy z/eBXfyNqdMB/vls/OgtUm+b0Fa+RdA9V7kEynQDS00oVMR2lsHMwWYJcVWnIsqxuFdZKljNKsAH FOHhkXxuwRuZ5oYgp3e8UFQe+S9Z2xFTm447/xw7r+VBdwt4Fqog/0LH9Uq/YXVCJHNslAScdXSR 06OBR0ZjR04gyiylGzwnWqYUUEuPeQqr2SEhoVF2t8RFlDRsYM75FCSkkPiZ7NGTbAMxnq4q000Y FD/cYGD77TFyvzHyheytqCaon55Hw2jIZ5p+aV4Sg89KGOu/yaVPjjKdUhNKETUhCXTjHugq0Oxg UMQyZSX5B/2phaO3HHnBUTpayjTVY7naCdCnvheIZ0t1YN8scoIo5S1lcB4p4G7RFy/MmUPd7SLb wnyfLxajY/0jAoR8wyXNdZsLciiQYpG2xiSbiRV0qUMuSv2ggR8hj0OiVx9bC1zcUffYMDuBugoH +q1sVu1hsijIDmVedR1f1tVZbKZVF+k9xVTD4HSK7WadNDspUzElVDXTqmszruQoG1rkxXJhQbZt H/S8ERGq7lTvDPD87DZ9ljQAzz/4xlRe9VJHSdUlG3iAYu6Pnu5yxD0tJ4ubCieQWvx/KuJFH+A3 VCgB6jRH2K1JxAmmV8bSiBWVmho+3LLD4IhzSzXlLdhmFnmfsgbtrZKq1fadB+qKRcF+/H+MZhS5 JmqvDqdacWV09lnEQXPYKWYt6CzfYcd1aYNmfDm5IkoK3jfFSwMJe26FZZxrRezOY+IjjRkVXrws dPeplFbgZzB0/k6yVddcXNrtYHvbRqBGMujjOmFWbrU425whqrVhDqLHu5tUGAFNV9+F8rd8+yqU 7qI3n/FxZLlZ53IftkdesEPqr+G1V7+yJdCfCUYTgIJP3VRBzECdQR3RWgQZSctrijiSITxiQZsS 0Hst0cvRUuNDP5Vhy+hAo+lOQQlQOuzIKeyp3S4QvMZ/sI+Axav3Sr8kgvUZEsLEmEDvEmNKGwqA 7GskvHzax6ACLBE9Uh8HwGKZb+VB9M21D5Gz3a/o4myF8oGg8bC4wJf4Eh4rSHovfFRfVd5BuUbD lTp2g1O3PDKvRtA/cbpEKBO7m8XtFpIMv7NfdHO1XezTMyt5r775bSurGdafqgrqZurIMVwKtcKM hGli4h6EYj6/SeMV0Dpwjpwh3tuIm5pcmKg64QmwZ1odgUlRb2WLQy5n8Cp9Lc5kj+l1sxu8HBwM z7NHRfVxAwimSmcqFxlccIUJw0endvHJqcSY/cQ7nM34YhojuPn58UN+Oho4EjSoC12JiYuUOnqE hce7PpgG3NxELSubRoXES887+wenJhOLx9rIQ12BUFccwEDkVA8J/RCkYmOyG4yzr2PuGZh+b2JY 8UEzJ4arZlhh3tnm3sPMjkmRltKWRj+J50/qa1pVounjiW8pQvXBj9rOKuACVKOF0TGmSO7SRnl+ w7fkl71hLe+GUYem5Wni5NCWSCOfqNA+P5EdxsG2R13Zmgvsb/Olf7WA42DKYEXoo7afpv0p6wzb UgDkGGA478XGg9pXmn/27E45DZjvqfvxQ0d7apIBAyEuAEQlEuXQgIEtesbHxGN/wV8WUwInpU4e 3xWj29VfH7eTwoj9/BbUruN+ITuRwZH903ADkUrE2I8k/jDn1Oja2rpCZYMWg18v6FQt4v+QjAHn Q8Os97bfnajFcqeVpoj+3mNv9TXk9r9Q1mljZ8Io4mKKzylCi7IBV6ftqJgi9NrdCwbxWoy0XxG4 RP6VM3KY6UY5e2zBHjS2ZDA+JmAgsA0iYOeQ/z2D5eePMAJrGB5X8T8mVDWBhWguNn0ZeLPN8WBx Uxfb635kyRDqh8BzK/RzRjIwzz6YXW0Jx0kUipx8DcvPgMOOJxJEYzqwuBTISRU+0s1Y5Ts51rkx Smg/BWAhpkXtQnKVin1hv7DpQjh79Fze7I0NnpZcZeAhuHuKUkrPbE/KlImR9ogOtRMkEZ/peI2E /yp8uT02THbLWw8W3adBuMrPX/C0qpQfdSlDT8aZC/4R2wabq1GgaAneZAb1+TpWvSs99qCe3qQW 9mA0oe0iPqR/UvU6j9R8+W9iA8IzNPXR+QTaE8SlHGkk/i+0Q/v/RzLDBAkxBR3Wwac0MKrDcBAy JoQTMSHUhf8sAJuNjDSKlCyzZrRTf2O20vX4gJl13Ewox3TwSA1WIhc1ZlLg++Tkqd5DyHbMhYgs lpYw0Hp7uOB6sWa12ay+4VxS/UgdGgSgtyLmqWGW8TCK/LZ7JNQIYFZ2l1tSwKlia3Zry2hyeHcc nKShlQNghS+DSqgjU086nuS6JLT+tUj/yOVh/9Akkuqwe0qmk1rhRCQqVad9bn8X/zO+mU8msIgV d6IvG4ZYO+et8s5bTucRiThdWvEP8J3dcfUhd1g1b8It++i2HFX9q2FgHbovbEQCgxCyQu4/tcu0 rkTNLKu6UwtJHDA09RxQwBv2sGs6VAIl1tDx5Hp7A+S0J7iBo72flBvJddY6P7LVmU17lvlyBhNz 1IwmjzpjrDmXeVaJ9qfhhRCuhr+RRVqZknrRZSJv5OFeexT1PiCJjLmrPyiGxW3tWdpKqARTkvT+ xatpewTWVoox9vbMoKrxLO9Su7qSdToGZw9GrR7gdg6PgPzBV9BZD9pfp2QbTbWO4usfdYkz2EDJ quAsDtZth39nKJo9/N0t+xDMctbqxPu5WlEJ9Lyjc9iHH0NGzjNfs0sqFMCEr4TNtA2LC8VZDMHV iNxzpPBPwY5imxfy4xGQ1IZneY7EuWuKe3MicSl9gxd3TNCVZAUJaGm/EY1XokY5kZqXd4E5AM3x stmKKo+JE2uWRIH2RHN76EE/cCq4hjR9k2F4LR+P7rnO6rHW5wFCTfGcvy9H14EZaCZQCqwApLEa JjIXJNWj0n31k/2/ayZoe+q9H/bAgFz666YgTi305EgV84xZNMONH2yc1ENYFMrYlFZ+JtC1n2yY Bzfp6Oha+64+WEgo+iPWRpcSc2KFtJNu05lH1j1K86ciBXVc+rOR+9kcr3tLANxgsri292PrYABq tZ/gJgpHiRkDr7BRfwVJtqskwTZshfObyAFbb0wkZdmdlMHnPq3JYuOOUSCdf/mGqbi9zsFHoHsS aYXKdrstNY1YN2br6xVZdgnY+FaGoHbCKN/MjkJ8wdl3AHrvry5yCtQQ3dpixmSx84QEcuewpRLL WgmqIKMP+i1SXXo7qFn84C6H+u2wq72WsocT7z+fy+MptpCPBgK0Zvr8Xw7vUDfZ/MYoFD2yWZFX Z7Nw0jTLrI3dg23GSmh3inogb1EyV4mBCpkNdDKV7uMSejmgiTPsFFP028YFjUahj9bBPXds0hvx fd84jh6spTXbHfRCRGNN2u9T/jYSjDS9VEHMIQaE38sdMidQWMEICOnkmTQlFM38ak8tcUe46sLI gKrKRd5OXOjm3liWSiQNh55lJ2ObZLzRTyMz6HHlJFOgL/IvOh50QP/K2wg1NGfaRCqXqgN96oh8 xBJcTK1Qz3K4OIVwunNoQOTRRA1X9P4HAzzSbzaVHpotMH+P21EuPvbx/sdCKaQYXSknGkYCDokg siJoJAjwKXSfZRBEtQPimS9E+peytpu0BpwLBMGnioZAmWT6Z3xLnGBnC3ihM1BtcOfjXX74unub ueojWj1DltmVBP/SQSoWhrsXIVsJf6s6MYANZMVjTaszpoSWrpEHZ67DR/8dlqLhJ3pPijaNx/EV qWeQF1rj1h6nLAzT5094m1iEvA3eWUPPVpnn2HIhIygTO6f/OiKRjcXL9LNZ9RVXaxKO9gYOz3+r yuYnxCPro9Ljv2S3uk4elGDFpEQu8wdfpr4mM6I7dIM5BautpRmEeyufmnRk0wLwJrHcZS9Ak+wP 2jwgL1Ts48Mtrb1jlMkUGdy+ATItrKXCgO+fwmI0nJPyOzpg90wfqY87pyzwQ4gbfMFb4enQGOR0 DSYRZP85O7Ic3dEk/16+0lFfFWfs7S+Jqxm+gwvC9NYnjIre8tgI84kAlKCXEE3sJJuxkdmwYIsb k/1PSNdzBx0ytZmmNttqf3b4AzgjGJ3HACX5PY1nk+MeF9JaGGYHq9rG2lABVKCUhgKSR+T3aA5+ c9MptAomdFiHMgrjRwXXf1SJ3zZ4y1dfUHMWRE8WmRUis6f2uTCfBTNZcgRdKLBJwsN7s9xU+/Ub N1hlQJzZ53/bOzQFP7c4QTa0cUDUbvyGVHlFzPTlCSsK+IMR3t3D/NURUBuiIT3V5TjdzGjUjdsX WJBAZ8U191XK/NHd7ugjvBHN3ESwCQxUE2wVE95+xbu8vpW13K1z1Ib/l/tJLrp8v92Zyd5PFh7J s41hR+H7vEcYX87b6lprc+g7JrKJKIrOOmOK0xGZUi2MJ0vJ6GEeRuJIJN/v0mHOZeQd74TS2h8R CopjZE8G4Vx8NtF1Xggh/RwMlXxka2G41geBC74DWPlVxnxtzGb+pEEKfjWezGNKi/b8psgOHM4K Kc0Hp3lJzkvlWs0OGkH6HoqY8cP0MxVhYVZE9BfozCTsxbYdjTkIegYfZDHad9RFu0XU/O2QV+oX DDdfi/XFmJMHffoUqWBcfGZRwA+eyvZsrgOE2xAaphQ0KT7PRyZYv//gs35GbWYqM+2Jj855jUCF FRdHfKRXgZRPPifH/BfzX1+GyPMB94+9qyWwPRIyl+ba7s4PHN6Gn7qMdCrvrR7hPyB9H2B9eXt/ HgxnGpgsTRjt5AB/I4CQtMs7hdcNf5T6VhIPlNsTUWzE3Semwi02cN3RRylAOYQ6g4Ggd5spzUPd Yj4ND8sycqC6qnfdzbI6WNTs+zvb3EdfpkRg3J96HvHah4sv6zRZo7aR4Tosht3lpA2Els013iYe KaLkx4c/54JGVX8DIEaZSPR8SJlpm5tvQbQKlct14QxfocaRoQcajimv+3y8ED8SxwK4/2hWuaKB ji53MWS1iW20BqR9ezh3LyEh2NlUPkEubZs2cJSEFqXav8ECzNFcKMy1b4GyrQHxLUHo7qq+HhLV aWU181tDba7A8IqX6y1T2ou91LWuFgrvo8s62ejUjPXW642WAOmXdN3+shCYLnYvaP6mPlsK+ANM PAWzdGEvTgUTx1DJZKZJvpVk+xlpggevhfFpClDCB/3vjzzBmjPlYPBjOji/MTygQsIJoMQyhvlY PHYOL2Lie8fQIkwW/b1/abKwIx6ZNgNXQ3fCmR7PLg4IewBBR0uwuaAu6mT3r4KbBzn+bcBqJ2gN X+UTr1yPvGc4kwzVPOllpCWN4c8X/kyKArXYuFgJfkQepPNk9wmK5ZuYgwOQjm1gLZ6PoU7Wu/2Z h1fCgVQ+RulkdN682TzeO1Aq/vUtKEXKGvo+K3Ox1i7X70TwJVgj/fOoxlSlixknLgq9+aXYq46s e0xvVpSAyWvm2AwEZXKLJCpPCpZ52SLODj+J2aetKmr3/in0i2143myT7bAE7WsJ875D7eT1mxQo FJ0IutXz/JAiWvv8Zm9CmbgYEoWgkIQwlr9b6qduNDDGH5dAYZ2MzTYc6gImSIxl12FDzB8EMUNA pWTDYFFUU8GTKrXt3qaxX9JQhdHWF9ToR70CKdxb6Cdph8yPsrdjrXK86/XflwnI6RMI8tzue4o+ /XrWQfJ9SZ0zEQZbJph6ROUIg8pmwAAaPAWknn3a+l1lF40XEdahGbZKpXkLyxINH9AcI78k4X9G TbevPhQg65/cDMwKVk3/cpbfg9Dx5j9xWFA8VOap5RBR43A42AlYAHZz+28hk6rnoeq/U+W0WlIx yqVZlH9XpNbSxKUMVUv9rCAJBXJqSbKk6DCBVVvYT/z1lR9SFY+hNII6Wi/JyvHp0yrpXVq9IPav Y9oXElHkKG5aR8Es7yV/wfVNqslbfAC6+XmWOee/eio/alu6QxehA4A8dwViqTMMY1PDMLTDqdKA YrbJfegoAwG5xop+UpK/5PK1KfHHag82SNStAl+a+emILqle4m2pjfJrcvbQe+LETy9s30jgzvy6 uhADJKW7K48VNi2VvDrEDrKrIxYRwQ9nyZKmJVnTSL0At+cXcb7bh+zVten0J4JKqsbfIv/GptDH 784wg9imGhSIkwE5phRRCzJLD1DZ/Z2/zIs9wcv4RbvaY6miXQMwhNqhdVOJMlshLdJICpEoaIqe k62ocABHjKo+yp+OwO2Hxjx//q6NEO+1/yA0nAkA8ypeQSJLzszi6kEumCGlx4noypzdhO+C+MyW fL8nA9jxSIzXV6taHHMQ5badgCMwGX48ZQ6jE/Nz5DHnXIkvKkcVMdENmfcjxFA+bngHyX2MbHTj c0QJX3xQqr4kzwDfBQOrBPETdKAwnsErvvB8v4/oRsmyPxnTujab6i9RxBezdHYTJY15R9hlYflv oKVoZj7pRFnSwW1YFEePo640iWskHgriIk5uA4JmHgu+wS9mxzCz37VBhLLJ6hmdcjgiNt1OF628 gxPXIzmW8kT4xNthh0PVQKyD/EzH5M37d+AdHu829sgi+jz/1k5/jmOxXGacWIaKZiiyy20s7sSB kZEFJf/zKqvIfTJcMC6PEcpxaWJabfrNR7g5ikoMvX+5ZLbgYVal4RN+MBqsBFb2k6CTVwspeTTN 3dNQvSsxD7v5fwhy0k+DLhUsDfGi+rK1FF0TbgtRuvAx22jGjwtcjL+NdWC7lYJ70G8GiHgWe8Nx y7DvKHxf4bLs73E7B3r2RB4KdbVRjLdM+kXWvGk9qlGp/5BUoviMWm7wX76P+2Ggxdm1w7MAH5kV WftRHclo5po9X1kiLIQGdnOfhJcx+jz/tW6d2s24WEvNziTkMpr0IWjAwLsjuwM4P+P5kuUGB2EW guNRy9wJO4SCjFHhgwQU7jDVBFAYM6Nu6AngYqSQEyewxT8YlDu0FaCgiE55GtTA6C6b1+0TDpnN 4VXtWy7W08PLVEwlRkcWNcQ8QA6NEiviKBsOLubsswMkwef0l/p0VFF0lLfsy7SGowXbT2WY+oWc jkrRT/JZ9ekXAF8vHKDar44LYrz8y1QeDitUSVGTDzT5+Mlj2OsHupbCMgZbc09TO6FAyEjKXXEU S8gUwBOM8Msq/MApVpdJnUjkHxbHLrHjWUnrn+PGQP+9FNJezzdLaJabaKHglVk+s244al0Bs2Tg aJieEfnAIZhgt9QoVgDFw4mXjfFADX84T60+KG8fNdqXNKrIq1kT5xiUD9IA3kBuIO27FeA/9iIv Z+dVQciQk2obp2wOLVcXlTFWIusoRZaLsYfYLAuPv2u7IAW9sMFgrSSJPddL92HjZJ3w8iZgaq9D CXAIHssj/JgQAZ3dKx2VjjHbf4TZbf4f/rdkz1yviuc51V7uqm5ykYwhRzZZTVUGEAwDX8F+QI3a CscywdTXPMKXAdaEBtC5LSkKCWJuLs4aOI6e8uz8KhuHbkqMM5fqESG9e6En33Byw0x7J4xxU74z hFt6cW9Gb+3BRxM1+HdYqFgdvb/hBQEwwq5hO1humzeQvSnh3qKcHtJQ1JB6lQtW0HDnb5XLcnCu NPk8DZ6QwdJ7/g9zzBTD4ZThnWrkI5fk7tSd/aFKiiRHfiqbvk2A0M70YjeHbG4PxEW6zdFGZP4w U3TZNiBmaz/Y121sQ2x15kvHfD/k8Fs4dX/7x+qq3VG4iXft/OKkk35QehyBszz9D7bCggP8Ekc5 w1FQFPbLbqtuXfDh6SZuyY/kPRczvfKkV7MsJdtcJhIsPaUnK9KI2lDwhwpXm4OpExXfipLKicco ck5g4DuAZPq1jsnhPRpGCXsOBdGWpfDbBYeB4YK5xFPg8QgTud9EyUuPE6ePM3RUiS4QCuNGRkIT V0iL+c7Ml0NhMwt4o9aOiJYA3jOqKOvWkwECirjVUHn5mQXzwRxTJZrdEgLhP+938Q/ToyEmlUnt 3H9XzuxuinjLTsUL2y8Frq79L50G3TW+BgoBnnocFlOrrGEsEWB8oRYvFe3N5r9xDsqbIZPJgsSK rtgDTDAk8cVPr/TFOQupOgAAjHE9ol1Soy7Eec+WzXWCFZjvgsrPNjVDv9uGQu6hIF9jX5Zm98++ sXvhS8yqHLUXQL8xTxuZPY2XTA/af/ivLFI2OKQ8ROS/a3fujUWxMfC+s8HbgGn79bvETsqBoZa5 B/ZZZU0KJOVgXQ8GMJNw4l5YwTIwyOqxWDG9A4C1OIj3e476hw5mMqUKN93XKW5GxyenZjexIeRF v7FSusUUJ1NY5G9o4brpqweKG9i3vd/k8YB/2WD/+hlM/b0LZ9CyhbHvtFeihQFE/IB3zO99eNBw qmW0v6CsqD8KKoJnKkx2hFJyGhAonNEVzdqhSo+ILx0YdiWQKvgC5wtyRdCXGKerqeQt/9Fw2Z+J gNsPIy6rY61b1Xu3QI/HT4N5cUOhobdtlziV1u+dJoTkIWOod5gUFTDQiq1GA5J2JjMEoT9jwVco 2eF/qrNoTPJt0Vm8EyV63+9LoQY+v4ZWQxAQ/BhXo5An8+fKr13Vsa/wuyxOdEX2gV1a0Cn5GsGh zsY1oxkxZ+vxaYyKftWFkGzRHdVIlOWosFJ4qX/VSE5bAMZJuIbc2sgaEQNd7fBeRf5PKLiJpteT lrDYBprUvT4ReNdiSHtKkS7yyPTkt94UUize6ukikfMQfiROjNo3MGPF1geAyykkrz7Kqbqp8xKN wb40FPNyG1P9uJps2rBJT03oA8KAEBH3U0KfPvdsRxCY35CbUVwGYANjIZfxGrRGOvygt2qdLxGw VlwE3wQMRwgITiJIDdDJmQLwwGebcthG8YB+cW8X0RfzPhc1hcRCzK14m/VhfshZpCtfw8+D3l/x rB3GryIG1F6XywxW38TDuPEDCmhTMR0+iXPGmkT6+0TriwsLP1kb7veDI6bPjojaTskxzxY+a72o KLbWUVRnB/09YIwlOBTNFcHujwnIQ4q1p8l5c3wSsp2KK0FQdg3rzbbG+5vA/I3Qnf1CUh8R/Twv gCD5lHwNQlPyLlC/3nAFyaqO7GyAwFIruP3HcmnlWwol8nqmcM3HLV1eLf9ZDMjCrkBo93PteQxx ELzQQvbbo9uhcsxS07hvrT7Tj5BISlChR6bO/l3gCIT69cGitFmYio64GWwssb3tZGiud739m6hA a3HzGVh/zuV4JGxvcmMGUerNnHwkWvkhTSzxDEpNOfrXv8ZTrUUg+49RND39Frcg1SXtG+aaSMJC H9CXog3r1Ub3ayThrvjOLnOprdsXCB916Sn+RwKp0xG/cJ9NG8sKFSIdaqEhyeruLOrRYnkezjLC c4m4z8ouOp3mGSHT4UhAWTCLzatFRY8OBmbPeACSA7hDLkTfZQFIN0520aNEu2Mhd+DTIv1VDWTW 4nPuVhWI/oBu+REc5Vf1rJeqL1Razdtpr91XFLLmKdtBSpcekNB2p41cWa+vCJ8UmOcwqjebB5cX 9YfTxkN3Vwbjdd88wv8hG5TK8zXY7kFfu0sTL4iHhicCdzTuWrzJsTJgWcZojWXYCsV0QfM/E7Yx jZntmdnsezGkSQF73U/6n4297jxyH4WPAeZAhhcaFr6DoBfI5E2aCEcFfvsXOMrrcumncw0NHAA0 iYblJJ6OiCzz1reLh4qAb6eQFh+NveSZKMv8W7av786FVUI+Z00iVNRKvxZSg7sLTRrUgLPA2Bf6 LQBJAaHE8bR82YuL7QANiEb8CvNXiLiDSO0/uvwNKvkAA/qgpAxLlqMuRSSnXM+rx+XYNt/NFUS+ FYruNNlwt8sXD0epcb3K6eqjSHkRooSxzBYYenZx7ouEcpU75KZIaH6DuK4RaiMHXWeVXnc7pE6Y 2yE2qmLDlUi75p1j3NGWalYOMR5+D3ietn8Acdnp8GkN0Z9f5rVlPLxiDC+RuId4qLi0Zv7UvqxS +eMiltK1MIjJwlIfGLfscHqY719aPfRu1OaOfBJ0qnXm0t6jxJBP2B+LsLPzTDTE1Qh6XGaDfJX2 f2XGgQDXdquBUejuURWjLYo8gVRUF3n+lJOo2RZFwg+Q1eio6fm6+CArUDuLRUMpvTqZit+30baw Naz0WHELYP5RTX2eD+GidEaMEPLsI20I+VyLRjjA3RNjhD1BO+3pdmyRlLJ0uVXGNjQUrJ8Y5Wpr DsVK/gKsWUYzBzTKIIs1MvkjhgngkizGi29x/cwa/RD2SvAjRx6/McScuZqQjdFOdtvQESv6PPaI c1XbCrS7gL8zblbqI0pEbOO9v+nHgokMEgk4TQk5YJx6bqgxUVGaWSx7AvK2u52dkF8cOiOojzOq ccOYt4f/QXcC0xuyfMA9QP/7rLGzyh5iElALttbt3MDkspLbvnptrjifJSi9hKHBFt11Q2XW0wox ii0Kh2DeubAtY51kDmdKFgBFmBHWKMvQNbfakcg9xBNMRVbKEAybU+gisvzjreS5hsug0k57dlJU w7K/q40FYsO4NRjaCUtZMb0RI/muOAJMVcxBLvvhBGxlFNbNP5z0zhQGlOj2WeYEZxaQAvfBokyQ jORcjGOJvNeX+uPUfV9cziqE8NM625gqzbXKHyxjaoZHE5kR/ZjjzHvN94WS2ADZANsBeRZLYobQ Pp92AGJTdUFRP1x8MY66eaSwkrxdmTDAtdx4zzQkSIRL/iMKb4f7Sx0iWrcVMC7b+XUlAhgtaSRk NJe4DLfJb6bqH1Dv6oHk5PAff3+cqHyAvPg4I1XWyHVZSlrk88zL4qcUbt74bLWL1rabErptLALN pUMiT6mjEIfQ+7Q/pPycB2rOO8V3R2gpy4CrYly23rBzmk7WFD3kodQJSA7klV5fnpH8FPw2SJPT M9oxG1qcc9DrjpoWp8IW0sM6ti2gcppoy6iMSZX/OjltpqPD3GItsfW8VVLQ3hSMJddlApZI6yO3 kek+wsh62C39zJ8czZ/nZkaB2lznf2QHHkIaTIEX5aUTc6AOsx/Ual2WwFCh972CNRQLS3HI/0M3 hkG9JeuPsryUVcR0dlf1C0Us4YhljatEA6uA+6KYycF9+cbh4CslhM/hFXzyzD/HZQeZfCAlXVD1 Ec6NUEJybOhcBxmOqB9NkXwZ6bsw6/XNHYKJwACb7lJS8p+OBYvUrqMwPHZGk+I48ETnRj4hLSex z2OQRunqwyTAh/sXxnw9aKlqChr+G6TUAg3pd8LneQxTqvFjrP5/CcAJTW+QLUJdNO720jKgLGXg kHYXywX/dr2peMrsC1r+IhOGYUrwpn+hIzv0yc7BuEa+68n0VB7T/CeSsgYn0kibRqz3zyPv6oIH rAZxeUv2s0HsBFW0MharCTVKc+gCyIn4GZ9mAAVtTYe6Jf7g8dOdIkA2wavjHPADc2UVcHW+mHV1 q70SrcxSpLJxSvpC09o+snU7v53jejqVmiY398eV+9G0jIZtoDL9DF77rbeS4/rytM0pzMLOEVRp YcwrRJ0VHi1nEJVOdyULypc/iIX4sOnakqgaivEMKMxkaUSrc32Zf32itvTE8wRR4VpM1EJnraPP ECpKt1uTcq+xGorKHP75T1YSePrm4jZJo2tVccSM38vPJK/bEddC84sAboM8YBThgmm1xPjruMzq v3/4lLixXeJAUGMbU9O54PwEHJPFSto7si5tBbkxlA9fEhk5iVMGuZ0H8WCrstJiQjnZoJvLI3SL xzUmd3xXq7uWFOk8cfXaKoHYsE0cWo6ck4ahLWJ7RGggIkX789tro0/wYa2A70Zb0L1caeTB6DBU DKNmzqlQtOI8D3ZOnrIhHajara0pJt7L+ot4ot5JInqBK1WW62BbRM7gauqdn6/k13JekgM4NbvV qDqEqp0FTnsiq06HATKnTEV77rt1W7r/CRCeISs8yFe1WQ9H6iNe8l/bZiW2YfzwHZBKRTGVY7iG ICVzFXlEekgADedkwSoY5B2djSZVnNxK3Ukivv7Hty+Atg4fhBdjkXsAgEUaQ0bdJ8CGSu4A46hd yy8iE7rTosPjqcijhFW7aept9Bs7SNPeMPYmt6kSJRuKSrnSxBh65mXWb4Xdg+Qgn6jUJNY2GHED 5cI0Odmeroli+N9Ufl8egO7oRbEleaAXbf722x+u7eB5B+D0TNJtRIv+7Alf8gekpAIZIb68VPDU UUorKpOQJ9PhqvHkI5RTFCNyvlKrv+pwujAQqn71db8Iswan5ltmNZAYRrGcQdcoFXog+OlJqoqH aV93emo9PL/BrYNl/R+ApUWJWsWICym4hKEYEyFWziFr8fzGH0+I+iKYBvETejPekkvEFgaav9WZ UhpW+XCJkTIDGhWVkTMuQ1FxtN6m0wvS92hLyT4NoCTj9WrQVK1LwL2A7PVHg4puiOj7c74lD3jA LlKngbVc9cp9u42ru1jBluDYGIYTG4v5oEPK1sZiYbeQHMCMaru8TQZEdiNjbLkHdS7b9KQaj27a TX6M8p6JjIfk6Ya4F1Im/Le83SkC4HreakT4DZUQxQsQBgRpCrZfnDEHXJjdY+C3191IuR4epDyq /hlb0CPhZBOKHF0WuwCT8g9ZQMNOwgCvYAUGkthR818HsiWJzZxEA+W9xNmxFmfI+nk6If4D04JG 0sqy0jZStwTPkUSjZskxRAXW2OVebezUlI9XnJviBx4cyJlm87a2Fh2POon9ux2LjZ2eGvx/LRnz pwzMashXHmkpmjAGrXuegHYaH+NDw4BMZS3maa6g7hsOMF4XX2s53wyQRyMXGPoNbIwonhAnfA5a ixa4D/g8jnCmkXJT8nIPPWlpYm9vq/O9MI/7wAusPPIwaiZRlJiDGOhJAVJwPmps0hUE1IkPzU/R TgHf4lv3yxhYTpr9ntf2sDhuBTOGRRMZJyp3FCLLQT/1owZCfl9O7njgtTWUUSWkDbAfHbxNR1sF eFqn/m1npZyESqtXY3tMYSasTu4gcubL/CpF18DC59EGG7uBm+4hnNbyDSr+dPiTRDHynHLfqiCt 5gMOL0KdsVOcJ6VZnt5gLroUldl5F0vOUgNksIVKHwZrOn1xEOi7Yjo/3dAe9ZbcXWdhN7WYxSbq Jd/FIJZLdXnYYuLHGL8ZD5i0wE8YAsnFb7hIk/kjSei7DJLSmxd0vpMFE29Q6N0MGxN6Fgsi491b URda3K7iP/WaJBRLAfraN2WIIvJzCmEM/GbtkSiTmYscEIN95dDwFg5DcOGA1sf6Tclg7d6qo1zH nepg9zsVK2Tkf8WJv3vHbfdIOQMoSGCleBcIjrsxzCjesGp6HAvc9YxwzJK/URdjzR777kZA9e60 noXoAhwNjZxEaYHxLE1VrPaUSFnDEla3NZE3OfD/COGCgdx26czF7My3SjslOEZFNocwahIMEhlk mpbJAXdMGOdqbuz1GabQDia0wQ17hUuokvwJzDyzViL5WCx1Qp6RjkbpOTdHwPO4RPwMJ6sHgVp5 8f3isLmF1h1JdupUoBay0GPCoiG7PGgGPnBObNPXyAD/4UUBXcO7mHjjchYq3xV+RhR/EnGG1NAZ LZzzjbnRWmtYeocjNj9HiRFGipqWGv9nND6KN+rVNUevQbeHVr60CCYZglRtI4PuxnFeznazPnTp iEg/o4sSq6SxColDfR5GSJlJ2Uso8pYR1qMHBGvzv9hHobqqaziVPVSaL4OpZFuWpHeAm6ej0UwP 9vKdho60KMZI1ZaxBPi/krsHyQqqFIqpicko5pTfkOnHLgKlPaL/9tCaErmDp1JmliPQqNsWXk8k JNA6DYdismAB9c7juM6z9NXKASLqmc2ITMd1kFopQLq3XHDVSJzlnNmlGzNRRNFQFshQ4AG+IBuB Suu3pRuCH23SfWIp2ZanDLwz4sNy07T6e//7lOi0cMMqE/+wGPJr7+Woq4tXCl2poGRtycFuDSxB fjs6t26hCcfVk1SGh8EHdrvwKsSSL00PgAUvl3ypRulNeWrg8TgOFnf80bnWIdeoZXxwTKD+W//r MYqyZWn9fzoLyFrlFAe4eaKoDGJU6C1E/iEiKzTIMPX3dZDXDuguBQ+ORU88908ZOkqCM2wP50Sn qKYWjVVUNqz+YOz7zrBF+KIjKS1dVrcdQpTR0I98/W6lZ5usjJSrUtpMlwNHrKsym81OURZeL9kX +zSUhmfAqjSyVdK4WhdZKmNwFnvEPlxfYxhJQXBtn2bJHuKjGKnLTCEkCgKsKg2vkaq4Cp6f5+qj OYakgkUqSUs28W0rG7nHTrZrs+I2t4mCidhEcqYEpS+EVDXBilvKcScbbjvu5o5z1XACKb4p5YrT LdkxNRUo2kVEvlYkZDeK6dswfzYEFvMSew9DPlVeRCBUkGlWkczeLNigWy33A5MMPQxEaepciuvR ZQBXztV26HDmOSeDRkuyeszKYZBAZXzt/kvUMskCk+ryUtGP95i0dGMssoV5SuefZrc7NgRLdetf QFeketW8P9od7D5cIkbUImgGjMd8/7kC6QFJ4Tu7Ce6m6yMmpTZfdMmJ/Du49c4/EG7GjiT0z9Lv N56z/h4omf/VUYjhrYkyi3PkpSxE0Fbk9tjyV48+H43NzQofBgwL9yK5mSBoGYBgAVn7VmA+BOyC 9Fq6+i3yvZ1OwToqNxqdlzVO7G3FgkwlwzKmMTueIuML1wtwT5P6v1bFIP2DnAGx+NUxYAKyXyQc xWz+yw0Lpc5KksuSe+e/8h7mMMZuDghyhjGS+CPwh5xJXifjOtp3pwjaR9wm1/lMSncDLX23ACV5 TTbayE67Gz2SgYGz6I5lMVSwcoiGsdNZxkAk6LuYEF0h8u4Tog/CLJxuoANYXYP+mZwPaP9WNGQb 7kP7+tnaG0bF0oVCeyQ+5MWkhjclzUn+qaLXUFc1LWT47hoFSAudzeatNJ0DNWVniT+28OMcJg90 KuzreZ0YcU51fznjRQ6xnvgBY8/LFK06Xh78XNvIhwBvlNuOoJ4E3MudR9O7Ba8h7IcRmFUeUxTQ 12XpmtCgP0zzO7y4Ll1dKhb21lgNptC4k/JzJgmpaZo/zNl3M9Ils5ppGX1TIOFYX5F+cB81TZJ0 h/QdVAQmM49Aqvr8x5sHOgoo+wA68U5VLRI5hGLB1grxROENGiQkw77evVUACylBBdLgirL64MJv B9j/DTooeYl3eBZxv8gE7Wfl8CpazsEs2/FhPSYwJvi1KjRWFTED7pLwtUXd54AtBNXgME2rOgnP 0EuH4/UOsPQAkzGe9YVLbAEUfb4b1bD14fSE2cm/13rHw0pNNJpiVdn9vEw9Sr6dS5+r6J22r55c y5mtrmaysU4tcfQCqz+FhbWx8qYyj1xMdUZVmAbGkAbvu0XceY66BgnBna4ZU26UIfJqo0EVh5bq UJOGg9fSVSRnEZnBP7/W3EoFKVBo9mhn1ns/BW6jt3Nzhp2Wi05F5I2mpciLJQ1EWvbic2Ntm7wQ R/VmqgwgxD8liS6Dovedo4XXorncZvr3vSoxgdShFvVzXAes572ToGbaV3c1od8a89UipKtNFKLB ZucQSeZr3MiMY5c/g10GglfVpaPDEKFwg5wkDJheN4Q4MOa2pcVhUHcF1ri8SkrZ8o4ZDkU+hgSO 0gUE1u/TDk445jHMzNCzSM1LHA3m+moRyF8jMcylJDcY+ca17pvHt5BgZ6GcOAtDzp3WQfDSAhD2 tx1Ao1Gw5NSch5Vlb5wXHRGId5MYbPd2rSURTnLrjQekVAVIsEDNMkQv20VEiJiuO1p2Y7CUw56J GOBJS/VkDBTp4SWLTLMuQWFQ4tvcoIABQFJ3lLar2PiFkjP3j8DtNhSsm4DDdhlBttw7hnmMkRMo Jf1fxpMqMHextGC2O327tjTgY6TR9m2GATqwxZfJCVLeVCDBbvRCAdU09FljaM+umJhRX7ydf7Yz Vikx3skfVxisX8pgQpabwY6qZt7Li+hepwrnxtPJ7kL1RouF4FjfIlRFsYt1rg8DDrKWKKCzo5vN 23YRVWw0igBp3gK8VCkfI15nSbWwFjhFMiQqR7leEyEa+TiNNcJCWjk56NZ2SbxhGmMmXn3TyAIj lAieLivxF8BIzWsrya/ZR10JAV0agU6MKg7NvfAlj3CslKwMAktcO3DVbxxDlP+K7sYDyLqiHufn DjJsUKbTtUorXnulaJnL29Sw9n+C7sYAVLPA3se5eRfYXiHumHlycgrYwsVRwtqWYxgcAUAZa9ew pYwpWeabvhZBhhhsqFiHvSZLXox9LDASDksNMq3ybRpUqOj14EJ8JlHAtXWkp6iCUVNpHuLc10JK K/fXl1+eov9Nj+Y2lFI2ryzRh2sqfCr5EPC1JXznzg4yS/wcVfm1FXU+LC7qiLI0JGnTQY9kUQhl 7iP8PNNbk1YAFYtA4P+hZp+ZPFXMc0q/lKfJSCGQzcIcvMflfLUd0HqFZn+Ln/SRAKbrgAGJVYwG 9WGG4VCIuahpLM6lyGgq2kFK7ADpSdQl8WhQVBWr4h18pyaCSXTDCCKk/Xv9JTeiOPLHBwhZ/TNc SLiHfJMWb+UfMxko/M/TeJGscgoZWq+BMNZKSlVLD+XJ4SNP3osqHanSUNwzl2Cw37i3u1catDGq lYKu5ZMcXp4Fpu63o2DYZZSTBRVv7zC5muDS5W+JGJLGjMfPqgO8akeqrgr5Yg+nUGqKiWYbKvSI IIzoY6KkuqOJuQ7yj/K7LIRLw1WQBg70IDsufI1KbwiPDxoVzGOOpgWM2+Z8jQ1Rqh5xPiywnPf+ 2ZLYpPRVegzpuGVTVWIekwPCqsUgLDt9g/jFYsN19mr5h1N6hoRxk2ctCISjQTVaQIvPnDqUoBaV u0LaZdyrbsbr7o4kjIfO6b8OIzmofDl8LhT4/VWRNBFRHPFKfYrH+CnZQFwjEdNaxca70tDPdSEn dbPvD0Bsb9crOKxA40RfVccG5KXc6wpZ79iFpGhYBUlYSZ4294T5KspFEw5dpNteAdKtmsg5ML/M B6bWRgtjIyRluX9BeKZM8XFCTINfreQqZIsb5SJ+3pb3e/eqrx2703yiNQcAgU9hpVCaQqHACOhB vP2womIWLNH44dXERJHmdn0xcSeqlO/9KP7RchNUqU2ikk4mp4RQJ8wW1PWtZ/fGV5sg+T6utxne H3i0eOYdQrCsdC6mg61BFtDkEvf0tPtpH2lt91mXBrD2w0FUaYk/upf//UOFttySrSMujiDOoDuS WztsSMX2XPQESSXtFL60KZCQtKpO1NBmDVsOETjF8hayXNoB3Msze81gvmnmeTDmmXxRLvWvrXmq 1Q15PmzUAviYsCRjhGQbAm/tUIRp7lLjw4X5L68q6UZbNXovMRM3tKCnr14hzd+wQDXakL7yFklY L3vVNifZrh1UZ4X0livOWo6Gde/rPFWbNlTnxriIvyS7hZ3jIpOGHvYMMFtShiJ0tm+O+0ZqnkCo dUgnoUiYC6Sm3lzyzE0jfX7y+jIFvyco3ZECqq0mvV0dto68EIs/APLGuMonod9CxFE7yThbvxl8 32IL0fNfKfKwx6uqeaU68mP/vr4RU2EmHqmzktGgNIJm9OgD+xUnsP4LdYKyAVaO+kkNIZhL/+9d Mesr9tjxO/+kIvEcWxsb8DjaBwhw9jHOvp7CfhoiaHWwDdZnPILETxdqdioUbhRuSoO19Y/jgEFE 6Be3faM6LcLj3xLxQB2/OSuW+KBQ5J6N/aFMORVaLJ2B+gW6uLemujxbsI6MKXTJJ4HXhOTzZaC0 n9ZQH1lvOv+fyAb/YpAHnJ9xp9X7wB3CpP2jVajlfzSJnaSCjLIeH+XEW83s3sMF4ILvAIwAhuyo ZrcSvijWUMRUUEMzOL8gt6oyUyKQTmjBsGdLNPBdwKyzF+TcKTslFkb95c4QYXPQDjra8vaa7pOy Z8JqeHWvTgtQCVFoxpIQpF48Ij8UQJGlFg1yA+YwhiZMPR0jJdMsptjqc8eNeWNMOd/KMQgMUPLG F57C3KpWoDY7nuNzpnFgTPrpu0+wFp1IRGAHQ7kDp4q5doHfwtHyb0Y+Jqt9Ip/AXcR6jTXMFUv4 bu5Mi2HcHrQXpbNCHLTYF78z7MGmUwU0hhI6xiAP7s74mJabT5tfywiloHwEaeaPCeN8dbU2IQa4 cV8GBQ82Bb01ISPfeQxW55aT1Kf4ltRebiREYpw/25Q8+gVNr1RNQIBdgz51/XM9CX6QJyUhBeOy kJ2ppv34q34YMpzEWu++Y0k/nuhfsvr+vrYov4ffyqZl88dlNKPyOHt6H053hioHsSuE+5oxFNjA e2CmNLXq6cHcE1SFdh7d4bvWPD28rEg+mv23pEECvVvGfFx+gcIXvP7QHZfAtcqtLavGS5ocagqO MBozWwLsA0IMa4Qer7fjY/jxWR4D1f5r327OrOOrp5QKEXpsQ5/rCon84ApeyivGuqz8M438eelc 9TOJJcDLXfum7LCStwIZsDTP39ntvmJOZiBKX8xN7K1g8FOmIcagBRIk+xoqb4NXRqUCCU2lUIEU GaC5Nn9dRAuTGhTbqwujAknWGo6A3LfRetVXsHuGkifYT3bkKehGkm7bcB+26/iga6GNvbH3ZA/L cEzEq+D7UzNUxPHnrxvH11VpDH93JJN4I8Lf8Z6sLHqfAGmXRhRFW3oL7cVRM4iSbqVChcIglOzf 9cNOCW+ERV9nYNLjpiguUe+ufccqcjOiln9DzvH0kCVildjq2VDzO5uoq06ao0aleLeEvw4CfA7R GSwK5BaNJXEzXbmVxw7wy5dCUt8aacMO6TIPT4MY72muAAcAI6BLuxZqnKGlN5eqtZRnXyAWxgdd RbeR/Zjx/sNmgMhbF3psHX0eV+PdTiHsOaoXD8a950VkDes/gZp18L856OjGyIFxmwr+YPxGfbC1 mP0f5ZlQMUvzocfShsYVLmIb2kq8jiziBIipQZ45sYU7jXMMs/m3zQkjhbl8s8TkDMt/2mWkApY8 s99qAP47tOy9a3wWOF7lX2hYul8mIappuWQlP/cN1yRDmhHwObK/ROPju3emjpZr2qHZR1YP6BoA h13X/twtcFxHFQQpK3PtWsiRE56yYnfUv7DWTPvWE+ZkFYPQz7RVtdKKW6XB/2ZwlZsJLkfIGeSb BrxtrbxYem0NjqRtF+aJYfYh4lzicNV1Ri1OVwABePsMnzAGCSWR3/cJGe+j4vfeZUKnqL8Pd3OL K9wDGri+BVmeHSw8cGefPi0cB5Y1JtEwBfprBQVKwePvPZk8DYANIAbGdyPMoinci+4TKyR/w3TT MPp+ZCScONetve/wZhWwP6vzqrIkRtbVyr+zxIq899CMuwA2ZlEyHM3QKDnXgC76Ul6j9lNBl1pK YEl1pCFyN9hO1XeXWqUm6EdVCO0lnMGkp+V/nDobTDwQzBdR9bvBgN+MpZTkcgZ/ZTSGDeKLb/Iz LCKHxD8tMuyHjfoug1Z7+b3O7Wbq+F7Fus7irT/jpHnjdeubFv0b2SSC4REsBET0QFMNgdFJ0RUI yGcY90EA0JM/+7w8i3emIgOi/nZo+s+hMrvAMQzmdza0hg0cU3MxkMKNX31TW77SaStvS8uc6z9t zZLRFxlTRhwVH2S4lYsmUhK0/CzrnTqyJoaEsi5ta4bI9jXFMQM2QndV8yhOY92o7O7/riAC57GF /TvGjW0kf9wiCo56YQV831UamV6VApyPIFFknCxafw10fFDQw6tv/rOU+8VmuPuYGU5QSa3Ao/IO Mi2oMKu6+fGTDXl2OMyyTFVmBdUezhp4OFrGYztnatDdiVWnJXDA+R9qCjHJ0LZETfFBrtVMhxvt NIZ4ARDAXEr63aU0Wjxwyuo2XbTcRVrgLqU1yHnJPYPavXW5gyyzuap3UjdAieCrJqDHRyQf9JoO b6cr6fdQFodFP+pAB9eJEfVduIkxwROG/5B2gXuxTnnXJs0OHI+67E6ZBFjUTEplOyKLqKO/mgId /DH2vvvEoTcyNFRYJh9bNxLVS5q+bxw6cGOkEPzwd7dN5LbhgBrXG1StMn2LnSpW9a5fIrlgOBQM 2FxyVAOe8faYjNUVxsRnNyS26weNwv3An0+L+l5jbdylG31vxp+Ljp4aWR0JF5IhP92PY/7o60Tc wZljgDifs7/4TQApT67Hl8ZO/hlv3zx/8sYmSh7FiMJkLzgH8Oq0B/UdB0Gm6xVNEOzuf33iNmMl fGxHAXvDHRHTDKWD7t1++gi64E1G4knPcsTliVnZ6qaRbfK8Fir8lGy1NrYjdALHCMwF2GF9XsEd g3Plqf9xfL22ofp2Oe6dj187R6xaOESTjYioQP7Tg72C3CxVEyO/mi5Wn02LBDvTaSVnIt/cxMHT +V30MKMDPoh/+PtaBGP6kFSWvLKQRPPuxxJzFcEuSRwsbEzimExcyfB0r+1/Mw2PSsTqimYuqWkr 6V7XspFBkzrsHGU9c6p328TuojzX1UCBG5Ct4Q1gJF6KPzOGftSUXchAx4YpFia/PLiLOpGu4g1k TEltdv9vcnYax94FmlbwEPo2c95crfPZJnniw/dqBwPiWhRG8eRerY17pn8Bih/I9AaxOZOD8xZm t1a3q+TXxzcxKbzBP+Qy2iM8pBkH5qEz1sDD2gtelfpx2TiKcozwEoSCw5JAL6Buw3eAc+S6hEWB aDChPB3XFBhugdgFhk21jndU6MFtpEnDG9XbI2kVi1zqDoj9u7ZwDuTjL7IORpAwESxMkMdej0tt YVxmy2wsNORoYT1G6cgcfnvd8eFXV9i/YNFECtraozphEtdZZPjyYEhtxsIvcjJtEFW+hrLPY2B3 Z8TGlWfwsGEkb0O1naut+KXivcDrYfktafDK8a3BDYnXG91nyn+P7EyXvWBn8wpAWE9FDkw3FQ1n nLCBUEeZqFtR1zvdyh/9waaOZHRhw9e+TsCv97cuvCmL0W5q+VUqg5VpTArKZfCI51r37hRqzn/F ehzTjWMQXDSKv36FD3z0NEOcK1I/5tyCfi9qEgOdjH/eUmW7hmtS6iWiXL/+M1L33lkdKVhSiGNJ dPkHDaQclN/AGsh/951kFcu2PwO+l/xXEPJ2hdMDtfWMc+XWXzDWBlJI2OaeUCOgFD5aHgOFdR+0 tsOcJlt8tY3lqHKzBKkdY88eEGlgWcvbF92dTN0z+Js/QvNrLkGquwbiBGmmgzCZYSnNo6htP9/6 aMFFBE/Ae63Ob/8krJ/8YNlu9Ydp4uxZGQYia2VCEp3F5G0wLg2KJjj6ArFQM0dWzutXQprltANU 2GGNCWoIOVnESjjjl62V+iRybFH+XFFzvgadM6nhjY1i0jYHvVgCJfNuGgIBv1QbIbV54sTRZBNm a+iJqM37dQ9Ed3S+HiMRTHsO0b6dAB8PJPlUkO7nN23fiUSmJPDYTKGPdyVcayOjdc9uX99gb58J TMl2MxI86K6URn1KpQagrw9xFQxyMlizWcmW56juGwYjvuWhXcY1rPetbUYbMxoeXxQetwGu/mIH rJziQ2OpUSNLsDuh0HIUCNv6XgVtuKJqLeV0mXDKw4EtGj4cdw1d1iGYDBVfX7wYMdATtLBAbyEd uw0ibMDzd5J+bOCgG1yb4/v8hdLgAtMehALMzNdjJgJnaLMri1ApJ67MXUQgWv8+O3HjCeYDI6Ye pfsahbUsRu4tIQhzezETHwQogvQcjiE/ySEjzuJX5ZhyRjegLku8ATxhQrQs7ktqMR9sbSod65cp qs+hNk0LITWL7X7E73PynMwJhecTiViI4llRFB2IibJ/VwFkjQE5KHzy2HGK86kvHmaWIoh0JF1z u2W3j+JqJ+Jys3lkYOwatP2FGPLwuFq2Lp2HDPEMTHDdx5kBvbtO8wP1w7CyRg4Rw/G7rnfsl+YN yglAMpVodHsTNg9Q7nRWUN7Y986vO0A3RlZyrYrXhToqEdHcSM16WbG8pYmXHTK/WfSgKmSY6VXU jfTEmI/9/AJKrgqPHCbkXnNgdlEgcv0eTIlgs6OSRg7axquoF74uzeAZq3fRSKdefbs5xVC2KV4n FAFNT9N6SfzZGwChb7YlZ6LFmXBD+xhKqIVMf27JU0P+lGE47u5GxMzl3mjUox6y90jdBgT8KLRm Hw49m6s26ZnorxxDcpuLCK1vA8lPg3u+apKfYO1ToyFvAragWXYgzAeO6Qbk10XWiY92dezwZjiq kq+ousbsAPdculU0psvx3YGH7L4xB02HxqBNvp2xaYuR3QZpwSsn5SfXJHWQfS+iYuWjlpkZd6sd +MkCcpLvNY+25AyPrRzlhCh4kZfLen/GankBM3YeFzI3wPYPB31Cb5lN1sVs8G17zFp3Ld320Gsi gV1JeFTTr6G4qnelFateBTw629Gj8Su2YhJXsG7cGp/tX+C3TI9Ya2+I8cloQNy/yPEY8DkQXPgV n/hqfViATywjy3M0TbXNY5LLHDBaGZly4po0ThfX4fb6euwlhMqvj5+hAa4guFRDPlOAAElzHGmC 9sX3oSbMFP8FnWRBQr4WR/sklcQ+uqWsG7eu66kqLDtGqZSTvn+IG9+3rO/Bcq5fTud8ZqUYqyzh triQYffo+NyeXiIHD8/cJPwIiRpUxyz/ix9w+T5h2ozW8v2NaY3VXlagxjWUS2zUkFg+Ezks/Phw cpQnYHJ8MEO8GxEeEk6RsgxpVnSNO49+oZK0c2wvMby8yJmf1WOqubDRUW5/isBDXSKIsLxJ99Iz hHhXotL8TxOtEW23xy2IuT6YRLs+F6QkIBbSwyJVWnyfdgquSBmZW1jTUDUN525eYM3S9mOejwkv 9KYvf7uQ7PQF4o1d6Uvc4ct8g/Jj37SewpHPPCJ+PZouhIabQ1qy65BR8JFp8z79/y65/J2nbYBG gxqOoTr576KVzB/DKAcZsDQMsHREFIcDmilCn4t9/4kl5S7MuYN5EmQKs84I+55HDE8MV2i70naP t/kJBWuGxImESASDBtiwQKCRIH2D1A1XUlyYp9B3hCtvTcRkBAAP0xoJcillfxTDxjJIaiyS2LkV ZnIpfUVQS4ltiMKi6EPHvkFkx7hlToPq5RooXYUZq9NqEnswU3H1ORpw/wxl3FlzstzRPKVSW5Yu T2y9Gi8UPDHagLmEdbE7113CLgQ3LnLsw10AwdvPcv0m3fcQlegwkGYDXroCOUCAC2vf5MYNioz3 JaDcpsnwjQtQ8t3x1g499+l8EjY3MY3B0twY26RMxLfSlWj0jFmJ+7xTi92Q4gl1VlMez7kxZUNz dknbEL5ibpA+SvUqLUcfp9FSrqk5CgW7ptj+wWbrvD16HOqmq5jfMJCQZfQgCFlTGCgIM8Y0rCk+ 3tc6IT8aaHBJpGZ7im0l41eEsF+QqiEXlo0//Jg4v4syNo9bLOHhpb2D+NTiWEA2amQLFh5GTRMN xws3Lrmgvmr0+T7hjuwSAnJhxaxnFEMbjsvFnJ7Qu6ibdAnOyHeFOwjZsfEj9sM2bzn6fCly3PUF pywEUp31oZRvVkXNHk46L1VNptD+ztQ0eqhzax/vVClp6ef375HuJskULS02JAdlE0m8RlwHO6fL fLC4r1J1msVueZLN0ZieVawsEFbvgx2EBRrrL+7C1TMk1CLQUZjbUKLlpHZcAXcJvZh5ZnxOP7rh fRMbDXiVTulNLKHC2W0gOVryQXFa0OqgRBu3LXFoIFzF9WqWIF83vL9UKvarjgc1g+/dPFLvjkKe E+xUKT7vTzlII6bGQIbCxWJTqw4jPm+Gj0rSQ0rDNTYv+W2C00voVlSdINmhUZVOk5hn7GQaD59i TCXDaOk2qNLx1Z3MlOEdaqd8LSUCGCNUJW8nSrrbFQwvvYo20QQ7+6w6FB6n6Al7VzUUR7ujcqaz nmJ/bAX7lWaU/R/19HQBXaUOv0k8mPNFalE+7mtZQaXzrCyNtqDdg0EwREr6mxoBlKf9SOa6RF+m cCE4fpX9G/97baz5n4MEYuLFJ6eeM+vVHJly88zqc1TDNRmJ6QgonKYaTnArs/KHd/BaIv2PG9CI s3dp+cZMbuhlf5O05xJ5NI0ZdFKCaUa7rRMtvwyerVmLy/YvjVJp+02HOLuFJpfTECic+cfCwnt7 8tV81wIWkd9Mhzf58pbNsrgfCXs6xhmf08EUvwVvHoTDOTJBi9l/fmuSPpSgBnPWpGNT7tZETMFJ vbKDktUAd9hMQau9HDPWuaoS9Fv/xmxKgHhS2rg4r7+TTWgVdGuXIJpwdx/Hdd9tla+ZFJCn1W8/ Cwox7SF8XdHiiQsSL1gFCEy4byL2o1AVrVI0B0uIaLTEYsXohO6HIFK/lRCZiNLmNit/hjG9D6Em Mg6C9NGEJvYLJBGLRHhdEWNA8sJYI70il/lOuMAEcuOimExpm7ZdKNKD1/8t2Tnu3iQTUi3Wd3Xh u6q7mT6C2KXn93v8ZOTRxuUE2weiPuWJqfcTubUBZb1w/0S9sOdpkgubGztyxG2LX8C3EitfA+sz DiobjltNytLJPhnFlDEZt8xAJ/vUpXbHGKFWxOB+cKIaUQXFsl33qh9BgIHg1CpFdAEPp/2m/7gj DQ9tQtRF1lhmkWe1pw/bkH44XRMMRwIuzeRkFYWB0LG62jIiP98NED/G4uFEt4kBzPFLoYQ3p6Fc gmkUskBSb0LTAmN0Xg5Y7q5j2lEHhRLEBpwNewbm3S7ZcWsaBe4QX9VombbIr5bmJLQmEOzWX7DD vQFa468Gz0hKUT917fUVg0V7poVy6MuYzER2v3K8eo5PRMRtqnwQvW6XxyHPa0d8zEye2tjQHQuU 9ZQU7vH3FXjMDBUvvH7WsB7SJxbFeLXYrwJAOcmNWKUyA926tiNeyrqH42i1mU85CIibvkSnUI4x GdI151xp3wTdy6N95/sJMXmtx0LqZSyRPMzGo1MLrAj0Hla6L8lPQ5IWbqmcq+7Fn/X33WJ9u1et mcWCPA/Y8PCz5iyV92mezqwmnDhioxvcTxl7B8OLpLcqgB5lTzu0lsWvn0Q55Wasc/1t4pcT1kgS PStuPNHg7Val5BR4CRxH0rXKZYCWog2so5wFK1Y9yUEpQt0IRwV85NZAjmSkPCWnLXa4lFC7I4Yp sqNYr6MX2HqW3VHRswHucCjp/cwblxRCe13K0TmwLPfIzuRvRW2gywVuR9w+U8fMieHmz5NM2hrZ WXyrbXj0xZQBbHTPBWN3ozwdPWhHt5i7x0rG+zqF1nD5OMNAOrMQe7PtAUJjAGUKFqQ3ANs3xsn1 diCIwk4/ntcd/WrpPFZ1dqdfqAiyx81Bk6hqP790+O2N3E9arWsUzsOcJDlWQnyrwcgr0W0QFqwe /yQVZV8Llzc95mbYYYEFnJSkRfAsXUrLuvnMl6jxhcB3ECzNgFgYdCnxalK9B1GN45WZAwsLB7ke MMluSQySYrvkfAm63/NBa6h7Rs0mJwihEm9c2H1uH93QWmZvtWLp/hhsjZtTr6WOIr87RL2StgZl E+lXs1xFvJde1DiWm7dWTUgKxL9D2vP0DYggZ9SuxVj5oD2JqbBKVt5Ug0P7M9nPIzR7lTHvzX+9 dh1FetRVmHf16a/kLW4oOTjpcCV8EZWsjB7027st9rn0ycb8zlOigIuRquiM3WnRGFIIaY5aRBVi upjAjuudEWeSqwfQXo4DqnlTpd6p0UCZRpB2BFB5vmynZA5QtwxRDNkxRTt0nf4G/cugD9/MKO/7 2ibVPOhNuqQkXUSEaidM+xIOyOEO8m6NIqMSg1Pj2wg27zeA1GM3Lgu+pThgAV19slUt3ntPIR4p w1OYRHXTkcDJ6BQdLF6InwHFL6BUUtJvXLjzJBrZhIIA1w4llkNiX1MHUeQ3rNwyeOIVGeiQVeAZ W87DFdxyZu2CSk2gtPGe6IGDl8HJPLcDduH0Li6pfRgsTsfmHN2IolMJHCRLhVhP4+rR0nHtASrT /KVRDdWQc8L0yUYhLGOF9V48zShalcdy8Qdv0utra9k42AwZx7xhKtGVlrgElZwXAWZNeZApHeT/ lyHAw2jTkfpFqAadNgXXdZkfnkx/UsoY53BzC4YzlUbVerx4tsQ+Ywu3kX7tpMGVDvUrClURyxvN LRd5YX9ePjq9us+TiT9zDl+MNrTiVaxVlwjzCQ4DezxgIh/lk0vsHHGggqteEKNGEJYPQa+EoC1X dXr20nWZ15ZnHyo2uDS3tbl7s6pOM826pLI+2185eQIVbTJdU3Myg0IUv0nCS4ycJ7WxDtg1gzjL B5wfCBlacQrkSDnmM4KQjOhs26oohu2bXDzWx8hP8JpKV9qSnXD0LpmQEMV+JpaIpRoAd34iH590 iqPTVt90q9v42kumkMYXVO1fHibe55JCG8GhzQxvCMlCKIQtzUKhMdG/ih+Wjf7bYptJJqxqur/P vFw7TSVoMF8DUKaB+uc8ISTPxdH9yVIjiOy7byBAWB+Ys2T6EZ+QwzUWBCyCyPKY9emzvhET5mXO e5IVPC5bXBwQHkuzdafONoJa6ktgBMr4LWf2jx+4XwXmk4Yh42nqtHxy6E+do4cLhGEzvxoRN6hC dgfmuLdp+jtjbjhGSYPa60aH1ImmUq9s+3EpBlh4wEFR0ZnX9xg1ZXLr2Dd9LLu7PCGWK/1qc8vF JtUsRdbYWoLa9t15ps83Psu2aL1fxZfPdQoHgAQKg4akyhO1jcWd8+lsmzy9H0BR9osDgWKPJb5f DKAhDvuYdNJ73ORqkxTArS2RWul6Lh4aaVs9mK3DSJZijeEhIqaPH2pMRuIiNZDQhTcxm3ynVTht RQ6aiPrU11mPsrWUkSjy0Jc55g4sa95u3TV5zePX7KDrJCor7NLpYrMejoOe3gTXeer+Qjg4icgZ ti8pzQyegh6WTDpBnHgvUzLOEPZfYuc96HjJXSaR8goQFKjglbwYeoCiOQfbA5aqWq7Tr8O0KOFG VdH0ij4eFkfUy30zKCJLS58mIoAsLLffxEZ4SR7y9yBVQFMe/LF38rVO0MHvGta/Hwks/VEQwKNo eTa8xnlsOQ+WLURAKiP13xqvEFdjs2N3XXAhVsROM4ZLnvWBbXHrImW89WfXmwyuG7/yZydsaYWH THOc3b7EOJdqb1UZ5mdSCN5drtgMosM6PMNjsk2J1K1hwRu8col4Hovbtxu2U2ztEUNhaVHF0tEs 1YF9yxzKW9evChtA0wdf5nC2iWFSg+IjgTOfZgJ2a9UJ8TGWbLN3ac8sAC9IZj1tOojX2q9dveG5 MwGJnWV3EFk+xu0rSPC6tiMzyZuStv6XYm0asI1QS0Q8eCX3KjyGF4Al95s4ISi5F1L9WOweM0WF ilQKPIq1ZZvohkA+Qzl1panr4h1TZKUYOj+HiUwg4yYGv+TxfsaQyLw5h8lcl5G7sfg3zUoC+nrK V+ZEWiKxshRWPv8A+JnxDgITH8pnCdZQgigngZEW6dpBNi+Fkkd0KC5lrOHby0BQ6N6wsiI0WHUJ JRJwWtsgk3e61Gziicp5pxfava50K70CUoXmKJjXwy3pmlCC/PbLqqQmFmxN+yXm8FJoqEbsRrwm T0tuKS7Z2N54ten92CO9O5kfvBIgn0Z0aVlXBdFfoXqhxCa+FnJ24uIeWyksZYAR/zdehm5c57TA ZntjiNSczXhqI4yd5RBlt+BG36BNNxudJ8zhU05Dpnnd7wh+CNNxY777Xzp9ELHZLD7Hw4TUwFVX 4puZxCTLROQbHZDcq5Bjp5r1VrsQCBj6aRoTClwGR/X20nDrYJrmVThdapyhTLq8eDPcKfnsS/n6 q+v1YGA2/x7OGeFKb2BoLC/DWXvISxRAjiCa65Bln6SxQNCrWlza7lo17K+FNne6PTtIsCWy6l9O uX4/dalSEI/ayFxQlncEhDwkRM3ndKcfskrH+tJNV8l5RNyIbBqXPLyuB8B5Jm99ds8J60k152hU Xt94DTAS9s17ibE/41umbTMU/cMt+q+VXi695LoAvXhFH6p8QucPBaWx5I0FopDRTUjycrjvcXGT b0ODCCIV9m34+0BQRaW3C5Ha9DELFNzZ/D+QkhMDCuw0OQxtWavbuPsYJVspeKyvpbujN0/BfYi4 CxPGAY0HjjRhIzB3DTjzwa4i33mzKZcZJWjb4hupyK2xbImpxHy80GeG6ZOv2aWLp8v3iGYFMYZt lBdt6/TTQ/orRH+o3wnpOnx1OPQOzbDJMeDZFfLrCFVjhPQCmJVtdUSa89GbENmwjJ8a3V0VPcD5 Rszc+GjAmIBTUmL+0JzkKVOoVFzpkdQiYXGk/0LuwcZc2btR1VHxVFE7U2kvLaxYN/fiGAgpMx1q GFKXgnZn6ppvwR+nIb8EJM0Dy9+QCPDUdViWqOSJke2Rwt84QGBGWzds1tQ8k0Z7/icey34obgre xkw9QnnZBQBHXy7w0Bz2mesZ/dduyBliGsK2zgwMUC4fgSLPsRtHDAtRgBTqpQEIDJzncxvDxoNA KQrrOGA6jw03/aLgErWCw7zYmdN6flyTIsHAJ/vcviYRsXnHFKfpBIgEO08ICoIpVW0ESvYxXKzE T37Sy92B77M5s5d7UA6YYvwvQTZn1cyC7LCpuV9K6BNtAE1k8CYeZ1h5qn3gnfqtVJAinh/I4QDI I2K+AUn9wFmsP2C6OQRDlZKxsV1d2caBjQpdccTbuAfTbuWA9hu/wKIH7+zDM0hK19IhYqgjM0M2 6gD96B+dpYxBr4BnyV3rRlIJnwWWgZcuDAItUwKaAkeg60LNcS2ofsGNVSX5cYL1bs60/qZQio8H x83Zv9nxtRLq63IdIIFKpw9Kvgr8Uq7tmAFxBuDpAZRa1ZyX+6xA8fN9e82ejaVdcL/rV5tnAIyY 0UHJJsIcf7ulf114s1ZHAxT7nOSXs4GxWb+VNa2xlLkfXlW5IA+kGHJerkbSQ3+jHE8nJ3CjUzyX JlSzcA4MvA5Xj/hVUe9dNIKWj45atCGZ4aFjZQXsmtd5EbHUgXVYzhNlCw+NeXc+CJ3JjhXkYX7w IQG7jD9oQOtgi2zEo1Ssb39k3GnZYkjcZFr8qY3UbwsyQIvRajtKeeqZlzH7kjINFji7uJWiYEYr AhchKx+mQcazUDDsFzVVoieCCHv9lqukPlGB8KarZA4GwlP6NUUw8pWA+zzu7FwAbxMldmuiggPO wr8l3LBPCFqlL+D7xErBbH+EAg06rAs00NsHmife2HnJtnp//+T7GTv0qH2o/4q6Xf5GOQJsdHHU fJxts5lfaJ4ZMllRCBGN7gC0eBYNjpAgny9zrIlmW5CLawxkAilLgkxF4QAWLLo3L2DaAtbuzsf5 rGtyVtbJ8MKrG9uTYq8HYQdNKgCv7JrubmVd6KeUl3YJYf6zU3/wxo0ribA+sS1b1zdtb/6eskEk +xKiKAH+qt03H+Zx+rzi9XegCTosRMJpGY14Xtm7HHxdgPZL91w8PTggQJ68TctlK1ie+gqBL1LL oGIErMIOXPqKufrM36QrOJXLeqOYbKe2CzS4eekDecSDEjRRsyowY54Se2WRicYAWzimh48qo6EZ iDeSP068swHpG+uLSw7Juvl4XXfBewUOhBSH6TnrH4ilsumYJm0iMKAsM7y0he8REgtTo4FOYFIN g1BfRjoGnE7sTlSdvRZVndUrXoBMWHTlXbSsSdmojfmVg8xogwbT81s8gOob69uryHSlY6CyBayE t4QV0FOFmhH6oNcQdlt2Gpihcxp1iGX+y07xwr3xNDg+i8/3Xq+9grcO/cwo0QWoZa5E6Hv81bqp vE//DCmYLBZiSKs0wO1FOrbTpX21k6ZlHjdW0T4i/iSivyndGHHXbqFYIKEkRJAEDKqVBGrtXXcp 706/mVfZan9WFu3twn6Gw5Sp/uBkDXYj0z7blnRN9lfL5KN4Qz1RXqp00r37LBogVNMuPgONxXC8 xyzFyJ0G3hp0aToR4QRG4wSh+gswIYRpdCweohfTctMOe3OsKqjvpXMe8GG/eNcUr9RF+dAPAbY/ Rx5Mg63/oWaOquI6qg9HLyTZ+AA66yeGFjkjPR8XAJhYwxxfCLtk7RdEtq/w3BAvyNKhKC+nhAty rqlJiYv+goh3ZVRXKMUpK35ykUju+kNlWbIzd3NaK3H2UV45/C8fqyUOWpcj9zexQpewrPH+MUJS EsvUHEalJvJ71wAPzxCKdatWNxUDe/T2rLPCi6KrRJbEA8/txmNGmNeIbn72HuSz1wvRUDSCDYZf jGchEGTyqoLIyjQiUBYuL5i8tuBeIaptYI9fgnqLOHWwAGbO/3xUtzUiCr/YvnZMnMmwYPL4yjRo ZR791aDXZJUQpyOo+GSRy9GiJTl+ISgpkoHf2r8qlmlst8/DacSStdczQGcBZG/hbkoefKB3QW/x Un2iQtwjaMcQXhD4HO9AWwkmWv+X9HpTdCSGgmA6tm1LDCpHaY4d68YlH7lEwppEQL/6Udqyl3g6 52uZ33xU/AcJA7FlEFqxIvyQeqb1VgE/aJZ2LoLcM8OybCm+SJq0Wl7StiDdOvIUdwqmLHvg9rBj 3lAWPDfO3Gl7i3vArrvEPoFzBUGW/YSC9uBpjEyhdXaxtf0E9W6sLK0FtGklTD+JGyyAsuu3zqgj +yVq81/UNQsJRxlvWwPDkwafWEou9707HLGGaBUONZm9mGzXcsnJyPuJcQQCMmOO425USfh/Bt6r o8nJbeuwcs2KVQHbYOSNYxwShvw+pvs7cfCdDOHwVBTOhJQFEuyUPSlqX3uBdv8XqjmFSPN3e8VU YyMxJwzAgbqGwF2O09U/4AxqzV5UBYMCdRqUxfpGT14e24oAfYkoMxb8qBCRg9OkLRftjwVgYH0w B2FP3TgKBWS+7ZsdKrgczINyyHwo21ssk3287W8+hId6T0rxWKeMq5MziKOTQDUThs/M2hot7je8 UCoFSmK2oLVGwcoI6QUVGuCAgLIOpBw/HYHbO0CEWgpNABec0wL4YnG6iiLFFKcTQ/wkmCMIBe2U sG0ugKxxSrqGgLZhljxF0XKgkgu6gbKvTM2KJqnTkl76jD7MIGK2iuIU+3hFBQCmTVhT61VdTzy7 +Yo4F+mNuwwxBTjD2pC5ce3/wuZzM1hg2HRX3NfsaPFAHL9kDc6f+K+sWm0RbaN4ZHKKraQRPWMQ LZ2r0ExOaJHRREpXFL7x/Ozs4bLf3D9tBOt6JKWvSFXROtwGafT2eUAcR3TaQ5b3xqR9l9C89j3D WIWHgIRdpy/bQtBknw8D+691XJjN3lB/kLKACXRs0wrpUlD4pHo+wuSVM8N/Ag+qPNmmgZk7+Kdm kgX+a5T8Z2g8nFaqsxx4aM6rqMr4h1e7Lu1gQxRJwOni2JthOWoV0FjlJQ2M2KryvkgblD1qKNQc kWAbMd+9wR6sa5B+8lWJ3xGogRBjdwoAISdb7+ggGnL898A8ODq7ihzSXeJNEVcBwpR7JseMiAWC I/D6qJPhChdN7tXifZ5tYgAo3UwH+icNAqzcnYyFCpTqh/n44NjnrwqsMz1OKiKBwpToduG8UKTx 4aI3vY5kU++GhkN0eR27pb2f78+Bg5f/RNIYNYsBE6Hdif8J0qR80QZaejEI9Shf4yZHwPU/8Flq IZyuvkEmaHa7XEVJgZLqxWPe9EYU0fXb/Hm/ai7eRHoJ4h8Y7Kh1gG6w+6j2vBUMIvrxU+h90ciD CDR0kSsRkIOfQoNb7mDzb+0U3LGM4y+6yXubbK+KewxbnNRlBo9ypYO/XltyDJH8KcBIW7KM7No6 h5e4riQBwDyIngpTt8oYWCFnppaks2RTH+mjB2sT5h/+LIYs+mi62jGEjV43Myw5bohxnJniV+/V BmpnQzBnSOcsmKTQI3on0bK72ds4ip2sjbhJCp+LF3MhQeLnQbzkSnoCZHCLDbYv02fQdLrgcWPw lC4BsxprGU8xVf6vUX6NZx8MELeJnx0iNJiMWWYanJo83T+baMhmjFM639l0YsA/hMm5cPLjF531 9fl1jHlMcZZHfS1nSrBQQMSs3/JknINvHFBxS7IVveiBcjbVs2XnB47gsjNpwYjgLOK85x9fOzLf j8ASujUbE0HSH3rHFEe2AYm6tUdLrDevN5PpRJbm/uswjWSVpjQtKjx3VjJmouqOUWr+XYQ8Tprw Rc3fga6NgiddQmrfga7i9S6SGM9/24M3w5Q/8C084YMImPltYyoj3BmlDVqddhufe9MekeFe/S1V 5VlI9o2xzIyQhl6jqcTcRwq4sF8i+80FwTDdTcBFdpdR2RdAHB74t4obmBlp29hYEluNpUw4Icxn JzWh6BJI5O4YGTnITIU01a0PJ7Avr6G9vfomtPyDKiF9TBEsd1RFhoBgh4uQg8RILXn0Aki/HWbC RsV4JbYK7TACZxJYlAfBl+t3SsgJ5VMdZC90NYUXmk2/JjnV4zRSVE74/3RljW8Ih9nmn3Xorl/i C2wY54NErRO5ff9UYezDkO4D2EoD0Uw3MgpH2s65f4h/UUf6NPbo3LsuPDinxgwIQNKm0lV7f3bl KTvCPuOiyayo48tvUzSlmwsUnkYBtfiPJlFJ6P6+Ui5e+9GBIzZQPVv6p4qN5KWX7NXZ/Zgg9PxS CtaMKkf1ld/ttA0cBHleEVadLlPWgYxp1xlHBDpk/MSU2bsxoAT9nUH7OQmBsuw0rHqlj9H+BSBf 6cPJLs6Ii1sRnO49yCqgm7217f8KDFVJXi2qR1fZTjgNl51+ZeaQq4mzb0p1hcJ25O2qNXs2jBSm 12BwU0TSRldFcgA8nrMWNIna0XHNBRcnQ/m8Xru/09hhbfpdRfGQpfVJCofZxp/qgNALtrDwp1ih PEop5k2ciP2UlQraKadK1RaBXU72igIaZrs9TE1tt35pf8VR51mCBB92jAL8xDLQYj6ArlCvHYgr Ch+2dx984XGn5it/ZKPCoKEDGwbuX0XF2mM2B7lR0uXnYYXD2ZvRExOu0nsQ3ENW523wYh5DpIva nGw4XbX6KYgWl6Q3F+b7y1A432/5QPRKgR0cjPo2OXvCgOPIzyyVckUI7zG65zGgT5VbIhWxfJAl Qe8qWGs041r5i66FZsG/eCUVkLQIXYwr5btJmwWNGRFu3OaYUE5+FSjlCKUIrQMWEXSrX2MZOl19 F7AGI8jym0caw1ss4pReUmIF9Wdf07WFCkG3av8TgKhraCBRD3jEtfLNkffd2xJmUdh6IFOQzx2+ 6Uw9yq5fMFh2nVMKBxdCeNZWzAPuM1GUtx1o3RlquWB9W0QVzerJ283KEOYtJEO7keh7HselwlZu PE1URtbt3VV1quAsuxpxMkShK3YW6w8Nhde48loMqCaTRVbV4OFnqnYy2NxSsLtrKCiXphsRPLx3 BAqrcZwNZFqfCrEgRKYBRQonO9j3dJleLuLZNhlhWgTk9FsVsxWneNRSNo8yZ0Q5fv9VIvgxACzY BubU4GoBJm/E2Qts8jR4mGog5ynB8897z1gBambZVBkep2auSqecp3+ug4gvpr7SNB4NGANqeOT7 t1QCpRoJ5eg6YIaOdq0G388wEosloB6cAVudzFHLwuUwbRHRAswFGh9drWgorDB47TtoPqkWQNK9 /v5M3urir8JZjTq19xtGH3OM0DNhP1EBhgXwM+S9WxD91GJabiVXA2swAqoKp0kS6bujH9gtUHYy TJR34GgAruaQMnq0+eCiAnuawtLnHoBkV1kO99LpkPH7sEF4MI1phYEDZ+0oq5SbAtaLJjd58v40 TOn/ltvkJW2WR7+HabPubZiAa/SU2v8mxM8nTqAaXFsoZqCjwzIuUJJUJ6N/yAtPS8bVbf0HJyAD aZi79A7SdFEQbx1uCTfJ1ex83h2QgKGeoIDKKA8Jr9NYwiyJhzQwMzBvHqKNwsRethDKIsdVP7mU b+dJ4IrD4+85kwaH4GKS7gjaF5UBKyxITnGdQDhfxrfzuLalktXbaBC3WcLY3aEH8Qogy49XRjCA 7nmIVnZ2+p5U6GC07x547M5Mri+fsDb7cvU6dM+gzRMxLUfKjMlUxcfN3Q/IpSDpBlEI65ZyUzkr fJBIZadbn93xtrM2Bv5zIHeiaM6Y2oiDH6kAkkYsG0/6n9ECk5euxSLS1HH6/frLPNf5ssPHLXQk eL5XaZpznLEA2HTdqZjTV2lbm/x7+KYueMLiWZY2540/+hXjEqq2/o3+HsXor7hQwf0Sq78mNSBX 3x8HO17Hn5iHLv5POWJ1CZhTwkXPpZJ9CY9UL4Es5AAOwp9RezoL4VxDUIQgypVWFnX7WOam6xHI aUeiQz2W4+cAWV55tvQnWnvzDTLaKrUXkN1SzJwtD3fg338EIe2ct/3Shj63zpK4EzJzzC2/Pzyw tTQTe/j03sGt27cSLFCCGUq0fvIeWot5Ze16mDG9CFsyLNNFiqwzfzHcs7Jv84hOmhbv3WrcQlo7 vfOrTdTrvw0sqA9IhrBeSUu2R7F9b5WmFHnaHhpWzsBpx5qSsu1ldn2OFCgT19zanNUUCVR/A2iz zuuU5f/N9xAsPVxS8IkNntYwlTyLZSYTvhymt71elZT/JxC2mi3+Wn8B/oqezdWm6KjXi07QzI6x o/dhreWXuhxecLno6oM+UVH8mbdMQTeh1nevQTI6dvGdK+ykJnHkuvwLIs3JrMdYg8hPgEsY6lmd FOBtYwkZc74J2FmQWVS536Ax3Uh6sSADUTYVKKA+gYQ9o9wCoapvROhiKQeabuBxkhykxUsCKW0Y i2g0EbsZe9Q/rE5ryLlvCJcy7DvGXS7FElDMXQUYlnNDgkGzk5h+rtn+8Yu8/cE04qYM82RtUI2p RGghij61xIjVf8JvzZ9T/i4sVgvKXGH30vTKsfJcrKepE0PzjBTyJNmVFcgShcw1v7OiZMGwfYyK LfiIZXkAhTB4Ci7j7nBl4YbHZWxVayIrrsx7LBwmTT6Q6DqWUu9PjJ/zVt6WQwG/AI17lB+ntrfZ vNOKoZAY4wviPpwgpXISbyK71oOvQpF9skziNgyvYdEtYYh1naNtXZ8Lbz96o3XxRoneBnnXo0Qb 5WZ30HIXrQfS0OAwZ7fg33n1GV5yd0Nm43ZVkNnrwh/DqAwBjbkxkY2OEcSlSIgxXHcYSl5B5YXD K+0VvXC/BhCUzFew6uS9tVd1QMGvTx4cI7HKtcNaeHNOFCgirTlKAvR34rHpo1SlHDDU+GRMTkpG dPFAqUZshJ+F4eYO2Crd/rZWEwd7y52EOqp7focjKxkTd5Xh+zB49jMjjyKiwBIBNOtBuQ/h5JAw TuD2ppWVuymUCZ2bDC97gwVk2uQD+qH6Rbub8lU8fcEZ8DqQs7LqCBnnyskt3ihbPQiiLeEneNki h1RB2GXFR+sdCLM/JiMRlKjlvMFJdkt0J5fLy44CUGFpiSwZqLfhCDbH8SXyzcF/Je2mwKQSvRXg LNGQzMa53HCe/HpsnmRQfYyAHr2G94mS0CbEG2osmo2HoQRFLOctAZ5UsmwVCpwiqefSAj5JGsTK NV2kyOzZUsQ5ir24N2sVM/umh0eYMgWmXOIo6GEQJUcGwpUzA2SA8zWDbMzXIoiexC2M5BbYZ2lH bHbTKQn7Dhufv335hvcBz/rpSwSioYV9k1naFKEP3UTuTCWMrwgUDPOVut68KADFUxp2qLCw0Upu 46+Peb9suGcF+8UL7BoLRSnjVlT6WtPtn/MUnOYAJWwbM3daBRAq9kqYbGX+zy8QVNs/EpFkYX7p LShntZun3H862VPy1LV0IRJBuhPmto8/BC6WHaSiiv0Jb+eBOsRk4shtXM+OZnbhL41WevTj9vo2 ScqHO+EKibG60un6D59UpONRB3cd7TwhCeWhS5mZCbsQ2/JtfyIy6508kat85z4hfqsjlrAt5rGr sQH/klFS+r4/uw1s8Ce2uNksBMaSxvZfRmGDdbTUy9e7FwyC2TgYD0Byl7dhKMSlIMHn4vTU3cML j+J8qwmNwd3gPiM8SAz3RLZpVpr4fbrvzNPCwB6+vbsuJNPmudxhJwkIQc5OAGeH0EJwSLr4Ffc4 YDCCIiLCFniVRl1ibv5Vqn+Q1ZTkfUA9A0Fys94xImHcYPzey65pEytgfV3E5LCi8JC6Li+htVpZ GqRaLWJ4NkSrBSEeiNB76WN2SVShKG3qd4xhJT5MruiCm4mUkA7Xmg88KiLQ1zEVQtWIb1bgD9Ay aKTd9GsepV0jRSgtHC5NR381UejR3q9OJhoKeIvcmxDR1BEtvklP7dhoJ2miti0SjaH77roOl0+3 YyC3MfvN4pGxbB/BYHns4op/1SARr4G8U2tciqOGcVyRd0Yi2BR2UahAHd/r3HtBwbMU7S3xFdO4 SfaxN4UFLw3RenmfA4KFiku+UoUaamtaFEC6pX4x8p6hwXT2MoD77xZpiWIYDPOeYEFZO4rJvsJR z9S9EMOhwyU1HHr4JsdX7WIz9ZE7xIJOYZIn01WAf4FF6F1A9xLYiSrWFHwXhHxBUD3Vymzz2G0o 8ccb4tctEUCXJJ49ZNFJXoUbK0IrqQGQ772unR29drxcIRVcpM2YcBasyOubMs0mrtVGxR5oQGXo vRTVERSbgd+XXAQr8HCKm5u339wOrYUzxoT/EN+W4Exph8Li8sGSrtsFWkRo3jsVSuKW/7mc6uKj qSx/UuQXSdnaLfujfpghsAC49+/HUSkgVkR3/yVSbi6xoHOciIkS5y0y2JFXKjSEYhWJrJxs2l4G eX5njE+H4Gk+g+oB9LQoZkhb9K9K0MARRaZ4k90iSspSVBWqk+aXUkFjsLGDSXD1bZja/15lqS+x jCg2MZZ9ya6JwchyvaCCnfLBfr5Nyk+C6wdKbIbk3a1RIb33BMddUwig5N4jNfkPqhoWSf+tBrgg W/JoENqdAHlYxJtiR5uctoz6s+5UalK2C2HBN6X/bJj3mILeTrnDV3yYY5Z0uWDhWFogJOzx+Gzw ggpRyyZmxFvwdELtVzRym9ns6jXOWcY53laV7z4d7bIPu9TQT1vPhqCiQT6KNVKb9psc8U/CFbO4 4MdzpbGz6vI11xdIVqxx/vW6OOk4k8V3FVIchXJT2KOpRNsP9PPwjKTHb3HtTH6r8gjXDSUSGwsw emSvlWgd6kDqsZ6mqVBUG2tRQjctGgyn1nbZe0Eh4ur3QsDpvwYtjUbfwTuSThR4d2svfpEq9Q1K 5D9FURSzpat/ihp/9ah+k3JYQQc56tPVkpkOwplq/i6Oy5eYr9ZWTj2WcthbQeceBoH00+8MmODB MweVhUj7Ux8er13Nj84vsqTgZj9hIh+8dXEHjhQXMorYLbS/1oTlGOkHgHHisuumuQOdBYjHtBkm /sRb9+jLkvSGM/8E8mgZK88GyLNrXVcvqwVVBdrvyYOUGH6j41TNfLsFMFjo3BJf1yYKgKYyQHx5 yptSRQ7q2K7u8NJc2TE780KN+mC6YVbvyOMVa8UjupYD9s85mRuJjzSZ+BQBgIyyo6rg4KG9i1RA ogcU3cUIJXwmIxYEfQbDceK9iH314EF17B3TmBrmhx6aTr5YZ0mVJbk4h5XXNTOEKJhkYZq8bZXR 7eGrMZFWCHenULUlEg442f2jb8rsVancc8W3WNbYfWVhgjfTBC0Wy2Zs59gExmu4YB+ItB41XVUU 1Ww8A26ZNHULqVMaUay/UFHQCBTIl/hft5r19i7YPGkbRXAWHsXH9CCxPcMZHovHUflmt5hBcqPG ak9RV/zg2HNNZbVLZmY/8Qg1q0I8Z3xgF0jwj0zQzCQQK2OLS2Kp8/8fstVfN1fMoZtjkqFcqp1w R4suNIk66iNGJooVlYmJW27D8fNc4CsqfPnQDVEA8NbM0rJS/fiWA/G7/Inbe6hL/gCj8Er7QeRc OauyVfnTIhmCEPg9WLT4KTaPk0CBMPsnIa9fRjjxRsRCr+0vLEljCRa2Rj+3LdVsV5LFChDbocTF b5AhlfdSQxElFDkZ5lnND/UKPHjyrrA3cDPC1B6XQm9dggyNiPa8TS0VTfX11ylcYEi+uKkpr+vx ukpJ/LRleb51ud5g9n0c6DgXKXjyoSb7fzvbYll6DcdK3dVpueyyx/qVCJeSM+vtRpkzY5ASKCCZ +AN8RWq7qIo6GvNtnb9Kimq/5XkcOKo6DbfnC6N/TXUG/idcfaD0k0qZ2wx1ypflvT9UdZY942uL fK5yUmVAZU3sypmeQqUh7Br+Gbbs2++9hYVKkhYvF97/MYuI9nEibjk/BcvGo6FufdcDhrtnSxPZ Sj+BdpWx4hrT+7adZbAr4+7gJBX7wN4n15t7T6R6Kz8iMklHFgWiXDMegz1FgFaaFkOHwnaNRyPt 5Do7Y2pf2xYq9N6+7r5ggPROmz9S/4lnqNspchRNKqt04T0dy5XP8ys8aWxfzrtFp7+7Gwv/GUGE rXW0ZpJtAfj7cV/DguylhkNhNeSet9lGNrIsHbBO/Ifjjnu9+3BDGaBxScAeOdE9hj0cGyLKXmFx ePYVCo+zM50fnSJOx5JpT1l/4c5gG/J5WupPT7pUXXCanCRF4cviMmLmzXg22YwkEVVjvk8QDOH0 nB1mlpFQ7iSiRsd1Zj3O34n4VRUKjpQQBbNnKKJerdt+rW9Au6jSVD3jCImLBr8cso4s4ICbPMDu 4LgenU2WlPGN+jFsC2j1/1HYENngBgKk4iZ4PkVI5/hPFxWmDXtIR2SBT1epIA+PosfYFMHowEUp ovu73qnMgw4FK3AsXgRmshusR+MR/mEqDAu9wqabZPgVyeF5iMVuyD17YrUFwWCswu5Fyg1TOMHs xBNQ2hADe5aBbsGyyDo7yfEXLMYLGXIXhugMy/aVXnNIBmE7k859ZCfDtadW+yycSmiDpTje9zJl 3XaCIsYk1XrOqcQcX/GFsTgdA+npT6zcP+HYqlU1mw645yJCPpmE3CTBRcEI823ck2hoEXIDYLG2 6nuACdCHw/88mX+gDuUVDud42gIhYMop8wBnT4KdIiv3NcsNcrR0b2GFgGbOo555PYEGpU0gKz18 HzT+JaLGMF7naviOF02Ffe9BxBwjBveZZSYdWwdIJ/P0LJZ9cf89ku6P+2ezN5s2qD2POEaNaE4r AdntXxLX0Y/XWxEhaqDI058gaYfXbfeSGlX7Y2oKHWQgEpW6eezoH4r4sO6Lu2lWyLAdu/IobY1E ewrA4eLQhPLyrrp3K/ngg74CNqT+M+HogodVkV5CvGbvljaoTDFaPpatGcdRIoTnYG3Xb9fDhj1c NNf/Ei2HeriYyzX2pCen26ESiJFpLB7OdQXFaJF4Q71om8LqjoYZFxWNEm6xA0rCpTUn8tBCq6q0 +7K20TFHIzRPnNXFA5g3uYSY5MfIr2bEoMxVnXCPzLwranlu9abh3wEKsy5M9Exz3D/o+Qp8hcuV Dvw9g5sEaeM7urKA54q3sNWPKIpvFLULzT6DEvyfmfW43b59yaDNt+zT/1hVtRTnfaH0CXVw884y JqEl9wTiw5VH9zt7B7UIVIhfKDSWzlsKfassmzT9iMF4ipqXZBSjaJTtv0NOe1IbrCRhcvounAHM 7xQgidauJ69aizijNrTY1dbXCKA/HdCOhxJZzvrBFvehBCL0vd1JgT6EtApnTSweWj+Gh0bbFk3u Vj6OaJqvKqfGAF1Ue9gCjPOI7eGU6W/kxrZavM3YhQ5pBNIZitfu+YPNQlI6fzA1V/fKCneTGrRy qj4kXG9rbUgvEfYTJdau1YB1ncOosHH2gJXl+fEst1Odbwqx80NE+Q4sUdrxza12QryjXTJ5568j NevGuIOfXnTfF/QLneaJmnoFgLQByMda6dmdvQSqPKv/ZEofK2LszPobXs4phYGtAThRcUFZYA2l cyQ3E8MqjR5ZP4GPkMsGhG7/+RmJ6Qcp9FRqgQ9gG+P7UpMZoRiLRLcA1cDJBhjb9DaB8/ImGglP +4paxFl4VUPNSequrlnqYGfd+T4c/jZQApULGJCK2LHINvB0byTcYY+hAp5IIMjC125b22e5DXcL lB8ECJHC43Rk/prmIfPOETYvtENiH0VBlX1B3N1tSiysb4jR+m8sx6Gm8/+MkkZsA+9VkvVr9H/j wSGMWljjARtQCMCIO6tNQxbwmQ7lVgGRyDks7Oa0Pn5z4aIy36A5VstYIg71/88ZkioVWjXAzE6z bw7OyU486/ZMbyCPYAfWJaa+aoyic9HLj2etm491Uq2w8MD3Dox8mODnIjttHPEsHKoiYscePEPi K46dp0QWQv+wpvkQzibQjvjK36fg/Ke+K1fq9OxmxRkNVbFSVzS0PWK9qH46C/62Odo5naskuK1R J1OKUO9rFmDsIMPFbhDk2bKnosJjjXnFOP/pai5e1lilHP2vhA+CAsWPpVqVUSETIRsqIteNa2GO oEooSapkY4MXanOiQ8GZtiuuOWvGQoPuMA6zPtPwY2xh1EofjInv1CRALeLZEqlH/b3lNuiSXCWW J+67ooMvsV/rUIzsj/onpLjMy59OOvDm3c9/4VhCmZ7f5wE1Ev9XA13mnszdjN70sR6xfDzeJ0BI ktzb9ll8HpGZhr072itLDolBGszZyJAR9P+8I/nE48mbypKnkVNoS3CHTPQ+S4r7FmsvVUFMzu1x e/iYvDcnhXp0qY2jIUQ3mTfHMpYkfxClg9KskE60LsCTqk3KTLv5BoeNKtam9rnD+pH76e1EFQHJ d48j4PwZkH03DYmmrqW+Lpuy541gzvgZ+W/ereIN99GR4DDUP9EY0iV0NbIusTx0aFlOIM5VFuM+ R25SL4oWpiqjWYtqibVDUWcAIxVRlvEE0aObW2czyDEPWHkyvlc6XdcDQcAjinA6TR/QEApx2Ivc mJ+OG7JsBKMqcGAaxCXFHK7/CqpWr9EWBui1K2aEu3wUGI24+k9JVmkeKyw1t798qhwWdjxy8k+D vTrZb3GdU6RbGSDUojOk/KdzgyHyyBsfbZ1vRonuIhI6UUkhaavxtfks12q9gmk6y7SB1zQqFmLD p/PaxhoI4+BQFWTp32d5ZiVnGMGzXaQI5M9/xjRkBVfWg9lLbcnXZ0s3KLqDYoFmsMWTjecExd9w eoI0h2a8QakW30fbhVj26utNJwEJMSotfUnpPfYFnEs2gUSclIyKWP4f4tOWyXRAIDaSWn27ocZJ Hfd73uX8vZK6ZsxG8H5PfF4eVWgqZ8XtC1Gms4ikJNqfYw3YIkOXaU7HbvFqYj9B3XgzsudesTVU QlAfSRnhUbksyG7j42I6ZaVxq99EnY6heGpTJNHTbB1GqZhDV8tK1CJbFKl91S1yfFg7qDyMa+X7 a3x5vntCENu3zO55A0de6v8cAIo/qvwnuImVSzHgoU3ZapaDA3vzBi8GEq66lwmzJ44awnHZxcuZ Bj/xnB4R1+dLUtHwM8lQlzxDoaKu42gJXrVtV90oDeQIYsskTaEn9CNjNwxfctfzBj7VcgBKMY7t d45i/DNsOTgOVaJWLw/lV8H98hYhGWixsJgGDQzlj63Ih5pOh9+QPuADMptmGcpeR6QQjBgLDcCW B6GMyafgycq1Qz/QAr1FBYj50d+F8nSyf/pwwyBlYHpDVhJy76JpxfeUyycWln4krMnMogyiNwPn CisNH/fX4/uYQg6tr5R3DtxYK+rs8mymMBaR/Rl9UQjAbeGGdhjFkhqoh8jJ/pGVF5tYwWNEt6+s UtJkyi9J+YLLbHOogDcdw9UEAGYHiZqurHDELtJ75qDU6cJIy/fQFnqY92llDBPkaOATruEJCFcB woTiYBDL1NdoYUd8fH0ydyfaX4myb/rTV347ixatH9JEbyKTc4i2QDh+xIm5mW+QQwA4vZo15IkM xJDePkFfAGthVI6SZbAZTh74yvMO6qteHCsbaRuHklK5zkhNY7uzvY0J3HWtBCGd4lnhUU1yJzZF 61sJ7yFg1hwr4jeimsfigofWb9bfGpvqf1Eg/rodxTl7qIgEN2uDoZxTCIWhkSsykg4pWEfdlOSi wtrAhClZqSbSbDCa4TjKW9xLJaAV9NAfdsqV863vYnJCIkKXH6z+K+Jlsn/9eTfQ8JnZFYkz7+ez mJ/QPqLcPVQij3pEYQ9nhPzJ8zlEPDn74fJLo92Qc7BhqRvb/aUZzJqrQpbHb/0EoLhRdijB37tE WXbRDEKuBBFlvYVhe+nzA60SPkntid9IxhKSFAcSjlAgJB6xQVMvxQkKmR0/KqTaPmS0Hxzmeni1 RkTJruJSfZsMFYOSSOmBQLePBjGWqu1u7u4J4jCqEZsC4r+zy0/3lI2KaYxmBzaLiW25+zjCDgPC kmEiHJ247hMWtudKzq2ttWUwJ619sxksrn4Sje6PeTQwYMRk+7DjdiYtpEeHeV6izQcnpr9dg/YH P3S3tv3hAF8ybwh0tKf0K4Vz/42QGkVFZQuK09KzbHtNxopUuUdVfaEfSbfgqmxqiuy6pYNB1lWB 81YptUsNJEJfoSRkFtGH6GJxk/PevjkwISKxgp+LEjQusfnmjBaTKkA+WXbmLJU5ygDfIzgQf2cV 3uIwGTSMMbw8qZS0wdng00rtnzFs8ulrPL5ptujCBezD3XvRV/OCVO6nKXA1KfcoVJO0/IWFqJE6 3kW46waZRhm4fFKFTZbJkNgjZBVcG366znfW56p1lJYz0Z6l+yNt3YLNdxcQtX853sc2zlHxBF32 JvTLdmSYRhuQyL7qykZlezs9Ouj/6OjsusUUruTbO1m4B3UvWOoB69zb67wlGdpjldZPVxODM3ac oqG4HSwNlKp8rB542euETNBpHzjBHcEdPhis3iEHgHpBgG8JG+GqrDVQWFWTIEQYpvSSU87c1J0c MraKZEN0fRNh30uJZqLhUmBwYxM1ZRAcRZiwsLjzXe1kJ2PYteFQV7kjkuqc8exSaI/6fl2Abbpi PRtusUAJ2/dIVedDm2P6bBcMHGeop/Qx6rFkNVOJdzWqAoticjFOojJqiC3GCOJXKdp79R+lw6dI ekG/ubDuGkyMnsLXFdcyaZcXuYDofTGDsMQNQUr4th62QWhBmSjNj5Q5nINJYzqQGxmpBlY2Upfm mQWb9HU2KCs5aa6CMfTExBDt7uFFGGJ/Y0FQOHF6jFjuK6R8VAzI1Rs5J7c36PlD42uPhTZiwO9l 3Khn0THBGODEBNFkOsUroZycKV+0P3ZYBJ9Ij+IkAmw6UyLOBHs9T9IPbtgYpIDUPLDJBpwjkSCI sGxKaSGVZ7q+dod63/t2QBt08to1PX0wmpbbSEDD7ugxVxyc620DTvyEWEEfEhUG+LkHz4v8bBs4 0yMyvJ0pIKTowL1JF1WKJ61iTLDvn6mZG9nH0L4z9DLSZLgs7CbgKS/IiF3+KieHs3XLYwjldCqa bSQL5hXUE+jilyFcIty0VsdEBjlLN6A0NLCb4RMKFsHWtjsS6LlHQz86KOZchsJk0l51OwYZ8cVQ dI38IWVxMt4KTCOymQf+SGUaniTAvFAhAMC4yF5AE16oDV29xTdMAg4J0/hrG8v+fBD/pSiSFLSv sNIfF4HF136kI2vH8y9Y6KRQho0Th9E8xHibxLjLkrzvL/oB59pASfLP+UpWceB+6bmS82dRSzsT ju8mS1n0onwYAUFZpwVqYfwEoaN8Z6Z6AQOCMYLwURJRBfNzhHCOgK0CuMWrlgnFi/jMC0doXkgQ ywVFwkROCUjHnfNPS3IRCzYSJtd/F8X/zSKIkRYaJWiw/pZgb4xOsxeVWge99k6nVQjUHVbtEv7h 3aNvsmpaXFWo/z40sU8kG9ZNbdmtgRiXIN8lqLUMXMOJgQnINjHYJyo3s9mtuQg2susZcbCXpQjp mMeoY1zPNUlpoxo1yAzqiKlujl8hX1zRcM+yjdL9nheiNF2itVyKJQca5SYOR7ytLog8F5XFotzM rT+HW6THJz7oh7slL9TqILvtj43+yVSI377McIk7dFi0VGZJsulZT9zNZFGx3BD5QlW7SJacY6ug VP9OPzA2zHLskMmilfDyCelEaGxhFqYhPMC6bYisGQVXPykndcJSixvuIuN/VSvPto/U4Dylmaz0 b5dP4Hgxgh1YcB4PixPlx24LkUbseaStsfkRr5d8B+Ej8BVOWH8Uim5D5nQkwaj063H56KFv8yGP pg2BZktp6y+GX4BgYrGlprD3QvHnxEr9Mw8uUxjkTR7+G6hWoEIWBurXtlVXIaquJJdNCQR2AIt0 1FZB6JSuc6o2d0FmrIa+WcmiAICFUR1MnBYWRRxnm4HkVAEDlRBB4uoLDgXZQGAyz/D2qXITa7sA md8iFz5jaLrtxF0IBBx6d6jFErOWTwcbT421FKuFpF84F4UL4+2QTvKozo2ke5TgNt6HP32TY8T2 3X2rdZwitXoyzDSqQwBS3aFq6L0eTVZxxvUDeAm8bvOAv8Pj0iX+KuAVfja/770g4eiU3W3bhvh+ 27bDnNFWRe/58lXyOextzfeDknQVTw76Zxwg/48JBm3kWeOBhbguGAPcWCwO0KMrAI8GF6IYR9Y9 avzKUE0Oj0XwbBPKGQBSgIlkHxqkOPi2YRa8mY0YzWJThyzzxb/0BPLGiiNNmu0hSnQc4Uetn5hu tBGHnXPdZ0YaAK4SfUKEF417GdCZIKEDj9TYIVZdt/GLNFTrFfxM9+cnGDlipix1Wsfu47/00pCx aE2Q+bE934XNVUnKa4uy2U4jVXzHUOkvawSxyqgPgRMTByu76ABqrgpxcwdAzdqvmIa00Wl1LDBv YCaTsszfrmxJ8idLPVp3NzJA2zn87EuDPtGS1wNTIkI+u6YuReZr4+iKbdhxEKpHd+Ue996ZGX+V R/nVAzjdcG/LmpxiqQ9YNU+uQ2YCsKYBmXFdCn4iQdUgHwXk26D9M8mqP89w7BRRWtW+Qn1bQ+Co iQdmr5k1tVkyPsw1rtqFUOdKdHlSGL/4AreNN8FKS5AMZGTU1sVtjA4pIrkD5nkXbWzH720oJc9B t5Qsc4eoJPASUgxUz+qkcvQnGEH8WzNxDUIhNCpNogJM6AcO1BIhf552Sn16f6AoFZOZmClsgQOW /wXQIqEIzj9nq+jxw9wGz24mbidaFTnCLRZLuLG1rAet59m11YyQUUpk2Tfa4sRQm4ISa3qhDDsh wUzC/dQuyop2rjV4KRYaeeRM1sGSx3nII6QWd+5BUZYNVCDdbvA/eIn9jvn6S/Z+D7FmxuoFTHD7 gOSzxquHm5CXdJIrEuvKYJ2Ra2uLE+h7fXbszweaoZ2sN5kD/sgAfmV96sYfZjKTJdYEGsvz3TQc xXvwSVm9huCaEAnESVZplk54S8Vle8bN11h4Ptej0WUwE7twh91CmQgTV3hSMyytgSDAEdSFU4DP IWQ/CYRFbAOmLMdMkDPmBJPPnM9wfxDyeiB+pOib3Ig82vLZw66Wjs8DYluDk+DcstrGelXBsavk zSSMLLMnVDlOFtawe5vTeiTCu0vEYbyhYndgMIBGgWW74jvhju5ntmIR3vsQPxXVf7mTXWnPhwem 2N9LfE9TZ0qc5ho7TWJcX+b/KxXwf6Hu4Og6Os//T41xmJMOjbyRe73M7FWk0Kq//J+m7xUWTrr9 owvhgxdQwQe1eR8ATY6f9OpBCjtiL4Z2y/pRQU5YezRcGD9oDd2R964JF3XgSXd98h4Y8BRxg0l7 8sF8F0cpeJkFA1FbvAEWQaTBuEjo7896R0kzjLsT5JMkkSHUypgl09rPiV7cERB0pYJg8DE/7gZ3 5HhL9FuIbS4LYnU8SHETyaOh4zyblHkFvNgWCmdfKllXZucj5ksCGaywjSvxqRgiTp9Sa//Tx6/t Q6jQkuzjPSo3CitHZ8TrGtzKM0BHAjczjzkubUBghOhIrWqEiqE/5XPGL7yEZuk8RyTV+aGQGeFT bhid4Ga4FzFnNBRet8OQ0wFbh1BKZ3rQJt7XS4uKmt0Y406Mizi0P3uSRUwvb9DIdB5n+A64QYnN OGZPYKeUoJjOdzYUt3X3bSc0tCYqrgDJkmGNl3k3+pEX9BCp3ki1fF/60cNe3VvAdoD2Rzq/k5ll anihk+63Eom9TWEt8d7RXOHYaPreSOeXE8SL0wNqiQULSGi9gjxp+Cgfj0TH/s7pZ1fPSAcMwSW+ cgXMCiSeBBSR0lU+V/pTzwXisMLmL7GOPdS6o+CRdkD+CmUhezvKRMBPzz8i74NgFP2YwVm8NADh Yk8WRUjV5qKKyUw+k223eCnwmDSO0kLfPwiK33RSynxezk8+anLBGVK1Al5aTKymRgziv1UN6LFR Ygniz2ARjDKLl7xl3W1lBhOb/+JhVdTLWihikX06YQsteuAzBmPZ4bjuykOwS9vA+bySiMTCU+VM gg2mSo2xDO8okyXYAemPzD0IAdy5fYS/Qac41PpFuWkSY3qLsPhJST8zVy/gIhGJmZEF4NffRBW3 6jfm9+f3hGMIK4iS5gSy99RPfltNaR6GRj8FLgFeCrHKFuX2Uqu2r8dPGou5DCj2Z9r4eZSYS+pv ihB3jklwvDJiQQm8RKkBPztIcNjDF1ccjvfQA36QwJt+s6X9cLD0f7mEfQIPpcGMwceheeO8spwD PWiBVeU5jWJyK/1Qyzv6nyq3ZUUZ80rDlx3eu/41ELsllvviJklH3x3XRXSym2v8byaonFcXiGHq 8CpbHnRuSExJAtej+NUnwmIlxrJ/NnGabCXhzlGEcXoWsqlXR0Bhb4GO3D8tL2hlNCxqDXskh7Ri 0I/z4XTRQ394B+KDUHAhFT7Du4QVo1tAO7TvTCcjhGwozHvnlwaN1QvrvVO3V0TXu8dpMnp8Pzn2 XFMTZV0RPSjFzuj4cOBI+g/rdtZy3DUg6T2Sqbt9lDVFQ7tMiV1Z2VjXXXiaTfD+tgAGl/pY1+I6 UKlvDLlNkQv5eMRXITq20/w4mhEK7Jqrnskw32m4ldoFeZ12YSHirKuAh8OEu43gUPxAnf1FN9y4 +JPm5XGxCWG8J7vFIwMlfK48nW/Q8myU9XCM4CrX+OiIBaS+b1GMr6xX1yFHm8/kmKvbUQg4TQ+0 rU9XqdgXQLiCsx2Kkbzdtjxs+1WtEISWk7UgD5obStDW8tEGYUGbY4S3El1zTs5EERtL2OP3tYAH 4hYWYCPo76QEzjWpCMO91p7xxaPGjhj7/17EopwQbIjNveVmnHF+4JqIXoz5oEmEJo7DDXoQl3LZ xe7FfANKip0HkIh9Hy788Y6vpaCOPGKV3/TkOvusoL0fmrAib9Ofqa2bLLNVxFfXbBAtR1PIQ/Iw ATC3Upci1R3fbzNOwPbEQD4uz4XXGYmyAfsjOWrudC+9q/nOVMBaF5RHkcx7x3XFpkO9CEjykJEp bkgf23tjVRPBSEKnQpnsRdsCchYt9ko+wofFgHqK7BSw7uU+ZGOzMpSm3/lLUIRUrVh20lYhlPx0 7Py8ulkBUSqHMsS689RjTuruBvpEKlt5V0a5TUTQedwDCmKsYlCSWf42IdVSNVu5a7i4C/oev4yZ 1G8zdi9oYSWhFdpgxU3S9nkzEaDAK+T/txdWNVX0v9QO3GF90AvwZ3UHB3KY1oSZECid77yRxm8+ 7eEWCDg+F9RO+E4hlcqi+lX0l3H6jvfJHopIXFVBsQkzCLotkA/sR3/wvx6UAo5ZOfat/Kyzsezz LX/ypGIeTeyE1c/qv7zYmDvABoUUQ8r3MNBGAJ3wtALAahM3A6YM3Sxz0fLm95SSN36BJUnJMwut Ao36T998fQLlfaqnRfNEcCPzNpDTHR2zcvj+kXh5JK5idyT375myLUQ2/s8nXZQanRKd0mZejL5q kAu+/FbRrl+oavQ2SkOBWVtQ06JsJxmJw12QhkrgF6Fk6I7GCOAOHEloC4pByDcVeXkDUsMhhD7M v6KDkpO1pQQH2jXLzJeS8T8lLgx9G3Dd98tdvwhU0oh0AxRqLsNm2ndlBQuGzOVq9C/l4TzbDAzD rXdvzJ7BG2PtshW+czfzP5c9B4ndp15uIoeWmjuWWADvovrbpoKUEQdxzJ3uPnr/gSR+maD266go nY3fFy7HDa91cTSVC52GaAqb2MNHCoNszbWsHEyTDpOo1r7VqHKK8sGnnyDy3Iw9C+NSgGGHLRhn vt5n3aSxtcGahVoYsLj8XDbGgqs/sugXndtCRcnms5Gh9PX+fnn/zgCcEtnuUANobjbkAprznn0Q nc5+2pPVuu70xXfoSkFXNRYXVakEM9TxZ8RHXL6FX8DZAYOSrgOAtCv1zOgA+JTU8jSuY4CSSc2Y pafhBh2ZW7MJRBmWpUi9XAEeVB9PFN76wSZDszHd7r230EHFI7tcvD86QvsJr8Pf3jJpDqXDwQ49 rKXFwIXpRK1xkk8m7H2VuPoQ3s69Uhhb8MAP8k3Punbk1G2yvudoCjNRaVRDER3OEY/T/IlZL74t eLZYoZZt9AaWhJVHZp7tiIZIGut3DR3GneRe7JUQWKNMK4IdBT4L5I0lQMbVKUkW6Z3571UTlaaB koa80/kF/nbUVkzGuw40pqYbmoikBQoJQ/HQ1c7Bp3jfpnXNXZBBN1v4HbacP4dTkUgi1tDRiKDJ Db4dfHea862KVphKnfD8HrftFYbvj1Ttgsguo8RmJtxFHxOb6bzy8wT8kP9+rBaUubqLFKs66JO7 KWWCrToBmeSMF2xpnCeuVDnk4aPIKmNxTkjvE3zNhlAWQJUPG/T/HBo9VJhZ4tinhov7ICmaT4rB 8zw70hA8P6BJ6M1ZGpwrTZMPA6ndeEbpxxM9gxwjTaQ8txwVk43pPZEyR0b7T+8nos2JtfusNi4P /u7UgBwICI342v4MU+FjNcTPY9UI5toCzzBwlay1lSZzRFPbv+78vFdmGd3DBxzaPsBbA0+PPN0w wbZpC+8K5zGw/VVwlT+d0UNIHojmatVqgon0zZGlLF6EK5ls8LXjkk4zHZ+73VlfZz6jGMI9r4hR QdQP0z3e35+t15+OMI+6Uh2gM+lsCQ6q2/jHbyI/XyWpl71WxmPPaUTL2MZyV74nnez1lSyod1Yf M8z2cGaDv31IcCe7CcpWAsMZMWWVvGWL99F6qR/WWeb+49QsTZ9RwqyXSzbRBiQ9oxV/Paa9rIK8 4M8vKYD3xU8XjTF73o996uA+eiOxD1T5EcvGbcLtsdxM1+ZygpcrQY9GbfChEcQ0flCNHD3ey/el Z/06roZ8fm48cOUr3Amhewj2HOR6MGxJs/CqEdbnhkUH/C6u1pm8DxuR4YcVjRY25M9PZTnbnU6r sv6B1PWmmksXzvpSIQNlKAQ718G13ogOmfB8fiFhHXg8DLbtALNk3M7POzVq4R67ocyVNnHF5SGD Afbb/M6Efkw+2Xnp+t0t5W+++LH7mqbbvg7Q0582YjjZTRlWV4Og+uDaSfA7+3IpeQg6dynbUByG y75pY6bEyf9GBMfCXkah0kOao6nOleg2chHaKrjGxyIgemc6UcO6GutmBn7zzkifUOIWh3v7ov2g 4Ok05fAlZ2ldUGuCTxICcT4jCT61frSt7yIAjdS11OAC9K1DB1FIySjuCD/JOJUPJe0/yasRB2KQ ASoj13yMCP5Oj/nL3Fo4PL+rMfhdnJ1DTn71fuuGiQDtc9GEBEZ0Jaq4D+UL5JA2rPoqHirjfv2E 1avrZBqP1CMMOqxurdMcuwRxNGuq2vN0+k7IJZpz1J5+VR5jZ7ap0wXgHGBiqwYLSGBVCZLRrHk0 KhEF92JltSbKz3Y/+Gta4VHVymTMg0FagCGfbhBSm2VJEmHrPOasc3mx/0vY5+6fNE22FA8av394 GzFnLDpJVemxgHn3wZuHNsTDXN9J+xdHiE0z3fZ807lsr4/Icxm3Ccv5aSWKveyyW1Z2j6y4oYut TE14G520pOOxr6pIicmg6kxur/D4bupnAfAxl0ETFWnTcLIMom2DZr4fvw+mk6vR3GuL/okCc5Tn QvQsH1nOAlgNef3yUf1HuYysPYdz8kjlmvVywhjiRkOH02pzPFGuaKVNYSYY7c53bBHceR7b3nkO sta1VFjSMOxAsUwmqH0GC1DB4qojQyCCm22L87gRKUCFvtERaeXCHOJJL5+3HSAhGf1ajzi2ue0s RvB2L+uUzvVZD/CZpjTcJ/teYBsYVea7v/0tRcLawoWhXzI2zoHbtKJThW39XGIAcyY39+JibLso k+sIyUi85L24Zo7xQwg/DnEBiaBifDCIBmOOBg/XuJgqM7sFgx95VZbL2T5As1KFpEhWdM2Ha09D kkOvt9O0TK9McnGhbksivpF+CXBmABsMoHmvMPUIuD3u0IZWC/amIBu5yt5eCgLOzwphHnhVzs+k kEEclDGy1harJzpjL8pIh3NFeVi+1UGccomJ8OA7L9rDkS2L1xucSMT+2XUU65g6OcNcSCR867Ps +24S2+FVAkitnt/znk1yfRBjoXtu+eZz1FdhaH9+wTFGvXc0J1GbELduppgFvOYRILRjmiwJTI6W QZ/NHjwm7w7YauyW0VYgRO05FC7vlTvGLdwJNsdmRRSRtLQQZZExPPNdalY3ijHTncJvq9TuEZjE ZFdE4+Xs9ZNE5QNNR7da5sIfReci1k38nvpyIhvTBvXeTJ86fwMEqfO+Fsim4xcCuK/deaD3aGtk jEs6zCV1T7uzmFj9llDDYH4Ucy1wzpoFlV2PKiJVP7W4ZCsLFYiYWPyv6hjSwJGTdVi6+cl/XkqK dnu/u6V7lQGY1rF29ZnvT/vvz4d7bCp74JFKAEvqQc4Cz3mecFnshK1qYGQifkz4KN390mdd1JHO hVm+Tklmgrj+SThP7oAk2hGqNtOhf+OjbSiQDGeR4opfE5k0GXxmHMMSgPfiEpOVualC0I3U8kpJ /rH/QOZ9xCwg2TSUGATItZsSfRkKMZN2RE94HA8XdR1XRqyi+7xfSy7w+ZcY1VFycxEO6JBvf1Xh M4MTJ/qINF/U1Te0nsQMFBBizVVpcBM50vba1rCNqKVyCft7qXAeuwUNCVOdjTpU4cUazW5OCkUX rz1F1QMw3bTLffe3WAkUCRuzV5j+dZrmhzHZ+JEerHDX3JzjBkfCdujX0K+/PhLBJkpbROKHx6Lz Ww7I8F+4y6DYEXP/p5q3n/8o+q2BQy8Vw/gFBXv9u8myYOENTFtMMoyEyFzNquXiRiVbkE6inqg2 /Sj7eyzn8fM11FeKPJNfywAqAxCloT9XxbdO3m6rf0QvZA0DGK0Vuv/fWVfTS0Yhgd0mmsqJp6R0 3EdGMb+BZoMWuWZRDUO7nmd2uodFAFy+jskptcFskobJhbe0wUZGitWeNpKelLT9xGNG5MJvJ44b StXt1ECXGZkinNRVl7+178gI/VQKsp6mi+Ob8T/H+orx4fyOAQnTtWAL9XAFDuC6fB09W58HMsjK TNWvAiPUIaEdfG2Pss4MFqqA+c73N2ZHWtADMCvMW0giQ5zn3nZDN1QZGb/prX6iE/Ps484/AIsl iZdo2WJB76GTq1NgYfFh3HhLkuFUadavISxUUVqHrtBsuxmk75m8LmDATVFi6HNrOe5mzL2yF/j0 Br/spRsYRZ4e98IaOklBSNMjZa0TzAhRKMheChHV2BiuS0WPYPZjZGWWkwqrrsySI/1Lg2M75/d+ N6EK0J+buGCLaLaAUkro6HpQdG01lX/HY4L97keE4h7QA5NMv9WhkkyR3t+wKdiO5ds/zfbRB7l4 aF1G5kHPQg8RmWdBEZCvXQgWO98s2Ae3STTaIzW7BvWR1mbdY1Em63tXCf0U0Yq5Lyd369T8nTeX Bsc35/6F3tmuA1bmiGa5y3lo7VJCDh+ExhBfDsxL5JBQ4AB1X6yIVEVlq1M7EO7TtUpgkwGLGjD1 wrvikTXWpa1I7Y1iHEey+HOX3HMK2eEXlqhvl9Oo+fHkSuo0THsQZzvqAgiAanaoeVmtDVDQMOLq w3I33KnSzcHO3gVGynlrk0aCqtaOx05UWj/Qai606XohS/CvALta0aI9c2w62KmlCfu2iZ1R68SL OGk3/F0NZzo02jH3sK3OAs0KPQ2oUV8+0sjPrzZtJkF6iZ/CPPaWJdi5dFZc9CGQwLqNnT9of8U1 g+CJiRjswCqVQaJlZh6/0EbzyX7WvQ8jLs2Emzu6eLGwq9PMQmtC9S3YtiJ29Yjz/SiFuq1tls+c VyMZy8T5pLVo3E2IAmJQYk4L/TRiLBQPq1rKSKzIqP8nh52O0x4hTAo42ufpshJxjbRM+IL14aAX l+dfgjW3fQ01+OLxozmUitj9HGhbJfZh259Nnoe5nVFnNq3yz2uVoZRUYgvSGSk2qqQ94zBCfRr9 TWo9+Zauq9AUaQadRj8kXknx2SLxqM5MMP2bUqVmj3raBOzODcv6JeH5qYap+CxmYEZD6F+38aqS crhXCQuycN7D2o4g9x3leaW1JNp3nBE4hl8OgprTHpwKPuv48iqsVhd259yA0xtfBQgI1wVKXkmh s/iU+0ntleVE0EHAnddwZDb8rDiwffP2QZr8v6SCiD4g4Rh0eJ+LScANeWbDmwPyV/ca5rnihCh9 /NPW4SLif8uJ/OjJwrBxLODwPhKkjt5646qJA2tF+p45wOhvK3HaMXgqIolPJMFoi+tEKK7NjvyM u78cQ1OLXQ2E8IP5EMsdDoxfv0HNLkCt4B30Amgr3bv4CfL4zD5XIsnNFxUWbwnFqp+m/8ZUCM6B alBxlloaneXXRLLc9F93ZEahxP7+1KEdRCAz6laCMo2WPxof3agXa31lxOYkqb3GCYC9QE3CiZD3 idV1Cdd60CL7zI9V4GaEys8KZ+F/w2QgxjDmBszs46xImoHvMZ65e5lgv30n4QxqV7b3U+/B18vi cs7ibE4zQpaug+mjX762Bqzmg6aPN4HsNL+xh6iK+mRIPH/2AqgdJHUu4POC54J8qaQGXkmqqt2Y SEPLG5yFo8aosUOOy9NR/sjKJFezKRhnZnWx/D1Zgb1vObVGbCTyjjVfAvo0U5E8foQ3cI+PUfu4 K2+gkFcHgffT+RRAKV9g75RVzr19NXdA99KPNC2ARdGzR+V/Fsrv5T+b9njZ/jQUxAlG1PhOnWXZ VGB0KREEOFrpJK8eJGA5bareAetML3tJ2796CUruiUxCya2SZsMhzOompzikvV7qgWSaGJi9oaSk yVY05IThudsG/yWiZou50EqnREBavMPVlkGNd9fRHJc9H25w0PuOKVUR2eiHPu2MqBKhXIPt64+X 9a2qRghKqo8eL3KiC1ETVtXk4V3uHeUkJDEO4mzFFiCPVl2eW2j81uGxKBjWtgbAgX8dO/H5K3wk rzTzUa5pEHqks8Wi2RfkFouINuGQmMOdgxzWKMJr7DFdR1kK5A/W4+fUcNOWHK35k029j45JJxym Pv6r3ZDHxt21O1bx5zy157RgwAarLfBzf2E/WltWUaJwcoQC2Tom19oT0zN+/t5b7fv2nvC351hD lgB4pQO3RIlE5I9ZaFetWkW3xIjhsH/U7oG+nHTJT2+bM8WWsTbFPl0AUj9+YGsFp8Cd3olXzjm7 u2kzSHpQ4DVsq8GMx+hP9T1pRXRutOo9zYr/uvAxNjMG8N1pBftdihn61EEvcYZqV7FBn4Lc+5p/ YlmMrypfr36/YBanZ036yZoQjtRRj3dQWDSphn77unC+gt05qCswQKmUDkG7/8zsJQd2g0SJEufx m57jlOkYDaIlo9RMQKJkPc0g7S/7uIm/Sj7KpoBrQjsjbFkCKXHIf2R0GMg25rgR9Gi0P3YXFYf7 QYUBNHgZ30edH+wRrMGJUZo0zg56TNGn6a6dgO5EadOXJqCXvShKZLB+X8oOJB55T2ybXPUpvdvZ wpTlPvVyODvaeygQWiVRqw+67iL1mvDqc5Y4exow9zWUNxrrBJAYIhYrfVcFZlHah5vH6iC3Cuyn 3RGar4pozPvdeaCtqnJ5qvTUFI1Ozo8mhJ5n2LadQJp0SguhjuRfaEOIM3dyRTyJaUUOB/zVKSD0 FPlw/675C1hjjGEYX4lXYe4VYQPI+Lc1Dkm/L0mDBIQ71s0aOTMiMuRU1YKZw+5Ufhm1H2szIIqI MCIFM2Ae8/GBVIRzYxWRfivkJizDHsTakYmvh3VarXDjcnET5so5Y/o3ZSoJ2NR3G+C6x7E53c7d rUThiFngJZE5APbtxw9POib52qkAFxo9R0+H0We6TIkG/yCjlrEqvSJfFEzKYvgku9LX0gnpLV4c WCdgTxDHNyDoBUlYinous2xmN0ln5nNt1ItglBGAXBSQvymExwzKv+vypPa8vcwRhO+O8CGJ4LLQ 82obp8BEikMH/aVMMzjV0j6ovJaWnDnG8ZYyytq0w+sCZja+yqzOHmPffcB/cRTOELXMt9BJgkOO qcs4OWq6m4dWRJFzMbu92as/uuz0o7ZNn5UUxH0GFormeo0/2qcZjxtoveYM2O63fvmKXmk1UVB1 XWs6Thj8mKFI873xxPd+5ubbN9LIN3h8Pe+WCPQ2ORJB4et1Mtlpb/wVJp7ufDIzRrqbMiVOuIwg 501fRkShnHB5rtCABK2g/8D8KdxXEqDO7j/wE+kFQWro694JMJfzfKBwF1r4GAdjipEGk/gteMhj 1PLnBkDMD3JTVoyHUwj67rfzLXtJmCAeZblRVdC2GKrCxxJErgDjTYjg1Wov2SW8/vG7li2ZALHC QmpCoGUjjTsRMIVyil83Y23r9951yjHmVKyrWt0jGhGF3ZAQodjw7c1F9bgVpYk88BdiGIi5wQOV WRdMR+3S2sCF1Z0OtvzuM8KV2NigTvc3PO+y4PDyvRE9OegWTuznSTWSZ7BZtxXYGJH41FXl/N5q gc/Q9LryF+b3pz8W+S9t8eUAwqXLNJz4vuSS2coXeAu5A5J0SNTUqJjvFOrXQmBCc8lf7Hzdjdgu k1/IqyGApK/wljB3mzA1UX2ApN+TttdUYqKVIDtJ4LX9JxT7VFBaY/PrVOsy3rCsmiKGO61beJl1 zcNLgcKSUsDV4yg+bG0ptwzvnnjDvh2tKIk8t7XVkQSahMhuat3Z+hnz9IZSbyI2f1EKZEt2/UBV 0ZsOaWws6VAScLI32LzpE/7nJsc8ZRLwU/9SlZeEJl11Um7zrM3y13cnmYAM5a9xh6tK/1O5h3q5 7A4MQLWXzEjaxt5idPF3rtc4a6mNzvxDK/BhREiJXckCy7kq/wL7D5gRlrwZLLT1SrwrWpml2//x iAnOqRsmclMRzPBQSeRYSOLeaXkXF3N9l4+Vx0zSgQPkGL7R4/V+oCE785U21dBs84xpA7tB16OI imCk0ohw6w5pqbGGFGTPriPy4np7bm4cy2Fx4w55o4PxZv4wrD995SPMwnk5TIqr2jV8TqaQ+m1/ Xa3vdgvHObaBlEQOlPzFJs0h0aRLRFj5lm6NcEjS9JhUcePpCWEfbnuGg97BiW2QCxRZxoBs7/1j ezG7cBM1/iqCv9f7FE5KndK5Eg1awGoBsy1Cu/ZdkUvpEzOkZISgW2CM4r26X4PXnnnlUWv2CIsP aZApfci2gSKbbodurzOKRopoTgnHIBQ0Rl9Tmv1KuHhRkTF7SxuJggZfh890iPJA6qrSfZogZApQ xD+vF38BBPpUb9oUfXhQH5SMG4sjzOP8kRMmNtJM6XgWYFm67YqqJ2C0+t0RMSyaCdUMHQVWg4Nr 2WlguGuhscf0NgKS6DR/x9NYWnWwnNFehjfwT0fc50ghU47BQQ6esZf/ZIQ12Z3ozGao/M+nJ3Iz ME7WMzZDejK+aq4tyk4S06Qi2pE8jWQDagpylQYDBWywusdMyidSJwp67jF5NvVtpWWhR3SY2kXM jH/V0Ez4SKdKWBkvLytuicANGo7NvxnznjXoGo6e+kKe2CMQ2JcUFh9rT54TkM0El+eMPIxx4SIj yn9BkqJJ2BaXXu9r9OZi3rS3s0U+sAdx3557bL5uiF3bdC8SG3KW6cvxDpw7k5TBSstCxTDVrcBm h4QxaQXBtTowEtKn7udukUdf0K78Gkv2DQfkpYk3v1Zso7vJE9+IE8zuBoo2glA+e2jLxBjdBmBA fWLmvnYdOVFvVQxy5rOFFEZwdnTZioB4+AKO4fQevJx8De8Es+ZlN59ynRHR85yHmrhbiA8nT01j sfzFwOUgTGB+rZFcwvn6gRyUhJGU1OPoSaMktR+3aD5DHmAQy8IxeZUatjnQmUjBkohBxEXAkCca Vqd+LErKvw9Ng25XwwUh99rwCsxXwSus3QsdRkp2hX6UJXRbDRwFL3ZztinZt5/egI2REGI3crw8 0FizmWzW9sYxey6WBQpVg6ExelG25+6UA2i1VNVkAdNvmjAQqXhn921TkTaYXInoxRY4jz2aXTMg uVHzw57D0QInz2r6+9UGRwWxxxSvwZCuYBl68FCC061VVhr+EUxDw2dPkBrat/EqSZk9hA49DazX YB9gBDrLHN3QncZgIkm3HPFbZwMtm21uuCTQzeljjWCBYFf/GItzJSrSOkzUkliN+8Zhq8jQS+hb y4cd/W68w3+jCLHZuHAzXnFA2BNJb+Io4NNMqSDr5D66sAQINzyk5BEI/38Rw6VYkOpNQL7Iar9A WVWkEaD6L9SyEyTaxEWz3y81Xf5IgRkgA4Xn/GUAOYIQLdjIAR0OueLRUOxpsZalE/krIsvesamd m4RGu08jrwj/JkTcsgxk/Vnu8utM1hb1E9ETfJSIuqugrX/NdGWMJC6TC12H53EarT+vEN17jJB3 C3S/oIYryCYWUxB8LxTDMFvHkkhK1RnSwBHcTrXEM8s1QV4PcUTqtVoGo0ypAm7n46/gHTt7cQMB OfnjIZVLjV8Gf9lnfA8W0U8toz8k2pUVkAdMuFHZkDYB5TOJkSm75YxJcwdu6jSxmZwQJDt/P8Cm JJU+rb/3YI5lV5BAIS22jpqYdijeV4KXk4ujjF+1ybUBI7gLohqICiem4j3hqt1yhSpWX32TpfmJ dtzAzzyXSsYM3e/bW1Ys5sGUtu98pxGUb42b67TAqAuSY0CLyLVvJZwLm7hNK42eNG0iTOjDr6g7 U9hTKz4RsWfHaemYbR4Q9gvBVzVBZiVzEx0e2pHDTyvW+cvWXmKCbUy7Z4Z/FjN7sLl7hdJAWHsR wc+36jUKE1JsuSkVz3BjS8IsaFgSCUlPx0QSqpy8XEz9JVpDPQNWK4RJ/wPs2s/0jM2HMlCE6OoW +pyOSYCTyBjtYqQs1KBkeafIjFo8JtOfBRpCQ3qBWGYGShpDAAzODutC66XnOMPbD1iZxvTWPm0E eQO8s1VLFcFwobGt7n7VW7S1TVZqDdOWIr9sEn9fi7BVTNAWA4lCstrTS7JiwVvAK5T04VXBUTIu rb199bjvP9s6EIAFdJw90v0TCi52VkExRGUKC8QLb/tIUOx+VsQr8pXUXG4OZ7S+LBKsNadAnzwu /+WGZd3kRIEcqLuCFXjEs9Nc3uX6t75IQv+7lAMsdw3zwNDQDgS7+AIROGRG+hpq1rHHYoIN/G9z MXQwZOFiujyF0K4d5R4J/Way5ODoekkuk5SKsqh8hRvXXwe+pJL2d+ZpmaSsgKtcp2BMxYNeRkAt fDu3//Au5lrhRMdfnzsyWLSkv9d3ujvNwkhhCISUnUaO/jfLQ1ng7hMn9F4qXrC9tnVCDlsoFq/4 RUpNW/PdBIipQtk6MKIu+E7XLBw6TO49hqjRrXsf2y2aZmlc8BpKisGwkWBDgfNqLFHtI1Ueg3gC kgHZx1dT8UsOOThwyktFCn5e0jRztSopFhyWPkfXBohdVulcsg8EQxGTiXVoqmRVIU+2mU8n3rC7 9n34d7yATvwAweQGDUEqyGE7pY7GnmOeD00y0NdUUR/xi2rEIzWJ+EFv3EQ8vT5kjEhYJ9alTrI3 YQVs8L30SfJDw8slHFzc5u4jxsIYss7dH9jEZi9a1b0hQwMvc3SLaOajDxFMM6vnM/l4ygaikLzm 7hz65R3XSLu3YE0689vKdzEzXJ7kLmNXHMgazfMhI1b4bMdIi/eYsglHDYsKuxBbj/SmWzOoXIcP 98sk7McF5zk7fYOuK0PepaOEZ5OqwiRnQiqyRsKCTm6uj1NGYNYfqRxKYcZN9tJQ773p+O6hAFz3 9caGfnQv/1tFZcP/bS0ejd0LH2CP8VCGXw6Daw9VXHgOr76NALjkTscQ9Gjvozto84Wc/7hqhODa fdHscmobk+71J0DOW88eQJEbfghy9SlYVvlPFLSzEszpHPGOKEJ3DmUXFS7Quh4UeoaioHMflEyy lVOxH+nmEbJzsQHG12Csshz9gsqhIbNZltVQsHgN+lGiXBl5ulXdrHXitq59mYYmLe/FuVLsgOsc 3oJqMc+Cqh6JBVUELitVCAd0FbPoJHifjQ6S311eHWkI9PohV29DHyqADgCUhIbbEcqJyvDddEyK 36kpRC67BCIT4SjDC4iwpC+RC5DmLKpOyWfjIlD8+KOzZqWB5cmAFLg7ZY1aWmX+FujWfacbAJVO Iv+4XuJEEBUgwBSKKxrrGUHsbRQMRm1TSw2/B06R3LTYE6phVS2SBh0v4k4Q8YqwOSLJa/49ViVx rMrk5IX5v7BOOknBN7HmWbvVPFqf2ut+iCm86eVb4hTLTqLNDBrFJ3T5Pm6KPwctp826FqVHCDWk y/+qE/4QEJQp7sIFSaW488u1KYefkFkMpS93/ZTcJDX9pjRFgJFtKmRpAA0hCURrm4MGYO79O7Uj vBJQY0l3S43SYXjDmlzt3UPEX8XOfL0y1Fev27Qy6EN08sVPDpCJ883JZHPIIU/WUcUMDG4CzR9X dCkkDgPoQOEC32IfRObI8cf4kmCeFubh7d3i8au8LIvAtjjYTxG7SHtv0m6xBCk5qw2JZs4THkM+ 22gfU5tDjGj5Plq8eZQHXqHF2u8fZf1pcyk8JHmwDM5f/OP7Gmt/j2YXrrAUase1KwJYGfHf6jZV sG4gTaBp7Z4ednu5yZMpGiwlKFsypUCyYSpyPiDlqfXn6gAJymap+FtKd3lUgVM4rUEBOxxNG+3J fi+bJ7bnL4hGu7ntFfcO7+np4hNl0S2hLKuuMJ11rSDCk9hY1M2L2mssTWiMH1QLFA/lRZDqP9Fm ESaOQ0FzGQzP0VC2S04I7Y90iD6quKmKgA38j20gRoeiAhjvtzBMdaccMY0hTsSYDfiGskwDp+U6 X040cP28t0+4JbUtVGiUJ2x+DXg83ExlBfCwx/BfkhIyBA5ho/bIgE3z9nn1Liy+mvu/qpqX97Zb 93SjTG5ekL+VCXTpJ4D7N9atQfFvD5HRhhw2/bnD72eN/5CfWQlFtyQaSypPC0q+7m4e3GIPq2R2 538Ax7jy0G/H76c1HHLUcxqTFRmzPIj/TbMFKu7/pxEqYJY89B4fL5BvGb8hgqsI4TwvUrHROkQL TSSPfQ92M+ZHAAfRDXzcIDd/h3sNvfG1e1hD727bRwVPe76e9szAiIZWcCF31FdxjHnQKQ+lFXFf zxBjRAr9j44GUmRdjd9zXcWsjlXGzUSve3vHkDue6oraIPbRtXhHUAE1nOZgBvsBTz2DhiLH0TrI FUnDJDPiJOb3Og9Z5wyqjzfL2QXpA84GztiM9Zh0UrxCX5Ufe08nvfZqYHZ6m9qXUM6qwEs5IVnG m4ndzjbzAqVtHIchEYKge35IH+0xcxL2AXMZ76OmSJTjeqZFpwGKW2dFTQ29PBAeM/I87pYVrCsK 9HR0JM1Jk2n0SoVag70fXX+DatMr58gR0uqZgCYLCoDqnO9f2jJ3CATGCO9oOck7fVBPAYnvRKxK NSjV+AG4sZp2FwJ5qE3yiTW4XztFA93NS9JCukIEXcpGttKuN0Y27j3qrSiXlAK0RVPlORlkhQjo Xo2h1IVKNTul84bJrV6+/7lJyGlE19R19WN3RZKtusgwaeMhjJeiw9QxISJ/2uP0LJtWvhu1Y6yT MaAMVAPFHRtyGEtEj8u3EAcSziQrkEyGZgzpUubeJ22QzExmvMnlZv0q+oaohqJCIOzfmDV+r/Jb 78HZACx2g0OyXUcwK3yNcfaI/F93IXjoFUHxBFwB7bJ6qt3Nag1aVLlpVW/2N+yZy7EBwzhQZ1Z7 Vdse7dR24vNNe2aboQ1oK0SfmhpIrC3jWYNWZ8/6kPC96yHniL13IMVFLmcraF8FtiSSd7jKmXCh Rmx9Vx7vxGZ32l2g5IZizznsLC++Wj+LBRnXSs+/qA4cW3VKp/aAJ74Vtz9ZZ8tZ971HgH3a1wv+ S9vNvoXG2e83EpQUcmU9wZGdMJwMuPTNKCfPZ4i1f1AmxFZetOs/RqvTRxAQGVqfWR/jI0wgBN0s +HdDjfa46SuJcNX5i6hcIAwv8f1JiFaxumpYFVlpmjpGu0rfONb43O93D9yJsl/tC3hs+u0+dVnX d4T12FJo+QuRtrumQHhohh2MIqqkURdlVwuJJndEXlRWlnASB9lGsxVN/Mcor3ijY7NfmjpuJ7ZH 2nfqmYepjRnrRCrZTDJV9AdxQcouj0yZg+um5BgMKXH7YlrzbNS9P6skhIbW/7+Ql4+KRWPhxDT7 bP5fqXQuCTUZjfQZRS8rh+Pfgrufys1lCoSDOWHWDEv6CPJRBmliBxakFjsv2apO5CX2hrNBSu+d DH/w9V+4QQoKQ/LfGZxEw5CqipUcmTWoLsxZKEI6SnNwWEP/pBmRvDe1JaWOnSUW22WJJVJvRyR4 ivtV0S3EWthGQWynYwApOh4ZlJRKWBkH7lRLCycGQnWCBwErrceIJ5KIcoYRec/cC1rPsjIIqspA 7Yh1ej3wSIt06Z0yqboxm4pIzpG5eHZXpo+ya589iXElOaNHKmkXcJ0EjfVofAmULL7Z3fWn3G8u V6WkQmFv4GiRvYMJBNVr6H49HY7hCOYx8bINMz99XJxZFCLX++YyZHJDMukb7ZJIrgMFuIylmLXU qC9zUIUGbRyXAAPUOP7GFB201Xog8Knk0Uubri7roA2PXa9M1qP8VvkhoKfn21E+gaSwE+bKgmm4 QmGYmYeWdL3KCxc2rsPo6ImNAQfXrpNV9XkeJwvYtcyAxETWe/DTbjiWGI8zkuC2kx1udC2B+ysl 8KqEgUKrbv5B+Gk15c69pwbd4UU+36HB9prDhUCI1RBaWJTmiGF1SnbkBoP+Ue16+xo61Q9NmYmo LeRz8cEmAXw3QKQaIp23ZSFgZGzLXmnDAFgMufEZCEaHi/Hcps2rhCfwasy4Einityx22f4nZF4V 88iuHxq4IdQslQ0MS4HKAwdi2PkoylsDfFtUXjK/mUcto7NICT73ib+Z7V2ucjzKt009FE8YoOrw 5H27pYQf42zRrxKpfBj9PLl/2nplhqlHxwxlTaXZNyd5aC4ubu/V2QZR7Bi6rv1VDFtVjAJnUvpL yQZAVd5nQdA4kxV8oVhGHE2SW0qg9OM5XWb8gQmWpeB+O5Z0OSefPa1JNbFTibaUmFnzyahCdlWE aHP7mA5LoustULJ8I/e2X/7qhv5fgJ9OOW1Wekp6G+ZGhy2wTQADDJcE44bjX7hqRp9uTyyvzfZu fF7WMZdeDtPYcLZL098NWafHFBEvffmHvwN/pXIz44MPjxqgwz78rerVikCzPZmYig72v3R/YQIM s1yV/9mLEs8cATFcQdeRNxCJ1LI6P1SejZ4OkpOKf6twsiov5NOUgtR9h6CgBP/ieYKZ/g6G2yV/ EE0RgMAYJ+ngKAtf83k6s+Xy9opF16dUfl09aWDESDM/oB8ZCJa/oq2gDUyN9tz5mtRdM+7KqHw4 MXWmK4zHDzvouRT0xh+fup7Cdih1ls5BIRGDOi0S5JpL7JAdGxieDApFfqX5sn1uMZYPb2ZgX7H2 U9lqFfI2h/aEZpl3tSLowkrtrhrtYdZpAgoMm7MThYPvHmF2G85l/hp2vwTdIb2B7zaYOaKNP0s8 mCgNhHA6gpc2DaZuxhg2pDzweSVTWeBbEgr0Zv4DQGBMaq99fQWdgGj4OqWxui4Uft+q3N5WxURB pyejKbWeIy3WV8OcS9Xug0G9N/4Rkc4rGPwhbKpMRKV1J19PE6IovAuXKxE7LbowJ3K9L/rmJbZn +gqFn8L9xUl8ERHC0SQwTPzPL3UpoqTiuOz9sHb6uOE97aPYkELW68p8p8lKJ2Kf+qaUXD/xJwWR tqUN6ZUWx/D+4fizycSkcYyOz97GAAcjbXvWEHClmdarDzvVZ0TARFzVxQDwT4pmqXNDCj0f06A/ goTZuJARmFdFd4eJJdwu98da4mvrOV/yD7FSaBjqnf27Rno5JLg8z14de1dcweRPEMPktyp+JYFc dRX9TIg8yAUyx3daseARdnUFpTqO6G69f/+wp4TjwYSp0OsGXYExBnNUa4gjMUpKxLexDYXoVEca GWDVsGj3XQGWOrh69KURdkHHdUF3xbjI67d5vUGf92Gv4zPS9OlmwBHfgykKEe+6BFwbAMLyGLCn 35C3f+CNuBG9gwRopQl/qL1vWhQMm0E1sCCBFwF/6YQAl2o4VZyv79SCsVD/qD15IbUPJ2DTzocn 6EkV78Ptl7LmoNaOSEKDvLLjFWZcTprvKR5D+taoGpALOcdHOHz6CBUm30ScsvS0E1/EI0fIibsE pp1xBy57xdWkjNscm9hOUylrnpcBG1Yqle3pxhqnRcYqMW0IiVgmN3x9Q/mYBWyGxD65iDtmWN+v 5X86EsiVXBHJOeWJY2HPAw2Q3zVvWKtF6/0lGSf9NqD1bWdOHI6zPaobzLnFqfim2vgAXr2eCnUq LeSMuscNKGF/vA6Wmrm+LM49fMBfR9JWuyDzQrPcxp7yZcI/FawfuBEO+SCnCMLDqhdHch40X6en +vr5B4yqyQlkGV0cxJe4I5RNHGI8+32rZYtUvjn2RgG/ohSpykK33phDAdI/ovKLN2lsZpHgZSGY ogRJ7y4GMHN7Q9sMjIJbN47mYtk7pYxZqgSfc2XO4HgK59sJtvUukIvhz7uQMTexsZ5PhWaB0osk qhazaMTIp3XL/zDrGggD/cXhw5C6kpsbSLciO93x0YqQHDrU+djJbXXtMcCy1uliHgY6l8eyOraT zn4v/Nm6PEpW2klEDDPe3hdE3/3xUyWJzFpBXJ3JdVBiqk67Hltm24rKnZuQE+UUz42YkGn0jvgr ft+cXy1qdXOtOadePNtiAVYkSnCp1zFTRNK+cMSxgT8vf6FpAKuQhbGJrfkKSaKdldgekmQ/5S9p P0FbWWHnU3RBGNpeyPbzCzN6b9AMC1Xn/tDX1VDCTIwvA9RSSRXK3mkY82/ZrIXj4FPqWJ0SHYpo 7Wp2PF5kuaR01cQua+6UFGWpmhUpUzP/SIiuE1KXZUbBxp2fZEa8ncDgghaKBHKfU15rA1uai4E1 HD22+KUYRdb8uzvZLdgF6+hPjofGMRw5d41Rg46K0qHZTUXjQOA4LyZD70TleC08W5Qh7/4CRuDl leIffVhZBQyFdEQPE2U1R2FnmCPOypIVy0bNjY2jnSubqL7Shd3uC5zraqd4fQW+Ef7OGZsOiaAb 8jGhx/E/ZIEWvirnXJBXQCmMs+h5WcuV9o/XneM5a+iNbZ5B8uFPZRLU2dCdbfPpWHrktXlV8/Sb waj2LdCeDgSgOxYsu9cvkjBW75xt5sysk/tYD4M/nE6DHjW+EMMaSycfgIiouBA3KzEDyVJ1LwGK lmdR0E3IpM6tUV07rEUWpJhliGtr7dS8u0I36m4HyXmyeXVZS4Z1IGAcpt9qYschmEhIWaD5FXaj rJvw5HfevuNtIKsnGqx9pEzXLTdEF76kRaIyxu6f7uaVAmrpI4z40J/TtgbPpMtwtAGK57SvmOWZ f51vb+R55/3OCXB79CdlHc9HcC+xJnrm/FxT+BMXbwjfrK5aQyy3a4X8bwNr2q2nuuh4RGMaIpZZ wOMTOWYdvYxGLQ1VmOZMRaOfMaSlTsgEusI/HAI0Jl6p8BOnf24WpQW207ZPMS+jwyXR5/QZCjhb Ya5T7RU4z74LwMhyJHDoAoaKDF9j6IYneCM6SRH2sEh70o4K0e45z2Qgz4mRw0A/CPH23BUcoC8w IQGBBUxnco5KTTX6CPA/jXWjxIvef/ARjMeFdStgcIdhL87189k7Z/GUuVqY25fVaa39SZ7IToDs guA19cKS7DMyUzugi4hwtfSHPfkiNFTlWQ2nNNstVwKJlcdR7GI8vBUhx6PGzLRVquupFK7cYcH0 ZaVu1mb3PQOfWX1Ggg6CcXKFcSvP0jSzxKb06PMlPLg7gfXxInNxIOZupO0qqvUgcpgKpXeJ263J rz7oTN/mW8oKf14Ob2cmuNhUQRpZNtfR1CfHWgPUJoCp27opljc52sTEM6YPcFHBeDSnPRLK0/Q2 IAj+UQl6WAsfTjlOYlw5f9b193M5zHR+75K24rv44rJo1A6xBJMG1EwPh1aLL0UE574vMgXEad3B bw3MvRhK7W5abYwGCVVg/yVsnRQ7ywtJyklcXea8+Ybo0vLUNJgeAX448uZEwolcKRoEYvLJ/cWT 5/zBHUY4QZ/Uy6yzzUJXjjtMIevWR3YoMzoa3A87V6RdB1f0EVx81w3Ljfx4oeePf1xvmhdGdm5m ePYQ+7GabOBuMq+Gv4UJjahv/77xJC6dFnE3UWHQJcWbDmvGKP2/erB02g0ut4utZMcbdPxJyWOV 31hBr4snkWPi9KxYYbtTi6v9AfXuXv+dMed67XLfOHgZN+Sq8IOcSj607EYjMjL13rG4ttQF/RDG Ook38xLx8uTVNY5vYoN+vLVCBO9DD++sfje/wozpzK5r51Rv+miI4sbnqn2V6NAOe0Kr0BCZcHBe GEniR4dHjfiM33YiURoJeIwmmAHvgTub84i6QZb3c3+KDka4HCDNUgLAzcbnlYHR3+He6YEq3t1O BQciKjpI10jQIo/sfcM+L/dU/Lt6KZorvpmBaz9fzKYtJT3s4d1tYgepbl6org+Z6qTCOqXcm45A M2ihQ+8Girq4Le5appUSeXnTB499rHH5LZzD3/dsKdNcXt22E94l8gTNRkaxYi42OfgIlLeII05I 2myMUVKbMEeZQVYZq8/dAmL+4fKiFCsEI3QT+hiUXg3IAUh64eOozkKpfiEcT9zlpzMENoKJgDOZ eYnRUPwOGnlI8Mrlzzkbucgsebao9KcEexY1P8NFU+dVnuyj5H+R0JeIggZTMIk82X3y0pZAkkix iEi1r/02fVrh6lZLyvbxU2aRPIvk6gpxqPrdVfu+ZaYAWBFC1Ty6ge69w9v+gqPX+2hGWROBuCAZ ujslL8jrQoT5Mw2PPfnM3xjiFdGrjhcaRwmXmOmkYp3o/QF7ugPaqM98mEkBZjLwDmqQRUVudF/f Vqw0W+xJ9MVYuOecEl7HBuuaukvhs121yCSvRaqxfIP7IddhEV4DQvQNWlaKutEqdEiO9oeQYZ/k +t4NcSKpoU0kLb9MslJ8Y+vq6Acne+XYWxvSgtVeux7DyyHfKadL2WSBHQ/8+5TdOqiYYQ2Flufu 3byrMhU1rNJoREHd7BjIbutuNwiNlmzCSWhvrglvkxObru6y3Iq2DEGrbuwy0ppGcFvJK4flExCI 9cNxX+ld76jVTO9AgtkKpskh/oDqCvTPGMUkfKZwULn3z8lpm1URB7T3o6TW84O4XyXCYneITBwV fFJ9umLAiPEwyt1C9ScPs99QfxTzhb9UAZKMJ7Z01L+GIY7NhHm3LONCkSiqjG6MchdowhvuBilU /L9LM3S5boa6vdnfjFKPVboOVqKKfB4ZUYWmieT0bjATB2DH8xtrChwSqWbgxd9O1wuW7TJowtBe Td0cKTk8g+WcaaodZ1Q2S9X3qIpLgT7XVk59+k3LtX6KkgMeqCfAARnn8WfAIb9PFEapajdQ8m4Q vnzqSoOBsllZO9y9JsbDPgzNv6B4P0PO2wEhr/vOa0wf30oYH1dVaaV7MjsUUGW3REjagg+lobyp F5fTDT4SU+8oyJotgXjyT3377gnB0eMHtW7bWEWXdfJuKdg49jvlCoHb2Kaw9ks2r2IosvfDmUIb jKwDSg6S0MdsuIVUKGyrEbqxjuwoZt2dQhi/tGn04rEqbnouRkx8fWgYUrWLBRFsZ6m787O8mGjT GLl/9s4PHAsnTBfrYTC0nsLmpNElWdwnOVaWi8pXapvMV+Iacj0WxYuZjWRTxwZN94Bpp87sbtI7 StZwZptbhqp5iCWh4aDWrYFdPT1txtYJoG8PUHCf9DZCdUf8zVVd/jbvPoqgMkMQV2vIGKAjy6UR PH7hexExcXmlUKNqKtijNJgrxSAq4uPQjfsAETISbZ3ZAhmPbGN6X3Lnonq/o6B0tpPLX5a8m06O KeGbMn89Ghrlo+CI9mP1zZ6e5iBeSzEVsociFKcAbrE4UB5VzwifQrGqp1qbZb6u+sjO2lkPiCSc jUVsFo27DHxfAdlri4gTghVUKLLHPvN5M7kZl6wqkuWo3XCp0cmiU7//SNalH4WVj9OJirAJc6Zg kFo/pNMYUwY3DHzk4y3zZmNfBdsk8IAVTBSGZFrxBfp6hH7TRQ65jdmFseCkHdYJ8eNJPio+qlVj IdDRZWC6hlt49BRov1LKqfZEdDMImfO7wcDptp6k9N8iWEg4mQh+U8SlMVhhmlxuNxFsnH0zN4Lb U8xt+1slB6nR4Bu+SBKJdu0b6bQsUHKjx3mZjdMjOOmdkkUd9eHFeFDBRnCxeOOXSE7KzfLQnGX1 rtKf1fdj8dRgJtTuHgAbEW+vsLTKe7qvwXt9rHL0I9x3rS+50YK4gl5HsLhpGhPasQYRWKwwSMz6 sjtbvvzXX9UJ3t6DzxxmpbPE59UEiNcjSDhMowVRfFI2fAzy0SIAEgXADrzhAD4b7+N23pnf7FBO 9oxp+USNPNuUAxNXD6lcPy3YtZS0xqQTnErCyGGgnO15F8zMXKafQhSJ9ooE2UH/zWiwcpkG5b4S k07WguhHM6+GHxSBl/k9baxJiQAApcnO+zZPnlwVWSgFPX61a9CdCwQAftr9HYGi/h2uyziSxjBO //Raoxmi8SPpswmerzG94upjRG/z47IDlMfiT/hEdU6Rkxb5iVUfRwsKEGgOffas6oFvwIIfIS0N b66fJ9A683WBy8aql+FVautzRrm/sPqXXXMIKP6rhYX2Tfv5b/r5iZpbsYcB/RQwejSWcko3JnSE PRG2d8RoCDBG9PwDSn1UrvNgksV2HP/IbXr016yjsUpZt5BG7lfGbJyMNMlwS82e2Mm8kiKPidhS lwPdH6WhQQBF2ccshG8S7miZukeq1R1mldfMPQ4/XkZE+Pbl9/5sJmY478rn0LCMpAgbDPRd0SA2 6bDayRod2xUdonMvRswtNpcWy54nsVnBlL4Ua8RH+/1EuvCAgI4BZhIu8JS3hChgRa0CN3EPS7yl klA9q3KzBkuPWOiEsFCKmroC7bTzDA0/8QsjVORHvOP/TofydKlJOZlUGRC8uoWqp/L9EXbPZeVs KjHpBuwd8GKva1Ao+pWf6gEGlP/nK6IM8IL8O11ZD5EIETQMpZpQn9Z/rhjFnWv2W+czuuuwcapu d/actSS82CM728eB3wRqr2yMmnTYKi+AMKAWb5+rTALL1b1bb5LoqKR3o1IO+FSv62U5vAAlUXn+ KztSSSZ1fqejMV+fTGjM6hej5tLHD5fnrZ/uw0VH2ZVNKpR1T/A+zJyoZgPO0FM3wkqihBFkxjYK oHGdNCmDK35ygVtbI4qPbCsCOq1U1wkWmTrpUM/94O6+X1kgVo2Rqeojvsj6l1s8dAo/nDQMMKPD Bs7HP/b+nGPY4S1fqa3vBz7XU9fbonhVMfr+OAeiR0lcezIuAXwB4Mclv9RjWZV6dQajLV8Cq1ru HOPgLYbGCiL57HtzUyBPz4+kAtM7LBYEpgNlWoyNRiZq/wXloXnzZxYIQtrlsUZricjiWnlx/Iud QbXlBBWLQgqkncNc4hkQBVp3EmjnOFcVSk6pR6BbmE17PtG7j5Z2RFfU5bS9+Hp5vZ5GXjj0Rc4v eUaFQd/0ofAewdKx/LStHDWNIkL0UzaEoGFroJc6xfO1eJHMk2G6wGGv1OBA6vVvrSIFHkKi02xt qGPNqQpJob+7Hh4EIf6DwPtmZDQ4F79yPSoAdOzzWj90Boj+wlNLu6+MqI9d4QBbD6HQ6LD+y/F9 vfBpa4mnE7lnMTvuVQ20v/NBGaoSTflCfkRxY4BTXGhs2JyCDtQxJhJtNzqIfvHdP16jl4QU/gc/ 58796nakUKzoESEWJBJcKK+SH10oPy6Trhevya1rJOcH9hoy2x8Yr1X4qSg6DUEuTMjxto5uzK5f NGAcpkTo0F199a8Ui600KNKGkaHYZ9F/S+EGLf6VfpllgeeEuUwgoT71YNCwgyazhdP4CAFIZbx1 KsrMQS+921+Gu2FZFYOjKrt20n3VehehRRe6WutfJlrx+u5HKz14BbWfOwE20KVziClNsLJ3yi+X gvnDCYkOKT/1KfOJvdN3KLg6LyC5QWh/R7D4o+D19Gqt/NC+K7bYvKF/qOW0IcKIqRn35Ci/tI56 4uzM+HYrLN4uDHbpJqoDv1SQ27e29URvLvnGtnHzmzAT1biJYLygtkNo1xuNwrBHdDF2EGafu0jj XM8OO1rh5LX1pftDXI+HZLo7THHh59mij9H50Mbh1totQCGinydCu7xoeh4vbKdYHMbe3sF2QN1D 20V0O3HFPjnVhUuOHGwrcdipHfsN1MJ7eUY86xfzRl5oFefZt36nIUM9bTwP5cbE/Q3TmTYEaFKZ QJeUmUv5fYvIDEGidPCMSPwAcDqyh9/iwjmBfaS7J8Z3UzkYav8pFdN8nUzCXcWjuc1KBUDofNqy rk4sqdZndFOTqO0z+X9txvkavcvdCzGE3lEKioyG/bjE28S6YkakE5BA5NaoCAE+mWZYk0Y/v9Xy A4/R8MMMqOq/LBDkPMWTKNuMQUFJlzakeKhoa+uY22A2cl714XZLLwjfinJKBS7pw5r5IBu/uXB2 QunyjBOOke3b3u35D5R56yFQJe1uqrWUCNdsI6unl2nHPZ4Qf35BhkYjf/M4Q0hqH0E+n/zTLsn6 Etgusv7uXQU8aANnls0AgwS+eFFLzD1YSTiCoqnTX6IEgIttWvSQr3mJnb3pzVCTenaRr+F9QrJQ NLX6vPQX+4VVL1eB3g9a3zVOhKdkpBdoNqXK2+eRj/nOXxZDZvg2qZaFui2W9MCw9YS90VvsYk+V VBUoy4f+8AL7DXh7L+32ltK6mDctQKnMKDfzwbfUG+TfqdDTiXsJ1db/U3l/MBxe6EgUh2bvR4PQ p7zVPtgAYSR8mtJN8QgfDlVsdBLPLra7HfigXqdmg9qK0pKNUOmcO5EcaQ82SN6KV4/zhC818b5z Nvn7wZDqdHZU6tIlfvoh/ShJNTL7owhg38+H1ia6Mu//GDI7kzQ2jG35QupjABSYYsax5b4E3+Sc 6k/u2utorXhOePit5V+3mduRY3BCrw5b+KSriNFOmEQwOPLxj9PhlKthPlrJUJEyEFLwB51eDa9e nGC1cp9Gmb31PWr2HD5l2cLdyU3i71ex1VNqWqhkJqiboHGATt7C59ZmW2UMPHT89dmdDxYdDp+8 xnSLyJ/kxkybaILtjDfvxDrxZtoQnWDsPPut/g8v7cj80R4owoDJHaE223Q7PKNtbuqtaOYhAe2H FtOjbFCJKm2SupEzrH8FP9ehcnhcFmkRRqXo/dkvZHjk7vaucQrINDNgRA4Yq4WNshDNeyrQVnu/ dE42yPBOkOHwVJAxIBuIvIQUxJFh+Whyp1mhZHN8b58AS4NkB6Y+pOTxgnYXkZq4KjG/Lk/bJqyO KWnCveStS/sXcPbM+uvOf8US+yVtiDTuDpMns9lKOqOE6PR0nnS5sBePcIvV+fy1Bj+KTjIb2OKL nFuRiVTdWXYF9yu5cByFsgKwtQPwzF5rB8uvDah0E2gszJQSE0Hm5ody9KmaEBQBtwuyPechtkRS 66TmG3jgFCZumCere6JXNM6zrQnjH+4q7jADqJwMfM0MBHzJHHYeKnSZLJa6bk5XHpfKghaYbkjB ZYUfsEQ4Up1NuWJT7kMFvIdLkykM/Et2rt4y/6imsUzSe99opC5J78YRvKvkQ97p1F6Edal/brXV DZEuMZPA8ujbYPnr4pkR2H8n3mAkN/QP7AFvxMZRUinaBFtKHo2KPpDNFJlhZv/6cDCz1g/GU1Ua QFYPQpHd/DDR/0r4Tnt8TE1onIoaY50CsmQTNlGWZsMcu0CjF/VKLXfAlFyp+NEMKLkgepJ2+7dJ xIDvC8D57RuyI+5QMQUM+31HTp5HrPqkFZDbNxYYSvEAXAhM+Yd1GVKCt3iFuJwPsblnfGhwPMBc CqlfcNvVXpF45TGhX64dATM/1MbtRtEH4TaKOamMKmNFyMK63IV9G8Q0YadZ8clsz6oCjJOIame0 rbY31ytjpXteKddc5uG6VGWX6dFgIeSmpo9/OUxSDcqNanv5vOKsTesRCsWF0UBONF2+kkHPMgFD VvdrjtPFRVooLVphqxvGqqpUAnfl7XbxV37ijXCNUxEZOuQkWK4KzYQBa7ZH7aLEg1sBf684GK8U Sw2yqciNbJDykAPmGRJL3NEC/3XF9oBSb9ONpjwIwcamhJT4csfKb7sfmsC5kF+gOcdkStAPqTlq JpazaTjB+6gV73yzX9auYuTmaaL9Iza0hmom0f1IEpKk0Vd4oPfIGwNNGGAlqMTyya10v5WJKUk5 HViE4TfsoZy0B2LVkDkogicFWK2xEewzL8nGmCHpCCFDbUGnUDMSzEQjNoU1Z3UIZKp8/e/Z8oUC SVaJJyNIv9RqxyfCoN9DBhMBuldLya+Bt418xjJ87Lb7PjiihTEgJNDvI7Zy5KNl3BDhJqXXJkok PnDN3LaBdHesUM3xLn7gnplVl/ZVY/BBAll49Qbgyg9vnDD+Z0h5WIm4/oKbYki78yj5LN842pX3 6C+ZgiMesFavQxzTjA2IdwaKtZME7Zth5lWEgPMjvjIJ4MTPgMy1b6Njh00umwyP2fzpKzdGTPc1 GDCgXlkjQYkze5WBDtorNS3oWGRbdnVMaOTUs0+HAfhTkAqiGnx/vhqdGVxCijEmyDSp9uEpR5s6 a2i8hR6KPWM9l2+xOt8pyVu/qp3KsMnE+Xd0vGzVyt+S6PrSjlCsMgOqBRqMfAIxXVpgAh7qLrSK 4sJrHrd4kv7ZUR21RFaV56afvIzDCMtsOuZ+NGGVwKNSvC16W/VSl143v2Ol5fg30UoS3kSeOzVd ALOrQx1HtbP6lvHit/D57+/2zwUHt/P5eQMdoTVBq/F0wiN4NjZljLOgN5MlCqkdQnXcmcnFzXIF FPX3oqIQ11ZtrUgvnFpVYmcBRmIxVoU4cQuE/8DpegT0tTJUNejG6jKUXlE5Nb4D6p/QRMEkcbG9 xnmy5ZKqD9fr7TXM80jAm/gO64pNQYS8HHzDla/prCT6wiKZ7WlWcoU/qCkjiFL82oFNfWurG16T grLcbV9Eb/VmYScU9P/By0u2cC/tl2kM6WTA1NcxYxKP8WNCP9TMrzB0xjRzaYxFQs/7VYvE4Klr nRYcIakLqJMzPKjfbJWWsznK+/8E3qCsAVp148vk0D0qTCKjutF+eyoTMAuL8OyLbMA/abb96l29 mFKNEVgnLlZehyWm5ZZzpT0A2eNdWcsUozsJYJRy38HHZz0FZgv6wJ5JvpoauuLKAL4Uut5Dh8PL V+J4Pt3NpzUEdS8rRrcqUFGED8o9v86Fgp14/e+4d6HUme4FP8qOAYMeTP/79Hb5rL1a1fRQ9khN pxqrvywujv1/nxb2zE6rLByuU806O3gt54WG2QYnwEtMwmRykxkWKU9AwUWgyOvPsGIAYA1tjnWl +0xb4xdWnGbSheu3QyPk/+FMM/XLzc3wDRIzLu+Ixe7w+GpNHQm67o1//3AXvdUsSpIuhbp+2l7i 7w796alxmwlb5hWlHdf6+iZRgKuDZQjellFzDehPoqwkNrxz2xsMAuqLN+Dpq3+2W+pFwG8UZjTg 7RUfWDicUUZqnTXL/TEAtBR0nU2UiSiRRI3Ofu6VBvdw41Me9ai8xSY+9NuSJjS6eXq5UcPI9qrt BTlhJiT0CS/IGyi2tO+QIvIgOiWCsp4HPNXoRhb+KCydkVQPBbLSzo+LupYntWIeYyqopdaS1Tok Pd9qFJ1sLPGHvX7kJX8pCU0BCDWn+VrF/nDTpdGswMzJ9opqCXtioeuPhaleej48Ztil81A/CHre 64OMKWI/iW88z/ZuoxIK/Cfs+RxK40hENsUHG4FXGkLXODILKNzsFhUncOfwOO7TQSis8IW+D0ve 7pXf9yCaW1LA/aY4qmWNCrnJrH6XJp6nQBXWHZqr7UvCna/tOLO8UuBJqK4L7FPP0rF5o2Oi8Hx9 BrxuvvDrWLxgs6axwH9xKIAxZVcsaiINBU6cFnh6qSLRogxRA5j1MqC2WLj4v0Ku79Mqj7tuW6cq T5KuuiYPY0lIMkh+SzGH3NPhBwVAOzWAz+mnTirwGrj4X07InlmHR8th5WNxgbPzakuP55fwdq33 aHAe802ecX+5LtMKHrAZI0QsZzR65YTZ6vQfx2nVHZdvUKVye5lhvzn5YqgXiGheQzrPPMTz4wt9 51trvSTu6IPO+H23ig+uWhaGFBYYK5BZ7safvF5O+xRkWzMlinBhq7W51AC7KHcwMrO+1um0RV9H xq5A7VrDaUUf1wB0cUl/rmqiWPB39RXv5zn40Euxa920vJ8rV5VByTxDCPR4GBwq/67dDWXxfPzJ WSt0tDQGN6ssD8BZUTOBCED8Mq3VEaljA8HFGm85Hjz/UU3Rjoan4uLvDbgfdnuz2hMTc4u97xss R1u/v+3BJc/eefnqH2AdcK+QTQAaNGH4kaOq0+1U+HgqY+TX+5UfDLh7T9oYyXEUOPmWrauEakp8 OVAImFDL+XSJSWrSxlYNKHWoRpJC4bSbzGY5xzHdEmitgUHd1q/SxgGT/+OOMlbvEfpzdlgIjmEU WGtKfQ0Pc5/FZkGoKnoxntRLqmgPzJzhYco32RdcG/niNg5bkVRZQuUXEf9hMX8fgKpDkUs1x4fJ mbzJYumqERZnuBIC8cwxigIwzjaxBEafEm+OMZ0EZsiP+lqfDyPKbhlvPsb13MWQvMWi9DMU+mNS qYZrrKmT31uy+gr70tb2QF/ZmpZIej8zmwqPSjMcKceCKvLNE/gWJ31Gl2DoDfg/N0xK0tufF8A1 2+SOvSfCgjdENFhFuvmm4IXe/t0V7en3AwW8cSHtIROyWuuOabVHRqlmi4YmDym1GGcXSgv5jPMY madGnGYwfVkNX6CyxL56CmYYoks2SCnv49iKWrJfEYeT132KV43CqyNne3qQWcIOJuK9hl/aq1iR 9L8XG3w7gB4irRRBXCav8lDU1naJx70jDmauVP1RzlGRq88Y6CUfoactJ9lKSaEBpjGMsIH2UmXt Pou6Mz5t//pSH4uTWcfKkyFTfOknDBvMrb1a0lsUlWboF6vVCenKer1kuKD2XDO9BiOL8zz7ynl6 KB80PApjn0r7V7DdaUzY9eCV8LUJ1b8hUwvnH+yk/n4rqhRIpM4x1Pz7akcjK3LWrRnJjoGXBMVm cugBUiTAmBOWyefg16mitmpTUrmdCZ3BwZy2AZF4ArcykZUwTwuseFJ1it+Sw5w2gs0TI2SUSVVf 0uTsmjoheAgXQyCmvfnYT+E+5gMLCzYxAzIGHbgHqQeYv3PZ9T4EUH9Ssnhn4/6Be7oWMBnnazlp BiyK7F3oZMl8R5pvy+r2gj2zFue+0+v8rpMliY5lGg/arjCFc9SmnAYaZk5xssfFHD/RSCdOglOB acXsNRbkCwhX0/D78xWx5rThXlE5N7TB8yAJoKmsjrMMnLzSP8hTos8XBS5OGMfDUC/t8hnEhdF+ RaBwcHQl/lEwdGzBwQAAZkOS31Cy4Xu3jdJFVPogTt2zihmb0c2dfWKf4Tqpz4Cqzgi+H2ff57a9 +jEiwsDSxeA5DyMdtVEdI9BXY/3ROjknSXcWMajbJePCxtiw6YfJQwn5SCz8C0iGN6JshXbe4OWS d46KMHuHLBsXuTRPKVZ1SBWt1ylU4x/yfiBSA7RwuS0zhWzI53wWlybhA06W9v6ZKqHmtjYpopOx kjXYugreE3Lhj1AYgXcCMJMvpUr9d2MOFJbsbXYgphGBMmp4eAAPT8NXjx7wIM8I/BSY+YUqLDTA umqAjRG7QNLprKrMgjnp/ThDVa9WDmzRhjFtAm2MdeH6KoCUdEA7kighTOKdF2M0F9o6t505RDwF eJv8wheIlzUcmxp7TwMrXpMec2Jf1GBjv329gxlKeCJZ/5/j8KY2rpZpkiDEzjwpV7iiLVvaYYhw Pol/l2jECHA7+3DgHToGjgpGTYL0h1nWD3kAJAjZmf6AcjHFr5bZU7JAYR/GgWD5eRy+glcf9Tij 86oQX1ZqDLlLnkM+oIho5NbxYufJBY7E/evIjyDyqez7uhNL3xmH8oYe9neyrv8y9H71ZKp6W2qK gEY6eMxPXbGpeKDOw4F4TEJpB983LcZ74KxsMaRr5ksZ4HiUWxQ7/MEq1pgLzBIrkW4JccSrC9ef HIbqu3UHxBt8rwG8HOMco+I0mBJGoYiXmV9v/d+X6oGS4UROGoxJ0mluSRyT8AYR72QIv8k9WVLD eCXHKOZHA//V037piRP1Pc0BUlO6TN2E9TPEw6x6jXXy6bKgjItmNhbuN0ZTK2k44bzK2Yh8f3ij EJg6F7pXvEYQ3CN2pLdodP1rRl2kyx9yRO1MqPZ5ayzyFLA2V07p/58q9n+MpocUHuHLGK88vd/z 3igEajAd1oGHSKsjkXKMgK4a7O9Fuyjsk1lQWkBf+zMSobCKaLjsiUqtdazXQtHLbg863sI9u+/0 aquMLEqLiP1QgKUD7DxeOaXxnOSzQPmaSTn2Y/iIAzzywk5wFxXN+mRCo+Q/8AkjS8gPr2nFrQut fJqzkEaVhBC3c/Y2AKsTGD8+HBrw/G4QEplFJaBB0ybxzFZPs/VB0l2hAd2+u6QTejX0iHiSDRDy ux3IMeBlIVb48GmycQhHPuESP3S3idLUL0usEflc6+d8A0jbQiuo9b5Yqtj+J08Qw9xdGNUTCe/z R2kXTqv1KMkPaajSw4v2f97vuDAzDAo8+6RNO0yKOZcgpQrrl3PJXemE0ROlhhIscRFi+xdbUUEY XMKy/2BP2s7wkN5iUF6vXprPveWwGF+kDZuClcxAKv0m4jWaCYbG6HCDhWrbvxntvuKL5McoJylQ TEJ0Lvc0LWhdBzsDaDwg+r++rmegUvMu0dHTLELNq7NNztu9iy4U46mahIk0p/kP3UWduhUg9lvY Ekt/yaj1u6M1YXS7jDvZr4Uztgn82KRWNDDNUX+E9qlFKP9N7YaZPLfhTFHzaZFhlDVQdpYxtLzm 8tNmTGM280JNwRKov4h6Kebppx+LLLLRpkLyIIVDHgLSB48w5i+RRI/q6vzs6S1DBwGxCeYdKMEW 6fDTxQtBtidOtU380bo2ZnnWe8PKJWpl6VaAZortsTbYb9nO6Jyk00xx4falBIrBDscL8lKV5nkw w0IIxLsdz+MBACQeoIPL1VPao8m3ZhVxFX7IuijsVT4zVJAcs5wVVpmg/JHKpQa8yMJFSEvRPITj JB7XmJTLQDPuT4h7V6QezFBwwJ38LfHaky2g5E/YdFGJgMq2+c4jh+OhQa9Xz/YnOapik/QOjlIC YqGRkLIRn5zjvHkV88uxX1q1KvfsA+tAxtt0GFcr94gdPpXnpKiDd5raKHJ39BvwZ0DlsW5fWGc+ sK/DUVzbN56xuyC2sQIlypn6qjga5XgJ1HCoet+WiBmNYK5WwJXtJ4xFj5Psmk4CSBNe6nqqCi2K MRlt9SZQnzrA7xu7+r1Flnah5Dsz6QPYG8xszdNBwcYokiuhncy9udnebTMWNFB00Vcrvecbah32 hCQU+bRYWDHFn71jKAkTcPNOAbXemmtYwPqKmtOiwWPesZA0ziWEdMEVsmKU1gUrzb3vBq/SzWhb fB5g6x2iV7nzL5xXZMwVEIYXRbyVZ570XNuKMxH5LHqkKotybrMg+hqC+d/fTs+48BncoUuNl+sl wbB9d2Lj06yOyNuVz7bd4/c/GbNkL/ziRDIaikoctkITcXvmGAdy9lUSzzCoRZepCkQsh1GZpvwi wY0m1ZmPztm5okPMnm7mmhIXxrZ2+H4V01nt+DoFTXBIN5Y5JZwBXbdq3/Tt+F4LM/NfOsNB9gTt /MBExa72zZhTfaczboX57U9P6Anza7S67sfQTKR42cScJ3ejVRJMg3kRS53g+UwZqzcRLzEcEZ2M DUW5oZ640wt+NG5M+tqBmWNrTqFTO9sarqdkeEpQOt8CkXcb95dyT6kr4y1/MxqxmZRTidVMBJ0m dZGNXikVto0FULKLyxtbRPlSQw8ee9VslF8cjRhjy/JCoGuVy8T5djlXayT++z0dFTvNDDdP6cAp cMJ2eugEtRRBqRfuNl4vjjFSZKjg67PB35pAfiD/Fz6+Qy4vYI8UHGHGJ0ShVThmiYjehttjPYHo MD8Xg2ypob6g+2eASPN9ureUX9QmDdCl3woc+3EHSp5AzfIQnHp/WKKZNhEHaZSdZxpVMjhlbKat 9soleJd78EpwZC8R+K8+jkx9Qb0Q/YjGicYpS4Bt4DztYhGDOdLqvnimAWTkrCRD6r0zp+/06nDr 42P82vLZZsJ8fpI7mllj1Bmso0TzjVBiCvyqreRNsOXLzKLr1mC31QvqdB2bGI/aZc8cVGKKz3Pe hzYI9hyMC0zE+S7WBDY+B0YhlY3wja9GBvrGu4m8gL00ez+XP7HQCP58kMckMUoO839XL8h6P7vG +/vKYjy/TjK4JNFl93gqdGv1qLXvK0LX6eQwb/FxT3tiB6qQcPWaAZ4LOj7dJtFA8UXYGSljtpyB RFFcoqMbzIjK9eXj5AWXpD16xHBFtjVHpt6LQOjt+j9G1anW+4y9qRZFWbGAUChLda+4AHBWcA97 A2sPpLcxKeHRyhzN2xdL/5HyHYekuYT+MHxGObTTHvEH3Yoky3N+2vSwAdnU3D7kZYHI9SjmajRQ 5R7jPedQVguHy80jLpMkX4Z7ggtGPw+Mo8NNFCgVZedHqcVW+eEex0Gxe46Gi2GxIIBJdeMVAjAX Pa6gD1hrNw5QTdNBbTMlFtBdu/m5vHG4owxyEhO20bJNJ1B27MxPOtsWTIw0x8ggvZpdF0M1yKE0 GvGmdpYjAjf2jNbT2snKpt1cBjlFeXphlgnt61jtzk2YW053qtQTwgS2l1zgidEJ8L/8RWFaHvVu 23dpC391bC93LZ9D5z9HHZgGN0IwH3WPncZouaXBV0lNUSgj+JV09QhydjqxHymVxv1/GNPJgrB4 dvZwA1mbz3WV00bFSdg+G3KleIDeopj0EbERXYPdLvge2wWLUiMAn/cNXZLx5rpIpWIjIlz3Tp1h zAV5/kdhkG93r7j11/1SMqVztdbXtUvD2E+T7EWpXuktps5Dgrkfla8qgN+6404XyD9mqLS4127u zuYDkXiDNR591VZxPYMolLMjN9dgPAqJGqv+m3gNXOAjt/RYFp0FnVkABnXP3/xhCo5kWlS9IX87 8JzDvRZ0cMxBh40ydVth5vDWqjNDBsilMaOQW/N2A177MzMkhrGXjeOnoTJ8aKD71dGwDUzqTAiF tcbJzc8IkGqM1XnLrdAJCrHpYHLeTx1Mc3i8ow7SZS1rJwitD4TjEcszE4BvEBH1ILaj8rlrfpgZ D2EAJqJq9AosMBC5E05XnT0Bk+4NyUVbrdL2rPtNTC17AU172MXtZJR/gRn533vyWTuZbI/JWc0a twmHMU0gW3sbTaHNCI518c4Cb4cGEZ5A6fhAs3et15exvID1+jadsKBQirUKgIeuslrJjtSYXDxu 6M8dvQYwZgHpev4K/2VWctQNbekqfiwdVPXt8J9lbizGzkTjWdWuqszyBwUGr9Wow6LHv49/wzEw jG+vAHSjAif/e9xTjj979aB2FS1+24GvAXUueuNOLOFyd6d+5VCRmFZd50tBplsa3FFdWg1NVJes tsMX+ZFrs2XxZM1mIYRqLiFwcZ8Xrr+MdfMCKuZnzaFSr9hUx+4srs9cA34J1UR1Cz1waTOChpEb l1D3SwV9cV2g4ie9UOS7S1KgL4v3i2rDYzpk85isr4ts00GCM51u62JEjEVpgFWvz5/1YndK0tcj wv2EJbYSvP974PLnhTZzfhAhvH0iQ3IBbwEqtlw27a/1uTOYl0owPdOxzZzh8zmNEBYrqP2kfpDi NVYLNEheCpJRnMzBYXWQdLqll/8hUb/uZG9VNPab34gU/s3M2MqaxNEiNxx80MrDYu/rCHemWkTq H6vafYsshWYGU6j8WyiiYzBmvdFe0AIlbOwaIbaaBxQC+a6IGstb4tybJRSNU+lidgnbbpOof6Jw rCOxgB+Mii4gzrNUxVYKLr/fqEZF+W8LnQcXaVDekkzMGBRUbPhjAkVRSuF1bvvMGp1o/G0F2nlU O9ImNHyPh5QWk2H0mNVZJQ0UVw1ALS54S1EplQf/+13GUVPpBk5s5+daPZhbPXjgYhhjO3lY+pJ0 ayVKrDhMGV84zLjG+FN/ggST6AmHUX34IUJJOuZiOkd9MK+ryHjo+cbO7TzQSD2yjNE9rP0E2ZcS 6K69SmR/ASmCNcOrfd4JGAaOe4Dzl9WfpiOOWBqo45UDsiCao0tM/Mq61AzPvQY2M1Ny7G3/qCuw VTFnrEMLLWzCIHPAoZ8Qznoy0xOUyXqYX3FHT44ses94jNCI9z2fYxT3wDVg3uw6W4Gr+Gin57hf 8ecwypnZ9bzcAs3GS2Tt9XjnZvFhmpcNfDUFjIG2zw3bPOIUvv2HQLuBL6qKZeqN5DFGTMIs7cry RJ4Pp5P3M1Kp6EbNWzFdP4+MyKxzVeiQX2Rp10R13rj37DSXfZtqfggidbWcHotYRWH37wSxUTyQ WRkM5Uyty/KuqcsWR4tuJ8JGZxVoIWXFtkvf/Nir2GgWeDxnHAH4PpTnYLnkOhMLZqd00+QH/2fG NSI0NBFGButD7Adae634MaaxcqV+42oZxKyBKgl/6vUtR3rWnBefO3ft7jlmnWbRjiXi18jube0c qQ9WBEzH5uKiidyWnujS8dUPLF+zICxn93UmzM+mqrNKZVW8unvBlsQihzgCDhfG5cBRHSaNBPLh u3vS4nZuBZz+Gsnlqjhof/sBw5Qqew+9FVBqyxQivWnZdSN97CfzAp5VuBYJsuQyX+doYBTX4qhy 4IZc7cktEdkB/Uy/CKEivPFx09mroTQ2NXw4GrsDMF1L23+j9Mmn02b8VU297BLAAgdV/k+SlU66 kQjJOikqo0fi6s7dnsqp0PjG/bPa+aXE+guIlVGFPVhCnkj9FE0dweXPkhoyaM8jzydMleDfd5pL uAdp/bNEP3d3+aNcE1SBftYhBF9X2gG7BOQpoUi0aKWorMLRy7nDQPhDuwWvCZCmw3YhoqA8hPxR loXA4dRPAxzfcBT57cV6zJCkwzxbldFPYpOOssEW3IPWuoCLZM5HU6InOZs+Gc36JliT7Wu31b+Q TQo7jhPWX17jqnLoDjxA3SLxEHuG5bd4L4hDlGAe9heb0mPKasqlLvdk4hhf49o7c1n+4Q/BB/Uk 7plrH/fpwqwodLu67ruVbiotASeikruXGDHdL7sG5VLn0kqN0sza5ZkhGMPI+bCoH9/ysiApo0T+ RO0JPSDJRmLJN2jU0NhR8wi/2uG+EPv5XX65Jv+nmQeNG1d/mVbS5JnIhhkca7ACO4wBlsiZ8dP0 3Mxu2aoITVuG3wutLdCyI6yqx0faS9z/buQb38wejaIlVyaPdnFcOAUW3cvoi+NPKmonavaIHL3c uZLcCSqc5uvmvZB6epQPCWd4UgLRhuIIgWDMBmrrtlTlbJ/hGsQwecCQcTwW/7Igneje8pNlYIiE jmCQ2TJdCZpVBhdBkO4lHzEjDmMEafxFYapJqLxjH1Hmiy2kLZfeBC/m64pQnV0wgODqAqxvqNmy FV/WadVLjLCj+vOJwfJjRC2NLGTpjPfaKxrVQcTnF+nyAknMmqTKlUqL75iduXFy+Baud0o8ol9Y PIduv384Zza9oJXVgbrziLAxcd/4QtZWQDK5VxYXiuRPvMJgIUP96ihPavCF++8fr+CVN2ZLuSCU rSWNARrkaHlySjIxWY2KaxLqb+LW6uBXsTnseX1AwpQz6pqzSVE8XXAt2BSdev3qPtnFDMqg5UAt 3/lKcIeUkQAzygvJgK/6qSMSxlelvkALdKUo+EfCt51h8Txl8sd6/EaX0HzUMtJYKshj4adOyPSg +z0n5YxaLUF/RAfCGoYT5s3APYP1qU4jN9wEYvgkGV2ZSSNO0r1YD6VK8u48GxNQmM/PTV7Yfl9N V+NMvnf79vzO/blKfhsZFATjf/gwo0VKAnVlevQjMzdDnRZJEJc5mlRGfVA5RgC8Jbz793IOiAAT yiY5ppAFMQ85UhsVZB0nk9qQDQ/Scf9VH7cZB869z8D0st1t5jOmM1T79Lqla7nzvHCGfhI0o4Sd jBtJw22NFIU+XHL+0yySU1EM+hTVA+pVg2Tgp6OycX+GoJrd480+c2AsdBjxT7ty1WjfmuEX26yU UIwSadUgKAU6t3mXIFWLmuTcDlDuKrI/+9z+d+x04ndY7ud5Yqmmz6TDOfvFrjausMKUdtOvyOS+ rnrCjnoI+d9fvQd14gZEZ7Ii8g/CVugmwFB79cJjUw9g8vqJOrPdGHj+k+QGOKsHm22CUaNg5VyN G6ONpJX4Q49/EuBe4Tu45hx2l64sVyVAQ9ypqq/NI+yLp5a1OrSseHPXvq3t8UYpDvJajNZxFBhV ERGeVU3ZvchggZWtmcS/QaB1K4oEg6g8v1nsg2xrplQQeRu6oAaOWeZpuVd9ThZ+FlsuxamZSqeu KedApykCiKnhDUabxbcyi/7gI1datdwcyMAnlRwffyuBco8T6IMwt5QL3Sxf6IZEkHXdW3kpNz2X FnL+ssc/oam2kt5LHOxAIjD1a5+yfoCya/j5WnFBMq8NvJHOLcmEgXHlMLz90VctdYuHf+E1tbBg 92fqA5ofDP2HdNETonNDD4RvDViGd41jRwWt7sceE5cRDAglHelxdNo8pB0FCBw4jrywEO96A2uI WFqRqIMfTiFPiseq4UGcStcq/7uamrg7vtcKuVi74Hh+WSugsZuoXUNKqdaC5L1uykmWeuXStLcu DAvSNgcjmLguOnFTFT1UDCzzLnu5jg6GoX+qcRfxbM28mcSBC5AQBAKqpyc+4WiPXgV7tQ2ATY2M wRgQ8LQ5Og1dJUDXNtk8xJSiyDxjG2XqbdvrxSzrfRcqA85kROnqKejHxCwm4M0qy8zgkbVYFysO l5AdFCYnsiMGIYlI1KzWqSauMTG2Yorwn7o2T+csuneQdRVK1P21llqszoWeLIVTZvkc0V+nxS5B mharV0dN3m/lDyAgQzBT1UBb1ZH5jxEt2GdfjLR0IWOTw3NI0idxtl6rVTDjesn1Hr2hTlKEgEmQ mZ2uy8cAFM9WKZUFE3Ft2nwccjTeQvKuXqrPX2PalhamK3g9rLLhJVd9C6Jc/ikplgoohbgTZQob O+DQ49aflgSdP96eCS+ij/4fr8JPMQtu01AnvxC0WFM1ruwgPfAv2oCWXz6e7/TscoV2YVlFAKDM Jxnt9srn4BPfl1qIOtyY1lGtbifUCZmLErH+YLscD6+p65itSkbD4KJYoJmQ+m1Z08lEbOgrlJeC bSoqwtE1vUpb1JAheL08SbzqLhjbXu3bzt3mmgZjqVHzeBjyKr43XR4W9azlcqVESK3ILHCkaQWE mzj+T4ongAi54nwK2pz7bNy8JuM3u9UxYP4WBNtJmVCzA12JijtqUOizv+MvSJeSiz2aXtw/ybi8 xE2P1P65N3sjvEFgwxjnYd6E43ZT5FuP2hB3a2Z6bCWGNzhogxIMb4O1tFlhyEt2fcts/qOX1Cgw +WQ4HwppZ2LlRPLNC0lnZ5iGJTdApjP2FsTY3Pe86KQrppQ6ubYYPt5TpGLz43kPFPk964L09j45 I+UtwxnSNTbomN/PJrKeT1dFNmj7QCdE+emelydSWoTeUcXomo4U5Q9z+Y1ti94iqM+FwN7bcV0W +2aLtRRIn37yHoE9ZMA7WLztVnK5A9vniDeRKKJRtoRsE6V+R2m4AVANbLnGAuS/nt+TNMl4r6bD 8BG6JYCF/cZNpYPvOs4I1IK/u6joqDH+x4PMXU4s+nSZk1pEZSKmJDV81PyzNP0lC2IiMUTfiABK uLnEmtKbzFELclyW0JV5i0+ZMGaqtFh4bI3ctGPMUD9c+rFFxLTcyPHRbj3BC756s1SCSAcWA20t Fv6UVLoUTCy3Xe5OoY2LIP2FJ8lXo4JEat7zFh5q3tVFpuaMBh44tAV7LB6QQtAbPXoajs/2MKqY iCUDEmn+akBYjUnShG606FHIj2vcgX1cGlsgYNdBLB0sFe9cnGJ/aTEb/jE9TZBrlWc3EM5sJhF9 B5Q8OHdXDqGR7NKbTGOagqVSgqPLF4owQRSQYVQf7ICuxPtlKn7teEa8VjqN54W1YhU8Rs5twkoq tPXev0LTyuTD6nJFjsb9oa+aiuVgdHDCQRmfvHIHkK/d67inWPm1Tg8nqCe34im7orsxZ912lwNr cOh8UvoByUpe6CStE6oW8vHwZ22Ff9mUWXfu9guZovlXQ/03luOuGbJk1Hobutgwm2QTILfgBMp6 lYDDZdt0JuupUpkO7LjXA+MTt/4zzalOZWGsRX1A1wqDC0DQ9tHK5YEnEy09n808hxh/7dACsqGn ybZY+nkaC74bQZ92oXPYmZvPnLqmD8Kda3RygMeZqpzR8DwZv2+GscbykWZEBtpYW08zfiYlY0gH cd0PzA/3mSOTlvXZKnpgV05MO9WV7U+EqPDlsUE8/FGc+gctIxsMa3guBNhR0pfmyLUFbMysFZnS nxum13fFsZl2Guy1o06fWPOEIu2Y3UKSGEEy6ooI8NI6zvQvO6xH0uaorE5nl5mFVvN92snuLmMa 9GAp94Fil/tBiguTx5QGKz0S46LnkhrJUIbEHfU+gHKsdc1eh8kFAFLRf0KzPuDDnTpFcWcZjlCF 1D+RhX/91stsm+HpH6NIaU3HkEX8iGww1SVNHzA+3iVh9fXaduGGn/Jrx2B0b06HrMuV4V+/o54V 7Ph0O1/um+clo03UyhV3xu3DFxTrXU9v2iV2Wjl1NVT79w/k3ltw6iq4C2HdOPbul5GBQw1MlsJA zd1dErk/d5a5PTkS+x8JWP+KnqaKY2TidEnO0lQ+rfLeFMkvOvY9i6Ej4+Si3mYnwx8Qm5VdndDM abFKRpPjysMQQilWVEptVjNpgadAXrxgkhDBcqjUbIgqco8+pF6agvCdHT6Ica9l+zn65NDXf0O2 3spWFZqqmt97D1/3oI5bSY1Atcd5pE4qaTvViaXtTs55TwjkNt0LwrXlKnIYEgXcAbHCQoyoHwzJ S24bewocm7xWqC3NqdNHFkSIWJFU8sFDw+pDbq8IDX0J+6jfnBnYG/jyYMpbxmbaMHD6yI39K2mf iVI/LwUGUx1IZuim8fDgFla1NqdfV16bNdwJOL60SDdp26QPcLypZLXBEHBMBh3MU6JlUZqYj2aE D4rHqDdd7FYhS2uufEWz5s0oRFpzXHHZL+C+dqyzHmPERxG6xOq7d55cHck45N28TZnbovqK5nv/ JrmR6y/iO4iwJGsBqe93CVV/vFaruhVckmQ10tP9nsRhqUDu2xbY5M6LSszM+X7Sn6USIVEDkyki jx1IaA9wr7/aYdqXctafxgwHEGA8e+fI/mMYtne7TAUMn7XOHQE+wB5kEyLykOgntcHY59Z7Slng 29+53xw4hHtA2bX80LSoVvPinM+UTdHsHnPscN9mkYsaTGucLfCBoMQoGfCD7V6neES/IDk934Ky IGthdhij+Nsi1KOyrO/wxlJ/l9pLWemf9dDWpYz1O6i614LwaW+aYLUVFdjZZZmFGtujJW3LgH+W v7gPn3vY3EMij903T3Ph2PPrAjdtIEoBJq5cZtCu6Rv1fIbN0ZbUnpjD3JINyBG41cFdR/pugXEN N/oS1zURqGrXMXizV+PWtzTs2UVF8BIpDu/BSc+xfCE85QLj4zgDECuqmCd/IJK1KiPYqVFSqZ72 6+Jq7hFy4aqmQPi7RARrUhMT9MT4eL5lDTq54qtE6w8df3SY8mNnn+XPJ20y7P5AF9RV1q6rA5BG YNvoXF/u/nGB5MWKmaamSJJ5F+7g2AT260/ATahTfBZvjyzUMkBMIB0EUVqUjIvRvaKfDkmylj1U AZ6CwlrQYXn1ZVsdkw2wtzHQhaA3frWIuP85zQ+DTwZRVRKJxNFKSFY2ZnMLPT2NpoeKaNGnJbxa rgWkeCn8alN58eu6OgDl7HbhgP3IfSBsVV2xz0Akx/etvFrenjFfzFpMKjRVk1RAv9tB2/n4mukH uCR/faAgfevWkS9ES7sAU4EZxF1ORrJmg+9crvZe84odyY1q/QtvTOHga6ge1Rt5tE5dzDTCMhND tc+9wvsXrlsqZIhdf+1ohLVmtZujjkgLP9TYS4m9b7Njo4lcKIznoUa0KoclVdMR8GjeJCzBPcW9 Qd65HU4wMeIgiT87eVb2cxayXsgHtU5W0k/c5ziQmF51PmIt7MBVC6Ts3nVt9w6/9Qk8mLjx1tP3 cirkbRBfwC1hBS1vnIvylbs3IMob/BPvprb+3i1jgZGdFDbvThAHADiVS8lSU5bT0xcOo7j4To0Z U0Sz4BB/M81EXwSTwyqbnP4K6qA97JALhTOamdfNpRw+BoyxilaWxGdp5JS2fxnDIxPDJ7BMwhrv SQFwmrrzldV+pr/tor3R8tPIz0iBwN0Ihy+92WbcPEmkCoVekGaV+7B2QPzheGp9GgG0oRdej2dD 7riLg5bO/I6tnN1MvauUzekRn+8fh0MFaVtrEJ73g81WhNXYd4+x/CbnuhynWyZLhYf7zLx//15a pzzya4W101vSGC+mdQrHpkvCdVa30v+52Eo29VL6s8QCtKNmKcVFTWFWqGTPM8lWhTlkQC+3LBu9 BFRs9e+naTfaqNub8/arVW0EkFhHimwYdVsY1+IWWbcsj2sLBvAWRs4CcF9GMeeB2xs85KBYdLeJ WzJiwX4st9DIjx/2MnlurFC55PDj1YE2sHXqCXPSWUAXFQWNLYJGuMmgsFX5phiwLC1RUEFu+s/g MUlycY0WtnuqVjVK+cX1+9bUNYWBd15YQahGIy8QdGWRplfVXZ8bxGOi45y10gBaO5vBixkdfXSf D7upMwB8Uol3u1oiTQmV421uKk/sUr0rJenJdegmbJX2iSGx6elDBdp6ClmSMXnuHnj3qJqcWrIS 20PUYCR3zviV+It2HhIyzexut1vR2rPG54t6+3C3c9YKbOxdGbuBJBNKDETz2yDiJpZc0t/HITfF j82qjIW2OWFhCcFu1vBGxKFpO4dLDCLLW3/WDtrVB9dt3Z+4cRcJQWhWPLPW7krEPz2WkWbWyGRQ eroww+yv14AvstAWjrPV82M0opitsdcQs6fGrHrruok0t/QoZofJEHInepiFBIcGLPDO1upn5l4j lLnmjqz4m7cx5wArQuXsXWUEEXiTEIgU/4ulM+URFWg4vG1uCSrIz7BpA7LzjhdyowsepafKnH4E eH9OhFo+yMLCol/ejLlYdBi1g46uki02VJ+QcU9V2I/lSuOxzNqEzwA0VtOb8mQXu+uUZ7T9ZBwt AFTZqPAvM0+3KxhAUThawPtxUc4kcCkNlDgWp+sfU+96FYd0McH4wQfvtKsx7ObslLNCSWFr7Xn/ jOg/AUNfzGetorzdfU2/jCrvsg1VYZgvnsa001lYawQhWgZZ3d11O/YioMwLubGXglj6kD0Jord5 O6zFHO+Ml2LljKwNrl98D0rINXXoRIjhX7SbT8jmY/KYtG0UJELmEASVj7La4IJzDhxpqOj6imfO uJE6VaPsRkmatroDOxedQD3pIAhhak3O4i8i5eB9wAXMWE3p825FfvA+v/40hIMxusw/l3Sxv2aD RL5B/hSk6AfnkYRNKkt7IvVqbStLfx0Bxnqdv8f1Zg2D7gm/9tj+cf8X2lB8/yLswrIYvd27SdoO F4ZTPfjdNwlEWvyAGZtktp/o3S+rK8UuVc4AzuH4/AS0gKHQ4tBhMnADs5j4Zto8ruv/smbJ8q7B 1MmLjioYSYcWZAmgO19VaiAEkaukPidBG7cgFr7d7JConqysZbSh/hKeDHJ8pqL+A+BK+65Z7PY0 +93+Jt3dwkEjmUixQlTRizcR0030e3X70wBbmMcoztsPZllW+cO18qOnia3OrYOqKWE/VjjtCnZb /0Iz4ANbSVw2RgeFFUpiRnv0r/38Lh6dPNejeg7V+r5mLvy0WMChU3o2l3fI9KJthE+n0EtSptTb hA+N57MK8E5ogCngWcEo6LVGvEDjafTthFXjpRvUyfw5V8jVT9bW7E90WwxuprDAN5R4BjgK1mo1 wPm1XaYFavhNDoj1axBFo0nI3dknDA2crS9kfcx3t8pLLbhgWHMmTV1fhWeOg62HL45msitQ2wot eY1akFXq3WgbA+12ZOhXu6u2W1eTFY7KzFMdv0ZrgGBIm4pVPrzxYWbrWzcP5/T0iU1PhZw4nS9K OElKptVzY75xzgNeoL5knrsdaCt+YqbsH97FxmGT3TGrOe5415KSS2MXZgMN61J3L5BjMNBe05b8 JZnyM0gz2mtPAYNYQjebX0n24ATgFbGnQbCDuSqmmF+TZqmb7+HH/YE0+kf9XEOZ/4Bf8oGAeWTH xbr5GuB7iaw7e1pCXT0F0hJ31DEN8B7Jkk1QdfN9Lui4BMI+ooqB6etZPqbVe+Uuana+/9iPp4v/ nZss2HNtRD+RT9kkIMsmVl29UYlo7CAuhQRhOrzSyxr0I7Ymr4groavdF074nwWiw0ZcTNn1bME4 jwaQHXGPaSEuDhp7URr8zMaonarkl05ocuBPK7TC9XecNVVtUF3Us8xYCIwUJSU+cYW3pwvST2AF uqfa3UwHWSqybFBzQD3M3jU9kqHpGfIX6TbyGYoK74lS9eIWCCMAVi9xPL7VWVTdp5qBMVLCW/uA kQA//Sut2nRv5acdm0rKsKrdHrV6MutArvVzxGSGsMov23IMigWUkBv68iOGBi4KUmZUk9sjzJRG YYnwhPbdjokAgUNLLW4mbOOl+lbD7yjNI6ymy1Kl61lXCqsxkBL4Lh8hgpE+vZxQFhRzkFJjmOt7 5q4dxxGjmweimXCm2bdOlgxnsAKnPSdxXUWfPd4sIMXK0c2VrljitSkuov2UOIXIKfGuOCuI4JpH hUmznrPpa8afBCmZr7SXrT9j2bsjF3AMRGLU1vHBDo3nov0GgrxWQ8EQQYoM0hp3vU1eFBqjIwIL jmcLzVumfg8bq+5UVB7cervb15iytnYWXo7T/Wa/RjG6EY5M4iewANMczlOgUahd7ikD5P1m6epI b+X6mjd9A7T91iN3pUxoOxHNXXG+d9Ge2gJc9FjPN5G7cNv4kDqhoEPer/ngOm0qCgiZlPlOvf6E NRwD2e2EKQeHaLMdo4QmBoTEXXYSyIcYHqzng5n97Rg9Dt0yDYKp28RQB3h86czYNYS7Nfy/H6YJ KcbF6dPhL8mAuZQpS4PV2xTy8zB/F5He8F9G4Kew+eqVts/ez+KXI02LlrrHOOKGAra8wVDw2c+z lKQlWEu8z0VXgn3VtHvLHL0RNLhK64g+IrShMbAZ6u3aPFLEsrRHNy/xCovucINi76e1PlbcVd87 6YY0VsqgkYpnG+hB8cn0aBd7Q9zNs7+PlGCN7ksJdEeaEVXQPDKx5Qexxr8IAfb7yg07dBufF4jl NLJLw5payY2+TOvOJvgZHtjfAA4akn4IiS1Fv/4WIjNQp1GrTzT4NY/Or1kp+f/CqGT+D7l8ERKZ SaTumFf+2jCNr1w8cLo2tyMtQTX0+lwAAZ5VIdPnfW3FfDzI9elzIQT64Wal1kZihlXWzO1+9WBx bjZfUqOG/cAWxKw+Bw1SmMA4DZI8BCPn8Etn3B3WkSccRYRegDMRPPrOdzB8Cu1O+8ZqowGUVkGJ INOfoEtTFM/RK7ylyn+pJNJVfHXDQ0Lj/CN+BfNWxb0zsitHFf3YH/wJ+Cf72kXVqhirrD1Z0hWf Z7yaLTHwKshpBnlHZIckkmQ3rFJNx/bXocWo8tBmBYWuXzd1Os8gDZOTyqE4GVQNTy3/F2Ve2laY dyeRKPrdp9tt+8Fy/tbWRktVsL9XDhvVzje5qQY1THgE4Z8WOTfhSWsh9qQtJBeKcKXxfC2NYzlh XjQerRNf3BAaEnHvCkkLf2ICjjDnEs1NNCl2R7KwFR5Gwcj2JaYq5q0Ty47TBY3aCbrD+BRpBaaT Js6m1ylmuWpUlhpLmFKH4Qz3tr163mVMi/kIkffgQb49BFTHHgFVipcUrBtAWJF9frmgB2Rl/WMz IM15aoScdHEQNihe3aMnWvLfA6M6rLwhO/9QDCxl+GzwoKgNSFPatft7SSAiM57PDGA2tKH+1r8U SYmSVNtNV9lDevc7EOvLgoevK/KhKZ+JeKml3vxDvkn+pE8pF/Fj58FWEAN7NflGGZdi5goW1XZT iZIASMHuPEsJfTPKVDO9IbBgIJjmF4bWFg//gckHN496I+pYnn+2kuwYoHySeKod3L1maTHb8h4a ou4jHzDRhnSIkLZO/MUFaAAKg6cM8hRa3pzpIAcGWu9qe0m2sAvxip/Kpx2Gz9hDESKpOiPdRD0j 5zswu1wYY28Di0XvNyDbCl78nZQiLGpvBhd924Jhy6WUPK8cEKiXlj0PIGCfHR8etdq3rIRBTPhg J4PyyZvnDIwuZq2SHxSlCiTj8VEdqU7aU0IeOXIOaGrvoCle9XOWx4hWG4sAAvsydOBYqBp5zMQs KUgLdYqTkjdnUb+NMRUd8Cm/uDjkInjDplW1Q+Qxpz83js5QBOodNpGoDKBO+GAfFzkATNRKHEYP 6SimsnYIfUwd03miSDm0KiA5M2WIrVhWtC2EphhI/AXI3ObzEfxoMNdyZurhlRHHQkWPUxy95F86 sVm4X3iWm36lLNPRSAMo+ZJ+M8HU15005T4YHMfEYcfOqHUhQmPy2qGrG60eFUxd4J/LENcKq0N/ +EdwsQQq0KPMG3kRQYw7AGYk7odUY5j4WKnxH0E5pdacV6tkXzhtf07FESp2+CPu9nH1VpU5pWYR 253mTclZ4/PWnR44ibMF9CmLHcgv8jveGFqZbSmCyiug0ZDr5pEymhDQXFZrZAOWdCVmQfQqH+Gf uyAV0JXzf10GQFJzSaMUxlKmVYqZ3VgWIddqg3scAZ70lnBz33MiP7qMZM2aW8StusgtiU6hfmMf Q/KVfbrqMkvGaSvc6O77p852aj9XD+Ysg9QfTkmoyjJgzmsNtrv5YHNNXimFSI4iF2e6z0HG19g5 QTcsSjsijnfIVb5UV71ras2/Q2PycW+79xT4gyQSOMJDLDtLUAzxfeOr7uOKC4cn//G7Pfm+tMju W7SY8mri+nXplRY0l51yFfW06sWe88FQy7sQx4eY13xQ3O9/sZakBpfJpKyC7EXPu1i92QzL/HdT 7AF3f1pOMZpFlCiM0/JO+xbrOzR54N//czeXAOtwSGq+EvS6Xd8LzpvusKe/dzUoxo7lt4YMeMSy l3DGvSnP+FS4vRvzTflBvxV9vKuLp6ciRlV/nj5JM6Oi+oHEnUZGaNUNhOFR1iFGCMc7ZEDoPAYP GUxrz8zChpmFYEDPYwgMhqK5wVbjGvOXMu/O3edr4oEHHzAJD97s+Sp9vuWMukdChaErzGtIXSVq 7jdxsJEouHUEd1YJ4qn3v6L28NntTeRArqMkhJKVLip/m60yQ4VcsdD1ug4DczpS1IRd6Sa57nV0 GPhRdQTzCTH62psvPOakB8Fnl0urWgaRDyWTRthmURTsKZ4SNFUkvXS2Fb2g6utrwhIW9pmkZvO3 rCfAKW44K8H22yyD9d+aemhGSwkc5PMdug3rt9NtvwU//CoFfex21XOBRLgcptxm1WAdnef5l7ES JQVzB3IAid3hhOnhqaBsJ5p0Y8Psvj7yrLs2V/sjzfDuU1hDZ+6OWY1OQ3LPWa5izD3Nt5KR1LB/ v7Z46L9whr7lD5J3PbW36qr69x5K8BAiY5spCR28TZ9I8rfu5LuBT5bSTQq+dVCVBRZuwuGcEn4b 5mSHw1j4Ers8YRnr/KdeJJJDoBOSDnRdKINGIk4RbayFfM5sU/qMUVAKEvyWSjpAKTWWq71TRq56 7kHBLkNW30yZUDv8W5B5yZt64uf55/1MG3sS4sd/e0lUSZ8x/vZTOudGikgAQ72FIz9QQd2Vk1WS uNM9AasyBjCofqgt5iBA7LubwgGF4KF/UoMcEnvVaTlOYzbq6OSX1p1CkhnyyePhqjbv4vHcf+vQ +wlz6yf3UucZ0SUGYKsr9brFH7Xo5xSFwt88pPvjMiPjY6QXEIrXbJY0wZJtxLTrY3vwddATMzgZ 8Z0jqrhskQd64mnd7kPIhoVs1WAGTO+LhjX01YZmRXwQtIn+kywS4KXjac/YuMe33aF2dso+ZhrH gxWlHYMcISXCNHO0UyRrNbRdMhjHtfLWYH4O6w5OQEOjD29YnL5DR3Ocy9weFxUfkGGI2WGRC6VI /ymsYAE6zfxhmCz0jo/dGt9GWI066CjiamXrkF/8Y72X8g0zdf2gn+vL/F4HoOpghT7n4bdkbL1Q nHYyDYPRhVARvTmukDOU3+HXKusghMiSirY9ijB4yRJte2VXaACdQxkMr/nKC3ctYdwfGTHPSdo9 GzTPH701SinEzi72B0kk5l5KzI80rm8vgaigic+DwNpGz8HRCJnKmD1B0fWLFwASPfRQaabKBGjR mzvghnIpLwyBcP7kbinZz0ehlDEnZQIpeEKVxSEFpwre03RcpsQno01M0YvzRactROGxQvp0q1sz UXP2/APhy6xT6HA78pAHTGmilofFXm0AyRkLO9I/J2OnkYr5xS/88QKoCW4m1OKOSMIEp0YiqSww JYgHyivW6FhGueDWi5XXLeTERBiayad1eL1pf6XlsYEQ8kuEMoIwgCFgPH0P9tIjlneaEGjmFAJ/ M1/MtSl3qXciKb466CqZCjJsl70hTHmRTFmlXn+jviu+SQzNWaI1dJoZqcYRG15xSkhiX8t6OhIk xvU7N7FzdpP1bw7sWW8uHKvce8+xrhjFLmUBKa8Bn6B0AN5F4ubLfuxPeWg/UqtKu48EDEATMJ3m l7X68h3WghRu90+lVkitiYiGXyuTeppsitX5hzDNAMYFVqquGKz6D7XIkW1eKsH5UmXQXmPZWCDq 01j6qP1A8/WnNGQtQ+I9E2vSDPIkjtZfI+y9po6s71piSpNmIDqSaWPqgxO+Ky/orpnKtZXHPmI8 iLcz2Hnq57Veh2WLYDUQs3LWZGcvGcXnvO3mWA0x6RlvOzdn7upVpQeKnDtIOThF+FeBqqdpI7VZ aRU9Vk9TeXGUdT5wprCnQ5F7aPBx5bp9/Y+re/l96y+bncvTXqIRNH0q3elEp0fwl8uRbrhP8PP0 zXHuULjt18SlbatUyOdhNFeky7FUQj1047uAGvhJUgr6r9hkTau0I4YQCwgsCnJXBB9gMYCpcm09 0A1l7lriRM//IfGEIKqk5Ev+dphAacjQf1yTzt4oPxa+U1cHVBNIfgeak62xXRH6p1gznNfYWcjw DMQBD+RD+KVzR/iEpIhko3TZueLLgrrWqKnEA4HsPeJkfdc7naTmVdSfzcHLb4998e+r1+F2p32l TlMeGEU1EKAf5U37Y3TUwQUmjf165zRQHDN7k6hBESAi/3cOvgBlg61bHhmosXbq10Mk21eSpjTh 92GtBNv3iDLEpyc/WeHFpnetg1ndbTxHIoaH7k23Ulp5MaP2oF5EnewH/EWqoNEau9w5mCa9rsUG e8PPecucMFNva7iEwFUf0MrMvXXYgSrx1EPR1GKDtdlASqNJ96vK0HrtDy+0DL7rUypQuHH/y6zs 5QFzYJNdad57q3jC10drH9GMIpDyFCvZ8OeMU/Dhs309DStOi8b2CreJ5/sI+3ii/MLWjzbkYCBX 0F3OdGHzV/ui1OX+/pakAbHEr2683TFCqSGYSCfIeq6KsWrK/67BIog6mDKYU/d/80mwEDBDoxwj jlA9Qp2L/ZaSBPe+mXkT9y0qr++IR/9vUnAGZd1p44FcOA6KMfj/ohUI+rSKYwn/j3N/m3g5i2CL WIf/rSaZGMHB/Js7isEmlgwrphGtK4GS3KCiKTwMv4+HWU2ZGKq5EkOMqbaydmcSqJyDJtmYBV03 uJsZ3iezWM+jKtA4VdinqQRgZx8w9uNtNBWL6O6lfTNCtFgvHIxE5GIUjhKS72+rkxMieXGT1oxd VkmE9UiOPcat4t1NGq+ffnO8afN6iegarbAtPWyqnVK1V7fjdACpEPu2TCAfadPXgWbmHkkVr1tn hkoU/qnoTrNke3d8mCO1gJgOG69Ixoe3LH+qYrrwweEpx+dVrl05g4qjCjUYtuzxkfwWUeZerZmd Bl8fM3mGSm+J0l/RH72XOxWJSWyxAP0lzSyskR8WZ01MB34tvxMQhLx/i8LVVAAY4VAx6ARb/sE3 +YDTyYhynx6eNiJM6EXgFz/4hxpAuyJuKWLwj55SQbgNDjUE2ASFAgW2e5IAWysvxg2ZsXwTVdIT NPffhUTX1f0hilCdWmZHUEluc3uyEGJ2A+ZCCfEpsRGdnyW95IxdamplW5nFcmyZmdogeRH0bEj7 DiL+SCFlIPGJ+Eqs9a6fxvbU1TK+7BSkNyNWZZiTWG2MiHPFFAEAzDfscEsmZTaa+wWFtOpzXOLs cSFNibcrQkALCydyI4qYKgV7WBYh6bH5FOzxGbIHkl6w2WfiyRIpIQgGPg/GHDglxC2wpeG61M8Q gXCUfRMJ/amSsP//Dq3S1rhrljZkj1V5hDzlWwDYNgn6oqfAgNKbUGIMJzaD7NBZ/XuPOh/nuCoi oTKPllfWqVHVNwtfXBM13JdrP9iN3AASd9kimVIC123lVOhiEJFVNX81Xl2o6LTSySdCxUPVZA5I dVEXbSvHOPmXpee1WZZ1H8ElEF3T2kFEN7iphdAXvplQ9zZGa3bTAwT0V7epXtO1Jdwf/+cJ9gq+ WALByIC/onUID7bUzmV0HN1EcC3lx5aHL3ya4Kc3tgQ1u+1rupjKyMFIK9TYE3BsDCxN7gsOqbjg cDrSpkztuyjPh7z71jndKNls29HKiBGYFSGnWdddu1g6gq6sBr5ElBbqf1N6x/NKt6Q31tfdfuV8 f/QkR89/7sgUPv3d0YznDJjiIQHhGu8oKKFYpgC4gSxzM/u7QRjGVaeyu4XvFI0IRx9LuwSdULK2 C5Qhunp9DjpMNZycWVyva52GEG8WkbUUDZFMNWidGPrc4hHaCQ0ZNRd7X7HWvn1JTa5jFUxosVFc 2oU4Nfeazz3s8vDHG/uR21exLwm9jCscA57qBCIwY6OC81XqpQn2hSl/dt6fvvowrWKgjXVTz/JJ ogLgo4qI8hB9wA/FQjvjKe8wt4MmiyaMC6Ivj76TNpL2VnvwXG6ZVGg4ENpFV5ZM2+Bd0n3oEfv4 e07126vKp8h5N4668fA9K9NC7Q+Kh1t3wqQKxiSsheIr+OQ82AsPJCzoQBN0GbTD27fOY/gbg0vL diwU4Kkrjk8Pr1yy1vobs0VJoGA+6rmTvMkK61CCiBHoam+FUgVZ13w4v34mwMIKDxR2Lj4Uh/yL f3v0i1xrt5SDDFkNkLL2yBPiaGNSEVWf9gjDWYAyOdjTxrvO+BPz9Z4SeYYALOgBZLxj88ZTykgy CtlOE/Y+rWKLmg2JhF/Q1l/5a2pcEUTjavUvnTHpPMU1GCda3w1H7EXPohBUPT6RP9G6kbmIYakC UOoxzVt3pxiPqDVOKPJc5AYHoL7Qybsi6mLf/ahiPVtMf0nviiDqrbJBHo4vaF2v5YD8j/9a+ThT 82d3orUyxls9Mti3yM4GCpZfMcpDHkNNwkbPhxm3ggFQ99FDr5x/+a4dNK45Esk81gfe5xuQjRbL FaqgrF2uLw8auV9MvdJOy+mnHlPBRxXFue650Bnl+KNBhPhZ+3GHYSaXo5opLBSJSXu2wS2/Urnx aZnyG+QvM6bAPVAfoRL3yN5fbiOhEGPFYbTFDcfibFGy886+wb/V13aRxsFjSwaNVnXdaGed77+J 2zwdAchoF1/J4+A+gxRNEBYd20lFW5zJIXYmN3bOoe6tKscUPqRXccqCDijK0fabqEgtUDiaPRfq GUJPWsPhpomtB2ro7jOPiq3PGZ70tab2xUBRgJ/JD4kMN+mg7y66h3NgcSIcMb6OY9nLZZNXyqzM 5Jb98nTti3j/khvSpa9i+6+xAyqdVxqzXItbecfSxv4o9eD63iC3gI7bAt6yeHLuCRM6k+6rc18R rdowNef4NvBHpAifbPaIWRHYxtwb9jWCGfiPcQsuxfWOcTxwdhwZXUj+F8WbPPYLdreWUtQlKjN6 07ZlD6ziETsRCqjY4XyXu6IyZguVJ2x3vfaZv4PopOtkp3OII3sbDtVV+LGUBTj0Ac957AQlUumh HMyEA+ZT/U3Ko43+9N0JkN8IZ9asX+l7TQBZtBfzaKYbnF3PRon2CECtJNzhsPFiKj/Ar+HU5oS0 DS/v2E9IsZeV8f1+QM9u8Qg1kpW3tojkw1BiGH5VkJ4oXxKY7sWz/Hfl5dbOTQu/ogkTFxuOKo+B OhI2i5HuFtp63F8Ns/DVbb7FIDdCFwZlzOAE4jd8Nv/SeE+xiDBb6cqOnpERUVZ0LpB7kSTaWphZ MJgIOqkdxHsehFjIN2xteT4jHhjH1p0CkFlBeFoCwDOcXT8B+O+K5jPTLVv7rSpWAlqDt6iR7LD9 FquD2QK+paVoIkS/7rXGRp6FOKxJWn260eRzaN6z24N7PvpE3rBGwNfGQEPhmiqIQo/Qzd5Wo3lW k/S2eLz/odmEa8qc4trMZAomHxHtyV+crSqQ8a1ADderJ7QcaI1on7XNCDD/fCBl5r5SPo1okt/K MFXj59aj0U2oSGGZyHkwDecuWy/Pv9j+BA9VgYo44ZplmuGTvWmnT5G2/2PdNShms6u5feBeGb20 +Sl+ytM80GomYlmKliLHrGaLz1rySVSB4Sbz3V6ZIAPAPrNuM7JMT1DyxKZQY+6e8+saOT5KTJAa ehe3HiUJ3AeWSzT6DnAVEbABfybNk9EGKOB3gWq3AFKmiFKGKciB6EEc4b5S/xLtH88R39ErcWnS 4MEJfHerJWMyw9PQbSUCkKoGGYoRDhJLEQ4RQZFvE9M9vDwoqy6M/ysa0KDzAD7n5B+/kVG56hS2 0KDvM7kWEUnH0baM+MIXPnFJCEHzGOnl3LUB1BIFN8S7tN4bjUlO80ZaIk0DJGKPoBM95QEY4nui a2K/rJn5ypSfEXmNfiFXqhOZq/C0Te+NzgBODp9qEDBpszaY7JYWFkWKe9Omted+v32IdfEOg/ua 7ytlTuKuNnkyyucufcWJgshJBTLBTwtC0Eer9MWvhGH7VeNIsG+yhK0qdXPYrOEL4IZTWaiX48hL 5TVyOc5FLFyriI5+UcxZ2ZuFi7SShazunE2rcuviGhYWcUlKs+zSZkgCJIng8Aut7kuxWCIQpGWt O9edDQr+ZtGhKcfF4xQC3ThmgWVLMyPn27SWPMJ25I+XPtRobKcBrhiSifOTpEkNHBqNfhVYGc5T 5LP9LWgivapqDZC1ndba2EXq6w7xVTko6SaLALs5/j9e6Vjweq4V3z6gDnBFSKV2H22R199+nGFp fA/IVFNN1GkVXM+b3mDUy+sj4y+29wMKb24OSsOw1vTY6GbVRygyxL7TnQGd7wj35G2zEOJ9Wwgi /e94uWmGVRVoWdDWhlkX/BHyr/VZlnq0y33+/c2BcWuPaQS1kFcEctAAJdXTmGAQZAT2XKQwfukh QH2zF2a2EOB5quQH/8dhxl30KIXYmefloqiqDPRW54NIBAn+VP6gfnkx9MwglO5qme8T59ZHNHzF rI3T5Al8Yde+hSqvCUPbRtd48KAuRRzg9VRqYd/oAWVaepJnDueNE0dJaPMhnlH64KbRpiF9MJgo cP9dDh5BdQY36Pp20lAQEUbCg3i94AOStzuVeZ5y7qCvEx+rYSJMuu+AOG1v9h555O+Rg5vaG2mO dcw6YLgu4gG1z1wzxeKgdQQ/EIA7aRIjqqehYmsOzheMyeLXyvQB1IcmHGn2Tj4JLgTdSQma/7J8 an/+DQUT1Px1nI+xBh+4Fw+0O/0YT9KoXO6X+EP+uGw/+QhxmtR5ZYYkMrzk8orm8suN4IPt4F2p BmNlQpxTlqRG98j+AXrie9w3Apby9Zo8IOja3aEPpuTJmW+eSdVphvbcQlsVha+LVac61ESvwP/a wV+99qjjOrrDn8gR98auDCOv+Oz3dcNADfE/LI1YQTHWdS17ROB/b5ehyF/w4bs2FCcSRX8gAUVV 6CwaySelSyn0tsIjIOfX0GNQUg2VooD2OHA6rfNfPYSscFAgSHtPEH4NCiTe1U0r9kt7kqExQepJ 9YKhnRhmXQNVLw3J0ZV99hqNiTUMIH6enVQSu2lV7wFfurmFXZm68SAlV/jUM/Rj1HKNuj+Vt/tw 2VJ5gCAHoQNYT38LjPZ9xpINmmVwmIQYDKBsrlapQB6D1pZBlx6QyB31LVr/GSqQATzqCTeRxiHk nHphN07Mu6YNJNoXY6iPVYBNwr8Mb91VO9iBpd6Nb1WB/QRKlsj6H6rzJs3urbnhRHMRDygiuQib ShfxQxt0zJzPYLGoNVREEu2tIHWKLh6W+tBmD7hV3Pt6NKGQndDTscwBtBDJ33++/O4y0LsrZ26c DHbrB9UZvX9CeGUtgsTfczsCx5yNe5PVqrclhHWk3mB8mK2VVWQBTuo8U/ex3Rq6QzfzkpD5wjcF U+FDjvmJbx0opQp810BwQO59pVG63GN5CKlI3YZXIrE3RKRibmcNalw1jGfAzAJ5PGka3s2YqAVm ph8AM5nTnKk3nQUYUzlxUjia3Ed7rD/4r/4VH+2rTwsI5CjTDsF141zVyzo6jvnUdJ0XMgtBxydG L8XFi5qd/E482RgVNoX6t34PphQdpAHtxwBAcDhXVhamHHwbU/81nCUwwHzVgccYmY5CH6QpdIiF qdkgWahTheoCebYrgpsVMjQ7Fx3yFQelPwzVOxDE5EwhXCZANc4tQZgdCb6RLXUC+8aiTOo7Ebqx BntpwddYf1oVap03P9xp1uVDn9pMxKMieBBdTEKzFM0xeX+klMtpRHg1rT3F0Ao8nG2bEcwA1LO8 fXFL0Y503Qns1Op9Q4lciYVQu4DTTDSDrgtr2qGAeXBcKZy2TnU0GDWJmQX13uvRlkBhbtS7TVCc C9RNDlBjEw9gjCAhAIx3Fbxtrgp/aHZiV24Hs0s4yT2Lyy8zicz2A5fVihMblOJLat17vrB39Ozd agyTvgptyZoTojL/MuKWYc5q/pWYcuz95oZ8GCLDneBU1n5SM5KdlzYnXB+lRqSe34xR/W4HdozI ho5GMi08v+qUN7htnt1GIZ/Lm4yBbdT+rhR8OQv/sVsqnKDyYqcEwD/ZAUeOmKwJjkOmJQkX95rT LyXDkrKDBRxHYtD5f07lcedX48BqexoV2O5I6crSFzJAlO9me7vzLDDeSRnz6dsvuput8dP/amjl /ymA39XiFAX6ewlnYMH+otdV8OHEfRdGdIQeZluLuFg5pb9mNDEsuHiX4BAUCOm64pvbsaMtqdgL UyN8Tbt5l2CRLJUfeSktggVPjPoshPuaRRxuDHpk5J0VA1NNnS7QSZJguXlOJL74Q+hqVBugYyCY knpgst5fEIaqx20YnCEHsnDm3AkI5qBeQJh0bqDr7qiM+zXxIiyitaekvkoGL/T89DbKwSEk+2lE qr0Zzq3RFgKTg1OAaWtOSA0G1TspSERhQ8xMeWQeTjCJYG8hDSlB1Y4jmdgIypApLZbB3Dt99DKv /Os2nm5jMDx/AqQkJ2uROe10ht8Ujr0S+Xri3deSRxrgkVVD94rZ6tv0OqAiPxoJ1OFS3ypYmtmy 8cr/3LHBibZoGpwteGTVeOL9c1crCb12rqBWJ/vSZW6Rloiq1fe26mpFJORRSJly7EKZVs4tb95t i+NncJ0WwHPldyR+vft+uypgOeNNBp6CANBr1L1TJSfJ/EKuncllumh2VqzUCoBSwhM4VKgb9LAp F30FIG3X1CO8z3rwCxV8Js5UAyehsDvCUQVzfng0edpCqccJRkr14CAX7nsWBEHl5uLI1HNXZWFS ebbxVyPsQwH5BUyVzHmeKRepk7Lp1tIzUNwSGw9bR4ThDB8gKV2Wn37EZYjkqVoC3QVnlhiJO5u1 xJC/BEHXve6cPtZ7/oF63X/bmVewHLKB8A3463uqTC2dDbRSp/kFR8dE6wLxKRn1wKAf08bHGp9+ KuQA42OAvg6lfJvQdS05N0WGPp2PH5ZiaDIL9PNi8jjQkKKao3V4ltahtjUfa79akSgVoYBRs7Y3 69yFvgsenOKzArysweo9nDr44Fw8zXdgIHnCzf6FZglVuJH7WnZXZd+EsDHY4XaKx12gHAif4Pqn ForLYBFJIwQV3dAETX18LYIVXzau44z/1mcI+0Zhp+z/sQHKcjAqB+qBk9CSqK1eyRkfo4X5MT9s Fa8NhXeiWtRGT+TSeqdfchClkhbiGzDuLROsrdMdnXE79rwEt2gV3L376hW9+tJh3f64C2SMD3aZ B9tPPe2dvw9rI6cVohMu7o9G4pOG6RXS1J/eYgzZUbhyaXLWnK7MwBfjZh9siqQ2+4R5J5UQfK8b fH/BtaDOddxz1lUXh9kO3sCY6rGlsh6/FE50J95ew44Cy54uyCGUAWMBPwU+jdbNqvV8LxCjDMjV CocPD1tlXJBTGEr/0T5/OvBQ/ojmLojmjkImDhhkUAKA4CDKcUIJF8M1M0J+XYLmASHHP28nn7II d4d2nNwsx0EWudnoA5dAhCYvvJoHpjLsYxUJlB/tnSYpJ/LKGDKNLePZghuWHw8M+5V4tnf8sZ3b 9LG5nnD4k2dR3UeGzrmcp/jokfGGkM8uk+haidM/TrxCVTSsLCqhl14hoxk7d8Yz/mknzGS44Ma8 0JmmCxm+GFJ5xTL0SfidOWkXhO1x3Wfn49CJP5VTmuIOIOjRDptGpllXqu7F9m9H0QPELChUy61B HC1zAHduW8QllGQme6XyD7jNYGLruMzgRDtB8rbV5znLzSze4tpuEzW8lLOWFv2UsAwhAKWGqeH7 1CNkSjzVzTFRWJp42QcHcWsZS6cTGh9cpd/zs2MChBLQpc2065SSVqc/YGCMmrMWmRuLwi3sjguZ Jau9dxjHd67e6tWLEtQ4FFH59V+tyXq5zJv4odMKL/Mne82765+KS0QzbJxtYL9wS0qLOaiTaT5j f3uVsyJJ8IOwvpBMUb0p2l1u9eoocpYqsmrQNNjQNMuOy3YAuB5n+Jn7ivkxxMopnfB8VR3V6jnh Fl3B8LN0AI4105NG8SvmbibbYPoyFFZVwBATEvPMAKUKTomja7XFshjR7e/o7Ts3DptmGOuC3wOZ e4D+zrONx5w52A4itNlcZydvgbbWbXn7OWBM6U3pFl2qetXRIm9XdFHn9wt/F73k7XY6y0qNp6yw Kr19sDTMv11wNip+zm6zJ99fRWSx4oFXfb6eaA5wFT14bzolBBktp801LkOoHIFS3ITodEG0UVyn hmrL274LfyhsKHE7QVl5GCjmKYQqdJxjP8eKbyjt/Lfmtya9qrzkLSGg9mytu+g4tS8c+w+czdaa XBXB1av3Sv5d4UCnP7/gModTruTcPnu3rnsVaUZWN3FOcgvPwZbENOcXDmy+Oa+Y9h3qAlfkqvId vYJIjw1Qlkh8oiawS7zW2qjSolFbXoASXEVMltZvcIENUH+dpOXNqkYeyTTy8LqRgGTgueJ54ZV6 BqukCZb8M6VUOtkR8jgqpAu6KN5f8c69tkNRbsyaV5kQeDlEtGFxiUC5g7UhCRJC/M6K0esgOrdt q+SfA3rXYBUYBL0zaWdlOLM9Hcbp0ZxrmEeMdOdNZakkCWhM1jfhHjNgfp/Wljt0v/HzDj+gr+Wi w7KzPtYJm+q9ghAx36VjmPLjBaH4G3V8vHKW8YFrEbZrnUbQ8vWSX5N2ZRwpk6oYNVTzw9bwpr9u n+tCBoNW0VMjF0Ga/2oAUgq8mrFvbB3cNojtDOw7j4jP9ra9mVcYW06FQYw6bnqy2AY3PO5EdUt9 SkGxrDRLUDRsQjsx7wuIFgGVsuuHtYOnaHBGhAQ2TAf+wCs+tyNf74IaDAFVD9KKI8Tb6BsMSPjD 6ZazAXOpqsS9Q1J1yLLzA/zhOGgWt6Wpyy+705CynPk0KqV+9jv/lpPgRF0AoG62iFs4oBAY85eW 6qZh6ksogXbsDW2zIz/MFxOSlUJf/XMUArBbR8tb8asSytQ5iOYzgpqociVzOZE+C6zavulf08Sk mjGYtLPWvpwzjXs688YJGN11K9QCP18qXl3e/NOrL9FyIMF5nK6rcPaf5GT02YAoe2TVA52WbQ/7 i9Sr/rmN410ln5Jca7Ze3dguTWVkAkOkRMpxL9X5LgcMTg95xH8bghjGnMcg09kxsYo7Leh37V/H kc6M3WtP57in88WbzUC1uuNbR8MRJBfqUKLauhB+TH/uBzGQFZAbPktkE8Wp5JhzBnt2MMHr4AgN 7qPCwRaPme/yXzR+616jlzHf/EHhYY52kXccVivWr23f8cGIV5Tua7Jp8z5YugDwpsYbozqgetPJ H9g04KVECPIqrIbAUE302u7c3FX2Uvx+v3hSSIdwtNZPlKi500+kHzr1/FaUlWM+tNPBeEnFQJdw YxA/Ppx2ty88J/7ffJoqnzRDrOK8+OfX6iXiw+lji9Ut5cMevx7ezSuVmjmPGq/EUmkcPSENOywF T4qINClsgLvr2S+lhoAvgrJ3eTCkAvTTmmyKLVShT8nKgUczUoC7gTwqvhpjy1aFoV+n0N/fQDH+ p1hy+qJGCrbRHG3cYC0R9zTYzvn8jLrUjuzqCqLVGQTXNe5jY/l0hRqBpu+YCl9J1ggz0pPvMi8w dtpaYifiAxSE2WhznLLFuZ2cNkftU/W9kZ/saZyvdb6P5DA7HTnYwhc/Wer4M7Qbku/f5y9qT14b XiNmkzaAl8gEd1fPTOfui1ITlK8WCm+3YjpA7RVpN3jW6Bont6Vzt37RpsweGvogo1MYLtY5Qf8v 3k8fXb7XCSfaD+25L9m3WCJ6JWDU5dqbAhKF0+4YWzq5GgzeUkUY5a9gQxo1UJiQgEkfXf8e7B9J kLTlU28XnkItTxIgf3IzrLZ0ziv9eZLc49Lbn9ZYQOAtNNklJGa9P06rqJ/djgG3omRIFED/A3vp +6GWxl0ZeE6gZI2Vcihxus52KfgaTAkaaJtlRc9B4rh3xQ8qI0c4I/mEn+PYrezHRQSp6MYuUXcv YNn5S1KoFN2CZjevHG3XPxZ3UQ8VQPcmra5T9YJCnH2jlloxqGs9zf46RX85aoGV+r6kdX0Yp/pb eRH+9Bux7jjrp4Eb8uhW5XdkC405FgqPyBiH1G82aj9xM+tMKnjcMNk7hcOBGVtiSN0jBgG6CGuX Koe4X7Pi+HAv+9Jx3L4M17SpNOyBumDfVgXlKNPXBoP4ytByht22eYLHR9cpGE081x9kkH7Hx82F RLncX5Zw/ZviajXmEL2IQv+2/BFa+QTw6R/DHfj5O6ThyfSeY9j9h6uaRDLd7N2ZZl7Q852Gkv+1 vT3I6I7OnXtyFevJHNRu06r0ymb0EDFh4KrB/kHlZct4566tAzdNOB0kQFB1NhgCUZP7AKZ2tnsu +1YmgGskOLbF97O3Qs51P3YdjfmgIyFQAEFb+RRaOU1gGPOjSLQk2udAOJ72yM2sGIo9Z7mCPjOI xRusJGpNqOAqhdwvkABD7JaYdforyvnDiPuM0hslu9G50hK+aWlGqXPqtv+itBPV8Ke+clPmhsXj 1+Wk92SBLSFvblsgmIfKWWmJhN3b3NIaF3ivEqRubUetivCDI+EwtyUHuF15mQ+owJBrqhpbnsjl hRPPsHUzYAx5puFbpnM9efKR1930YJjt3OPUNxEsLYp7hj/CUpR0202iGXMdVW+ITrpdlzC98Crv AI0u4FCf5pN5cxUacT98N/70dVexFYJSoRPrRNI8jysS04g5RzBMAL87v+Dv85hO0SM7UJ3q2wr0 wEA0aKrRbw/mJASdGviLe2jYD+MCGNvlJoZ33jFQqX1tQ+VkONOWfajl5P/EPtewIWSCGww6zIvs lN5lNLjvN6H83yTeilJk94kBCcvcqlq+NptM0Pp6CqDtXM62H/5gsmfoRsu+mAbOPhI5j81fpn0E Mh/fs4bLvMQ2HznFDEox2b7z8O6kwoF0YpQf5Drok/72zp+HxQTwF2mV/oTMdXoP6teWD7El7XWZ hrT45BXnYPJ2IKDFwlqDeleprnlcUrmB+J2Es7/RXavh9HvllaZ/cjwZaqOTtgbmnawc90j5SF16 Loo6Vu3u/6A96ph+fFEJZlTqAIcXW4a8PVVtGkVgIIW4GOKkWdsWDIutVKIIv3bEmxNbf9qpt77N SdUwdir2N0LsmCRlq3ISb8ETVi0atULuAbRZIpSDvUKgMtS/D/4zVvOGZJ2mDWiel//VXA9W4/Vu 52ZS2jQw2nANvETkb6IhxQsk3CN0axACZITlDeo3OKj5I70UIgtVAcNnucI5st/NDta5cDaNv3ec sCTtKReenYk+wnmLUYF4qwgi23A5VY5FyDxHz5MPkNWgDhobcuaTxBSDdTcVZ2PHc6UBmb/UGO0Z RzbCOYOyUds0sYu36RkatuhUFJXUF02ht8rPO+ChqMKfvVplGPttHpGl5YJYY+E7HXwrpObqgOis vULW6ChHkI4tIXbTwppVa2Ud2MEjVjAnVLwVjDBlXMyn59Nswj+1SailUp3MA2Wl3iu0wnS87Z6s nwycjAdgP8tguzwKcbGyq/QzzWBTGOfsycTuoAX+lW848tRNCAIF7/0JwhboxjrlIbOglAhdLjH/ 8KJTMzuedeehhp7wcIrFTYO7YU07wmVC7MwGY5dmlyZ0j9vnqO/twKDtny0b+sAaiUKqzMBdJ6VL ggNPIlo73hJ2kvUGlo9iAXVawcZHp+fp8T+m2GGsN5nqhvvn8+90bBVFWR5jM54SDA3mSk52fmdA gHd/qzKQc2RMWqjv4cE95A/vsAMjGioqv91zGTxe2DAHbvKMH+Aj8AKogDgRrmnYry7AD8esGOIV wY3RUVXAZTMY3J9fBqiLZrtXDRDJq0EPhDNvRZtBexZqE01g1QPI+slCGDwujkdeU16b/E5TOBhS YHJhEkgEFuhVxdz+DIeqHIspLvjIEVDcoXzAOWGUAIc1ITus1clIm+TjvafBV7UHIUN10sDHMhKj 4uQJruHx+yne4tcWf9A4i3MTVuuXo/7fyRt/v0HLk8APL6kJCXv2ChTK86qBwUs7wervPn6gZD+x aA6vsmGmnQrWUk1abSPXtc9ZlIyafcBsKvlTzEpKSb9mmFPaLlyPmyurRFAqky1mt3v1V1myXwIj Uhc3GtS8frYDUpJU3ED6fdHzucs7CR9rELZc+4fxYjuSb2ZdNLVmQnJSBE4SkAq2CLsDlNYNERUD shV+4uzqFio/FpXbtHhxqBA63j357LA9EyS88+NXSOIFKrZqMl72u0G5ULq9PuvpSBbkONOjo1gu kM2UG8shgtkwTU2LtKnCIkn+I/gYtH3XMd55qDZyfuV9CbANtHJAK1o8bqNVxK9sRGDz6xd3zFXy c31d1mZDjB7ZB5veDf9FBnTuBTnEY++9iA/T57A8OjgZqOUToAOhwlCotpojyl20+H2VTwmCuSex pfFfQNpRQaEl5FW4QbffhKKRGlhP2vTKSk0OutN6uJee+YxQyYzCSftWo2bH4PFkN7of9JaeL9Az sVd6rsal1hVVDRtk3QnkQTtip4aL9tsQE6StvjrzT7IF9kdYlRhIuNNfN8MRjxITtBuc6LPVB2/D sYMhGc369pmY4Pd/51rmg6lpq+598a+pPO0sHSaP4atWL2xsx5sagG+pVYI1paHM7Y7y7G1IbUdf d7b9EaCZnydQDrNj0fC6xnRnA1RrhyEexqUfkdeT+SCD/vsntvyqM3VrNGyQmZ7ntqUn51qAujoC 63zc6QptFu3v1kv+yYCG3Wzq+ZXQucDiAyKU9M3svNUeiYNFlrnVoXEHBbS64e6ZY/BYqwJwRSHb 659cziKFrTyAIRtJcHsO85DgAHmbFY6eJ1WMj+eP5YZoS3ECHfVQ8C4JZnj9t8U9EOwxV76B+8dJ GiAnD/2JpdkN8dUNUDUJ5Lp9yz1BzND0cuRZ2NDGuqYBIwJNERuuQrE/BYUKeoA1CK+huQUMGjJD dzXUtKC2o+tAuBXJCMo3F9G83CgAYgsoIlDEcZpwNwCdnpQK2tRbKFGp1dybMdb/jjFoA/s5VnR5 moSBqQAESxSknnQUz55T15rnksRyktHNJMQz7UR+pkH3i5v2dqFSTT2xCWXBvmNVh+RMCTVHyqob FKBAci/5L3OPSgf6xwm4yAQ+BwvwSxeHSW/GUXyAlK35LBNJZEK4jrpwxSf1Uzi7OZaqG7hGss7c kgI8KDdYn7TCsJ80lalnyGx1naD41DDgpcGeDCYWNUWIY+Bjj4ITUBFiMr8vziK9E3dLn3LLyLzb 0t7SW4yDkx5o+PZTiyC6cObftjiYJqYHy2d7PsT2ko+//lP1OwG0SbOL1zwNUE9SXQVzIMHRrI6b leksWLzxzqrzDZdL4QU7noH3lK3lMOEN5obC7o5eX0O5Sr3LJ/a0QoaFUrFM/41CWxcb/tJhMGyW 1v1KZBGuJwuut+eykSKKht6HhC/kZVdMXL3TUzo/pmfEC84b3Jc8p0ESzweu1oWy2aIlt5zvmt+5 hnws2glHkLZOoVrw7eSBA3F4sfGAvdjcVGgOKx7Uc7Sdr2nDBtnTz8g++LGm9goNM67th05zU1D4 m6i3g+qjtbm1Ir3NGcTnivyPZ0Uzed2ewOp+lJMwE0ETYGZNQmSkkpst29LOcNZfLaPhL2I3jUG6 grlqVhjvO16sIxp4xFJfTwFktK9ZLnB7reFBW/ZzUHvCcRL1k62hA4cbAhfVs0hvbUzRFSjHyE8V XzQg0XCvTzVjaG14KJQmRn8Aa2q95so3ENAFvmwupBm/uQ/FNpeLhYC7adcGEhCKGCEY6JB1O1XD 5RRKisq7NO4YQp+Oi9ZFy1C6Wa1rCd/JLsm9UaNqNEMhNFSBMijc9GrEZiapO8FpAsUKmI6D9evU 0xsaSE88zOYVaNlTHOTYRdMa8xvvtpEXv/TsxW529rJvdRoN+XD5fqWp9KU6bjy4t4jQw2iEycs0 H2UEYobUT+j04MBkxLwtP/rfHCJtvkHmrc8G57dguM0FTT2otvK3rWKmrXfo0sG5vVcxe9HYOgaB 6MNyhQQDNnxM+MoDDXQgDSLsavGRfUNZF5GhcUwqMQp0Zi8YvYVY3CtpOGb5iZHtLZtC9Pyr/1Q9 wE6iKy0cOKA29ttnbEM72a3zR0x/5ueeJeiv6md3HscW+KJHECLIn8VCsb3iiTDKu0QmViefEpL9 E5h1v/0Cqi6i1Bhk+JWJWuERtHpYnrakM4ueAu7NB7XpwdUgYTAtIfoFLtaW1//I6pUbw7v8/k+U kXDzMW9IpFlFwYiI6NSDffU+ACgW04AAFPDl7NPer0eVMbnihMHV9D+XvfnTrjsZ7SbvPUrfHhzB XHMrw28CsvETtZ946zTix0tRtmz4arRu843hzIaWTQuVo+NtaXfHlYqcdh8fKn3vxyskKzqRkut6 bQEaCmMeNEks3agOtGdgV1qocuI9wdEhi+FwczrX3v42PNnFzqvkpfBiQbJrI9DcxQ7mtJztlW2O +pcphqAHixAltx4P9mITRi086+M3xn76ubtE8ytdkc9gU4bWVID6sQs6c0cSAA4MgYgEWXWQomY3 hSLTDSMtjnx9bjRD6kZ10OXKpqRv2djmB5RhH411AJPIOH+IlTxkpEnYOFI5hkbcB72jHrC7przT GgOHNdDreq/Hi7EjXx8/lmV+DxHq0hu2Ou/F731wPFEnnHr+MAnfOWCUKvFLJJo0S4DhzcSEOeOA FhAacMKl6UfPqc3bH1urUnZXmoATuGFpWcMIIN0Cek2HRlnx+nF0KUj4ovP68KPbyBTjmak+mN05 QFrgJAwvWYB97ztZdV0sVkn36guLoK50nxZqj15Cyu5ghU9HUBnBLKq6LffDopNT7Kyd0d6DEl2E nXwaxILN9hCNKMa+4w5cDkn+6DdN0I/7GJqld3N4krS7TbCwyr6R39msI9arP4+K3NRdjgxBns1u KghIv/vm1H/pmT/GuE1R8KzHqOZsBDABla4RlbDT4ZbgiK2hhE+95Uy8xczmSkzQnQzsXTjLkoC4 RqIlGW2cOIPpHpR+5aQVyI4gA5lFrPxWzdFry49GaIm6LRsvjh914RkrDwuGMyUIw6xLDckrz6nX JSvImhX+a6OCL7dmLWSPRl8iezoS9RGC8/hOgi3FVO4JKgJ7C58YYIp4cW2mBjFYMf9agT37ZYF+ ohqwZ7hZMzbJybhmZLHHyymiOW2DscgTeiN1B5Io2OAOcDG6OiFE4IfvUeXG+1IXvs6MEADCNz7L Ohvl0tZyNrUaWa1RuRMoDwf7tBfWafDyeZkU4bLdidAQ39Bi0gdA5wHyFQAZavjOOYA7Q8ukw2gT X9NkF0l7LFiTDnsKzUojX9+9PWxg/IkPuOQDHfIsIXdqsZurfORw+s6UdfmOw896DNkJlho9FgiL e0ZgEg6e6qgbUVeqFg/TAGrQa2vthH6DTaQ9yJGX5NovWKQnkLGk2blzj0qDajTuEhaZzi15sI4D s0IrRr5n2STrFi+tvqSTA2mto9qiJKjdGZdWN9VLXMt90tJrpOVfTu/3ipnatiWY2agwyq1fSNit 3C95OX540uU9Cm0w1INWJueJTR1p6hyImdmtmzCJy6roIUF9OnlOgqneNSe4AVZiE+bfcz1tf0VK qA4F4s4GnYcWYFeYaNDL/C3CMMRhDVwyFG+OQr9RgtpEROLvSN6lrrO5UrEywFfL6R0yEb0zEm6J rYSG0FbP/3/Z5EZe43xtcvRtcUnpp6KE9pEtN7ZjSr4WSlNCfZYalmK9mYg9Qo23UhCw+XsaPnsD UjQaFEdYtc/8gnlO5cgpUKt/xvIq1B5aAjfKp8SKy0EDwvlkPqXPrXyuXNaw0gayYhbWmPc+aUy6 CefiUoRYCM5ue0EA5KFoBUj2QHAPyV7S8GIY/XzxmwT+uNwHOvNvogbff3kFq/G4nu3n9YamySat Xsv7/ngspAVp5ime3AaEacwzdj1vRgVrHR1siFciVKiBvNTmhgSTe41tubtQSq4e80dmJWCYwUUO 9ziiiYDdwvuoyHU33MRVapwxwHjmQGNMMRZctICEI+JA2rBRoVUFjE7zUlb4bEksg7EtsbUH5kAp IfEHf9yV9qdrGj2F5MQaxQW7SViVAhGlC6W4btydworwDHi49e3uPnw5WPT+nFMuP1E5zP64t3ay CJL5Cxvlg8rVF2QxswXuQp6Z5mEYNlldaMiUPqR7UE9WYnaOXt1QCxDH+alV+LGzWjJ6kC3FpbBj QS53SKlxAbzeaDLZSBz70cJ3SKADezIQve1/m2DSEmVwE2cHIkCDoOiWlxNG1y7XEOurs9Uar9rB 8hWFZiHObKE7K1PP2vFVafAC+ECY32c80B5LL/p5FtKOYhkEz+OFwRC0Qejato26ds0Ta7tK+0VX Tfkpu8m1XhyG4dUGmmk+AcNzhsWqdg8gnb/vJkZ8JC28rsL9uPHqVd/dG8cVNr/QsTrIOxhr+ECi lCrQ9GDpgXPfmxX8XT3UxXSgbkOTDADmAOnEnliBJoIshxaFXIwP7NrXDSNfNNqksgtOrriA535W /IBm+Y6vToF9LPdqrfElWMVgaUX7zRlfKAwlxh0FpIv1QD49wI+dSWQlRxTflMSBuO+zq/HaNQVw LzcrUIRYRSCS/MzvjGkkV/wXb7Tb9/5x6aZB9wtlFRdxx2698h76fDx3buB5sIJpuZ0SFWIW62xB hZdKjxn3Vel4RucnIylqz3xyqFdfK3ttUjGw/MxZy/sCltqFumPCV6UNHtcnNG3pZOg5FObT4O0x /ysHMmYrIFkmRfJsCPCKMuoKeM0e4w4eive5H+O7a+ABCmIMmXJBjHF+xIwzj9PbwVXG20CisgHT NgAgz+oRBNooyaBdbEuJkHcFzxtOmcyTeZLtVdRUlTHi0IpC3mOqdLEX9LEcDWkTMJ9umK+L85xL 5MXQWt5EydcL/M50dswdbxagrLKjLS/R6qIANjnqxKq2xdDxyVJx+e3E6F/vOVIKDCA+H22uWHNM ht4mxx12GbEut9T33ijDc5iMQz7zp5gJGex+4Z1CR7daJ+61bUseIQYobglszIFagFPVW38Zoc5F BAHxgbT0EHZZZOJ0lHXAmy054yd4kJngpW3dTqOeL5ls/qXi8jEqTcce94h2SCvqj7XPCG2f/392 yZZHWTp4wfBBRcFfgzlIrxCWUaRRw7ZcYsm/poF2Codj0IROML7Emdr7OEbGR1I3VLnd7imfWnhB Xq6qphYDzRJb64L0t9YfVUlcFvhY+F3JI4xyfR/NDxVRA1biE7guSg47b8t7qLTIsl6AZ2zpOlf5 xskYxvkHJHe6+hIVmVKJOzafCui77OXxwzLEWv65hogmoo2z2VuWyE9xu08xcJoKmoBPuJJSjr10 QY8FJ6UYn5LbL2jVPgtLdW+9rdM4GSbnkgGqaxvE/rsgDA6PpX42v0tmNsUz/jOTVS/nIrolJ2sx bTe3OysPwgVr1/4Cgshovn6OK5mPcgfPfrhonSU+MSdkfA8IYQPiSmxm3w7UKQTKmUwDOeIgyxKQ 579yUPsN2nrWs0Zb8tKpWgxuAYZyXr7UZzJ1yi/gJROOiMOa1PluXQhPbsqyx4nBKPo/FmerdZJM v6+QkYrfK+dBAizolYLMx3xOKH2Id5yo+jX9+pi2aVngaUSTExsktWGdVfMp2/RSN0OkmQtpQssr HcYBMwqYn2FmWP+P7Lm2CB8qQWCCAie5xV9t7oiSjKycaZw/CJuAVje7wJELN3TMaRroLcpOzCEm SAu1f45jFlvqIhKt4Omj5L6DM9c+NBVJ7taW9LaVXRMxu3ueZtAMkaXy9yG73gGAvBJCK8kQmH9y h5LWzRXAaEwLiBexV6p5XVo4MNua3m5aerYd7uijAwwmZKoW6zdxP44H7WiM3Hfc0pnq48nrMnhQ VaO5684jn4U8UHnKg5zHCbsZ9v35ELGeslAsVUnaVSwJcYVq+noi1W2E7HIS8YggSM0fUECaxcZZ VYGBEWmSZqlzwzIZ2/u4BpLaaRIYhVSyajancbKMEXDI25WPK3Oid9dGQGukwnvA0FC6rueYXla+ 1IQ5sqdGEnJPtL3ILT0gEm6LVlD03lfBG5cqJEdOPs6c6dXri0IQfoywZRUwTR0EtgjqHqGAVsJ4 Rf/wXYcwtwwZZy7Nh5/jQ2Zb1pbp/Q1ET3r8pbFui6HjJmy/gNvwICjNJZX9PBXK312p/XsxmSgr ltjkuxGImYXgTwuJBV3RF4rPYeQzaEKQmwMKsZTzP9GxEWvY7lj0MihD74sUiD2MHuuFs/Wyn1V4 aLA9JT4+3IlhuzZfb09rR16IWKpEt8F/hsdozuXgDMcTTMHH1/aUm0CMJftR1hy0z9WN0dtJT8cG ljqfVIr+aCzRoCIr2UvOO73ATLWPJdXHCdmvVrOhGufCLbxTMDFvqmE0Ko2fSY0YJSa2yG3zXNfz +61pX0W4/+gFIlplBFov91bXQjYiXhTBdf0YC6TR8MnIK7S1l7GUPbNvKs/FO8FCb2oLE6TlXM2n 1UG+7o0KKFfQ5nd4J6O+0DamFXqLShKMhPlZZfe17yl4rQbwvKRMyXoSqnCbSp7asoGgsoNmgLrI XpiINwOiwdaUYJCFSYb21Y2d8WNo5CKGzLvm8p/YPCZgCYd2NrN5K9qamXaPHJnj6a6emIh0c6Nm LvJvcuv2B94PoaGPTwR6gFOl0VUljU1iiLOArfHhniK7Jvd7rmxzHFQgRngkc5foqF5lENEWZX5t YcmXS3Xu7iPrYJFwWk+qxRZGugxGmgAtpVINiecZW7zH7mJtKKk1CmKakz1AdhnoCQ+AJQ9vPtFw 21YVQ0UgjgY4yuw3w8Er+FIED9y4j8l1rgO6/RN/EvBpMW6jsGabrWAxQY3do/2+dE7a+HIQee7R 9pvRoPQ5Kd+t4MQ9SNPble78JwzJMKgzduSihPg/rv8AydNESsELnAWt3aKYKwRBK5OZAIBEH7MO ry19m8YEYiHnZxGOdJbeTcTmLHRzspaMLmadF40QMstnvIbfOZBhSB93GDA14Hg7BEprx4IIdaDu vaHSdTY0gFcJO2/zcOql9k9JDq4WuKNyieWy4w361/3d8LLc8XcMuGXRVetgB1r1nmINHqxGQe6s jKIhXeXCuBJhR1ERxqEaK4/lvqpbYvj2+ET9eZmPo96dup7lbm9JxXMebzxf/+Hg4ktsecbYHnYf W4gH900hkbaT1zfgBg4ZMEq1P30KUrOalWkrVNmsIbyAP5FeCMjCo9kkd/IIXH68mllvg75d5qSQ ZxaxwZG9Xfnh6RpcdkWkmqppTkQP5HfSJlAw2BdqrwKRbzbxyJbj77P7KRCxc/XQ/8aXNJNgJINU +kLDEgB+kkI9Yu8x+JynC1n9rxe7Iuvels+9wPz7f7xa85ywBhTS7leKH+/w4YIKM/c7mpcWhFmp Tb7mqTgLZb6yBScWfwQGOVGwqVALbj4O9I/7qCzsce2jorfLfsHxZolyJ2UborDSU2kEz118ZnKd 2BoGOyZ3Ee6nRf4vpo7xEYXY38ExQCmcW8gu1kAxzV6w9QJxcVHE5hI/BearWJ3CKJM289FageEH 1VG1i/6/nwRAzouVRQUnvN0kDt50wJ6YGuVavGS135Z1R6dDv6NrxwMyi+5hG7BJpwKa6Fss+9QO nw4rztzpYgjxLYbZNp0alcWSwszrZe4m6MQVHDjqeSJe+pQpE4zT1zBbEmHdw03AEE375iJXxzcr nWt+86Mxqe6d5sjnOsX57RDuRNZSIijF9jzJQ6O60IDxS13jJ49q7AVYuo5JAJ92s8rSKCUK7Qxs 4pdtCHlfKscsLn9kavclP88hcKhPkc2z3gmnN0ySlgu9UgKERbmbQAzBPbYKbUTpW2jNf0XbRpR8 dFQ+4Dtygly3kz/YQ1vWharXSuWWC3PKkfr6On39/oXOoMWI+92LqUFQHv/NwlI7WkjBnJIgSS0D bMafDjr1sdh5RFcdcJRBXlfgCwKUcpmaMPHEHkte4F9Q1AV+g3VnEMbjgwPBmA5aAnLqQdVKMHT5 nDTzbNdCg4tW6Owi7bsQWLHtGplmsUG4yixLmUcDEONyYqva4ZC2yQPeRmBfBY/V6JorM5eZBmze KByYZFTdnr0KK9yEVh6DBL5x5a6re2N+TwCkQgwpKOMJgVUW0oNu7yO7EsA++h6Bt832qCgXovFL BL2D2NmdyC5Q9LZtsPPgNHM2lds0UO8Hui6pJeq0s+CXhMqu6hdTogBSrLIihmLueTgoDu1qRVNT EaDrXI0DETz02Y/yUMxFo3z0w18rgcaaiB2H1IGM/HA3ma3fprhHvQ5pK51hmUUoNjbxb8EM0ZQL Ut5F/AKUT8kGeG8FRaoF31L+ACSd7iwNxSPzOeiKIT1YjOqSImLxRlws1rGeLkZ1Uw81ndaNqGAm Vcn89gZf3o5gXxPEaee59QiH04hn9xqwSdBDF1Jyq13wLSdqR/EcfG4hzBSfC1utyv1Vn7sEy3nt MP1OLzPHLKS4A4NvJoaK6nrvuBYbAq2JyCD0DzrgZWamDpAAJ15vvPdrDyhngJVnPSEvHGvU656n 26Zyq3B/CEJq/5cL9LGxbrfgcNOwPO2Mlok24Y4Ut+36Ytgi6iTv3W9eP7whDZ60EwA7+RGNWkQp RehQV2nMPdzl6eDj46MSqyZQT0c5q/r2yBTNE5+r8kYowF6AUKhGBEXTmrgQe237bEAs0vFA/lNK qOACGmv3+I8DMWwxdtxfcHujmIZwibU3Bz+twiBcFrSRoO855jGiFBz/CULYesZB4eNX2M5rRfYR OQeNKuLvzIylqYeFXVGRF2UNXMK5/slEXDyboU3bTwFFCDagMg85nqk3CqjgSi3hWNLxnWhF9eNP NdUjjSM70XnRfZ/J5eMRvPr1dq3vvcp5ItZbMtx/WovviSgZ4iD7+TEbbuK+2/Vc+QDJg7N1gX+L GW5oHZditd/T6Vn/1tzzlR6wmt0TnqMfb8RUc7ARJf3+boWuZb+NhlopnyeH0XRoG+/lfQXutdmV HoBK0eJLqmAOTwJRBBacUzWVFBqzsvElhWucE4iflimOU1BpNXheUg0T4vy3yl5d98XM1cLkm4fx w+8e5jkbTBqiNv4/y70hDJbBWwKsezbQgu7cKxzznYxpZN9qidQ5uuWBKxxdHasIKEkwa8HDf1c3 AQV1YJciYMISkxLxKeAv99R3qqvgYi6Vt4rX6iPDwnqwlpNrNy7O9fj74rPa9w4LCGH76JDVIYJh w5ujXFQeQ/VYCrSBIFb5LET4W7gUkhYaFGdleNZHEYkoouBhKsAL0kqDluHIbdvASGy7pM/qduPd cr4Nozmg4z50MhT1JfcUN4jXzLzsNkv72vs4MFtkEocMbNA+zczBAemTmHkxAl/VCh8VImbaFMBL dEUJQKuWVSvEEnTm9lv2jzgtTzpx9G+mbJP6xtpv087kTo44TUlkaNoKcyb1/KLfmv0TCb/w/kqv zGJKeWEwWy7MLcSeEoy3y4+XSmkSG0pzyxM/5wcioW62ZN/HwYHoqf5Bss/OPQikNifAYi9gsvPE 8wgaDA2nSmb9PtRzWlj028W6taOAY8KBFXs//5tX4dFEv6DamAh9V4NKd1mp980fVcWLFrRBmFLX knzfyTbT9j5kEWKUvmov0sQZJDilXNH1NcB5PlnB2mL9hZy6q/Z4iAlG8HHn00weJp5kZwSNSWb4 tb1uV8+xAtYEDccpwQ7AvyYSPUPpSHBdvqZR7nqtZt7h/rgOCfRqyeAqS70uiztTEZ7mqeR60xM5 VAvvLTE6EvGFiwmptZNlJ4eWARUej657oXy0hBmvIfZ3VfgPPlt1aldys92YNf2QJ4uJaDfe1Y3W u8sbd/8FsJR+a0EI5pqxmVr5B0DzIShnfvvpZMN0UYGFOCSFQrR6WbX8J0sqv4XnL9RG2JUULqFQ 4Tti8+mQK7kbiZk7V/dtd8an80qhSJsOdRozOL246YLiw9UQo4iOvpmcOZo6IlWZ9ZYVydFkGKdZ 0Hs5YViiTVdhpvHTlFfMwJXsKTTqKD0vAL549RtbEBKH3f+/wtQaOwyztf51etwQ2MBSxJxGgqtI Nkl4Z/DsfDbZ+hJIg61KyRFQ3JtzI8kKkPQjE0I2siC9i5jvZjhgKQb2ouK5VxCJN7ZT4zc5bRh5 ZRCirhou5h9/RBkFexaOU7Y+6cdmEA5k2e3qZz/G27rOxDiDH/w7uqeDaDWa04kPZNIfpiINAo/O dTdvPAqXKtmuTm3Bze2tscf5Pi7xbzZ7rU2UJ+KZeO0lyNUrt35PfjdQdgH5Bha0fUwOFTIB0pQx OmgbvWPgT3vlkvf4LqRncOP1Cx6cRa0bLKEcmkp5OW9uGNAPMoNN5fa5FwS8Q0fqDBds5OKfMGU9 nbvHc3hOspttDJ3ihOIF7cBOU2hYNVjA6/OqA/kuuxINWNk949rwtFZ/mi5kinOGgeS/cNf8EGOs kzdwbrZbv0G48ANuu1TjG8dnWoYz4fUXJqiWMZwb60HfA4tscj9735EQGvlDc6UctMJYJnY/Iha+ kT2n4JNbEvGhq5rnRGl0ZjSATx6L5Am9ZC/1VTZxqg9DudX24/9asFmhl8ijZBPE33D6qhiqd8lg oSAJU+jGRzPF88jEVD9DXRVGp3edmHddrvawYXieQy/T4gDnCyJ1Y9fea2icPvO1aJJsNxay2qOG cPb8xH7EElPmI0q9EFJLCVg8bsZWDd8qX941wP4YjZpVbCcidW4b/UNdpnUc3CUd+/NfS/1XT3fk en4XFS6Vbyt8PiYe+Y0BX9MXVOVXh7haP7qIePUO4Nh1B0aFzwfLMaF61cjwF3B5whmzxZFcs3EL 5H2VINeoZf51iTFaY5NPlBIjx+w4vECSvyFvN81Zuc/3wdFRnztM44ABneMqC+UYV1Q34SgTGHza TOkd3pY3On48b5OGBYZc/OyUQhcsS7M+Fls9pQ+xLxLCy5xP3gr6f5AskIEPcCcEGLy41jQnM3RS yG6lnloGqwwgvof7h58v0nloRMW4C5nLAQfElVsKrRBaFaL+VCOrAocaf6kFU3oZSGD2+QPmqNbQ q7RC5VBmKy/lXHSuAmUwe5ysUaNqZOlH87CXStBZeUWIBuG9zWmxPxL+tebebmWz0KBAtlehmYOp BJ43W+fKBUj/qsoVJYDXLS33ynNKwaMDdks9CqZbNB6HqKFUDOg67t+LD5gfBVJ90nA7ouW+LRTP Ihz3sYOU8lpgSSMdyGYXm2Me3QooQ2RqELzJstIRycjr+THIxfQUGTkQl8LMLTkG9TNpDMHZqL/u 7wrUhq5AVvt0PUz2n8MaJQfOoeiOK2mgwhalxJyPBuGqAkRUn5O4DR9m+YstSPRJBrVywdEgUils ALZTWnv5xJXCzJtlBt9YpJtObX/6JuKKm6PuwpFKt1sWBxrd111rX6DRSwHb79jPCjoKuIvvvoPY ThM/wc1dcI6ZVmdYwkhCRQqjPm3Pi/yEuO3JzTJ9sLuUyd+eBdzs1jpwsee4zWQ3WdI1ULC8mVEQ XCBF7ltlB8raO2lRaXgcWvYiAi8fKp4SMQhY7E4zh6EFxjbHhTqIR4Qk1ni+EBElGtrlrXM8hQtK Jn24D/h1x+PnQyXLCYJijpk7XODb7QaHXJfBVAa1FZ9p0Us1u+g88X3+JZhk2lgAnoWozPpz8uxO ZuQsG0IazdpM+OAZk/ptPVXkyIoJJAYkcTkIBPnONa0+XWATuqrgHSd3wol/6OguqC9LJw6p7i6s tMcAjE5V4LKRD6Pr4aziI4SNVvLK+uE87PLVZxWqZM0G/XXT3qahlABLw7AHBa8kydIy9VDjsS8b /pGPO8dj52RiQbEHXvOJorBnPCy7gMnrwzvXxR9peoMbFuSSdNZYkNx5EURb2X4y5QpYs0ClQtP4 WxMOQxBfx88x8ao+EmsqF2PqOG8iFAUACOLkurjKOUh2AeSg4z/t1fwGxDtzwAczQwtlGqx5DhWa bGndYdO12hhEO4w4RKpelPD1GTyOy4js2b3oBzTCvag67fZJQCcXuJHcZTOt1oNzgLURZvfLRyqm 0K6SU3VHpqxOZxOSZ4YKkHJu9auOFyMmYTrbE9s+2FJeoeTWRX3RCZl2sSOOfZ3NvHc67NVCU2WR ThIXdeIDCnWzqHV8IwH3r/cQt1ejWfor4vrR0xJ+MDROFUTCI3MvowfTFMl1Cwu6f0ptHmrx/WHS mP58EzCnhW65CN4xeir/bI/O/wFZBUeG9AHL3p8Ys1eJvt9n9DkSNiuHKSV9EQ69AdPEHt5w/zwZ 1+87WD7aj0C9y90fEt0f1VjpLjfodn/AtdUfZs2nPBTr5/GaLm2VbuE4UNRpmZVGxerxC2fs2IwQ sfgnlcK0s6WR/RAPlNDP112bIRT7ldyBH7+3mWJrg0P4isKp0WoRWs4jYIDtLRrqrdbNCxmf3xgT sTgAMnt64It5vqDPyYRfbWUfvm+xneBL5e1RpWPnsoCFr9UuTPMQcD4eIQtUo/13D336KoJ0wgR3 W8ydufWC7XXUFRE2QSlqORBpWxWuNPR2tv57QuLiuJFdjN+RNZRuET3qTDVQojGxCZdO3mqjK0iY fksDthRvPjFZ/F0xV23UPiaZpTlbk93SzlEdQfH6jDOIFLpFmBdOSkEIsz0t1JEzU+6cbU145PIB JjEwR61JufGearDbbt4bdrJM1ndGPzy44HYn9qHEg1tJaYTbhjUimrh9zxzbfs4j8MpBrEKUx3R6 CmeeGymXlfJImjAh4mqSb56eQv8+XLD9/r1ea0PTY6ZxhI0jJ/zmxq4/oozdMSyUDaG7aBUyJhI7 Th6VCa/VLAeAg3Lf77SYrc1O/lPOu9OaHkYgwlHw+kiOa38cTXQnpNcZxthERdACdLQ6nUVvtmZz 8Q31GPuWZwEOGTN5W1XcTrYdbdXkBgCjSrmoJjgdf2gTbv7EvAcsWhy1POVmrrNt5mbsEteIGDrX gSK2LjrHNBplp3WPmcefr2wzBd49SyAOrkO+I7EWwJUMelYb94o5WGStJB+Pr85+8zdqr40JP/5t 11zTDqwy3mC61kf5qCp7Xi1mcOEtKm4UhYRKp35DpBlnLFMxKrV8Jw65vUackwgoCuKyFpNOaLU0 3KKKKJpr2knrs16As9RBVEFmMo13WsoH50dhThKr5kF5NF1wuSOPCC9UGabpRBhHOWUCPnNjVilJ ce9k3EMKerRvJwaN8sW+TzY2gXhCabLpLebKk5/VKNlOsJYUkw8rbzoX4kKJu3OIFEYkqpYeLqg4 Ldp2Yr1c8v7ZNevBUczHwt/ItssVeHIY1JL4f6huph3jMntSp/UkdRDjDnk77wc5hZkQbEy5PvOJ nh/DQmQ80dCf+oIJq/XlMbvN4b4HxNbt2xOvnYEN2ZM2kS+VkEfJXx2omdFLVSxw21rj/D/My76K a1tp/hdnWkVmhavRQQcsDLqFsgSVWND54eo9LoOwf9fyKgOHWxb1pOe+8geZZ1QCepSgEMw/thYu 50s8CTzpdqtCrfUtQ5lvcEbkZNtL8jZ5EHe56WbUHjH9Xnj5YjDQbS7eKGBuxeOPrF1LWR20EYnl IdYHAao83sMF14rcbRW9fKH30SjGcTzZKTaeBDK2qo6FxNdvWqNQRHiPtTeNpMBys+N1DoB4h/0n u3d8Gx986Y28uDh9lnr0nmMkTlJoAdBwEhR/soDKfeMt1hNrulcHe7i9cwBusDNbLUg4z8CUdClB beC9JpdU+ofDgSuO1+EtYd4+YM8NpIRKAskHSR/TPEAe6l68YFZuNfuioQejc16R0iw6P4mNc6kO lC+/RDV1IS3R3MT5bUbKfmXfwgGgiK4HrHRcR8671Gr48Wyf3qe0Zcjevig50Oni2mqyM0xuf9DH i3Se+Ykim679VqrvCXRHG6Sw4sveGnKLzkyenVyCP1Nqp+3ko78forYvspm28VkWjaH0TFml1JB/ a2dRlXIWdvRKKzjUp0pUID2R+uKawJbBGGftCSDje8Jx5vv0RjBucuOcA52zdg2rXHSpSEp+KiOM 6+koI9RToLR8yAWEXLGqaoyMOYr3AqOGJPIba6+Q1Kixn2aOiRVhAHwrYsKWW42PMORX+Xw4/K6O pmQerRD1B3tTD9H017F6x3eIAG9uUooAPyF3XsPKRzShP1H30V1xeFN85m8j6QRujrRF44P04kAP Izf0IfEYCcKAXvWPl6jpJky0VKMKG2cNetapUrDPkl/sa/ljY3qN5N4bjdkbad09+Ou9e3uSFPci nXzfOUqTZodgYXe9oa6WBiXZf/SR5IYL3uzOZoNxvfdVFvK0/7Haic7xDQwonztKo+BcQFPq6LC4 kFYEn96j8XU4imN7I7a5Iyj88RKdVzYdbTAYCK8GNMP70ruvWATilqWdpEOoKIUfJ3E1ArN4m1HM lTxyHsiyrkb2IJ8ZRvR9J2f6DUF4oLxSrRxMkVTyp4yVrIEkaEJf5hO7NeFRyTUJLbVLUCQQxJoj MB1WW8kgjSNMZHGSqbAbOGd7/bKoE/UrqyqdSPk50WWO89e8apM55Z+uBPrHvjrjm/1TOdQc8ueL fumbYWMS0l6eU7+kVN6b3GwXMAXSddLQ7aE4aMNv/GIvEGwMxOd7p/b2vCSrMvTaayQCVDIM6xCy avVsW1O98IupJ/QBofEeopFW/6EuYkOBQjnmzd6IAcx3Mt5k3pB7Lym2WolRoXiJz3dEkRRloiWu 2EfIvfewIxU9raw6D4bK+v/juKgDr9O0VGhQheAkexk+L9Ll1QzsXjDYUUCtCaBkA+W1iJaXJOtX dr0N2EL0MiDO0biGh2eLabi/J4jnPH1onwakF13IqxsQvbOsd26s15dAWFPqztCryPNyMsWOLSIL kme4jFm66EsHAFa4pck8ty1l2Gvr9RioNtE8RAeMtpIhbQ0sS/zesEHItTVM1kRuKte3oQMdlRM5 NlldjVpD/BC6JZZBPlwFhFhzIfScJTaYqabY96EAxP18seLxqAjU99Pf7KX9tYihgwO68Yxh7+b7 pPUH6jlbFd2yC0DYV8M+miX7vQOVNj32bAfBAD8cTuHiA+2e5kT8glTglbQbFTU74jm4lnePk4I1 zwD+fxxIJjmEZy47CL4DQTvfIcMdO0tI5uvqZJmv7jI7IBrYbTqDKQIXV6qh5LufwqWOEForJrhg Quvz6qAHoj/2fx+6B23iqahz1GuelsV/DMZHx/nehvpTW3e/0oUFHDGC5GKHOm8PSuPrcOEdpXhS iebEwINsnZW1mtdwJHeDZ3EWYlyeDmJVxU/xzHwx3prQBCdmiwz1YrUIJ67VIwuYyMS+ZOs1F4TT /ndc2fSNzIMJIQmbj2vsSXWnooygW8HcpZOpXckjrLEp3E4wdThR/wZbk+dcy9fxTwT0zbwhltXY cJnMrGXNBV3/KsDUjKlHGG32EuE8573GzdR0F5rM7MuYOVGgix2xlJ41SODs5UrObJ+M8nm0hml8 vsQDsf74rqN0BzV+71V51n5pXL0r987RxTK4YXdgiz1ODW0z7PQPk+ItAF3pXQiCBJ7/ekApv8MG LSnUX/jyfVEd9zwl2Qt97vs5s9knd6oN1+PwkPv7714sXRdQ83ekhjKNHjiD5ngW5SJRMvM1zljY JbRVFc0J+q0y2BXas8Nybu9K5hXrzfUupR23mrKSMDZ2ILX+8LaXhGXmbk0incx/fP9B2MH/i8M3 nCDz68gFhFOAWD1hLhK3dHUgtq5X9nHDijYkFlhPAuh20n2r1OZJo5BfU36s2xU8Ua5NErUA0c9e jfig6e1TeneBaHKTVYoc4m5sQN23A8LF9O3v2AZvB+j3ufOB2HzOrhbURmFU1Y3zDBGTfWIiPVkm BkpAqVpaKwocSX2e6Y9UAJIYRq4qwuL9f/FmCS1Z3g8/vVT6BBW0AitvVVYDO1t2xQWcengMuAT/ f83y0wkJVK7K1eYAkUSiie9nL9LQkSV9SenZOVfT/LDbkaypZyYpRYfaybIKtWV1mtMU25euEDo5 uBmi9qsKD2Bh6hRZQ0QHL2074n4lMa5UUKrZxvhLPQWA7D6PuV4gQN7wWWhIUW8Ym+B8V5za3Ezw PuHlTANcfafDB8XJJgPzoVsiQBkgeatVbRSAngqpB7w9UuOwJlemx84R7IYpaENFNLbc6WS7L9bd nh7Au/xHN8NEDnaAvsslG5Yz9MV5z6MFIQTgfMkNBSZ4rY7ISeM38sFN5GZiLzq2cSCfJwyQakQo 8Mofg3Kroy4102uFdfVi5YRdA0vP2IzVQNjcYDTPFvu80LxSkfXSLfAjZglbx477j6qTRZootF7w 72fJFI4jf2IXr6pOk7PNyNjz0g5EIvp2YRsSYiCd6Pv0RrR1buC/MhOyeBRl4NkuWKzyy/AOCHCi 7suy+yJuMxxD/VkRgf20Mx3RRxDNxo6+4N5CXv8maFhzbdKLdKwqIgWgCl+jYds6KiXTw14fR3vJ LBxNCWO0Fdff4q0QlaDIxhI2/79pDWuSF8LECtsccv4w290Po5nfU0lKaTar0Qpd8mvaBoNScAku efIXQ/MmiDv8vsitLjw+NRP4nabLZ3AQRR990zp44ASvCzgDWO0HhN28+p13D4xmtQxk63RCiVGY 3SU8bUCQ0ALFJg087lSTHU0dKFDzX2+5gDURRo1gqpDfmOp9fJChLwUJjp2WVBucqYjhhA/Alk4C RroqNGLtCSstrgbldlSfjSjupi5zK78phmQL2Nm6ibHb1n72G7gUJgFQbYb45u1YGYVHLkoem1SB IG6ZPIzSmOiTZ/I8H7kLmKawHpbxtRrt/Z1qSB34VGSUrX42kXf68lIpnPpj1h5XpLNBH56HDFUH Jtr/Xkj8dUxxWMfO6Bhu/bvJiiHf0Bzyn+FtPBp7Lq+HTzfqcJoCZkE6YKR756yPXd0Q7kGqMzF8 uMSvprmqTH0c5LE+CigMdSB92i9ssw+bfc2F3pzMr9MgMqU51zPkdu3+byhfE7Ad62THEC7ttYk0 Llixj4eEFadHWAWPdBBgaqRiRAjM1FXVswlbZTa5cnzw1WvVAagkJC7SvOyw1CsD/9wpkjTP1sNx 0bBfI1g6sf9tpSXNwyNX9gju0XciM/yIf4Y6U6W60d5w5JAdE4S0lVZgja+S7c/cUlfDSIQ8AOgi qydYex2APLn3gYIvw0CIN+jaDQArvT7Q1D6MOjMxGSeMomT7JxoeMHBtSG9nXF5EOVAIq9kTHCJL E8Eie+QRtQE1TB1gh5ztRta6DuMtU6ytKidiq3BJksfFA30r5nTxoIADDTKD5uumCUsRdW0ejent dwOMghhTtJxBvccbbQ7OK7toarjU7aaK+RZ+v0ir5Oln/WNEHR05poIN/bYzQz1driQ8w5Jujltp L5+CsO7HMZWZsNmfs2tBM7bvZqg5SKMYlismZnIyaNq6zNgK3uf4Z9UiKcml8BbvMlq4nYX+YbC4 Fap8b9qIucbNagGhAOR5EnVBOFV5GLiRl2Ngh3EzFXBgf/HNxmjYxcXkZuR1Cjl6urOn6nSYpEB0 fbfdC/Jzeffi7ohf6L+TOXMqjhva/ohneTlCTPICyteJ9dcy+a6oLDJPElX8+Mo1rTlanZS6BFe0 ghZBcFKZbfnHdYOW27ov2ActbnJHJR5B4OWJ2Hmko8pQr/sN4vYOFiWXfKT5TFdVEtuRE6K630Y1 ecgXP+GanA5eV5ahOxkIkhYdUhrAwv4pyQiwXP9MGl9dw9Tam28bSs03acf4u44ERptgVIT/nYcC pPJA8VsmzDjDsU5998/jW9gNRLzW1p+hsgnzHafVS0NQ8RRUMJRo3tVf1cSreUg9iK4c93Gqy/AV VcjEAfSx/MEda8iQey6O6bI1xezSAZ1mu9wVrwdZA9mWeCmqYT7DdS1aZsxRcZ47GonQ+WIdo/uV Rv6Afw4VFX1Hj73ooQ/aA1zrzU5cvzjmYcr2J8Z6zGOhFARiAk5OZgvMCkrfb49rVAX2UHyjtGlg eTIwyZ28nsKKJVur8hhS57686ee28fwUbV0gIOaIpKf6XfGZ/L4OzJJblSUwjIaLS+6gP0xBeC2o mdEDlx1sTsYWHH8BgZEh5R1lPMim8dkbyWmcJzHYe6wS/iW5KfejQsyM/Sxl6Pl77EB5c6a93/Bw NuPeKverGDNeMQYmn2V4oWFznl4ksmM/rTK2vq6G461zSLhuDlV4rHLQAMZnN0pfoqLMmZUJvYbb kli8Vr3/cXTw8jviqQEB4orChoLQ8slBhckW/XOSyviLR3LTsYojZHWyBpEjYVCYAATp5/tcvRt8 Z5GMtwdVlS6vFJEc+a1nHJg9G+bKJiC+X+tO2cQQyKKqgsrQ7789XvZEDxGOoDZ4yzUAgmXd1OZ1 pbdtSAhYrxXYh8d7ZUniL4N7nA0LQ4H71NHlZXKlPjQq0EYNtODUChu7LF/fEEUxOcvOxo4BD96G EtDP38VSH0rEOuBlBQClti2jJv2yO/+YGRPY54ChOUB4J8iepao9JPHydp65YKI1aoTHaVTXHCVR q2InY+XXiydWkZ8HhY5dWCxo7Jvw7wDtPCRtw20U2rAoN3X/RVrALI0oU/8ojvYCwTJ3cOcP7jUY yT09OYEiztutnHJ4ZuV0APHieAVqWyo6bsPlTBzh/LyobY5LvZaokPCP7Dr0XI2TWKZaVZwk2/Y/ 0s1uW/G0KkB1lCYOLbqMF+dMgrMC65KCeJc00OUrOtSrfhVli+Qmxhqu1As/SpmJksGRBtfpqWil 941+84U89A0YO1yFQUUIDAngvrCmIFHEIr+ZcsuvOdug29kz1pzdDx6SxtLj4uwoLuOFv/DTO2D3 VbL0mwB1xPsNLU+hK5ezO5GLJZ8dLgeIIw/IALtx3bOtRX6PknshkgYE+6AAdkbwoWrxvYw2mhp9 NA57jjZ48oGhxQtSFpnBNhEEgtE3599C2uNSKduk4kEJP/fDIf9vbhSjnP6wAIozU4y5JdrE40Ud 48MK/LuhKhBXlsCjMd/pyEC6sVkSyvKGXHtvjkq4Ky+qBnqhxr0OyX+VHLVRrblrSnQ6RegrCaaW t7m+DfcZU2n1Oo2N1+D8aqu28y/9RVXInE7WxO/MIrxptJYiWD/ecgwo+bJpj3s/nk5vGJhMsXUc ZKVS8xE9uZoiAHVzmWuJb73BhoIyGpe7rF9m6UUMbPv+nywk2UkfHVfU5hsYOLQaAt2tw1Ln139Y L5w0ESZwvSy3YxhJyZE86mCGV0YH9LS47zpS/7lVPGi9TNEFtu0Tr/ppfTUl5RvtoG/+YmE3GFd2 4Y8dq+kwFL8CsLO0FJWDybu9Mv0u1FVtpfCtMxNROR+evgcEFDk7GkCTaJ1jRB/yeldGWe2nA6Lq 3mPIgKJlavwBpM6qnNeCzmMQ8pZO3nfWz31lccCRsozKD/f6zo2NrmeKjOQe1la/gDwBP/V2hf4m RbnAwkJUG9paK7KdE7EiHH0K1wIjHkm/Pkigau/STFxrV9xkisIjRT/hbolWJo7FIkndkpfBtGEN jm5Tj5n31FiSGLOMRqT08MlkC4tV3qQGVqWQC6iS+xuaW5mjMNt6zD3upaBaTzxNIjUW3EqLqqjE rdtZwZL5bpYtEG0S5xZm3+nmhF3d2W7aasCv7BFasPRJp1zuZOnE5rxo/lhVpOmoOh1ou5fvgLPd W0e04VHjH/GAWlhBvyT4kb3lsFlKAV0ELOd7eHcXJ+fbQ7954JRoyX68KvR43nJtC/AjpnHLwDno WRA4QaEv1/F5SqcZfc9OJl8yv/ofiRqlEXPrF2lzRgtBRR5Lu+BMGpjfTm/hRDuQ5X0Mgw4/46Z1 59cSrJsSJ8MakPd8EsxKFKEaihDc5hwlzqzvydq9k/FsDGRq7xqt0DGmXns3lA19Es5CpbYLN8HC iqYWMkXPdSdRNX54Kht773oLuC45D4PeoNCQIg4qp5C7nKbVEJa6B75Rdzv8OTaNB44QpX11uhI8 2a3XiMqd5cad8LpIffl3B5PBSSDbPhotClshXrLgSVdDuX4PfkSn6TTBIB2jPV6xeTMu6421guyN 5E3KNlVPiS96femV743edd5j0FHXo2NKj29D2P+ZFbNIceUAYzFCLtMNVeYS0fiGNV7eDc7cmbwX qbibJe7BlG/1vj3KImioEYPnYAlqulPtjLulPVGGFriFAhew7D16FLlQCoZe05Ox9coYeeKpoD9R eZcf5lOr5+KMpU96+2cbAY2hR7upkd/qRIZg59+VLKHgfvIHwULCaJJA1pg9avKVLXZmvlNIhNOW 8p22F1deIVIRrdv49HrtZ6NanPfAdELscEgf3r7+5Y8EDte1yTNR3Aa5fJdjpnhF+QHom9K46RMF k3xLCDvAvIf4iawBqAlSPZOgvPb7s2mflXR+KrtS2ynMh4NxrPqeL+LpNCgs3lK/jVHt0AB5vEuz pfWP8ogVwkm4yCqS+dgHhSPr6ZacKx8ZIe6uW789ykWc8DbjEObUsJGX5CA5EGTGTAHbM9x1T0Pu qwlq7NrL56iYd2FSxCzeD8IfU8vj6ErkbKnR0Jg8oXkX6s2dk3Q9EfWdXWhUkvjYFJnxPGLj2m4E LcYL2ofrwvSVxFYg3Js1ZUU+h4rhQ4T4ZOcJ9pb5Usx7qiCVdv1PONindIzWulCMQVlH3kPzmXRi pTgAO0ffYwZyfcumMMPJjXUrnDWeFo4GdinvTa77Ro2bHoCp6/N1Q5QQ+12yPxSfX3cBKZQfxkJ4 DyspEZ1vC7um0Fj7vGJwV3i/mcou7hZdaqtMvPexeuYDa8R80dHcCHmwg5J1KICEjQQ4M2PJ6hde vVmWkwGl7a7swopU6mF4UWbhMsRYl/4rg699IjMctJPhu6rYXUVESg9Rl9Hksc+iGW6Sby09f4pW saExsyj63X2mHSVTbSF1CxST7eelP3YwUU2Jhba431LsQRjHpOCHotjWSjym47WL2tPZAz00BSyu 42R4rurpNQ1SVzr3k1w/LZ/tP2IzNJO1aoeVw63vpg5FLEWeW8QL0ygfte+IHLgB7+N70uPlM2oe le0FEBPo0jR9sVOOWCvZnSW9SRcjLwieYZ/gC0WoCRfQuiXyeOWnVPqDRm/9WzRQ5UgqMHq6kgiD 35LXwU5cNc3T+AOgEQ5zeikH583+SbmrvyZVeObj9LaQlaj34EmxsyxWgFpoBBGL79a7w0YreqzU atRqWR8/qADMcOeZwORqFa/1pn0Bx4OdQ5z+T6mO3g5BFXgQmCKkYIQ4XAmWKJErbs/Ef06KhQZ1 59GXBTIFOCs7QcDm/i+y+yQVGdvFDrXyFD/PZQBjKHK3//DoW+4qFtDWLbYyQ8r/dMwfCMusiwn7 Qz8H56CGUNM0LvKO4jW+s+G3cldyF7sajYVQkj3eZ3BW1RyQ5/wA0nYcEWgpkoeROn1xKxuAZUR1 JT4/ggaO6q+YAUaQdc92n/O0n7ciw2+ZWLTEWvEv25tyz3AFy+Xs/izMFfmgsxhJzau4fYD2eD+S jHRppNAfWH6A/bfseo89NHKaqrwCPqZdh3Z/CUp60V1U9ydI9Ul/JK2lYscA2ZF0QA26PS8KVQqY /Y5aYmKCoo8zKLTrwh7PHBTgb6cBZTUyAPJGv0AHgxtsArHLr+I/taFQgzgBfJ1ELOQ6u7wGJk2l o/liAgvZDfiROl16DYq3Cyk+8T4s/H/sABYwznbCWW5ZuOptgd58mmk5XFi1CIwxw+ZryqaSsOGE 57iSUEk8cX9ybIHevkKaTdAXCW9oOpbDbaoo244V2Twou+SGwZYikAP2zdmNjiBUqL/T114kXgth ELuLDiD0VtEEXES+eJBu65VZXTLs7wWylRViIJ0FrTNcsH3M/i/bHPmtTtOf2iY0QIc/f4ZZhEIA 77J31/QUNFeUYjgkvZnxkEPIcGLdjwHXyLLOaA9zWBbfAFLt/GRva0HJnWlPBZbjuwdyehG5TMz4 vPU775A86G0D27e/YYEzYy49cbunmziEemIXvTHsgC3owiVyvOZ6hD6XM0Z2Bw0ZLgzfBkutiaJQ 6gjGzcxhxgKl2UiIfJO9Ztzt9V9FuqFlNsnkY4fMOqyvC4qBwlwjaQMJgJgvhdo9r9dIDP0VFzai ATod0nQp3WzkM5rN/djYqdnfYWHD5U2ZGRCCcoazWMHof6pNMskJj9SamCXbR4iqcI5tG2bQG2KM gcVpRBtgei2c7Iurppkr61R1sy/s+6BkKROM8xFi7mucp7sCe5uMDWWjlompqjXaNYKwo2CPXqZs /x/Iil6Buf0w8N4ylIgQPxhIK2bKRbRwAway822GHlFTLpCxzYFfZAqDDgPTKAz9S/X1upnH98nW AcCU8BzGyvjVaboB5GRy+yuInN9o67jAStGMWkl69m7pMPbXfIbZvjwZi0ACZWYhJH7Uvm8sLxw8 x+yuPHSLiggWMq4nW4243yg/QRvIAQuE/vamObZevJmEXUpDLWgxKPlUNeo8vzfdMqDu7wSsCdsB 30NAXQ/5sXa4CtlndO0KK/1NBq0Li5+TNTfRPtg9abjeAkZsZxA8Jz2vmGZ2peqzO8OFXNOiwowW 5GgzkhTRzk0XF1XU3wKZXJxkdHwfLts7pRfp/CQdCjaXs7F13TLg/MTQreiCT5/aZWHUc42q/EXa DyIfgSdogRc1rTh5Mf6EpJunRDSrLfbEW3nUwZf52e6BpWm2+Lcd1uajWEnRj9q+LFj3Mkwylzuu LOtDxdgWu+BKLskrGWDKLW0/zltu9Mg8hWLTQFtH4faSTbXM4rh/gLDWpiKhB9rXL3Y5TyTQi/Tw Uvk0CXqz4zwSFBuCCIVVVkn43bAQCG9vZWC0m16JvHZcDkTE1SqAKy34O7eVCxJOQNi/sAfj0sg/ PfSDisVEHJJIAstmtOocZC+TesAji3lcsH9ibTyH9+kzr1cw4LuzB9w13uFpR1GEZUJsfeArO0IU 7AX/N88fmocSt3ickWwXZdbpPBUeM/IkHoSHkSmlWVPu03CGYm7SSjrvvxINZ8uEiDGLzZfQlb6Q PR1FssKufLD1dCX6NIwslz5uWlaPjvdm3XeHAd4qMM7SqLC8HUODgGwQLnP/XYPEk/XZDbAhCKlH Mlq6l8iewW6sU3kO30U2JCQytR1VMgVeDag9LuEWPemaecVggvMhyiyDM8gO0QCF/JujaAIPhqaG 0G9O85cCZ+fjQgIbqAXG9eLE0q40Ko8rCl+hwA3LDu24coQDbmKNN1kZJ0/uJHT0mJAhPdpGh9He XsRS6s4rNL8T9qH55vpVe+qoYE2YvObEp4hY2V2kyFWbYX0laQNRYIfUN3BYiMbjcu6VbZ9DV7jF /Z/S/PGZB32EX7+dtwApo3uOpYcb2qTEh14s8XnNs/ovh6x6VqwepL9uQQ9KzWn3HuCAA/yCjcSF YSoiAHaprRHSbzWe9slmTgkssnFJMpM7/tThm5cD8/I0GIjLYkPFtqUAkAs3laDMhB61PDJcecbY wfFhe5J5ArlpWbsVayJzCfvhKCOkFCeMgEPZevuKIIkN4auwlKJK7ZEE64EHhr0N7nCa7DDHVvwz 02nNIGTNhTt3NopoFPIc21QaEzwFsIeoF8NRsRNpk+wiPt1ukkQRUhG8TD/zSv/71vTFx1hxlQVk dLKEnPi7MyuETFy2Tc+RElmIV1tky91CtjTpq5k7rkDIKlKEcA+yZq1hroFLvmAL6rWD3AxKYCDq rZ6FVmxJZpduxB/n+SO2t9hbSQKGVSFljz6cUHPNzWsVchCIHzLIUgUUkj3N40XwOmlwWAfT380Z 21K4qRHZsTMnbDwtn8Pna3seXrZAoGri0ZxBRBQK32ga1pd7to+rk7v08E5JKzUHNBnzLUcEZ8aX WErCQf44Ka9zKUEU7aVEqd7wnxZuzV0JO8ExUNN+yufP2HxNgFj+uAh4oxE/WzLGxCyYiIpHm6zH YByt+8ro4e07CbR1RKwVEZW/vqJXp6UOZKnCqvmLkZHrD9AH+r8pH64ffvSJ7Lu+ttyR3aXUR50L Dl4JEVvJ2naKV4D2qLGKMbw/82pEFii05O1F0ohGuc0P7kROvkMnaUPjljdhhldU5EgNuGcIyJ2s d3Cw3F6qYu9H11q5K1oCt/wA4BsnElns+jmZl2LmgTjtYTXbesP2bXjWesYucNW38/RtVKagEjSu uclNgXn5km4SBikKJF8ZGASijs7xB/Zdt7TLI7R4a6lJ16AOx1+xZJ3+TCH+rRrM6FgAVPEyNzEo ZtXestjMxic7cJOjpxMgjgAFXYtT6KnF5uonesr9Z4mRCxNLLUuOYksFqY9Q5EpH9hqb/2TsvODf l1Hx+1gUL8R0unsuxBdd0C1VcIq7QSQvr3u2b0IryGEqzgnQim+xvUX7QVMCMEdoayigkOPnuu+u EMOb+mzzn3wDBMl35iewhPpmQQX/pH+74+aEr0r690DoKWxQCZ8Krb4C8TjLrQkA6csOa3ST0fgl tyqExufStGa5GY3ymLLrFxJN16HKd2e4MtH3gjQsyIq4PYsZp4cMMytdbfmA7FWXMFb3+9Lbmfu7 e0bzT6JcN3CwlxSYXdLX2b6YU663SKGwlL/gfwNl8mM2WRaRaeunJCaYE7GGWWr5TKx1X1uGs1kM f2qSdJDPKSw2UrolTe+0DDdlTgxz91lI114KIKJ3vU6g2l1pRHSf7fK8bsGBB1CNDUghpdlTAhBq gNTQEoTghSHTWdi4f8hYYsRD5v/hMkph505opZC4teHS7g7GYcFgmKiGmQzKWo9mHZOzuTvFfaTS 9N9yi1Ls0UJkYTF8dnZC35VQzIhj0O3hCpt/ZAYCzjk0RIrjoz0tcv1TRywCu2sFywNC7sKP6HBn xJHoHiysK+YJjOukOrPgajfYQ27hdi2v1qWFE5ZMFRBjURW4v0krikWx495GxWLdYumFP9Psq395 lmE3Kk7o1PKqRPIPF/rGqlqCfud8EePt3wnBMq4LNXjtJ7eZKZesUaPL6T0wu23gcH7IP6sp9gnr WcLicEDYtVMuO1sRybJ7n/tH9iL5GOQLGssoL1qZdRSl479Iy86uI8fCdLQPdqZ3HhO6mCsHwJ2p YjtS/zfhdw5lc1ivcVLTQvm+dIfzQjB124p3uPz0YB9QyCFh2sX5dR8yjKswTEq5v6O3t7qYKbhq eQ+WK6KM2DmFZNsypsIHEmUlOH2EZi/3Lh/0kB2AyGHWh4SDpV2b2rNQHtPzz+pQAh/OVJUu6WKy zbIgczRreV5E5/42zhzD+UmUEv2s8Vyo6/iu1KP+1N7X9ElloA/d3PX8+fxqn5nLKXIZcWjn0dNl 0ybiYd3vRtjZikN8m6Kq6ZJ28PcSzxf/CTJVdNJUghxGLSLll6cVY9J8RKI77+WX5xyEeMTii1kN EtNsXBnr3MLoU7asfjRiYvzJ+tVEpVtNZ6YZulfwKJunEYYlB78UatDktkc1ayNOQXgxwj8E5BKa 2mHR5/LnM5sBMC9OzmR64KavCuxuH9Pn0FICALIvmwi5Uij3mwfcuzNFQOQKfVeizdn82F65cEQq 6bOjbzYJgHXSeh1eNcwC7zHhTBcfy8Sph+D9uYCTqaBJwZwpYY+g/1tCEhad8DrIHmfBdbbBPX9s KiQDqae/qS8ghX+NT7f0jJj69aw+VjB72ZFdc47jISkyq1FhHnjff2LH7KCWYuHasIf39IVhAyPM 4M1y/d4bgmq2UtD2O25XXdgY1FwdCIdHja9rH1z6TQrbF16O0J8SJ+gTkQEPMebB5A8vEyE9w5Ls a8MD340lkH1WQknbdhe72Fk2QoP4ae03AGsk/uDu6bG5bg6epVq8Q3bDfvwNm7QoAN1StDN/TG3s ejwGBNSCAONgfcgVub7bvhM6npf9VXUzBeubzOPcVjc3www8ZJ3BViN+hKe+n6WgBWjQCPobr36n kdzzb2HHliuTuESXfTz4vtxFHRIe2y/i+TCaM+3XMefE05A+DwPaJuMDj5ZTZgF8AULj8bqGnG9Q SdG9SDbvCm28gqpxB7fUOeN4LKk6fgG3kfb1VxkYyatb6053XwVnZBYw0QZ0yGPN3fvSHZQvCpvq jq+C4zMoHqlxXOoKALsyVcHz3eOGBCk+A/58EHYte5zpCgulSqCh2QTAl3yHYNZVQ4j2+cwhhi1Y e8X1sSFvK1dWq4oqhAWPrUfxoEZcU1Kd01upOr5sQUs4sp4+E4RnhW8SGbPcIIFskScWBKUGZNQn bvUF5jbV/P0xtW2PAtwn5oac3jfqTH9Xj5QJ9B5SwPR1oDk7Fh/gxtlFcHrXJfX5eUVH8vjt+Eu2 4JtTlBCR4CUYxiFdOZiyW2FgM47TPm26BuFvdumTNA5wQhuJSI8q4oFJ5+yBJsajvzYlNgQDRaYV g20dY5peyU1r8zwfSglYf25sDI8Z/om86vU4f52e8E39Olw23pRxTbztvlOA6xR/ODbMEXfe0ffy UxqpcR+Kg5aiZ6gcafS4eedzl/TtNwRBl/9+Q9ERTfMvZ4yKQCOqXDHL8hSzFlgfpfL1fuwVv3Dy ivH+UVMhHU4FrBowD1XJiMvIS32faN9/LNxNp+JXIGm64sJLGIcJCg2dqTJ1SVyvIvH+H8Ivbczp R7Dr2YPULZwL4ZDB+Y1ePNuJBkGZAGTCo1Goh+lKfVOhQR2rkYPgdIW0SOkpncq2WYP3/6o03pll vgWeQUzwUd2OMHWQ/jwXwogp5FKgsvscsYLxAep6UlDAOce7eJTQz6AIXPab4yT7XuIDK6XAsMwH yHLo33aJmf21dd5Cuh4bt2kfAPRRlXZlxN11HFCcOZFzXDudZLBLB2yTT1X7R2kmQglksKVBC+HP GeAf+GXB/DDxXRqGGBUvco9a0b8z0q778W89G90fsSTTGuJbI3snWQagX06rD7S0WQ0opYf+iZE6 71CQXcEFaiJdXFh6wD050i8luePJut8DcVathhlv7kZelVvKHpHwmIq1U89CpTQClqOD+tzj7xK0 dIcVanAlsVcpy7Z/wOGJzA4D23fnZvt6HdIn/KQD9iDu9fxCqVZnIV7AvtX1X00fygyLFbzj2ur1 7Qkl9iTsguUqp7cbBIvNbaAgs++3uTE5BQRndYZj0+Mz4C0W7E50g6phAOHSG2Q5UcA7Ta4IgEVh HTqCLbUCoQ1SjUcHi+vv6lMVd/NooiZqji9ET4YPbVwYe2wjPDDtqso1fj2/pKG0VvfV+859tHCn Nbc2Skj0vcMSbTjBRUi6hsHRVRmzaYPqPjbsJXVgbUXaUNRU3SF51qtkueDUWMzsv2JpZC1+bBzN F7XGd+99UQ8pEWDGA7Uvw5tT3PLs5iYmb+LPZ6ETb5A5t0atcCitSU4ppu6pxgPQAidVviV+/Q9F SEkmlAmMYO6relA5edXYRZRfjgHWcr749LJoDEpgQ2fBJNtfsjMUWsi2fRqFBmsGBfKGyhCg9Zn2 +uaDPzI4T717DwrH4EpSlEFvL5eiI1FBAjrQLUXSVsy2GjgzwrSr5jW6Pia99bTYZWtrhaxk/SFL Wcnn9Nx8Gi04Ys+L8l76Dsn6FVGLtlGBJF159o4nMaUmDg4Ok3M4AIvXtT2WaLLFpCGcfdMrgxgM GVi8kaLoyfrSzg86P1V/3xmO1DdL5YfIuN+DsMpc875aD2gpV/c2HHRHLzkSIDuC5wCuDEtdnfvo lMloCu5twBOLD3xM3DK/E4G0+7GTpKgjCgXnopjjmHt9WdkiFFo5b+ShSLMlqB7DPCQOoiBUhnbv 5IPCO/JJtw5KeXcW5QMXmt4Lja4prRpnKmeIX6hyTFpy/IhNqjhs++NpNJz/uYyx/2ZtB2xpnSw8 CbGwK5sx7DIzEyUVD3MZplPQTVAtdvgdiMnU/1joVQBjS6Nf8j6WsNbjJKtCsh3hSmKzvFw/mErx 6mzUseoblwWiWq+x9kJ08jrpVBo05k6KF3ekd468U52W9LfIbEj6yh1xp2IFmFvQOYW7F7G8FJ3Q eRfq/BEDf5s5KIGx/E1z8IBB3RRM+P8TwQm2/rt/NZMLdrf17aZYktNgge74R33nrlp0Lvgq6MXQ zkDGNjHVJQ9Mdkj3+fw4DH3n87CIQ0HLNB7H39b1IBh7q/yxfvyxajn9NAD+I9xrCZK1ZsxZWKsh Dff1nz0z8tzDOTrPPtYu5Av0Wvxg9IrPFT9icDSRMvYcaduas7viYIBrnYOq+sdthO8IUviq5HzD DPwW3wPyIBhDoXaWZ46/rtqi96RU1h2JHQ9Wni+gwuONcY6iFZZPB/d1j8ZUWLhhq/8xjYddA5Rx DZeKVvaLKTuICBNodOiLo1yStfPL3Jr67YbCyETy6bK58c04EXeLnhyWP4oZLk+7Lx4WDtJsj0Hj PJq7OA8zTZshenndTBfhDYhZz8NNfeFzHI4E04LPuZxoADI1i/UufBqTB0EyqWGArBHbv5iCX+Mk Da6GzePiPriqSDFIHnnBY8lXhOz1PktdFtUXsKQxKJ8PQzTL+WvDnxBg+9zQbGdKt1IqCFydsihC LIXNKgia693zRNCapwDuDexdQQxCBv61gZbaVpGalQDa8oZGUlhfnrLj0aRTsoQM+KmHGtkGFtqs paMJZvG3sAHivn/2w5m21naQEJbrdUS1/zUmpJ/lYBocqxu8eBLwEYe6Dfhw5HSR8Tvay1ryMw9C I7S/43kAzdqLDMrHJr/jeo+N23zo0rJ7QaPqLMBBl7MMR4+3wziOQmQzcQlyKrMsiCzIuDhe/2lB M7Fm3BvHd6HgMFgxm11Gn9TOr97zFlZscRcs0tN4Y5QQaz83r/9xeRBx5LHe0eGeW9m1Xz9ca4rm J5UmH/CoLZSA5TBhTeg2izU3CUe+/7nWsczVHbBoZgeD3rY6aw98ceh88glFkYFr01WjNep/ZZGe BQ0cwKrIBH3WIis8+6G2txSoG95etMyh3bMvNGmyTg9buiudx3HyShPXcwwB0xy4NbwNfJieN3HL aGjBzQvYht3uxVkwmqz/EOyYLN7UsLx8x6Y5lr0HQgn18thxEXOwJCGVZTxjWYJRk2uCFsCOisCm I/1+GOEuWJzh5PmmlRg0CBbAzy+CNAewNhhfOglwE0LAH2DWuhXF9n6XClDo5v0SsqIwlG+ZqkNN JdR+nvlwq5ULx0TOrLgYJriZvC+8ZpEARDP0NsKqffDX4iX4R0ZGhqFKBihZqRv3MlvhPt5u8EeP MZhlcEJyuc+k0nxB3Do2RDF1BPjRh2eyyDMjbUKrpPbZv8GcotFUd7yMli60fA+kcaxNOtmlSgi3 gIddcB7ahVhbGzMzBnJtszrXNx9/23xzFVXEGM9Hw/pLtvptkVEYwnwWaLBWUB9k93k46ZlQImaf qqjxH/Ob4rXsGmNoWVWMLE++Wsqo7Nyl/q1WT1c50SDLgfbXcipIgScEEHLXlmmr9HQ+xt251hcb E6iUDpkcecaND0rzYyCGRbGFiYTQF5fB0xbiKPuLLJffCwWc9JTxvxNCEkCIy+s2XpivFXyMwxlx tJE0uIH5GiDji1RBe1Uk+BG3JMEcx5mF/D6680kjwNAwE+R/glMR2bgNsJyA6W710eU7TnF1Yb9B iCfC8sr5HisT21n7D+X1ALoQSby5sSQcYDqPqtx7MLdF7d4fmpBdVAbLpMdAvwsf3tlHEh71vRg3 rhxPG2gGVSlwnwJcFb9tLrNA8Y+LRlmD+sCFlXPQyeDbqGqPgr+qwcbNZtyNrgxSRe4PAbtQg5Uw JRXiLKZgs6zSXJ0F7/3ubbnbNXpojfAJXAHqkiC4/dG1ceZntanzmVIk3P8WnvbcKsEX97r56Ypp IFf0W9MlLYUy5ILpJUg5zx4DyLjKKs24Fc92UN+MnEu/se6ZIXdvsv3iyYYBX2SgcMS81AR5NT3T Fh8hbxJRVDeANuKxWaLyqwHma67pA9a1ayVfK1419b6ICfZbNX1wpUpswSRfUTynzIntX94w1+jh 6504ixp4KU3WMLwRrAQUun62cKvNWUoJFFj6pQu2c9PJKAK7/3U+IQQf2jyxqnvg6COsRkJxgRvU d6JfW8NoCI1yfXsNVPD9D9jQQOJ6NB6jM5cUH5QrGqbg98geUcsKw7sxDgECBxq3i3bMTGG7i/ta LXFZjIp8m79DbIvUG8ksKVZKMjsVyqMEFT07vrLqvival6MvWzWqwwIgLjNmBnTm5AyXYdtg6azk eQWnueXm9dbwYTEfgrv2KzkIJmH2mPLYtkWjd4T4olcn96ecZX+EqEqsla8ptFPEFNXkDe5cVFOx e4qOtqNnb7hEy2vN1siL9XI1x5Gs24dvdga/EM71cKmEJ6Rodt7c06aEDAQbwb6NEmIJYGrq2rdR amA3sdSN9GLu8cYA2ARwM3IkZ0ASM2egpnx+ljZeE1iwjJZx1N8Wa5lksl9sue7hBIOm3W3XqpMj EuJaNz5eNIVa6bFYVHrSsFSMuT5v89J2u87lpulL2Sho5DH5fBQNsxShoMdlUijeRpUeRhA/BC2A 2d5YGlx3x1MA+iXlQk+vGbDNJT0B01MAOLqwkI59OKtrQ3kb4cWRJ4L8vRp6U5kcrwVjmV10sv9w XXWf7GYvO7rXxYaBDUp/ZJ/F6PliUR1TCHijwWVbzAt31AHuoUQ6MtMlBXyoxeDKiF8yOT/5bl6V 3Q49Ry841q62cvI/uOJcSfRfk9CDnOmQ+Q4UHQiCtUlYs0lX+f5/fu0doKsuXA3HjCIzN/Y9dqit zNYZeOat/1/95qINJB7Y1qJJbAK3Qs4egSeq56GBfyxWK2qsdX/oRdZzknWy3KJEroZ1qOiUCeKj WfgAWVDrSWhqqTH1NhwEXujm/xp1BGVnFjhzIrxxGnCAnRd7sSvfAEXd9pvZfU8IkFWpRa37b0LI COYHFgliLiZXxv7YDgAicsx9r2iXK0ek2SzFCk9X+cLzUpNLK5rrp1jBeuu7X8MmtLCFI4X0Xsmt 51o0TJzOgnf+40lVyQHUq41z2TuJbjkAFvtc35vwZ2LFCRSwJusT4m6NJbTRTes37ZE6YmQh8b+7 GyinE76uJOE9m7+ulWlsqlZiYJIjL53A0c4ye01BrUrYNxjHhVAnmgzdsrAlm2nKHUiOSs5kcs3Q p34XEwhSUiP2zj5J9lJl0YAw1aQ86pAFOr2TA+tcBiHnQgaOpb4BnF8SP6Og8/NSFiibUlWDQ8tH Gos57ctZ0Rk/ejnCPmobDOmD/wfJTWhJ7yINFjpVgrUTYov/r/0UY/eMR+wnvWshXrgwCL7V7NBG JYq2164VfVNWylJaLug7pZYiguN633DNmT8fDvBI63bXH9bCEQ0fS5ooNClL/+ZeWyMrjyNUVSE/ NDO07iSUmkCXa/LGBdmhvmNCr0oaSXNptY9K/uiPwDvT75Qp3ItmDGiZKG/l6bRKnwOxnitvC8y3 awYHwhCfgx4LUzIR4Je+RclKCTNdTWEwnxwYIBTe2PkPZwGwhU/boGeZvbfch/yQrfd3Qz1hDutI mVBwein3mL6brdk1GUaEmZiIU5aBqDjFhRBSPxznqBHDdpezfKKwQHVG7eTDjm8rg+1mVfz6DkED hbCJT9xxH9bBtagl1+/BTxAnTzXmJBKg/IEtA3KqPd349ip8b4pt/A9q8JlO74U7bJVECxOT6APb CytKCmfJMmIFOSNgParcmdyHplLZcyZQhPlQ2DbggPL+nlRBjRZncGhLArZSOR6z8YNOhJccVlK1 oyP7op6MBJNvdJL5r/YRL2Sqor0P1KUFZsr5X1Pi7dQWwm2vCGOKI/h5IuymVS4xSL6kR68o3mdL VvSNOxDwZh3/0+RwHC7M4owczZYAxd/zdSz4CCKzw8Nl5OxZ8mpAJZC/O9YbVcI/VpFdY2iDLpmy yeq3Hh+C3vd9zB1PXCXMNj6CE7ot8BAaTeu9abWJdZ3iHXjgr3Fc6xggt4Fou15wyCQ+x6cCWbQ0 LcvvlfdEwDHcxN0iZZpfKXk/fDfSplD2+h4lcqG6/e/MOfp5/6XcZjnbtx4UJO8z3xH5dB5TQnuW Is1p9E1Em3KhtntBbadxLS3HLGMwbCVAaDR3Mj9b+YuVq5ktLc0DNRR2xtji/BPHuK9pI8IH5hXl RzdlsXQKBmX2wOlpG/4jfodys01CfT8Kb+Iqs3XXV3pP2b5W5Kx2eMopReDE6QSyMDkuf683zti1 ErIYODwXULFDkLAOQvyu+kEmobMwzg4B/cx7z5219CXQ0W8PZ1+sgN3qqoTx6pg8ZaXDhirKG5nD 5QUYBgBOW8rH28BaXMGovx0TVPjxd56z400xg/OhYsJJ6f1x7ZJ38lsjXqoDeWA+jfxBbcjAYAHa RZ4oNUafYtrlcEOHzqXqoSlQtmRh8Vks1KpBn2Ma8PO53qbtFDc8YE/WgHlLfp29bhZs4p0Xia2f jXJFYCiy6gngcRxP69BtPP9/gQ/fP1mBCq6u3gHF2gzLjG8/NBg0ayx8GNbCZLOizb0rSEv7ySQR PIhIyzQAZYwve51A4Yjh/lNQbcDa2bBgKQPpZ9AtIQHTVQnQuHoj/zUCk/gkfmUvGYU84ly5P8T3 jQiEZyEIfxpLgyWZbeaCVuguLl+xLJnHRUQNyHjuwHguNz0MATNCsbURH6cZKU8oEPJUMTZ7iBSL RnLU20f3tf96tKJBa2M93Oig6uz2n1Mo9xfU78a6YGac41ftahodZrmxz9ZQ2Prn8BVa6A8AhBn6 pgEataIG8pjmMOceuMIHEb/26KHf2Y9kDiptiN86e0G1G3JDI/dVrKe0Xax6MZnHcNPiDwarqwoP Gdj12kvOhQsCQVPWiSfQYiQ5ljA32pSN7IpZMnlUe/UzUeVR8Ll1xBwFi2NEN5eauE26TddfGmjA Wo+FI+HCGns1HrQwMJ1/joCOo4pGicBfUQp1KKJEgnJiv7y2X3mVOxO86g+uDs8G//TYif+vO4gy aWArl7HX1JsQ++gj6RSkrKOKG02ReKTHyj1ejpEXToC8qFyUARN6zhjfeHBune+p9k8Rw3heaimk DElq+cIUpZv8wgIcWrcj9I+zE6OlQ8oebpeWVOccWmC9d92/JmW11SrHmKoejAVYj9nprCHqbiSK S02NmCR0GEPna032RgEx/lzrW4wcj9PQOplI4dFvgGB1f+6md7fZhngzz9KkGz6T3eSHMKi3l5o9 b5RiGFaJdTewU4HDUQpoVq4nMB3bKDYjWmBzzBWQeDQwtI53dJl4F4eXtNMrrWPOOOak4JPz2VWl SVgbdk26fTY4aie/QVmcwhX33V608rYYK890vlMucU0w+fn84XVcX3sFVzXWlZkGwX67uy/PZhkC CxLaiXboRFy3yROUuKNNah9IiEoK1S7iWnBHqzogWFqPpHNwGe9S+MyJJiL62DalKQfJXxfD7D4n 2udWBHUu93DyMcVWMPUOLWHzSP5R9WdEm6oXLhZi+eKMHL+0y6QVEhD5cycOSZ2YpA0j0XV8cxSc TKEhFMFHJ+K+I/AJEqkTmMnmiVEKXI3kO9bxS/cayM5/5Wp/NBKSt/mDeHNJ0tEQ7hzKS1YwoNRN PIWcchFOlodUFdDwsjpng5RLSM8YLCfdNfChhaBrFJ69xFY+wwleUSYZb0hFzMrRQqYllPrRZtq+ sDhDaK3fLI+RKvACla5X59nPBznn5dBN+lih7k7mztdWK4JX4xHssRw+eDsJ2m5y8E1TDzVDzqvA 4SIeKHpBITv7HRseOMNpIxFYX69nIdcjU+PsndVc7NgKTwVBV9Gs0NQdOecC9paeAKn3YbWItk9J 50T+fGQv9wXs7YmYRQA3d2M24wtIZOVINWW5l808aBWnO9GL/0y/0MBHiuWV9UK8sClITV6qW8pz dHxo2+/6rwCED4VF3e4nq3e2Mn+87oX9GbKGsH6poADZlKA4tX0gAICK0cjKgfIsCvuP96mP/7bq iEgPSC00CN+P/QaAHvL7H/VcdOTI+mk6xfSw/qKHDJxSA7hgNEnD+48+mJSU6Hy/fUscZy4myKSW oDF1ZXxkGBErh5vHP6y0WR0wb+I0zxAsOqRcyiDzRwSc83YoWSt0d1H9PAaNIcCXqHR4IeD0Sep7 c8iwnRU4liX34zdKcUtXGgwAb/Sgj5xsuPKkljLnL4f+radD7BWzlqOcvzHCbLGMk+4TPJt1Yjjz x5I6rQvKCH54MNp76/boyA4rSV2KBcv7WOhfSRO4zFiHqYC7Pk8O+qlqzmFQ5BOzbLG09Turs9Ta mowBU7Av2ZF52ZPv1pghLLg1Yq+vfxRBRfGtybsscthIdVx8SITx/yd7YxmsHZDzfAQ6U7MhG9Tw 4059jEtrwr6kHTsDv27FOCrr1hx7h+KhoFDDmqRh2koUtacCIUOJ51W/i0CCs9RpQ61w9CBKIc7O fDiRQxPs3pK1z+dJkMWaEed2ERPln+ttlVHhtaFFXmWaLR5KS7dTcm6YZUXXURhtbN16ykD914Kp hGE4Dk+IHp0rpyGdZG2eQ4BXLCpzqL8H9Ijkv6x67gRRI0EWOs34IZ3Enwf0aZjj52bZ04QIMkAT WqMOgJn5iGEFo4rBOr3djpiRvAscZfy184CmkYskiZjNmPWVBVh0SaQNbJxxBU59Pq0LazVKOuPt NZABXkNNEhJtvjuYB4Gt6XgZDMu2F9Hw3GrAEU1kqroUpCVy0piXonA+8dZFh5wYntYi++HFZpm0 E00C1nF7EWQjuNh82+MlZkZY5uu4Xfcd8Ie5fHhsd1c4OJmuuXdUTnJcxUEAnvPzF962o8rHF8Mj nMrz2eezDpb0KeH0953Cf6er0WCnND/IAM2KEIOb65es11FqFyqAnvIENG86+nMKDgr317mPu8Hy 4glNRzti7tXrd7wTgLsJImQo/DF5/TUFAPY0gSKe+c6UdlcmCgU2GCXQH370aEVjsRPUpCYpTPuP UUUzHrubB4YN7+cNcEKBcx8NturMg4Ku8mBEtsp2rga3Wdn14FY3N1gieMCtuN6+C6tZCcbTFhEj FxeurntZ/TZPHQ3ytlRwB1/3JquGBCs4g5vGNZK6EUnXtJbdMhLRROj1uUjvyG/WiQY7+vn8+Ntz Egu5TmFx7/dJB/Nz8zpPZFUmGNFnfPACzUeKHZfun6NmIQOUXt85mnIulxsb8uCvJAyofZb0tyU7 qX1W/chKlv0NyFTYB5hDtbTemNITALbByuZWcniyk+CZkH++DBfyvV1tTYPQ+WF3oQhmyK0osXsH AYK6l/0SJtCYjR3fbY7hPXKmePfDiSlyjkxUO0XBHoVU00il6dp6TzN7gnLEMsMTu1cJ7SVNJQ10 zK5qdWfhaamJZoHs01t0bW4R1mPJ2kRpZ33bWAMr7Hx+2Zg2+VPV+QZ1bnIFpyKQ4fdhAI94BM/x J02q9vdMm6jSNkH1Uh2hpBsIaV1uN1gNb4l/+temgcP1+MaBsEFo69aCxX00+xsIzDO8sqy+exTa FPxU3CBeoO5qHRYvL+yRHJw5wt2TROLxcxvyqWslGACiYTdbT4YIHWEUBfMdYLiganrloZMjds2/ j2nI8ricKvnxGI0vWISyhUhjU9bru3Ulnt/mTVGDukLijAwWlihRbYZ3hdFAX1OJ/GrukSeHkfbH qNwyPNLXREBvzrprdvYnYiAYAUPC7LTz8k1AnIEc1xZjlVhfjUmLfbG7caCl0zoomk2yXs+FvzH6 8PgkjOVcbehBb9DB6k1pMFxlzwwh7/7wtZnP37DxXewyQKqyEqHz0zQwM6i+BjLBnG+uapjFMLMF bquA/WNuO9XeqlzrgyeKP2raLMlTkutM17Pn2nQUZQe9U8XrkEFkIP4WaSK5lfACeBw78XI9mCHq hMj48HGY7ZyeQ+rm18Yma44X2mrhnxdlx3AT/LIYcv//eAe/lxmCEKyeMiS6oygfjl4QuMcPvM8m 0lXWDklv2c4O7RTrioWaLj5c1O/bi1vXXJc9C/N6/1CCbPullVGZjxtN+rbJigQjsamseK83dHCn ojZnFLtIY1RPBmBKMmAsBeaNF0r/smpaz5WOw9Aekqg2ks0Fo+cIepcBRQO/ADEdqVI/sQkqSQUo v6oA7NBvDEVcA9Pk0QrRjNIPANsnf2N30RPuwTwsiqdqWDB73S5FmMT2Mc+nrCDzFkgBiwGYW1lu yJ0vmz8kCdI+vHCLiw8dsCSwq5e4RTEuRgm5zwzrAHdsHDTetxxpkQXQ53vCofap5e7WAxVPT/IC mI/aVYHq94EtSXTJ89dI1l+TYtWT5vnvCLKrpB+HB18/f9K9jJIa2xzzaA/4N4No7xOL3GKcS5Zz ZXxQQ8aQ6eyroQa6UNT0L/fi4wZMHi/O+efcYR+UJH5oM5tatuefmT7IVgc4tUqORZFyLS4DnmQE b+EK3kvVxRiokQq/GQKocqAOp9/AjRlZ7dvsKIxgF/xm9tEtAfo9fWfNSwQkEqa7NJ43ljT/yZpi VPGORf86wb0XzWg5R43lnxTA5d2KT6TWGFlR+0iluRaaucjIww988JIjIhFiIqFmnfFl/mDSYiCq hN0Xj7kD6+31+wQ/spy/fWFlirltZrTlnx2zEdR+5umSNcfJwNSJSEs2YdvQDejjTUmVetKTlqia 2kpH72njzUizYJTGg5jRJCohuG1cpgdOgS5vN+V2tZlMoYUsuPVZI68OD+m5oH1oEaD6RDghMBa9 arLnXpXfuABCirVJVQkAfzSL06ItZQJ223mXdWhQuNOM/shT0N3CrrUnaBT3CePnjT9bEmzuAavj u+QFrvEkV9mgJMoB1XLdludk20xCknkzfmezuCUiXlLlpArX8iLbsedouHMXlodWk3C09dYyD7xx Kv8i7zUe3ehZdyXnqZRBW8sf/YrktZ5EINplRkaBW4LBtN6Sl708l5khNjpSuKMY11P9+ZRfGvZq Tut8nYut54971btPgDNw01loIH/mWseCSUHWZ0uJsXKTb2t99fY1pS1C5zCXIpCEbh13p6zyDiuD 8H7LeRRysZohdKEe0PvISyglKiHHd3qcnI5qtKbhuYS9VpKvaqDmkqLd402gtlqFNHvqgdOSJalN 8AjZnbYOdsFDe0OfxvzTRfBcJDUcWsfrYP2xLtY/MW5NNED1PfZ8Yp3msX2+0B3MdAZzNpLcZ/v9 UpcKDiZ92sWZUoWkx+fCN2pgTBv+zYaIsYIVJahoIX3ey5jfBiD6xKizQNNlFGbldWpKUxAgZlqe UA4DaB9HHbuPn9weOntDtLxHg6V8Z+t8CkcKtWe7Dtot1KjCWrB88u9lc0tSHdA9wp713XswCLWr vQppTZ8KVmOOErnTaYy41kF4IK0kX6UAGpYnF/xUz0EomawthIEhXYNr1Q8Q7Q0t04Nrx6H9w6Ye yFRa9L/+ekvuMSfR6n0+QIrK8eJtBr6UqSLgjcq4+pNrcKNrVjWWwykJhBs/a4wVq/W+JGSGCB2E y4t03Adw05KRZKlgmAqunqP76Y4hfBQSgNpVE272x0jTZ9dm2q55tvWCYN1yy+qx/cV7wb81C5ic 3tldvucTzfMp6H4zutmFPwvZHYYPlt5Yj5EE9iv3KdfVKeb8CyXP6i4y5uCpXXphgJDp9sVycaJ+ IU/nWnYaLBOateBod480X5mO494QxZmzTDgUney3D63IgbCrHyZjk9D8CBMcdk8RwLJDJb2ekH5P IjwUgaNtT9WN8pu/nD8M9EjcwrLg78K6bLJxG3gPSuLo6rjbPPiCNqr2f5SAJdnuSFVEx0LmFhYk GAbz9eR2mJssxw9/j5JgBw5IGVnPlz/byPi57FdTJroCn1rQuzQYk9cSOSTiTFf6qD5PP+9FAFqA 3SkmxunglR3LnKvsmopctu1PcPYdyfniT5xZdvI0g2gQAINtEgmE26gqy2ryaIMp4DptAB3gn1Sx vlO+1iKPXWepzDCuavV/BhSXXHA1SBz0tT9WS84LDqlZqJrJI9Y+xAsWVE9aCtkajoIk6JlvWwIB EKEnVCCIKJ32uhfV8VqT5LIl4BhoNuI43IJZxO7+haMxa4V/C2pavp3yckZw+9VrfuBKdS/fv9hW sk2qtlNmiNla6mQKNq7CJtvCRmSlMvPCbF1qpgoLH9GqEaRJXknaREDJ10NiSM+JIKFN5oMaM6Wt ZZWbrc33QAqYMhQ5IpstRugkjLy56X4RTtvVUN1ounkBhZd+drE4sM4kkMHG6Tqsc7vxYn4WPAFR ha8+G4eZgjvBZt7dvbRZBUAcuyZ9imwFfRncFKm60WzqCU5Sf5gP9z1zeiwDx1AnCqtRBd+79M0Q FFjtWxQH8E7DQzF8Gksn5OptW4WW6AP51AqGYYRwfR8gQIETzX9J8fjxxG6Y2WYZM/Oy33Y6psgs zINRmRvjcJtv6A00ZIUXlUTt5TUK3iZORTzTzPD/2Js2znJyRx7+HL3JKyaxUAXID5DydzJ2trPu qFnxXaXjeI9KOMwoJq7UAMz2Y8+uugfNI332ObvUb/CtLB3AHdAOod6u/4UbalG7WPTjEAD2jcOL af9kSVe7dK5pb32lYPZiYr5Cfo2enMtXuo+GJDVr7rkE+nZeu2yNHareiLKCniH8+DQuX/WYfh7c vR8OG26n1jU1CQHpNplqJt3fBgLSCeLd/+6beNS0CNqPa77s5hHCJH0bWPDpxHYtmVx8UK4sgs1I u/4Pc0BT0VxPvw28NNuqc3aci2x77cjmELG68GBJe6e8vEMv/XZjYQDvQ0XjEG1Hhc0aK1VRoj6W melGNPzZqtLPXuTCl6eFQiRjPg73wNQKYlGwjUvefip3L91K76+62LKMzrRk1MOW8ZCjEYfLYvHv LIQ06Ci8793fwDOQmiRs9KP4SeFmqLUzZwlZuI2tz6BGTRnNXfmCTutq9sQLvxHcuDDZem6OYsmh gUgn1BWM7NQgEkBG9xWZfoPhb+sg8FukeyjF3GOJj8cwYp67UWRLt5hipK62mRIMzUOVBI4CmwI5 CMHalSBE/+Fhkt3V0fbG/S1ZEJsV4+o2pYgykJiNPPtfe86a9ghhq+DsgpsoWQhafK7cBMxv780z d2iB0f0SUMPRwkXkEWWpLRs6H0kLmQLidgC84acSjmNKJSqS1MVihxnFlBSqF5lKqsDmb/wqCw2D njs0T0UAmh6M7xemRq2Y6MGd+O/kcmFdbcbO2oYR81CNKu0zzH4O4Yh2V73/lK8o+Cs/Me2A67oS 1GnAUzZGCSSBOrsQIeJ3ow65OLpZAyLPIOWN19DUuXf2s4NLdkGuJaD5guSAV2xmHTUlnkXUlYkj e5aI0MBnz7C/L5Xv6CoTj6//fLwScKjEl2fogpNRmrOpjcSXISquuqdFhqVD50ONjGp3nOA86hVq 6dTjACsZyYlmxc970k9uYDgql7/Pj+RmHv/PQRx7QJOVesblg9HrA2dMZJAz1z4S96Iv3AY4SbW9 4YPXVx7f3FP503lxuIVikOT+5h6VFiGbgBSG6+pvft8MtI4SLWb9BXCaLMNu5wuLG7oueNFEJkaS 3ZaPDKJ1D/tSnu8gJurZmgtcEYLf4+YAVxuPL+G+scK87LN8RU2meipBMjneBOGMmprMa0fD81+D 6sjsSCAJ62NiDcoZEyqadWIwX2b5u80wtVqalull3ayFyBQ+jVflxHOAWYDhgCLqh6wk0GT7zkeE WqLDxJd6wYYAR/QcWwgYjGCOAShbtf7I5M9I60VwKvMTdICss/hV1ajqK3UmNRT1OXqj8lm4ZTLP FZEMeBr3RPzgfzFtH9XFfXljDoV8iyS6+0SaiHSFLc4bEVvkysl3kk1PXe9Aop4Rl5P/J9C7dsvU x9IJ8Br8BPfzKe8Klkj0w0B87aNmv53vKyMyXm/sxnxLDJYddJ1v3jK2jfdxKD0DJkqmfHitTcsw 2Dz3QfB+7rzU86U5ceBN6fyfHbo+hJjXQIlEKNsXeQcz4leQdao5NCxNu7aqfAYVL1V1QI5KWxEk dLR4DabLTKsWZ2rZtCT2I5vvNUDg1GNWpuW1bjEUJfhG/1TNXgoiKaUZ2k/ePcH4CzXnOzP3BkJW YgZaee6qEwMWQXWdKOjkfknOCbassbkmkPbyVh1Q+t61AV9dCJiAjJbi1yQHPB/X6ikcilGxxyXK +ATyQ0n/BRpmN8+LfJhvQqBe77jPPOM70K0l84yXCAm0zWkRLjkw0eMrmdzcJwkd7NEJoFUlcGQg krRXvFaGPAE5DK4iQNwv7QNgIFSAhUJxawE6tUtrXKZqDytH8SCONwvZT9+mhYqLOy/6TJlLjyVp w/k700dW9c6z/aH5hFhDiQR+clvA9VAmi6IfdFFKvAHhvmzmL6LUIgDheHEATdtYYtFZ9yOCC6Tl uid6+3H4hvizNycE2QfxpqgHr1pLEyt5ZYbUQYRIyUbBSpJfQVHE1mP1mKfVCqH3dGUOBY1Me7cq PtSCZS9Vy6y17TITyeAhF0dEVgXkeFaG8+8yh3o2IKFQaT3BIckE2Yps3NDSzXYPHtnPKa2CAFwl lq9uJ7u5LGbf3nTY800FIdxOnnNOJIg4jFpUmAdVZ+ADWi+AoODRC9OLhJeOt+/0hwo+dQz8C60a dSwerbR6auWsi5+N6gdjSH4ciZGVHOdXJENahJ3GlkvXg0LEsRZRNZC4A1sj+C+7NRKFNoCrXiNY df6uGeINBrlpmsM1gXboc3jdOJBVLVDkZUGtuBtQ5X/LGCLUJ4m8R9N4yW92mPQ+PDXj7oH3r9Fq PLdQ/Vy0SuzeMLaqD35qYz2wDIN0V/ZimlshQjM2Q44eej5qpnj0Q5r8ZzeYo6CeENBMTgC5G79f rWlVjZXvE6bJttpuHs+3ZARacJ/ANs4TXN5szMpmGn11fiPA9f/d7qhBkykB7i2OmLzpeK7jAc3z BTGvw3f+ZLA4v7BlLEWsHsl+90nSN8XKErRS+oLAQN7s//508UNE/0m3hcroXETAtN0YfPs9I8mA vFVC112CEn34kjd5Xci/RnCIMUwwQT0c/MxEVslGkBQjHcxhPDkTC2iAY7c8wVH+A3rgEPNv9YCb z8HsPSmeGatgMqs+nhzdOGZrN44XWZnGK/AocTj+A2EJyMruFXVhO5n6eAd7aflXMYB5pWIvvKxt h0RpmZI4sqfOGWLBsuQC1xJ+ccYRXr2MPgcYiQziqK9BfcDPA8ERttgu9CUttuMxddBtTPoVlC6D JB69ysG4to756BJcNRsWf2HJp0SCtvIkMbMfnYiX4AueBXZvt0KpbPYhfpyXlGngVFvbKY89Ai8P 2QNhBdr+YZ3G+Y4tO7rO1znMwA0ccqxLJKyH5cxE+9sXTDAFg8xsHF7y66D3y/X1DHTkxcXbQN83 7RLOIJSbmHNENb0nlOq2PoCCpMyxXC6TnKthnc/slzCGijod1J+R6zWN1p3IC4uaC8Xox6ryHNTD pzsHuaF8p49LFagOzBi/O4a9T+tWiFGpf1f4ZKTbxKHN9in9g/ducbDKpfSKVHGJg5mpQx4lxSIS SvghE2FdzvY3rwjpEGH5aOtbU8uYgkN7jJQUN5lFdSHjN5MktTLMfxlDGavB9NrEqg5leDYl+rqb jrT2Whntx8b1QcMCI1AYVEeVJyrqWuyBxKFeCUuTRIbX2aCEaFYDg0OZxJl4sj8KzDO2gU07I1Fw ThhNMojRRMS4UJ+1mXLn6w+9CNDkoNxjsiV+94Fnk7UWgB6ouTzKj8QrYtnSbChWiXQdd2NJ58UK Dfe6oX109UsfVLQCANY61mEzcsJy3X67yayQgSXx1GeAyQT6fUNdCcjzFoUH8gz3Tw/chmv5urCi 5LUEJF/UxrCRNkrg8Jcg9dbZEv9v8iGX541VVOsaUb9dzDmVEQcJ9MmJWUkpaP0CfCalet1rpu0D jneGX3APBFkuT1l4Csy/Elpist/rkEQXVR3cgYBU+KnQS25TCr6Ngzgu46+CEHpr62+aIjE0qrJI +buIm/yFcMbiMq4Pb1Wa/4z/o+YWqq9SWRj0SSO1/Fc2bcJvKbje+l4jttnty/ywID6OI0u51Qo3 IQj4wos6JMVhumHrLQJ06GPX0/3FJxF7+Drml22g85x1EDB1k+w9bILLa9zasE6866OZjR3uWiGQ 1+8Yr/5NgCb5tCS7HiimcuNmeASVtPCEoI5b8Vodx/YSrBEkW/fs43fZIVebvQW6vpAawwLJEUWl u/MNACsM8p8IxODpd3Ln5gZvbk7HUdBxsP2xBJKkg8uaWiDo1MzH3Lw77emgcHNHRrNwBfxDUGNI joeybjiXU5SiDwf1qumwaOnBWXYhRBQWGcyn7rKJSjrxAR34oR5TfotMBgw9pKK8e93lGMrFoa+K WMTD98fvNKtNQ+V3I4ilV461D0yWAdjbZ69VL7NBCu1KqcX/dKY0w3kGLRgrHHg5BR+F8y85Lca+ MUsRsvlh5ZHngYJ3G2XrHGDJhidizKrjeL8AMZSiYbOJdrZoh10C585ll/+zyDXZdwa6EyukD7Ac Ia7soS2zrMQbCAfynggag+wV6DFCS6GqlxiAlpiI0/uP1KL8DottxYpRvzQbRGVa/CmVijxesiYa qyh4yuLhmWe4KLxik8ULFxFqRsAxPHP4TpyxTJT+vtpVXoPT+HjIvkfLA0f4xgEl97EFugaII2T7 59r0EFPkgOpv3So4f1cXNW2kubTZRJ7/dI8COxlKHUeOfw06TjFL+azVV7IhZSr+sNyH0IeiqwMt ZU5Miy15rMiKIQIcC31myGVMjkp4yrW/1ywueNs6uaLWqCVpxupJovMdPzJhe6gTu9RBCByWMCBo OO91hcf20p8SaBM2BztjfWnxjI/L9yAGiewGUbdR3XBIO56m0//BFYcwzbgFOwDrOrXKDNa8cFVO z3ap1ZVTkUJbzJQfgFPF7yqn7HdokXv/fFPnJsVmtONPS1JZYkudPVk/TXh7lXDC63xYP2Dokdem 3BwDB0iwj57ieGWJ4MUXNNmTQi1oiZe4iZcWJvS0Y//AWX0xsVQ4EESdVYFDGysX7ezv7Py0xxwK ckXMnbuF10TqGltPdNfCKnppNfhrK4nNDqn+r6KnSZvlyBHUE5/IaR6GEyKpnycCLicb5ArNdxLV K+TOUqC5GTkf6Mo80f5LagBPJgFZ1Uj91HjHASLhRWR1BU2oLJ/IGgHJJzIl1/7dL+kFD/ZECsL2 06ARqkRC9++jqS3jFDN0K1j7M5RBJbrYhRyS74jUl5a5QnjqlM5TR1kBzb6DH3kWtjqlsauTFhPA wkD+WGB28zK3zxi5XhD7U6DF4JrmWB/GLvSi7a5o8nowc0g/UCuPaLAYsWOz+33F4T0vATKz/bU3 //EHtG+9NKrHB8hPtUbYC668jmfMIdzn1TSWrkEIHcitfDCE0q51BhmxgYwaCzrtAiOf+8fDdQEe pMIJnlQYIgAE/L+142YB3Mm3WaGQbv8GBZjEpqtqIFjtHadfWVwbSJyWoCgCnkSN0HkT9SRvEmpF 957jRoUpS3+lBJYpxF+1JJJQt6gq0hzmyn7ZsS+nB8MbYtcFQebjlhChGxaYsmvsJQBMm3qkhpGU mn9ooFnihKoYKhfRGFd6JXbK8m1iYdbuI8E/C1LVylNLiUz2nGUG1JHa3V1tPUOlX8WfkP6i6qYy XWnSf67NEnGwyjtP8rTAh94+inzU5I89evw9CIt7Z07bBEHAf5At/mW0CCzMS//pVhG2RYb0LBPV MAPCNjJ0uwz7H3u6SqCUzoeuN0ZzwbXkV7GzLZjw3+CYhzT1N2L5i+IFOCSNTbURMJQck07/de17 Ls6vOSOgI4hpNxB/sFGTQhGs0lUP1hDbnVpIvfZx+MacQA/nEYFgWexz4xB2aWOwbaiNkPVkW4cZ Pq23vuP46BjvIHtFGI/p7fv2TLuLbEeJiRNofbJMx6/5wELYTV+NcGXqNyoM1+SjKpRRyquAF9Wr bDDIRDHdvV1kDbgtQh6PKM6RtzMcFfe2taInt6JaK/H+haAoS5lOZNR/EAJxko3uUZKMHyjTtXTg rcsqjgmlcOf0mLreNcQLyzqAuyvHAySylkNGIxZJ9/+kbvUYa1NrG/BkjyJ85Z0CYShWl4jgugfM WPR7wdTfot3PqMOJPkBzmVXKc6ZrJBTna+u0BCHMONABHNrypD4CzftuO1JtQvsvDFKlAlSiLlRE Anx5SVhHikKE4pcCODgR8ZyL/NjvwhO4RmwkeZ+fTQqkP5ENxo/Dgyl4Umr48AdkrlZEASScMTIL lBo5M4OPUmD786YJp7ArSr+G7OlYYNJlm6uyLAf2SE0PJtQRwF64g2dNjMtMn4bpwzeKl2MkoW56 AABpil5taUo0GLV4edJl5ZzCYR56h1O+ZAKbU3lrD0FhbyVV445WcB16+vN0ToTjfl/DIzhwczN8 WGaX3yokGwn4bqFoqVFYy9CgljXwNMTmsSlpxwMFX+zsHHq97owFSaEKhjz3Bev9opzt/9uHxx/p uflULhoRbxKpwNK8XMm0XSqABKISo7CgA5fFCwCmKSVbJc8IFpS7XTw8+wEmo9BbwoCa15v7Hz7h g0OymUUjJgrNYrHdb07XcGkSV/rJ3NrYG94gs4ePjMM/8vnh6D57BeBXWpJubJSTB4Kb4zamCwJs KcUHw8NrDqnyrCL8A/Sg5FJYEqEYzAkHDZ3EtKoZYQnbH0D3Eabxjzf5Cfdohny/hsZbPMj3F2TO kC5Ty18ol4HGNhl50+ULfnIw6mNjbno85Id/HUsoaOvXFiDtgvr+ZWH4dT+N1o0Wv1XNfEfrFyRh NVvAcZz8UgbghtlOlTniaEqRvVH1q4Y6Y6j7EJpG1gd1CGxR4+Lz3St/8Zpl18ldBud5DkthybTQ qNsyeHUOIK9A1GZ1fyRdqP8BLDhHg+K0rFCVwP/gTNUXgP06MDxsl/jAA056q2MS8ta4QYKN7ZJu JlapuBwpBgA/LCiK1HWylQW6JggCbmGqMfPuWJTW2bHTShnqMKiGz4rmhVJUzqlmqi9IDoLIyHZK Tx7AtFVT/2JXS+CrGyKkLTFMiLMnELOwr6S7OP38Qzf82gS8M3daxvYECx6nJ9EFSjJkhZ0qb9Od wgwUJ4W5ph2gsmeIb9K6+3DfrGg8xb2Omj94iQa/rM0eBhLUytBaCkx0f2VwZ8XyaLjb+jiTtxDj +0/34FoGfTAu0CkmFzdaLJoX/DJMWJlLJjJ49Hm2rpqqooqEz0nk29TQShCLYe4QA/RRU1O7jUp9 L4XQ3Cu6fiU895VKVUzXE8S+LLY1UJcPE8YqFffaPMTxup3qmksQZL9y0VWghG4OnPlyI8KPY2CW 5nlW6+CpzKTEwRNiGDbe/aD8XHWw9cTdir2QurcbULBzHgUOMwab3SVonGD2oqAmxUEZxzme1CXs Lg0IUNLkrVKwECtD9xuLAfoMBHyFAOFtN4vQZWuV8bhudxltt/dC9DCEXg09gi24Ei1TK+OyiTrD lwcy0/cG4AVkzOe9iMxa82jxo3tZOM/Lgto4CS3SDTI/+haXiICDlYw/NRlcyJcfTxsDFcRd6byR Fs+jLndxGCLgGOGThnmOo1SWYZeZ91dsFjYLRJbF5mK+0MFfYhn/ArT+P7x3vs0GYp2FuffnIWHm 0+0hvSTjV40gWPB0XjkEpZIjReMNySFxnKPknwb1mQPwxsWj4Mf0RVQunOAMz/mNEGDogFhzwUEg e4ub/v5QTziMohdJYYZetItY26qfyzZQ+OX5UG3IJKL7wN5SOTDw6P42XfTI3plCOEciHnACsjy1 BPswKwRHD1fs08s40Bo+ibfrvRB49RyoSaWWkX6bvwUtZOUF9GK1ePbbw/sFUkIiVVuNRefqkeFI 4Bsz9XY85Nq2W+xB23Ozdc9Tx7sqO/kW9zRwm15I2O6gWvwzEDJfGxNl+GWxRmDy5beBDZognG9i yQSvOT382IEKkrTLNtDY7yVgLVp9eW35S0giUsremQWGSLzXprHKbPI7Ya48zKrg+ewo001xVM6O ipr1zmxh52z77tCy2o5ims3P6L7LoMYupVEFLikRIpKZ7kM0asSLhFjaaYlsG582Y5fC4rBCJL3q VsY2LQ5t8e7qiECAzqehwAO/FFNVWZDllatY1iqo22CqmRWvOLZCJoHATILIjh5uAFFDHKGEiXPO 7TO6sMb0e5ZB5+tk1pd6aHT6peOpm+bykhNV8dT2kL599AEw+C+MVgynCCnBj66bfu15XRyDBKK1 xpLHjbxCWJ/sI9bZ1PH3WbmGc8eOYNy4k8rWczLT9wHE3LN0Qof3U3IuSWe468G6AO3UZAr3NLAv Ef6ymp/EzjB6wTLxaeEWLO1LYVUDkoliZQ43bXJrbOV5SHwNy3i/+S3D1s1rMAaFFo/we9TR4zPY t5UTRgF7pE/1iZpL2QLuOeZVl4zFTN6H9WSiLlTiz3I/Qor5m+tMeDZGy/4r5oPqFTLYGqFaic7J LDVwsdwbMZT1Ps3ulh3/LdegOuFYZpH+1KA8Re/vThBw9l8VIdRE4DG3g42lKEu6FwFPKK5VnTwL zMMbEwRMzjvthvXg/YSGp/EQNCZXKahAGNzK2wDTBsAzDmugLrHxmsR6FnWxfIITbXLVBPqvNxCJ 2m/t0bdzFPwSktfH9z1Dpn7a82cgF5uhGbNvr6F7w9p7NNYHpH85wbP8oiWrQ5xHwjk3zgcySyh3 Q8Y1zctkLmuF6P9NiXSKB9g53fqdI29kBtuuze7hNpuDLF056wa14yv4D81A4ZRm7xLjW8Sr0FwM fQ1Y4C68gSKO5g6lO1Ce4wnzkvWgG0v4ICMxJJHLTs/GvKBOtTeODoZfU6r8+DZBlAfdEJkUKlZt EPNchtzRiPgryek5+uKyZzorRQFdAFawh1BPsqsxE3Zabeban6Fw0OFakC4FoAwtrXIJ9apxYGgY rw/KuGXczRSdO+1ZzRv1X0vUEZ207tJOMcZCUW6u8Pp9o7WGQm81HtKufqcqRKtQMEvsLXt8htbk UMHzJTiLtGKG7ltX9Fm5zLC5Nu/b8j+E++XDnjb2pX/0QMsLpo3NTktTVomcS28rBEvLf/2ANSCB okgRyZfgSnP7vTfBz6m/jGohf3SUKFsDI+ch+Uu65UwuGpH1TgY0CDE7lDdZzETSX181ELCJrPrM Rhpdo5AZYwDFzFvPGX+SYabtbecGQZZDzLqcc8Wnf44LfxdyIyQ8k2LVzc4AchPRvtz4rZCUvtoa LxMOzWJTReieGbjHvISF7yDTN6rg5WCvXYm+/YUAHcgAkSselQZBSVOLtx1FcvJpaiD7bkdzpciR F3q63mJQDb2wU13mRZnGC6j8Yt6GFuIHHGJZGKV5m2kAQfqALv73enmc6Z3OR04ivII8kEzRxdzM MW7bH1mVtlmHmyDlX79OqNgQD+G1yETNLUWazZlNFvOQNyVyOAoNUUzPrusrULTbZzWsyAfkXyf6 rjd4CZ2eSGGxVE3SVY+HmB0ml4kcYTZFoxmIqi6bkbQ37Qq2pd2V3pbmWDCzgn/xrZqEB+tYPZqg Tbzyl89fzZmsdPGlDQejOg80MA8Udyijk9sdrrjZLvSVEL81KEKAzmKk1lHserllsmYFt75iXrbp fsfFIcQZhonjrORWP3+4mriX7br+ODej3hQLh6Zwm8UwjlkY1hbW4K395qxftFYGaN/4AJUKkARU 4UfFAMlXKvFkgTBG79+NsPzIbDWs/ks3+yh8MA5xBSAeSAB6vVumxxgG5VV4OP8Y36NsaJ8Wq0ej ZtOJEL+U7rkRckYphOUHgIeYp8k2/3J1gjikOj23pyOEdg5yMDA1RLZJ842BQe3cPAb2pUQwLXkU Zer52KQUEgBao1nt3dkl53xOKOsWTrhu039vWzRjL/BMi+wuYKNeDHcC2Z0ax/IP1yIgYdPWRW4C xlHOVWPNzE66DSGtfDfDr8ubFRyL8Nb5bEuJ9b2fS4Tla7V5nFyQCi+kvdt6dX/BHq5X87Kfe1Mb vWTPwR98330JZfAgv7SoxnHtFNy+flpflejhuI+AFwFiU8QxkC/YDkk+GhYKan7yDsvONFe1VEam 1MwNCPhCyNZ4ghp5zr5N66hKWWJTaq2lkUkMaYTZKr5pc/3zvQXU2zsZT+KiE29J5sS8UJmsLQ91 3CahbTXy8GtzGyHwmLniRBUOhoGcq90CIYamNNmq2x+z/CwYmVF3eq6TQOZ0S2AwwI/OAdEgcq0K JPpMCeQQzqFLAnMssVGJBqlkWbJXHrG02WQKfswna18o4yjJ8IoHm9I55wBxKrt3dSmj6GYc9wp+ fgPS0X9e/52tGEYVZupNTemnkwoRJwWPcr3kEuc7nyoXyRKm7rDQbGbFTZIJit3bknWpikLf72Ro 94FpExlk8iSZefd7EJgSvqnjPg4+Xeqgi1ANOKNmSCwxxbTvlhfr6/xwPYDdtHRoh1lsJ+uNriaX Qcq7ekBErpo4hkV1V9HZLDZbTbJFJi8HaUwOyg5rZ1AjMIm2TpcbifWY1VLu5f6lqEKXR36E+nmu yg8Q9lrVyJ4r9NJYdvUT107i+pGE0mv+7vTgHGgz6npRb6i48e+10UgdpoWLR+H6lalGkujq7E4t GrcV4x62Umwf7JhI2vjkBoChyM3YA17nU69FzcW1otPEKZrx8feZ2Vht1GszxT28MBgLNdOsFm96 RHI93om1uIOymQqOSmH2KBzLIZiTSVLkZ94cffDhpnuwSveBSQuSuxUNoZwOtVmtLul1sQZdZq+A Q7EpPKOy5RAwyfPZPBxZdA2lypYWIH1qF0U0qzyh8GvfwdkAU+ErOSzI6xEhZP6If/xQ/2fn4EFq vaXCcin6SLrzZFmndaSfahwbPrRWwyPF2teFAoY9KNavfSnnE1t/UEKRBPBh4DlpnRwOLg90cEFK Q/bniMVArfCb/1vpYwSMbTCM6+9957H3AlVCMg4nSJuic97iOCc8y5wklKIDNXWV8E5GMA4jkfE+ jhQpvyfjzC02//eN9+UEPf+HRoB6ZJKs+8betMsmUBFyHfRAHx585SPivkMAZvnpyi3R644iSDDN C/BVW3MUvaqaQgyPUYGR31IsKjwD7FOQ4dEoQ/hv3HlUipg+YOUruiN3joy9fAftjzi52MN6Oc9w dXDJSlaGz3WPsLlmjWZ3PEoBBo+0oGjy0nBxR9vrabiM59tVxiQl8HnvA/3J2X6xVm8uEumizW6h FYROorXvadwUgWCjukS+mGP5lRXSPhZK2pv3o7+Ci5QiGd6RrXhOe/xMC+wmn7a9bQN2sEWdci9I yqTqtY2AjBfEQaaqAqnzmVn+uhByA3tKUFLrJiYNEw1mMXJUnlJify+r1VlUyKLiLzAfVysLswWz M0AfM3f+1rbEc/O//CuRsUJ52T+JYpm9sdIpLE4hYOMtiAiRIsru1DIX5HfTAUtmDZP14LIPt2rk zR+8fxPeyz/bSoU87DaofUzcxW5SeVlMAsKBXbelM1pKMYQxB2MXb2hfhVZaDyftezmEvTQj/T60 BQ0n+EhzH0GNqErSAwTcNczQ9BIwdulCilG2U9aK27tzlnPm7Sd4ZO2XEbS2ivfEVsZa057/6oAq bsKpHtv1eS7UVt3qy6ah52S1wIeIRfTsHVc89rjRWBMzQ1xP0KCkaZ4JI0it/jbD8cPB++SR4ecA OKE7lmZSytpVyPOoAycw8eiLFBOvlBbsxZWIELjDfHVdCRY5ZJMKnsbG8b0fazwdg85LHiw66WlI zXgxRS8qybc+CPfnKghPQf4qixkcaaiytJFQFrw6GYaNOrnYQGZaJROIfmKmjx0daLcVCTjAP9Af 2vVdZPibWmnBGf+3zKWTLa8CylBgQ/qZIC0iuzAL4Ij3wr4lWF8HnrleNVnL+k0tI/b0eLrkUPyl LUeUgRE0q2+YxhhCc5xUy4zzm0/R6NcZmwWauU839O+vdIT50fYgQKcTRNTqgIdyZKX4Rm4lN8N9 i/pt637dha5o8tnHuBizu3VcEECH90DjbD4XsRLDTEBHex5L9wQ56V5CcA8mLf1dlmArMvXS6jb8 SnDBUUuTg3qvTO8uJKC38k6AOK97EDywuOMNabIRR9Wy3GvouDEuG0stbiGny++s6ZXF9Vun2O5B aVX+ezM5ovldBgFnh0mKUtxK73pcrYKCvcOD6POVBZ18B91zoPVyccw88hATeN47jnHfgrfP3X3r c0CrWx0eQxLyjM4Yh3w5Qd3dGwmjDMXORWRaDOi8vHAvjLc2Y9CElL7m/WdUg1xeYYFC4XTbCFeM lmMaKfN5/uX95K6nZ/E/ZtW0Ffu0OO3xIjP+BnPhGLH6k4Qm6LtlKiCtwWBwBZ8rCXtmpU573lGg hlxYRNqKZTZ+b5cw8IT7HUChDawEPkTbp7ZYgxl0Mj5pe2FUdlbqepzacoTWcR1MMdgbbTztnzgK 1ZrrLDLEaU2LISzI7o/AKXiB8CoqmB0M1uvvTMRJn0VwtYPgJz13Fzp4hyW6v7PLMZK0do6cLYXU bOdJAPG/c+7sldKlspuy+Xaj7mDM06WhYkR+D/R3ijA7YZYTOYidmRj5hqEHGIZj6n7sqMLlMvir dYPRvZTn7VBwBTtlBZePrtzAbYKxBPJccWffSzjLPaZBA/jd+PsLuB+MgXpZCagA8wje5L9QAxIC sTBuCAsbr0gNgFHXSeA+BOHv+2mQ+HO/QJBJ1rQdvUnaJs9kLcXvVVsXTFKZHJkkxz+5mSzFmiMp ChyZCvRazbmKgjlbOYrzMoMidUpYTFqh8vebH0MgDPtYw2MH16kFq7n/3k0H8Yw/LJbdaYGy2Lpt z0s0TrNVneUJvQnbRZRw8pjbAm8Xs9W/8DTqNYdCpDHDRTrMgWc/QfPxi8Z3wFME5ICOAuBz2eM8 dAU/Q/JpPYtbWS4PZnNRfHxkyRFcCKwhLWSsY7LybCRFKQYczHvxjIzeIuL44/tHGsNChZy+QIme QPdfVoAJs3Qe8Oe4xF+J9B5X9/z5iJV3fgvJV12WKbiAoP3MI17q0xqvwVe2lT2eXazmzv5gOYQf L6wOaxNYxMCZsFO6NY16O19ovwSac5KtxUAvCvWNfMwa8c04ELbHPptb3mfIpqaQbsakAn5at8+g GWK/vf6TpxMlTT2b+PkjFyiAGj4MEIwcWnZjAMCoDV+r8CwGeb1vs6OVE21fr25Z9tlsi3uWZ8Nz V9Hj1JKNjhYr0ock59VWLGQ7MMu7hAIDDjAxWZbNOF/l0K2dmSPrxbgsxcbQqboT/9BjY9JUUlvM /1qGo7GcjNAuxubYUSpMaWE6PamdwYjhBO104QmcN6AAI6FogLTT6gFmlN/OLPMbhYPhNwnxHmgL es+uyMTKacOiiKDDxpi25jSM7D3iTYryIa7UKsoG6nOYwGtH24DaeDgwf7p73FRcpf2ArmBWZ2w/ iGea0wT0U4I3oHwP+JoaYpippSRgnsuA3XfvufPQZUR/1yBSP7SlJjbtVKyirCiCSj/zxnLygrkq 9V9GMy0bLk7E5Lvjm4dXiPuqcHfCYvgBLrgIoDhj6pPWSx5YT/FWne9Hsj5lZbUqdEN7OH0UBCJ2 NiMrSdVg5rMfzFUFH8MRwJ/JxMxaef8gy2gvPsgUFlQm57dVEFL9u6KlIkWHZbT4+sprElhXlmOA Njluej05Dq6njY9wqIDmOCV4fYGsQ1qGTlLxENuSwC+l2eqzKtIhXeMRmgHTA8D4QHg5hjMY/R+n sdFP4LdMOzc65XPYdEhKtQOd+MqC1CAb1Q9Y8n5zIeU/EFA2v/gxKnxqD1bTkALiU1awyzp+2MZb O//agU5c11vABAk4g3ceEbZ/B2s+tf3F7CEGNWkoSEi2EH/ysh69pnun3T0lAk75k4j/mvQ7qg/q DcrpGl+0GHY1ZEPBKw/KRWYIs8IfQCR2dxe2UcH09D3UGHfHuD8VHTVWRSDNgO+JfBLSlr22cmKS eqdWfPPtTs0Lyb5z0gOSTHuAa4a7G952QYZnxTcCXHNwn9JUktH4WeFp1IlKXeSZwgpuas+R7QxM OGMdn/RRqSQ0lTXLJXys9dsGPtVoLPHc3zeGs+QIVTBra5Zmj2bRDCWIvT7Mpy5GQI9IeW7zPPvA sjImxxqDJLux1wOwg44WsaLOC1XTD8FHfCOGqWiRSZHxJwm0Qj5YlZRRvcHLYox6PER3gdxfo2Gm TQ/X/JAKuaHjAQPk/EptwbbQRMk7F+9rYju/IF1nlAxdv1PKyHKYOHiD15xHBrcoMJbruV9Y8+/0 KoKTtk1Z3Doev5kmg+xWwNEGxPsxySXxU6TfXJ9FXR4AhtKaCJJdCmt+z+9dmNGD0W5XPtm0xJZn YA8VfwLmSlNlb5wRO9gwWaP/NG0BUslM2bjpDkMgJblPV8iTXTWNGRFN8Q0vwwnrPGC2hKTX3fJ5 WkF1WF0uGoSYMLzFQn3bexccturWHlbwz2ImRI7226mbuU95ju4W3bcRmrz2ZcxKkhnkqyV+5HMf ZPyhempc9C1JwbpIal8UA44LfJqDCIqWYtvQ7e/qB35/DsnwvqDJIYYbRKvW9Gap4W0yv1MC1Pu/ Qlc4mFxZcNPlxvu3ZjDU67EdW+nduKpYsHuug4G2uh9grkywGT0fQQ46dcpNwCrY6FACJE4hyrN1 24Cx/18GmEFwvDlIlwFsbhX7vKAm4dCiVLus5oCUceFJv9KmeSvudAB2pMzoTJSI96bNDUSBhJjC TM9Xe/xKoEpUNYCEiQPFMottYm4bnYsrsYT6LfpSkHUs2JkfQyw+rZKC6jgB3G7VvE3l+nGPmZTG 5IahMSmBVqBnPzfq8X3HNL1tWoBOhFXH/WQNP4rn8U84wfOn03c91+fcIEJG6SlveWqmpBqFkpUJ SLRxtKc9C8Bwzagk8+eKE3HYHU/amPLjL+a1pH2QmCePi0x4VqqPN9ERu9cTL6D+8MJ4d/KRyw+c XjrD07+T8eoQuHMyiAIR0/bzJb0zB0f62z5r1olgXXF9/hyGjF5TGxTVUtoUGeQD0nuk+1o0LN+D 6CjJ138rQwiQJFSBozplb4NUUvDeJ77/XQHeouKpWB9TSPPDJ/JINVxe4rNR1b2KU0fqCxiwkLaK nJsmxzwSv1f4FnFAvA1AMHb8ndwSplLzXtv07yJ6FEEHDZuAcdgawPOYEmbAoQ2saksxY7FGffPS 0FuePmJsKbMKSkux3oXVk1rzbZ6FzFsdSNxILMMOcZjwa1VhGhOYIzckBTpDLG1XG4JFbR/hY4A7 R+oy6IFJ3QJ6NmLCAvmEwarNIuGISCjwsxIUu5sapk/uojq6j8AFHL61dhkk7PUInj3qvKhxHokn 7J82PX82KwwY3TU5N8fRVipy2qEu8DjFWALFKwb6obg3At5tlSF9W5YPKV4lfg9yTE/PHImUXzYx VmDmuNF4mH6f9jhWlVIToOGp0vcYdDw6In97IvSLgw868vSLrzKOd9GQ9I9HMgcNo1Vl5EXFAjhH EGLFKCaJf/bcE+xJKNAye6BrHTYjbo6ox9sOhwxSMOeM3UZLn8h8ITKweHVL+dVRpGt89hmwlGm8 YGIWcBwFVDCHb705Hxbw6AoU2DkRaGrdSnJqYVK1mqBVAzpTAOSO9mpQPrI/2JfvQbVTYXlE0pnA zzgpF22DISA4Dpan19Ws9lX9m35IC6dS2W6YfsHqwtEmOQeM7CI6u9QN8A/ehxsW2jb52+6ShSde ZitdJFv0z8fSrJPSak3JlAYGdvO3YlEyEtmQWG/gcqWmghCG1t1MPT7SqDz5kDdS39vAiepeSNUQ qLhQW98Ora9nepkroP9OG8kVy/VI8IONtd/9bbKNuJ+ak6hBmTh4VaoyuuGejIlAZ8gR5MjDlARu Hz6b+Zxc3lW6XqCoMAXxCH1HE3MCMpLFlTGDQ0g9sGc0HmODg0dvhmyfWLLuMu1z7+BasUGA+khU VorSuZq5zElX7fTOWtmTdxduClYESV2J7s38tjCjehbNIXK4QKQS01BXsPm+HZgblGMxADqUrvqs I+L+D0fzQe/Tg+zjyhk13SASEEgU3b1r26kyeXIIxg0/BOZPLlxNy441m2vg5nrN63iFHOKaboPU CHnaqg5jVJK2YxTYG0oqO1cfhCRnvgP0B4lLJRW6zNYzn8FeKOv+LWwGdEc6mAaglUMrk/8ZNVjp Qh7NBVIghb6HEjWTjtPuHlr1a3G8TCmArjMyDODeIOEWRoD6AJNTTuWl60i7f3OenoW2pRWt8uEq WMogK0VsQEksaysXYLB77PTPVf/07KERxKXVYTddVxPsw+obQ3JwaK5ahWFpX2cDCRBKPVKAKKiY 63QDxcfbq5g+a1Lm9UDUEQ45QqgrZFP+8s4AcHYBKyJrAZpU7jeaopkQqcxUnVN6rC3i1QjwDGJ6 xX5F0JEdRxD+g2Lw0E7VQOZjghUZvcmWwOp9SwOohT7s7uzR83Da3SZiz58dRVNI1oWSNebL9qSu U1yMtctEzftf5n9LXeDouuzcJPqqjJIScQOqzGum04qfVO+6KQM5k40CeJMRavHhmIecj3U3nubK Nk6Odrtl98IlJabonJGGRKNwqNb+/AuM/hCzmSaIhLgru0YboKDFusbOV7gsA5iPIx5Q1hOwUrSJ BESplwKkzn+uvgPYExWaTtdj29lz8kAv99EcbgYahECdY2YXfeDxz6SB8D3iHqyxS2dOq1oLGLvd PZ+QS81NH77Y3VSqNYI06Zs3hcAIX3TlNlocywg02zZ9NVtK1g+ddNZUJjbU1hS/OH3u3s4m5eDD 6XqXkHaCvUdSmAUZj6vvlrolIZlH4zhBwD9huGHd2ZeuzLGJqCK8BHu3A4tAitemjISXzPMsvFRT xWvKPtxEVYumd5SR544ZronA0e9vYQOJr4Rnp6NlS70eSXVfC0zWul41bQ7FqukjxVH01NwA9IiH SZA8E8y3/CRVP8gJPhGQy1l2iyKUlN+eK7LPwZG8oEJfYGoEDIwE4E0tys3GMa0UfBWMAIheYknR wPnRvwPL8NtSxZGsQRTwy9vV/4vQIkyFIgzeNm8NI4k2q4IzyaK6MsTgByrH2nIjsEJT0Fv+im2i z47mwp0IwqpFp4UDdcGMFmtWKIueoPlJBOiaprxM1aI2jdIAl6rqmLsIXsaEKJOmUu2pTgDgdwYZ 2PcCB212nJr6OU3VtOFTfhuMdZcVLl5DvCWqzpLl0X37C0CFjov6xpULod29NLGCo1AlyXS0H99n EucyBStrq9xenDjhUel8XOPdotbM9QRIbOHHee6PQ1XQt1tUscyXP1uHPwHS7w06NVGfClT/JPMZ nE2UGm5K70tFmdwRb3Pfm8ql/gCssXv4kkgYQWQvMHv122XKBMuGiW2X2JpG07S3WbuILReOC0LZ 3uiu2sTx+aulliu40PODuP9JQorGN9CmNRZo3BHDCtOWCqvLXM+Mp9YZYdskwz8/IzWkOSNS9p3L 3hHW5AY/Hff6NaLAChgTKArL+osiTAaacuNwWQqAN1I6SX1kSZEOgAST1KtQCJz5B62m7aLyFlR9 Q2N82sQbV0KlhPhHnYjDUqH2XWgkuMQE6wXw61lV5SweGzTwap5mpUbGrOzJ2TO8U97kUYNZcTuI Ump/ENkIty/1RC6YXkMU49g/Jzd9CdGy7m2POEdyfo7JxddFiARPrqNWtu/OjaWk6+EcZhoayaNM kXfnlhIId5RXUQlOW5Kdrxnv4z0DlVFmF6LLFnUwbTw8cUzzG0lF7tAE9FxXbkvxj9MuRcbOiYKs Q5ye1rqf6dqjlgvxXwJFDhQHMZhaslONSg5RZn/jnAWrxL/xW6JgvGQi9ENuNN2RE+ff4I9YYk55 tblfsSQn7jK/bpfIOkLLbLsb8UnRs+qNL1CawCBh4aQgL6FvZNSlbWyCfSpuly3g2RTC+YqSf5ww FPag+x6ccmCpkiGkGOp5rM9guHkSbgk4opF1GoDERwjHhAdVXg89F1OzYugTnKEOYqxx2uBP5RRA K+dB6o8V64gicAuAq1PV/mG4BWJr+A+xDFBTSym3SDYni6uHpjialao+mH/0vB25PEMSSUZOWTNy Sl3yGwi/bM32wNIFQxp9QvN3KHxVrAfPt0TliGOytZeCpfbd0U7ZUQitbHB20so7L7ISZigV17kN SM6ovKGwd2vmrUwgNnKFm8pErgOI3xXhgd+xBRUs6cIxxaM19rwTgZGoh5bdZ4aHOOAyoyu3GpJy PygrodKwIAZnJ6TmXqJH+YMh8xtcZsGTH+2fgbKqWLQlPq502nx7P+u/b7pOPtJKXsdeh59f+n09 rDjJ8QkZJ+LSskJOtZjN56sPI/usoY93yeMnvexUZnxLQh0jAVA1R4hWDc60liZ92dSeZvbf1TyW RI3582WO4a1+HbGJb0IKUqBZyPZ4yPXRBE089eUH5pzToxnUAXm/qCu+04O1Uz8R5Q45onbVvl8n tw7FqmBvulZKiP54aREGtWpZ74xZKf3P5wsYMvAF6dy+I+kywlDd8uQibCHhZobtaME9TjQ8xK0i zZaHkZDN7VqTgX1BSeZcNgtofOq6o1iFz9AvdQ4akpDfRir5gb7AJfo6ZL7AhXfw9lhpoouG1vf7 oXae8uxPUKQoPqj0a3c06YDe0q95q/HyhIAQ7w8MOASv8Ry+phf72WLGzKvlAlY5ABLmo2fDZq+b dSYsXUDcY56C8u5njPIsI7y0DZjqhK9UDHXiPV4LRxTY9HuVxDgiIQXGlOocW1xk22Y3bcvAaR20 SK5mONxqtvuhHeHTB9Lrb4xp7R2kphJ9vVzHBbUdiQuoJxA8VjFYa01I5D5pQA2IN68yFaqZ4Wow aW90rw45y53kAXzyMLLp3rvEC9/tAzkagfdhRuI8TS5zicAMMtEKbMq4ivzxt8tti1I7lo0c3QDe G3jnpsiZnUyUl4gc3s9gzEuNGlBt0C6stb3XX8OhavQxXBYCXyx/wbjzD5Y3JUf+8+fUjFmLBvJS h/b8edAlZbb801KopsKJSleVc2obENL9i4iWWKWKxsK1O3+zP67rwqE1jGOL+Hwd7OQ3i19BA2V+ BJCN5J03REuNu4FOdMSAJ6xAsa1Y2b3ARxnxiq14AfHkXAyqfQEhFku5yA8noDMxoeYIzTzv0aMW Eir3F/dgy7cTKaCgVVXO10jC1CmYwpB1wXhjFUqzI9AThvPJ57yu8PudRNtdsQyM1sKSB4D53dL8 GssSFJyXPlF8uDWWhsCXfrD+5vw32e6cYBbdfikZsAUQlRPM2tvm5TT3P6zC/f4YHRbG6tZl9JqP fIWmU8O+14GFj42OckGPzjz/exNnw/V8wSk1B3KJiB7v2a+GAoipG2iWVp24rSIUyz+k1TRoQ/8y Du2MgS96vlm4PmfxM3EMH2B5LTe9Nw2D+mfV8ioWYCg68HN4I9vTgj+LgFAwHkIAn3ytFLCOvlJk Njrt/kNkW12HrR3itiK9mGafyAmd+StNY2Nkd1PMV68IUDoFWDVgoKL8dcPnRjhttIx71l3MR9kW WPBSULkE/wDNnDmm++mmKzz16kSuKCeW37cyPRiXpo+d12TVawPD/ArE4C0Y98219ncIygqkTwUk dhZ4COQGTscvtPEh+B5Vriy5H/XqYEGW6pgv9xzjPhtBdt3SSyYeUGCXsqe11vCyVLxe6+rlC7sp SIRpJOARRRe3HuYbmWJPDZFLyCwCRx06Lcnpdijd79R2iy3KGqzSpN1nvpaaIwY6Zf1TA0pe4zsK Ucn+m3JeZvORpnMH2zJXdc/e6jVTxUpn2nLUFfuV6tj8+jM8oZ0CDOrfyQ3O1vtOsogxdP1eZTAE S8msnerB3DQp+hJB81/D0GWzpLKRih2Yi0iG2RQlmosPZAT1n6f7Tjch8JdmN8ionhLbXLpbGuTp 39ueOomFdiltLIyqfly1mbQGVGY7cdcGidkZrguJ+Tc8tckJCCXHj2R6QJZHAskW38NK+dNuF5i4 ILxMGWB1R1cuWaF5Uz0g3ouToyXiUhLKTY/5lY3G3qmxxiNXb8cywaCXqvgV0Ww6nYj9vZInNjH1 f7E3dx3MHAV4QNi7I+QclJckHaZNIQRzayrekHiFFCk9D7pzK5vZhG3WzLl0nwDw3KzwPt2u+JXS m1vB1WjeKzdigEniXwcKj7AVa96tjKP9JS4HgghPgb00vK+jlVSI9/lQw8/mrtsCmlTmBv/Axwsu eptAHy+TPckFQdpYdg+mldn0jC6FgByiat5zKDU4A6xToxmrKZj++QPbCO6bXkBDYmIhSfsBAy1p 0fqiOC6jZs2u7VM4wU5sSOzED39d+DwtqyPb9XFPcM+21qIYFuJZtcJJPT6d0GBJtSRdCQzx15nS fwpYZH5VLa2ZFTYKWR3fvGVOtMHm46hGilCi65RWcdjM4exxFpdbM+XAlTWDGYrQzRC1iAvWpDFp YIxHwkRhbWwe7XGdIcfXRNv2aprDnPOPiNLg0zPkhwOCU4bgVubjG6+EQt1TfJb2wpIxV/k1002p WsXDkfqsuWIvfOeRDrEE5jvU4K2ujiZpDG8rrWFpuA1ACsLTAzTT7DKk8Y7u2z7zYh05A7ZNVEfJ YbtnoYpSt46aDLbfDtii9WsFtvpaMPQ6s288vOo2RYZvuL9GGBncR1VAgzCr7jff+saNd0em5+0L In+6DFJ7y9ftWfEc2bMD+5jiQdE5Pz2ajek/xZIehzsw8vqLuBtL7YeNeyY5wDYSY7miuCNt+TkX Cqwo605KcZIw1V3VAIgbYSiMy5hFuYp/wUwNCBDpqqTAT/torQmQrIH1DGOaJrzZ4cTj7ZOnvj9W jNL45RjpZNBzeDjgItpqwUAK0cldsZ7eJd2Ocn4wgbhA3bdGYBth+ghcDNVsmAiNZdCdnxhBlUyr 9EWdqUKVLb0X+S50Z6i1WbkUMe5h4lg9bdx84LP4LU9n4Mg7GGnp9JEFSpSjPxUZn65IQI/eP3s1 IPg+RuwOo2RgVBRtC0LBn2SVqpVwQW5IhbDwpsH9L/ucEe1EqBByjPEUz+odXHIsRtpK5boW1iHR HxTp5KqMnpR4QTo/0QY7k6RQfboYl1DZl3SaLjJnHaG8U1Z5zcWkr3yQoOrOxhO2uDy6XIML7MT6 nRqtkuHfsoMOe2sd/dqhmcOHciZYztm5ujnk7Yyp4iL7yXWd3Zx+Cpx9qzB4Ngon6ENybJdCQq2O MeHO8jLF79gRi7DJzXX73ZcAYsLkp5HaLt4h1uGA+5+C1oSVWoMrdv2V1qi7QOKZhcWBm6DtQ/o7 6tEt/k7eBfDw8oFdn1iGaUxWe4UVKygbX6FQC+KVzN4c7yn7K5KDcYH2zOoD26O6CqglpZbrJobm QRNkG+ikvS0YXLdIrs4YVmOe2yY3bocimqQ2mx/v/NkURYcjazRzesbHU7i15hkVHtoTy+nhGzCi bSuWucAu4OZT2o/UMlLibAGgQVa8aOelWaHpif8pBBDBie8N25YYlILdSFq2XzSnJQR/s3ykq6nd BZ+lSGivqSYKtytqCs8xneB515UY0FTi/cdHT7NQAxIFKJnTS/W7MEyNNIWzZnPZ5UjsFyBtgAzN xm6e/pah9b4zV+c4dbumnYcDrbTo4OM+LMS5Si3Y967vs8Dm7daXEQvKCH5JxbW8kd59qFoMxKxW 9z6mcd3azcvw0cG+97zCywrXnJK+7SH5Wyula67MI9mZxZDLDI0cQos/spc+TuuLTvgjOYwTppsm sTOdf+AOk6gyxfcEWq9qqhfLZm/mrDcGwx2uBBCtJRov0tBag61N/+Rn4q3wIR6Vp2Ckd6K7t0D5 RJl5VyThOyE/cfpRS7mJC/TiOr2bY4TTwN+MrYRaUw+vVe2f03SnHPi7Icf5CKDiAPE/eQLiX4UZ ajRyozOJbAbxR+pMjfiPmZKQHQyWDaDSF11NOIMdaW11h0dyv220iH3Ya6jbOVKDV5wAWXxlBEr3 gZGtXHWFjIfdhPBD7sbovvNMQWqOLOJyigPzmwE8UjGYS49PV1V22Fx7hPK/sfyPDnHE7Hy6dUhn gKFdVJ/G5RVjye6B7ldXaOPXUSLrdj0Kjd5aOeC+4xOPGEkRpAID0kvrv5zzmU3X0Z8hOQmos/Z1 zIJH07Kj2tYkD6zVDImvLE82Y70fz6OUbNhLXJetwF/DHFXKl3oI6vOj0mjIEVbDkCbsEUsbnU73 tGK7EAeJqCQS6LPh8h2yXcJdUqeYhn2VmZhWCTaAWGqWIsOvWIAik2zI4kH6Yps2Wd4nlF5eRH55 eh3BBydXSD2ufy4IUnHD7RnOcTGsi0UVszDkd19QpVIBh94m7b6QbXXiEvvyKDbtzYIzJxdUpo07 i7Z0vB9gDiLLPOy3+Wtnaty5jn+A7Ahcle8+XXqjiHyerlNUqs68z0RXBsRAPccGqvWTBMbzu4jS Ze9GpskQZnjwynwwzTMrBaQrMzR3EGTLJU5yEH6+D+ETD3ylfjIYKmKk4XG9Bh0Ry0+lCg6wnt2o abGBrUdyHdcxdm0Mh5dekPFkMNHAjr3tN+g5J/9WmIEqAeUV5Fod6JbJoBOYYYLPQXJ2/g3oXbsd nSWOpg/4tkbGngNr3c+W4z1r4Y5iuFfW2OXkPp2MvpaT5qJpHpzvHeOOfJgKxzjOznQmWsQsVVSZ mvHHkCmts4oboLSI0p/6MXMKXWyeIGB9kKExNJUXaVnbT1JpKjQQS2dGmc7dBncihO79XeUC4ynk aQw1PFrq/oOCKBHJn+LF3qANVXsUYWWDsxRFzn9IC3bTl+jlBaLLcWfGtrsgoc3ki5OQhXh6extG N2dLUYQbcTtt+Ecb2rpuiXWmO07eGyVe0gKPiA3pCvd0hLW65iEeu2PHSqs3oS4O24+HzGBUbWYf dcx2e2zfnVKl+B6erUbVf5S0PcDOxY/vPP2b9rtNu41WVG3PsfmqFRtCUp1ywmz3NPEtdU6r9W3I pKE5TjOLHFNiSoaiFz96eAMDtAQD/R1PaEN+atm20h0WVOB638WwsGo+rbf+7I8s4Hmc6/T+7N3q QlSBduuy7SYCEDYTFEuS+yoHM0Xcz3nOQQHmb84YB5RymMC1L4RvILTtMw31662LMh5lRN8zdifU DL92slKx/iluDlVrS7w3kgopvWsZLvu1zyYtRd1FnOB3rz849+2OUjN0hA+yLF+anToUpmvRnsgI 2pszE4nY9MG4m2I+cl4U8l7YppS5Ff74J57ltc2B4lBA1ZtCqJZfz8WmV5nAljsm+ESywgsyrJuF ddzwF71fMSl6BSwLVTVTw5CYirm0Kh95ig4XjboJXiKfpuS/2szsGDnhwE5KVfRTjvEbj+gjhgwp b3sZ8vY+UzIKwv36uZnKhdO8JSwc2kQi2W66HTxh0fUbPI2YGc1bSFUzJLfQGD+yLzR6q7uV/IyT G16cRUFSZaacvhqYRV/uQsT/ON+0mgvhM89ncTuxXnPf2WurVa4qFra1CNxjotYGL5F3q7Cm3m4/ 2/BEJUR4T79MiE77bnCuVd8+qlPMMrkGCRXFHsIOAMFgYq20zVfLRtvXXB/tCuwJq/yriO/DRxjr eWQqG78G8I677sJk9GQ/TGRWdZGRHpHt016PwkgW7tV9NizYVZx1eGVfEUdvYA05oeJDdmYMsR9E rpDptNh9OmtOMMgg49qH+Czbsqla0v8N+maFkrB9cA1iX75OgBpKy6cS9aUS/3OcZheqkZtkWZpv 6MgQli8T+ibAEdc88tDsImxdWwGlKw9t18rD5rv1RqB634g3+GoseS+cW09nU2IR/CrccFFfO+Gc sgBNzxLWgGc4BI6HAtwgcsYb8SeBXJ+k7AGBQeKYraS0g9qIyBdER4MRACYj2RZNvxZzvGm94APY cQ6TAIuCHHE8nYtgPZQlyrVlvefIIscym916FYkt9ANxoMhUmB8YKiaTOaa5/Lzr9toly/bpDxdx EBo9F4CSuzuXpXOAikypGphy5DMKOJjJxGBtlSLAlEYMMN/W24sItbnCTNNMOFui87ArePr3JQnA N/27c/s/cBTJ79QDMThl7CkZzVUq68UxdnToU10nzEfExxxMc9T3INSSdfAWjMGexD9mzv+2xD1z Al3Xc2G7PvHa+pXiL2Pei/4w/lPt8ZMJnHgOiAWxLeDPLNQhHjcw0wkKvDSAoTNG9ePi13Pjd5vk 2Nl+KtgMRcqqN9Ly8lfCzu4N437svtJ9we7CWzly8uBO/VPvEVcNZiDeTFjzdpjN9x3ky6Xh16Tz 63ubXxrevVdvype9o63Tt2UOs8gWICZvJ0WF4QoczNxn6VfZFUc2s+g+cEe5OpCF2ZyYpafJuhlP gyC+EJBseWAV6AgokhAvxmpVI5cTl/nmU/vR78R18CYIg3Zv/F5EWnPs+QO9ptKmrCInFUG34l7B UUItdpKUAYhd08TS/0C0vFv7ruw42DJLssL7AHeCyo0VqGlrLEVD38OPqoTETwBgfMwHuGAxHX3V 94mP9G/aZYwcCMu5xgxdYSEpa8ILSWZxIz4zLHo/BWFWSuIPaBS6DQQ3jFO3TxBsa9f9+EYki2rn RFRPHBbxurd+7LVdu5Zlps4Ql/fM3Lcp1rnBWzE+Fu0yMZXcHZxdqz+d11dJ7g8J2IB3aL8LcjAC QzlX9EioXInw+UM9MMNI60JpeW9L3V06OCHiq9DbFiXfQOCgTiZk3oRCSjMOdmOScptPBTmSKrPW SC0cVYXAdztvtUOV4ToLH1+JpuFQBx8PP0r3mhaGXa4FPytUQwoc4C1uYiNN5l0PdhL2Aa73YRkl Rmp4oqiUVszEfZaJiQBIBV2PjlTic5GuCvueFtVgTOlMdFRzn1fRfrK8QsN9rgBofHj/Qvs7z9z+ xrYscmU2fiywnReKPJLHe5CSDZgQtQXB4ukAozLNHHCDjxhxOFHoyGBKEcSn9sM3C+cn5S/nPdFi IrCPciRSOAjuB/pnDKk/iLojbC/JBcDl+hzI/H3Bnh5gN1Z1GKB8Eu9hAJBNKUTJfB//1oAqgfvm /M+RBx+vHqojYwhuQqqmx+fxJw0y5m/G6EsJbmm8uF+1IYyRbWGcU0DkNYO87iHBXCkmGBKBSy4A IvVVZhWnDSK5MXM/P9Krwj+RkawopGXCNQXk6dpm4Ll5MO6U7vYip4DNW3IKPgGr0FloxjtI1xg2 UctQr/IEcHdF9e3PlpTcBtc0NLjgwn6HZuKasbTTTa+yLz2Xdt/VoQ6nFmzUQme2qozttnBuOi7r sxJdrcvqqDldCwkWucXYatWqowuNaLmGXfg/NdPQHsI/+v7YWcP/qkpEY2omVXro2HrHwG6jGZZM jEW+o6HFKLbNkKV0r/w3RUkqmmZX3qDqjkzbYCLCRn7RIRTkV3ovGfeFI39UwOj4zj0FHe76fGp9 XqkhjDHOFj4LeoH7EeUB8eYEVDUS2Ny+9v5ZIFdWE2CbYbo5TKDR0bAuyVxGTGjKRBKCYMkalUTe e4ZpGdiQGpJJEBO37tI/BzVBOnc5Ch0R5WWQfP1I3SVRm8d0kw2yBUDILBYjudbdIaFlhHMnSXpm hT65fYBs/fsNS7F5kikrwdXpA0/P40webzFsIDaKOuaQQzGpBnjucLzoSftEIcy/4s8W87kP4s9i UX6+7F1cR0ajnwTFB+YITeNKj8LozAauEpCm3+Nj3/jOrIppFAUslkSnTvqC8PrqlckvUoXkDLep cFMGNOyr27ZIGflBF45a1J9/+xFQeaPlHLaoFdxd/Y9xd8zx1QaQO1y7IEdXZ+EnBB1W2Qe7x0cE WOL7PBWaVwvTEfV2WgVEl4UXv0tEJSZZDYhOdM0NrpgljN+20jX0YHf5R6fk/dMpPPU2xpN3Lkxn ee7oNLVXedWEoEJsS838fXjOru5Zp9dQJqb7ymTnaLadpAhdOgO4xusWPE4m4NFnvn9p+vdj70eY Cq20Eg0Qd5y9rUMH3NgoSK8yP93J7DBLhCa2DT6txnAQhCGFjVb47J7HthXj1pmX62c42aUqrgt9 Z4I2Vu/VrEwN3s5u72BnajGDaQN27BrbZq74k6E7GppAGvFGrqiM3RzqYJLgctd/6Yb54gMe+Uv8 XrucDlnQnxQb9RdF8ZVcdz/yOgquyh5vol9XSjz7wLilzQ/e1rv9ZLYB96b9yMRiNLjvZKbNBtqt WPk/9DGBevdHPC6WisnwkNX+qLf2De4rWkqyBpKHZdKd9CNvwQlRS73karBSvSpbntbVZrShvfd8 a/q6RdL+mvRr9ZbKGn3SsXbiEupXlZ2su+A+PcaB/xpkV1uU/b+BHm15ZCa+Y8TB+R37FBAEKr63 TvBOMHijNbBUr47Xkdi/wDv3nec6/jAEbJL9baGDXmMc13faXZ1hiugm/AwakbhOrazjku65WxuM W/zxW0XUoozErWR8owm1Pc5AJyoZ0hx7xeWpyaHkLMvM3cDmGLx/1k7nVTDbrXO8xeZDTSGWOszI KsoI3l8IGwvCrFPGSv0YabfFjsEG262d8ScSdoTsPN+M9qQY0UmJp92o0m28juzo2maW811Jkfts UHfBV/NcynwheZ1ZP4/GbBf/fE6FLhFWvb45zKXhxOMJ1hNAiVdWl1GAuJj1g0bXyBxqgMwyZsei EhsQReU4CXNncUIrkxVUS4PJHtF5H9OPQiVwHDKXNokS97KF14tLXXU3mzMTSo8PYVb2YAXZqHJI 0FuVxMdWoqX7mO85nWbejSVE5yfqwnomixeH8n/jhhKyMidO9w0FXqQrW06kCqSrMKpurH/qi1Bp nwNPHD2nB9wV8mP5o8d3zkcyG+DQIrYdFF/t/HhwsVXQisKH1MWxxE6T9rti4meSl5ZI/M/rEESa tQylWjHWATxQZxIKTgyQh/XNiC/QRP89vd94zieDfrvB6bThUFisGu1D+yj080WjHpDBngmRXOht YCFnq0jdmHt9RNSr5Vmj4lM90tF7ppMdUzBZweWqJkuD3B4X880+5m2/hU5Y1pY96vym6S3TcmF3 Sknv5K6D5+nbC+2UbUYCFOLoX59XpKEHGpoePc8unMlJ4nszWzIyZis3Le33rHHlxq1vqW+lRKiq 0Tf2UXFLyZTO08o9EifDem5dU+rJgKJP/A0K9ompjOvFvDyYu3BYfiCAZSnZUjc7Co2l/QYaLRN2 vvCGcfIQ+pbcAv0RziEyPvUeRoJtcn99SSWjsRgfPRvhHJVZz1gWXpXwpK/AxszbFGqQbzLU1xX8 nDKrq0q/IwficI5afh9MQJzhZRp68SG0Bt4J6eXslqqRM1BvDYCxq4v/cDiw66dmzKT1/uOUlzqr GzYM87zm3H0D0Bu3HOJDeUJkgCgKCLcsqGXtxrvywg0bvtZGv598MEpKUcEPxi6N1HCXySNVpYz/ M/xJhiYCNbrv5Uo7H/7qJzLZ6HC3xVNjmw6iTs17V7cBrS/bEWvIh4nBrGgUc9ilSsONkQXR7qlW 9JmS8VxzD5/CLjOUoVNXaMQ1VKw67oh+uOMBrHmscD8coGX5O//dsuu4aiK4QupwjTt+5LKhs1Ab IDIAivEoqF8b7oXqmf+9G2r9ajnQwkVdrgAk5RAYmb4OXCzWLxmQWBv7ojtUJF4FjzMon3j3QJbx 4h2odKTNtFqCRxBWHanjiwvWo+8YOHMej2TUHOjGIHl+tkL/fGPr2yof+uBjfx50E4qeRH3b08Mm Ge4XF/36b59F46iEn9feDn6aK35zDSa5uvZSboaqSDsOr/P6/hnASg1Wz57BaLMWQSgd/ZVk7GGh OU8kNr/J92PDIQXe1yf8ciDKxtQ28SQFFCIjgsADRYcdm8prqVC2IVEOWm1UqPFl1TI0qK7BfC3O 7+c2NhRoxGdHQAZswAokH7iFHkFxq2MlaWVQ3l6NXH1dZszmRata1si5xhIdxefcFbUNUlgbbUGG AqcFj9A+KoOg1JNCGuy1xkRQ8Dkdhk9bT9U5nbP/Eqm2p7uINRsT3iJckKqpo/C99srYf4ZJwXgg O3jvUQzImwLu9aPoNMpgVlbEj151KfGXiYDmigvefYVShJqBvTTQ47TT844vNcDXjnYynMDuypVW iyq0ZtDmmSYctA27uIjC5g/TQilJhnMmVPMk1LDAxrFXqUxUTwUzqsV/0jJsPltFiUkIQVhd2cx5 ZKKxmvuDVquFL6LpGYnDDghrEwraipILqRu0TaIr8rNwjfo2h7I1hY0ySrC3Glk+fIzOklndGFzg Ns2MYYz2KJaybq2L7nGIyd4ryiHaon8/4mJaKCzlj1hPGCsTzWKM0gcnPsAPcdkjY54Snk02O2mP DfKT3kIiEzhDCL/1iPCk71f3jRuYPdq6rU9goNwslEzAEFfAm+y9CMAOGzc/qX2oJwEH5izTRaep O419IMc5lIxxNDeNRfg3ugYkAYp1bkHqXzI+jEXBU92HmjyelmH9FvoU50aT2KqgdtdiUxfSu8+c tDhF5TEYJP1Buqe5luTcYRWMvCH1v497VpftHt390xnyNdywlhkalgzlcwXA4RvAhwF/WcA4D/PQ HwZcRf6BQdPeGm2ABCakiPtFo2aMvvZ+/vgQyrRGZrJ7RhMo5Vsg26NLZP4/J7k3cLOc2mmiWnlj 84Gjg70NXJjpu2muqOWdotuutHPKaNt5wrk0twbtftXm+cFr1Jd11QH7uc7SW21X+fFFq9tbdCY0 f4d+gZpXQAXk2rlfH4Fis5xisvcnEMNbhYAjY/YCyhrMRBWQ2JItasHCVFYiCnGCMjZzLOm2rulk yfOt74l5l7Vzqh0dNhtHx26jj2BmW0ZdNN+6LyC6cfuspV0zEkgky90fzhGXwdy+Q9a5djuEM4/q RfyX4jFOK4ZiocOEWdAtjd1fipJmmMhL5yMMgQt4L9i9wbnKMshJCGLjpz93nLczMeBLZkJfikVY 2+3w/wjm1oLqqRAFmVlqDyVAszUncTmFshUQcddJpx3WjlVihAsj55oV0RtECPmBopPwwITulATU TbJNHKAVb75bIDPQqs5FbODr1qdndsHQ2PzQH62WY34Bh8Z0Ysh0R1QUydUoRzf+X6SM4SI+JYK9 pp5osvxfnUyU1GC/XMjmHj7HJfPCoBQWeuaSVdNNEHiH9kk/jaBPca1/95e+0umekzX7VoCH2lO8 zxmCeFhFIbbWAIzaHILEwqAh/2eDaCOuHdiU+6KvocsymChUOVamZQphOmhcvKs0Wtutda+RpsQx OKtj2usJ6d8fECvg9czWxLZgAK4VeR6rr5biY8vBepAikku1LqnNMNevMYSw6K1U7fHktLzvsrBW OTRUXspwrzTsX3b6iqbUh3D8L2MElzEcdcOcGOZidSk6N5CW7gsomKuruhtF+PqOj/n4ygiV/Ixh SGLRkft929gfEgDAeSCG2FG55i7wrf8KUp8XaUHWir5Nw7f/lNnSaT2ZPxbKC7IOC4P1M2i750AZ VKANQ72IDuwJFCh9iwU15pIPaE2SdFy822xtt2hsreZx5rGK0qCOXMktkvhkvzz9mD0yedLkCfvR 6oPqCDhjbtUmgTw7MTjLW57Kk7x/BnSSgeT5RRuZcACdHHMcgttfXopGQAuzMbU/gzR98Tqt3uFP mzEq+aKEbjSfXcViMFRbtJHimBupK2fVuTq4Tw7D+CqMGYAmkUrAozk9JpeacFfItAgtt3NSYQnW cZR5c4HxTZmnVFyKT7x6kGDaz9SuxbpyOHnPUm6JVAo8bKW/bgWVWnnA78CxmiWci7yrDTaxt3RN fchIuHeEaK1yvcxXkZ+YxpY6f8ygCEYHYt0fhND+1OB5Ti6aDwcmQGmwjgIFl6JiZ3vS94Tb7yzx ySmQGhEsbEaXfCMk+1HVbr8A+MM+kkcv8h48pXf+B/QW/gwfmMvY9H2xS4o11p5fps99uWbxvfeJ b8ZePB6k9R/pHTDN/YEol85DgNDWUiENdgdFG3EE8oARTzu7GV+AiyMvp9liJ/AuXYcushhXnuc6 gk7bPB8R2f8lRAe4UKHAmQBPX/lprABbHYSJJ9mGKPbw+UEpeuQyVaxtjKyZE87uPmsD7CqeHmgS 7qGrC5H5vYVNamEXwDSqNYwX2Gcf4bmwHQVS9MtQbn7uxFG2eQHJrQHAn5pzRlVBckwUW3BKk3jw 4YHRi9zz2HbydM7vJpykXhz0JePKNl7rkTY+bRrFkKoOzQ3LuQ7RdM1MwACUy0xouNZJxHj1NBb+ S//c59j3bOgUbAzlOIxYxhU5wkYdsW2w+1a2tjp4HF/ArW7PKsxvmcYHTyL9EGuyIoe3mLTwyKsS l3Dt2PG8s3OHP4UP6vwqu4OH0WhqDhH+EsvmwsFVLWoCFPS3Q8aUKhhE0ULSIKPLL9p5EDNYRj3U JAWCwl2anO4bUG6tGuinLvoKD2t6S1wkaj/GQFtRhlTplbx6LzxjNrXFyrecFWDzWTNhhfMTdGe8 DGUArcF4fNdBI9D/DcH1B43G9BaBlLL95nJQUYKvHpv8GG/RnklDfU/CsXZh8MdiFVlchweQ9xSQ nXslHH0fZKY0Zb3MGjyi8ELUtIlVGZyba7iTxteXTNYOSNBbrjW/+jt0csd9e4VRm3i+DQHIsveU IMdkJptEv2RgRFxgKcyxyEAjJsHzoqdW1MDYNFeMBF3pZnlfrsWzydC2wbizT2RXqRmB166iyRL+ CCf5vjwTack2Ji//Gg/OFjWF6MuRwLhojemHBgDtoXNGzfuibnDkcwpC2ZvxxJGsIExaKXxrSGzC 4wvE5jMI5twHeVAd6b8BYzw749up8ymZCaieALZKVlwrrVopYSXwD0b55VqrdJt/x/Tbjga0IkZ8 ixpO2ojXFwfGmy6haejby7+1K7uVJglh2w6vVRpuYOgZ/REawl8OPDNYX8VyUuScV69Q8bEsxrWE IN8mZAj1QN5qTqkyybnlhCeveBI2nU/Pxu8iv+Sk6pNoxXkomBS86iE8aouZ9pHO0LAjUPlzi3HD /9GrZwq3pNDOWe/7ox/zCbNg0AAGM3vY6aXRd8DL/3YewCgl5obqZ1ccaRHhgxrDC58JOFGE5T9k q776AOihgQWEZ7h6+qvMCcg7/if80MRO7bMVCoGRwHggiKditCO8DL8PJGfn/7tDqvdZk5JtAo09 BP264fUXxxRz8CTCjbYzxeRX8TxsONdJMGkqLqFXOmbLgXUfHtRWV2sBMJprseGkXmnAUJqJZQE2 Y5NB4f6jrfKX5Of2LMtH2MQ08BTmIgQX+uU1ICUVdy5CacC1JrCU/XgnmZ4tjUS1ZKB03zAHO4YD OLnSkvPl+w+anQSEyVYGY9MXkykgUW4HEXtNv54PgAJpqWc3IBlhWb5wbYwCVjyOMf9xRBJLLOoU wqf/sqj5oAoplzlnhjHvJcXZxJfNcYzTj2uQZrn0B9b0RM85oWqlCLqZ98ljW3qvcrsmUiahXdsS 0gVQI+2CrVrvr8nOzD1921ll0x2A9uvm1bVcTtrIy7J133B3AkchvnkmgLrHhZOFBLZWKu9e3teM 6nMLyF2W9IhCAI6jurEIj9YR9INMWkPP4qOMKsK7u1F+txw7oyWTwROkOkzSJUypvvxWnBG3e4Fl 2PG5U6uj8FmMTQhJ/V9Dg+R/wi59bH/+bJ/SrtWTIzPcTqZ3P9btManKCn7X//AwZcdLad5dXZz4 lVfRtQGFwVEeN9cXyDycdEajU82McU8QDm66ABzRyngpXwZrbRaLONS1NIK0jtqQVKh9iomTml1g Pq4PBLO0Qlrq2SN3D7CPlMZJA7Q2ioR4oZYYs1/1/UDWqvTwsDpjWJqvgdxEY5TsZjyVpKYgMdM0 TZjJppgm0YZ7S4hRJh4hWw+nuJU7XoWe8HacqaXIXPP5k0OlSIZobm87P2RsB3OHUsfTfPghvWvp X/jPHh16aLVeeBU1PtmWCxo3EW93nlgwx/s2AMYFMAtJznJVSIrXMabTKvLzgGLn0agHbFyy5MKw AqLmogC8Rndi8jFRWzTwSQXJ1zED+ol/xMXYpc8TWmhhSez/wE5AwszTTIDEJ1KO+OprPoMY8iCi K5RRuN8WkYk9RPJcApiXwbe/aHUWDdkTMOsHkkPbtb0iikTurYi2LpkWF5KirGZL9dS4ZE1fQzV3 Ph6L4LCu9e8iycoBr1ZYxOOva4iqu1lElJXkPRtIAVJ5yro5tZvFAds0IMPsucnfHuijm0NdKmYl A8cQjVcu4HPbvB+qu4k4+B85Ky/IjkB/bEq4zDIdjkYjuEiuYxpfqZyGnw95prW560p4pQMyqnOx B+7c/EICXjx7P4w34N+84DYPjDMGlCGAMWHgTSzSGS9kuwVqCUfBXefdWFo68G/Vtf4hM0iEKJAF E+/ZfvPBXJKqh7skcPeH3EHOTSiaRB024/jr766+7VBLfJfIVZCqrpm0CA1xKTeG+fPaGR6gu8xp 8r0NkNjfxyHBM0ZpOkjGPZjWVTH3sWkNDkyxI/LRQFwVPYLPyGlIaDZ7/fqZOebJS98NJx97OMHD hlTsKQ8c07yOZfmBgZOb8xtBfWPVEQRfGZbGcpQAdgOXeMwg7VfDLDgOPHULTAM02hJ8uqfYk3ff olDi4o2TGEhqCNPqvv3Yqg5K73zxrFtixpb2hKT74D6UVculQK1ZtXwnFGUhsnTVpg640cF7UTcY gNEYt5polqR+ic6brZjJjhvF8tgTlrWaV661bdUvRFJydq0HsHTmsmKWUelMQks5em0wyDbokZJ2 AxuwhcSudZY7tU7K1EaQ36z5xOCR1A7BUGrS2XAMygrQWJQlWvJx5kiPssBJvUCO7kv9SyWPT/IF 5lCtBsZg8U4UFc78eVJtfOGq+7AeTXM+OcZda0Jn9Cmalj465gHZA9QwbIKUFUSMKN7lsaP4v9IY trAKehJV3+XCzAm9pY7zSs0m4MJXy+iOyCXAGr/gXBNHBoWv0Ymly5Wfbx6PMYwADjgO7PXPw9Pr MOGdK3RNjcyB4AWoT/ttOweeaEEN7rAO+ALx76VsDh1EWQp4Rf2NJkxZz2Gf+M3bQHA7Mbit4dOZ V+U2Vd2uIyZIlglDmiS94IOcBsRxSahYdmurxm59jN9L7ORgyRHKLKNCg5xAW8/0HrL7KNQPlF2Y yWT3CcjZe5hJ9tLTqslW3Gqxn1NJgyNQZ0BFnwEb53K0ClZbW8roe2SArcW90iAiBum/+xP19Ksm 9Ma4jkythbkBojldkG5Xn1g1Uwhr3kd0vbI72zH7NNde/bdAPk75xdd13wIVuvVhJkiy6mkSnsGQ FsjTtbA0wPGDLcQ43UkRuLoArcYX5Y3kaS1rM4wX7yHEjCjA6g32R5bMjbuyglJi1PlTr19wSA8Q Xd8ORbnFaXsiHIBs0Mo66k3lEByz/wEUGEFmp7rMW20q8Dy42mq8LXIUay+zMwfYyknbfXrk3+A8 sxkfOGT/mlnp9vesKPBYlcjgkBbIYtY10SCZDjurOuBHbl961vnBj61qQy+RLAdE8km7Sip7+UEo Op+sndaJfPWjhJSuhN8ce9f5mhV6Z00+lcQ+/1YxIbtZ7JnzPDIGxJJxKC+9zudddkxkBl/v+qn9 caf3BRfvfcAENvfj6ENLO5mtqAc60qLzagm8adGWBU3mmSB8rgh8JhowbYzhnf4cLwUFRjGjgIb4 Be7S7PHAQ0vgthIsKla2QXqvWzr/osZeHvtOjHDPtaN0KJOwg9eLi3kc5WC7VKhFF6o8dFQoB7LE kwiI2MYXJLjvpP4m01/1ftmVAHQ1Zl1Hc8QYNs7d1swkBwjThCfG7ghdVwGscNmycVF4cHSqjrvh skbay35UwOYKrDQntRPik3zacIdjJUbH46oRTQDHZZ9flyEH4QGGk3Ms306wHJD2rdqwrcy3a06D uMEDSK7keUTM3KUpn5bOcveKxxZYNHTJwaCTyO9OKUedhFXiP+S0oAH2PT57pkBYDPZJMcfAawUh iFTTPNWAmal5V0hy82a+n4YPtnsHAnZjtIR4ETgXQi9nVgjZBixw5tCXgA6SonjU/cFMn7mrWiCC jwas+9S2JAtlm0cfcBjbk0OvBilNlQ7+RBt2j466FIRVd4vFEVKRhylcK4cTvT20pcN5wB94VN2P 9lf9Oi2ssnN6iW9F3HQZ492B6kFwGSIZwZL9kcWhWmPRTf8UK/+N2TFbDtgnhM7UGFCOKzZHP7fu sNmjwWB3EPXyZL7VguHguKPorp/HYdyRuhq6PHSS4e/vYcWuwJ/I25bBE753EhJRzSsJnJEl7M88 RMgTBbxkiTqdwSUGu56RR2T88hbDe425NQ7LhkNRiBkUJT53vTCC5RsUNeZlge1hpG0PZ6kspxNr rBFRRr1KcNz0P5hi70sImaxc2s0W6f9bTtBI4yq6rg2mT59wqsVHGdofv87J1+pH0gBUaIby+E0m oghdCcrP31URNhlZcSGTJ2h4sAPKQ1xXsJyHzVmvsui1CUqqYVGpfBEjaSzt7G0nVitYy9yvXp07 IR1eqBjeUWl8WY0+y5Mq6Kb2e4lycesVQTvmxaU308u0mtQmduE1y0ir2Q3K2T/qWfcrGvSQ++tp rJoR/XfWpnYg4Mhq4qiz4YdJlp6VP1H70G4iEHaxjvJjupsTSoX/bWDnR5x90haWAbs3wESoGeaD aQIPMDXfIIDJQ3Ze+hEfovCGgQ4PVDQg/P5GQR+upL6MEQBZtseRm43fkAiu56jPNz0ha75SRjgn ZOnyrXV68RXYowN5OIhGnWrvtFXliA3ELkzqI+o3rUZbUYC5S4nsO9GuJ/eqZtsYjCUpPAPFybwq 04sXiJ7zGByQobatcO/Rbqw5BHqxym7AsxlUG+CVLb5CtB+9ApD4J9dpLrmdGhR9k9mzj9UmqVSy kSlbbg/Ft3Vyfwv7yWBeGa5yDLV1wDMXrFK+fnGaR+M4qhfIM+dp5JczVxWqNbLPIDz5WYXWBq26 9mNCiDpfNcb1RfXiQhgs+BxQ1sfJ8P0rRznTo58Nzj+FV8mg6rSUQlChlwLi093on+oRKUDK0E5r DkO3FHOzXDYbpaNXv5tI8zsI/qBDCN68kschZ2HF+zvhQeWc7v8NwCH32KOhzoiLU9qVduBf2c/q GZ0x/Vs9zWUOrC8h3JSkhGmRzN0+idxXqyffqiOhNqicAuXQ9DYH7F8eGi0HEZKChTLaKzW3gx0z nGcoT4R+XpeNApEXB6RpR98/5/7IaHhNM/IC1KYPdauLo7PlHviE+rJ+ndsIuxLm04oDmAOSb+qv 9HluE5Tuj+8RpPK6Uvf6wqqypp0Kwf1WH3hBpSSuf8tdjpSDGzgK+zHpr+DRfBdxTCYwpjwadE0c JH2ss+NgEphzky7OLa+IazPFwB1hytAiHOATxBblvgwvCfS1L3uZEzACrbVYSnMLszIvEUQgHWGw OEeiu/d/u74LQ2ukgbBqCKRwzPrjbYMnbl/VZ/KTPrM1CNjfKHHIjLMNoyaKb976OcqvscEF2Qpn vk0RGVnntG8UraQB4/eTvNfagS9Rex4aY3vbYuCPX+7EGYNnSgwumW7EamIwW1TSNbsMN8/+D84S 73kb967+n9lPcoBV+V/OWejWc3xH0p/4RKzpfTnESXtnUsNivGfBZs16gmrlRXhJPO3dG3EfT6O1 0TN1BxAI0bFcyY2ua/jhvJThdKMx+yeIEaiE+5mimKFbD7Cb9hnAGTOxiFLXpreJra/lIU074xOW vA8AcTm+LB9N0h0W4I2UQ7WPygRv8T3DSkrFcZREqP5xx2Eimnirg7ZyrO5MJSz4rY4+khLWdw9d UnPAxW6/5VQbuaIXrQdW7spawI2hAljkHFH9qq5r5EOM523Nky5kIjq+mgvQzBrdEPjVHIHDWRy1 wyVcrFPCTfvlw2FalGeWIqwOUAIskOnBC4ENPWeIiy/gPdns6K30hUzsRMGpBecPDonUxbRfmQap raSzXLqTJ+5cNC0bpa2A5BpaQGo13QcEeAbHB4DZOgsQC36nlBLxArfqjJS0JWkKp78lemi9+eRR H7nlW7eSI1URJHVy7VGAxRQdugZtJ/wiObbhX30NbIfZcwJQJi9WW3JwnL9fHY3lRuVquqX9Ddj3 97NNGX0JMHUeZF+itqm8chCBUBysIwAYK5kF1ut4x2MwOjjhDGViZnDgplo8CORqAYSZ8zJX2Gpx //7RIdTDThDOawBU0q1HXxbAZYyV8X/TDVBOkH25Z3Lf9j1Dbbnj/ZtCw/Co+QWu6cd1Ceq/irX0 2Kt/HaqnC50D20V0l6wgWa6c1fnt+P9PtP9DiRPJ/LuqodrHR0mVCzVVUjiL4brJPSgCkU7jsMsY cbH0GqCHMr2sEF62vERXYyCbubbG9chLEc1MWDCNPUq7DvRXAJXk9ZhHTBE+gDswReBzdyz6/Dab jNtAJGIa8xeXJT/oTGLO5nJWTbBFX8Lc2iYuYuhwx5xox+hXx6nMg6pvvyMI0/ruYeV6gDBMvazH uQVCJSJkapLjr9L/HQMZcqLE77oB3ivVQ4Bmzj0KIwdw+XbGhgrzLB59U+fZHDzf1BGHXCxaSZH5 SjwkF4yOI2O3jAkCQCE1gQmhdqx3WmHBYQ22u4ZCMU92AkGL8Y9YRNW5SUlBhNEi1Jb8n/QOkcFf ZMkO2C6xKJj9EXKukj3HbZfpAcsGexVD9lypVHf/CJf/3wtDhEUoQZnAzUxr3im2SrZmgdrmB+e+ UjiA4OXAquUCux3lZ4bi9Vekyi58ASxXDTlLGVzDT83A4soxOZ2miH91hDJFixASWdXk4+lA/XFd 1JJrl4DlsLBD+pnnIgyJ5tAJAe4XSZ2PmvwPXE0CnZh9tfwPYv51/O8TTgpmFFSMRZ9P3do++l78 8VSIZ3zcXxdFQ+yE/cCdOHhYuNv6xqOro44FxlqsQn5K/vHBrJPH9dTWRTxCIALNCANl4aZ57VMq dsPn2yYcBht1X+L1LqYqFahEvb6rijgwNZaMHFyk0yDv4fpPRfWVe6zCT/eTUDZALecBkzKd6V8l ExNMqja6apNZP2Oh2RKkbLy3HCLBetpNEFtU09olZ4fXTL5rsd5599GtcQeQh525DkG5Vu+mzQJ1 SEZrbitkS3t0Ntf39q/gkrfXWhCoqQwXAB0T7ykftfE4gohfMDO/ozzvgssMqRcF3PNdeFQuaBgT NMb1S8THEVXuUrbIc/aq0rMgn5yCGEHgiOsrIsEn1KXJ90/gq2cjqk4T2x0I8aelLPd/4FDlldaN 0V4PDRRkCwdwFdBtEZZIZ9vhzkTuFw0hHZj5U8mJGr14Ula/B4eFYxaIAoInF4EWsvv7P/d/Bft5 YHYEmqo4THiM39hDrkObrD2SHZePLREqRa+06axNkVWsXT2QLgvCxTxQsXusMNLqtsqs+CWhmaMU sAt95u9TBI+gZ9x5bLM/r9AKG/d30LLFFFX0rZwpRQkuHJzZwuyuJ4qq4cCnWKaXgj5rKC8NdTXJ Z9WoZbMf1+nVjihhsOTykJOHDJE21whCY+GYqgsy/CzrKxbRaQ0FwcqU3JW2tWmZIy18zGeumn3k FY/uKZtjXz22/KSTV3nAnPlWHP2QrJid+Uc5Aw74bUxe74KN5+Mji5685IAiG459L/1H6hS7lX/5 4Mc0Mvoy5nxRD9uHG5Zyk1180EVkuVFahK+CS4ynz560B0740VrjzbuISvHs5SE0fmpn01O30191 BEnjl5ZkWSyb8NxIJpQSvyZw7xrsy3w1wn+PxgZ6DzZXNvPzIEXCPz1eDBFhn08WEqeZXNgmkIU0 BMG1JrWobA1XPKqXcwQI9kQj+QluwYKK/w2uf3iUzv2V5LYFQS9MrmPInNaPtmSl8VaBwc6OhyvA pIFloDhk2vusyW6auE48Hik3g053wPChS8U2/AkVx/sMIsIRNe5c3bMTjEd6cUcNtWONpTtVhbLP 4KTPE2RkuIEOlyx5RJnfT0uj8IwkMXCskYhY5Jyey7THA6zv3kKYJS4iMsY5BKyXNwR2vgEZX9PT 4v9VrCrC50j+nMCV5UfEbLVFLGxDsnzrW8t7VWPrILfuYXhC90klSGFzC+lHANiZ7w+3fKN4mFda D3GgcQz9L3PLDScbfpanOaYmxMGoyf7ueNW67WlI71NZh0/wC5mciDXTOcjRB4Y3Bpy7WNIr7pzq 8zXNBJtNwwqEBRWS8IVHYDqb2VvMTZsGYkzWThV7PsmOI/fT+YvFj1Z/HVkcRPplIyY/ogCLmHop MhWFb+yY2h27/eBXBG4b23r10qcvVJTZtswqFe8Ob9bHd02k8bKKJ3MJjMVKJOVPLIVqgI1mG5jf P2yD0Tig8f+6MTBGYnKWvyioyL9SZk3P2LDgZ3c00nQhzO4hyCTIesJt/+rcubUq8pG7PnyeMSQd QXol3FOMpY80nkLtbdJPRLNn8Hictc3ojj47YXj55OiF7NWxLnywIUGEjeqcejXdWRkeivNbYX77 Xq40+to1n3DTtQKRr78k/XPSvOQZ627e21Qm8OvHe1Gi+evOniDnezPGwHzZ6F4vghq4ntkdWRmL jP3z3Ukp/lPh9KphHVzW5M9HWdbSftjkQPME8dsHdgfDiAQUHAEK+W9NlqMX5GTJrUz/fNzTlcwx 7huLiUQIMV79UxXuquUAUhD7PmAUaQ/4Z5b7yx59LjaZnoiHSM535qEmIrcFgN0CTFHQqEVZ3qAy uj612OfOxU7RZAHBKIiKHMSh9CJuve+DIELMPzibpveFUFLBGwz8vIW1cDwr3dRw7c/6wgYe3zDB ic4mKClf+GlFw/f4xynKRKMQekojV1lb4lbt6lDgEW27ScIxtCmvnk9uhCPG9KvxGS13oNV6U/Hk 097uu61PmWkP+CmcUrUHJYlnfV81EljAOTMkbUYTkOM41UCQGodk2Mq1vjPVtM2+1YpjceenurGS BmtWgdaG9IBcz9Y+79QFpIislTSyV9FF79EbKFR7/uowb4lPjpIdv1ymyGRXlgzukmYDwLkThrRK FK7OExqDwkDKMh+Ul0QwhDmQDomgqr5VzW+pC+HKOWTUWWoFUIHAHvRxYcoPYtgAK+RumjbPSp0S nqgJsc2PQSS5/eanRftKMtGYkt2XrutLC644skdcBKOTGvLndAT2cSaL5iiNCujm5rKygAMhu/50 OrgBVNSjZr4mmYeeKdDlmP9v9xR9HjsprkW7E07DC0tSqSpRkpU9oWHCmQOLadAH3GGzqwALrWXw fxEM5ULrMJr2EF8Wu+W//kvNG7eUbitYY2R91lDGK4WzH21LKScXncTp8Pr+OZMbf6TGdNW90rXa ycNo6WXWWguEXNOxhdMMl7baa4GUfNkRyriuQksqTHMYNHR8tlEyY8uWMV1nb1eyfI9x5P6Cndfs wsNRm6H/UTuq2O4l9lxtoLRybCM+d4PtYJYfemm3p8I2Wg7ACZxSFXOG/BfjvqJwvzrs5/GT5aCR U2iIO1J95V6yeO6xfRZ7C8Rcodzn/yqE1pAe3CtYbr/rj5jaerJz2QLZ2yJMJEHqao6nGN9OOw21 iCBcAt80KBd7E6SC6Z2pSNRXHJuggAEOVGPk3s20kq0LEjZkQwrF83/MpVCj2rjiDGhJhNklcNcm VMze77WnREww5hEm0vyfx/wNJGrTE/cMqQ6K/CenWEUvhMl4b8vfZQlKCN03uCfv6DF3yXO9hfb/ xuK3zkA3nlm7qhuhvxBYmgkmC0oZxDzir//tT/UQvmmrkYjCoI6EKcq7tSCw790nbUeCNqSwEsi5 ROBKRIra5J+P4IHpEbS8TXeKcCOWZp0t/TNglGvd8lw4RqMgfR82SfgEF+s7J1xWberkC1nLMQfF p2pIQPGCkfAJiFw/D0X+OKhtx5Ru8b2gRf6IFtGeds8hYGdP0OLBWSLuWAq2Xk0X1hvFXfzGTa7Z WQ6KCtHu116xotmBapmWhLmy2BhvUf3+lCvljufQqB2QQOPa9v9aXeQEVOCnGwk+SNQG96YYF+Zb JLkEQtLMjYw996PdobVZuA0XjJP3IIU1D3asg8P9w0Mpzp4xKOvlxQUhlsXQQ0K+9Dhto21sKzyJ MMS+X/BI2ZkNdpKn5VFU5gtvuSXAaMYy5H64p6OuBDiCyvqhzrJAsyValh5gXqvo6FW+NysrCOdl JfMEqjrGWKroagowx6QzyJp2zc4Y5FrFG/k+tw8BuL8GgZxPBPoL82OyoCLL5iPY6Eq/JPAFkDTk Y+MlRVeN9tbUK3YTta9zPrdXIZMaZnszky+T9VWqntsGNUUEMbw3cHOm6wgj4MAHTjmLnK4jVyFg G6AFqjpxJi4f1HLmfG4mz2CncAxJ1BlhT/AigVZMWgOWmHDWetQH4OLi3vHD1bbH4qjXclQcmxYy PS5AQ4fiYVPybpvgPRVkFSQn5w5DLYrAPoKwh+SO8muxuZRCG2u9pcAvffEeAXtt5HdWXYeIz+T3 Ki3wQhiQnxsOtx4VPI+1casChloGrLmbQ4qf9i9Dp4okKgL2x5b2r1+kEI4tgksr1H3u1qizutQB XgowhNEJ7PglUor2s0uhuzgDjF4uKz8dSmR3bmHGhvLH4sbMi1qjjmIRR8xNhe4NshrJ9V8xyxpw t02T1IhTI0gR4Kd5oktf9sNPmQZ69MY/i7P+FRujZUV/EaX08UtLlmjEIGEQl1mOJppIDhbB0wFH i/jVsxJN82XLrRylnN9k5Rj/NQPhjmG+4UGIBEjKjIaC0XRVBNURXYCQQSb/d9iSRfwde0ExrMM2 mbGZRiaIntaxFqbTNkWGOiLeC872tV7XPM3inNTGbZ+fLKouNnAc9lqs1LRfawwfKizST6sKrpI0 3LqTCx2rnw9Az6Gm1bhmGmis+LCWJehvbZMzkYEK5yMcGzXH6SvG+rL0Ml9eXMMMlHUbVn0b3cfO mtgxKyHLwnlwlYJgI44aGu6WwK5DciGFpL9JOYcagrZMTZBREIj3MYEG6WlH0OLPiGMqWAHTuIST skkvlIlqkfQ5UdgiypgE2TMVLe+qxM1y3w0hJuzDjaDeELaCC32VhoBcLWsUgilqLjzMeB7aRIrM n4YgyDkE3Y8tkjsLPWNWm2xvPIizYGZNlHApgOvpH17osV8Kxijo5a1fVXohuAiQiKo5yjSCLKM1 nr5p/C69M5HFwHwXsm5lPF9Y+y9IMZFo6yyIxgy23tbINyo1fNGfhjMn/1KBNXMoMCZp3/iUzl3L cC2beNJ9TqHlrdgoNL+UydepQQBiiYuJS7SmHlgN7Y4ob6sZUxsj1w0rVgADrhM5H/UnedYUb7Vo EQQ5p2pWpDwspJAAcyjs/9p/RCP6D7Tem0S5dXbH33JNwksqOqbdmy1v66RKyn8Epe9yoNjgBiUf lXT3pxMFWkZ88uFMHce4NdMEujFjssDVgvEKqIKkmHuyieKFGkPAK9oDt37h0j+QMpj8poeo3iTW XVwraNVjvwjN+79QDCStgne5kVh8aSt7NotA0oi55e5LGC3dw1heEePauE3S+ed2wGeDOsL+K1+r +f+E2tF+91UgKz1TmJ4GXjRK/SjWaBfPWW3zIY0zgcQhFrCiO4fbtuOMM1SUDdWR3FnJZZHq6wQa srY4YVDhvKOBHWCxXXf8sCGtO/nqqLgS9dfVrPfIFuEZQn6g98VhTnWZ+ZJADRTlET0vw8uzYfNC 40Uyst1kIjSxsAj1K5iaDLWjWjiXXhGmfkh4oH0B3jV9VZn+At/7MtfDR01E3QWKzvzOMaByr6Tf JpV/Pro3QW3j+Y/R9/csbDNjtTbJno0oAajXIXljtPmO7SPyswJB32AObUDIpjvMprL2w87b6eWi sMmM21EQ5t9TDa8go9I4W7yNW/LlNxjFzhPwyeubiZryxcKU9d3fkS/G5pTu13nlqNe6we+mIVXo bl/vmA2GaybP6fDdYc6NSjj5xYIfPfijjqmmKGijkwphV7nwTJlZrEG6XJRM6dTWUCJaO87shA1T DnI/ySfsF7xBZ5tRMI1BqE1bzNeFmA74ISF5hhFUZ4rf3zx+kzubZte3LNGwuVvUZjpbvEfjOLeN a1Dc31C+aezVGB0sna9Te2dgR2CmgkmTkPgGH3k9E7iVjcVSuRAPRQehfXXzD0unnB9b2UBcsrdr L+zricQbpATddiujsCi3949bNVbGPHmhXnpjrDNLmw3gJY3us8gFF9DiBQt+D/L/wuv0uVeCSEy/ DqdEQqkNgmOjmiQIo0ut3VkkC3I2hWwAWy2aOd5O+IBXngI9hmZ+Uk55neMNYg7RAvFGpR+Qb87F bLK0ioETgXLE9r+n5wCq2NotyVUnuilUBX0PFvm+FCDSMMWJAisX+ZQE3asD0OK7Xic8FDA5MRoD ywxOqS28TXuJthPKEVt1kJiRN7VrKF8TSn1jwWDwQhRcQ5PgvkxzKOpEcYGfqtJ2d9a5JEMuQuvp /aU9X1mAWcf/4d07H+g7WG+yJyq+rqkAjSBEXYK278OT5+zKzo6TAES0Uf0vmP/Mhi+6xYpJn2VS c1oI5pN1OA3gKEOHDfUM9KTu93PwybyxQJrT0btE7q+3mC/E70ATC2kzfro+FK/uuKsG6afZLqoj 9eKts/2s/JsRXofRBHuPss/EUjSsCHmAnDlPbgmSG9YeuLYAa/KQ5COm5bE/PZWYLrHZhTbbmRqw 2o8uuG1b4xRHJfHvM1kPdKajgx7HLm7rW7vVQxsY/t4CO5m6hmpxM2tA+EZNLEFhNpnJpHeIK5tf cnKPproIT5qr2f2X1DmKqUpCkz1bhBuvhYI+AqBgnusl802FnyTVw4E+1dp4NY1EFwU+pmzPwlMc mdCFnUICSFrGLDtunNks6ziWjI/IpKUinPdqXHsLPADDZnYo+DNbZ3jURIGMa2eeu+a6aC+mx6l+ w+T67cxWqkTr145Fh53ycUyvv2mUXrT4r2+7bnu1BbrMtAIO7W7TowX3Y7WuFZQxHz/CLfDPs2o2 poh5vYoTt1JHV1KWJBgP/jz79t9d75H18J9rowkJUWP3e5AqFZ2MKitnpgfAHyBWeCqoEW/1pPib hWF6XDdcAjuJ/cXCluH5qIP3naomYrceeIc44cK7F0DLrPVZMW8AoWD1k7PiBNsuByEgUMPT07U7 DYwst1LEK3LJJ9qjSsDqE9zuSAhuhZidD3VhLICT8QUWBsXpbblYA0JqE45f1dRzT04MXJfb2QG0 sii2azbkNuoLkzO2/HlyL5IbGaMuqp2nSkAOmOVWKX0zTYRQoPXiFFAOKtcAJppFW9wDaaQ+7lui wB1A+v4CJKr3UIrlJ6NozryN7t4PAJ5JBURld8EpH8asT7t86ebqrH/m2eXQYsFpY43o5Y+i2zJ4 DF/1/ZwLIH2zcKQ26YRrZ/1OuOdTtqqj/4pB/K/1RIl7hKFIQ3/rB7xbAr3rG9pENgj273lmGVrL 7tWizShgTsUTktrdbBxs+6ag/EeSZgjqw5suMpe0tTmZxqD2XFwYWWCV/iHAJEWb1ycEswDpK56P tRgwf4f0XkX+tLn8MlRx1xIj4LLr28utASNhxY08lauGO5Vzyj1hvq7M6UykpMkpjdx8fzNfUiXx 7SGHuPdjcmDQ+XSrq9YCaBMlM95KeOTcVDR1topeLx4L2yzd8zIB7hhD6d8B5AGhSg0f7Z004ffY WcxY2v5WaJypOIUS+SLAYkJj8h1OsI+FwHaCVPgbNc1auHJK4xOl/Es81hZjlyjOePfQNiOhvFSD wwjCLpgo7onhakPD3pVuyZSh4qKod5hWWTpvUUUeynM2DSHj4K/r4oV/Yn1rrUINvMfd7gLWwbjE axLsFbx1Ll5CWca6+wEl4bv3FZBc/n1D4Ad006qjRjbi1CW8uwAYP1VPQ2cY8BWfKVuqbJOSLdp7 z2erK4RkO8Im/hohgQEe0FOOaIUZsyAP2huebstKWWjbVXyG608Y+ociqgs4Skml1c1UOIeao9Oj OIuCbOofDC8BIc6eRd7YHNOt1Za8JSEKv8ZfBVHy/oO4DW6EQfWPSn3BFP29PE01o+ix2ebG6hJF 9/D4I46ZdvJBgLNHfhoy0XMu6QjoKCi77+kmT8oMZgAkWudJewisF3ynQnUQ50M83mAZdVrQ1shy 6MmE3DqCFICO8f74+XmohJweIntnQRV1W5KXDmCXW9FfvlAMKo0591k2FLNJnK6VxjO2rMr6RUwW oi7klpQnx6gUWqxp7K2q0snak8hukGjd15kZNYyWEYDABSbn/vs/KHIHe0jU6KkQoglT3Yy8Ty7n b4dajTGjG16lakRT6YvKACPg7ox8m64+t6ZnemD3YYzg3oZO7brf2PPv0+pPrzIZriUANBM1LpdX NdKfPB9P7hcJFPOouomYmxZCFDAArGpC9UTsrNufGFFMoXwteI4s/I6QJY8HJRSI1D2kCp+jPRQB VkiehY55XT13XFZKYufCZUQBYOYV6mF92uWtVJpYrSQ8Mp80jpCH0IQuM5fR1RRnWOviBYD9y3SS 2NoJVCSjCB7JkUJp6oSuQhMDi5icsGUn3PeLwahkI6oJGx2dBpRg3w8IB7eCagEt9X7XspDoMo1F KPPY1kiu/2+SYKZZTRgRqKFju7rBmq7xkv7LGV+4K0+fE+v+XksnhbKTqKcV/WjXAoxw4nywb/v5 7vvr82IvwazONdH1tRcnJnL3gAQRo47O3cWXw6fBDg79jMzAe47jLwbKoBL73auFdj56Zrf4sawR R78iFxUHK5mst+td+ggm2XVs9RHrVyb4LTMHiC81XWOlEPMVpoI3uoTeGwjNqYsVUHVnaJxQa1Zr 2NVyrNhbByruiJcmwJcWo2JQVBwy1EGjfNr6QVjNPxKVpGmNbbipYfolBdIgVDreS/UT/7L3qJwy p31OTiDeqN+C6xNXtCRWFsAdcRgHUhEX4FvY6++gmZ1ma6A8RCzqaLhzreGVwT89Wo27znseHJG0 OplBk0NWVVwjNF+k/RWIP+Qka8nrvbugxB0QUXoKGN1eqMLYfZ4D51LjOoFFo1KN5u95ZtrkuWIo wbix6TtmzHRu+P+Fs0d3ybD6eWGlhR71kMPyfPm92cdScIyfKUuhzvWRCN+TFN+X82DTY2jebFJZ qFEdcjknUz9Ic1ILWY8qQjm7awDKbz0h8JLul0ApcIHOeQZZf3K8Rl8wdTWCc8UsUiC0+OeN6gqy 99tG92Kw7dMNzZhd422W+KHigMLE452LSvE2J6IzIgBFNtkBnFFR6PBvAAdDyINMF2ouwWcN+Xyh V6Osipc2Oyu3NYt+1iZ25nGYyBeM+pKHmCDPZRTou4K5rtAp7L98XiZNsPr2exGt1tfzuq2qzId2 QQemxijN45oShfWeqOxtPY8mMERTcfhGHlKUnn/C3c2Ishf1tm5Ay6X1Q0R8f9GpGxpPcD4AY5kb gpa9b+RF33GToRpi+Wh8NmkJS6eO7F0i7OpEN9RaiLF5W1xt9EptQ2bTfdbe4oxVorOxxYJ4tFBX 5VIel8qxbqRkOxQlc3lhZgu6qprEtcBHmHj9lz56SiaFTtL/Mq5/rSF2ejKRRx1zgMVVueD0OY41 9AXzSnWRQtd8AJBKDO5zkink2z98pIz60yQcF/pB1YFVuoSJBR5oQi2VFbGng/siNO+kG3+6EpFF uTBAR5b4alYtquOHgTLl1nhFbzqpkZDgahyV/e3+ycecsV4ATi+6FpMkc1hp001usx3cHRmZ9J3L 5Sy42ZSt97V8aBw6t6HZkP/xYxHsKgfmJ5jeTEiMHtLAE5F2LhWMVc140QqQWbsdwK+z7Q7xV7Jk ekV9PmtFKIsTN6TR0NpnY/7keY/d2dWQHd3zoq7FZSBguvNzMzrucU2urdzJaTJzGD8PF3y2Q2Af Fpm40jcX0EhpfYs1p8q/9SX3lNIxm0b7A5frUtXV+BcH0Nb6wsJc3uYnGMPHEwPpUhHYJfd3bc5h +hDsdlwQZ9lnOl4p3YKN3ByF/1o2NOOAMQw7QMkV4Cr6mfWZe848x1gxOvSU8PV6dlIie8UDCzu1 Gf97BAhew3/RYox4FOGEOHq9bn5RfBc6Baqga4+Qeo/xFD6fwyPyv1mwFCdWbFVgCcKFTP9OfAwc /Fm5pBLTDZynaCwzYL529os1TU5sXKPjxlDnDRYb2JguHnwGL+eAbKRCqFoa05GM1nMcbAD1S2Fd H8YLs1OkbHiazOSGJJuXiUMvPCkOoKTob+i9GZ9LMVoeKvEwviQrTzUgSrJIyI13ndAJCEB5m37l K1olJlOevXTldN47kn1EWMzlDXGTQLhvsXKTXcbWKZ3JdxuQefkSz3ZGGNSuO5TTKdiUuh8WhEr1 VCfeZTNNOXlY2SbuH6jPe3P6uD2HpFWBlCOymQWMhewuOrhfWVv5z1oIQ48PGMCIMF2qNycDgq+Z D4kfW6Kp0gBclHznzqYbTQUii/XK/okB/tAlnZwQBxwssJshNzRTHNroBNmEkbMQtMG4mRgGiA6Q MaNyFrywwKLI+mlAANiV6KoRz8r7xkgJgjayeTv1nneZzbUMfeS4oNOgtJFm/hknAM6yrQuETWSp k4nfmcPMY6eilo5z6ihE1XFQYPF15nsxZVtzFm+fqBpoKw+TTig63mTHcXXs9hlSWG8aUEcQ8Tk0 9Gk7jNwXKR3GOYlPo+HD13qzDr72ee8tfwaG2SVtEcUZBa3q3NaBU57zOHaMufAoaP0o/VID4VK5 kJAVuEL6O9IZ5lGNQyWDHRxjwdG4CXL8F9N1COL0x5wrmQK5LX6QHkOwqMNzCjs3Xrh23qN0CgzU V5aLqYJdrtVTwHsakkwk41t6qid6ELiSe0NKNkMiFRL6YcOxhdjP+6luwDkNWraDMpN28qW9Viwj x1821G9Sr9SKudsPANXNEu9tmIf5pGpy20wSKxpOOfxqxXUkZ9Ri4sHBxE1fGU/ryvfMPkplZ3/m B7wxphqpr6PaPCuujojarxcrxbmGDraJ1qFjw6LPGlRmsjxVW/+pftcgItWl7YJZP7XRysHM8Pjg 4Lv38Syr6rhiCLojCOboHuj690U3DBn/9eUy5fk4SCwNq8F6svpp537Qy9Iqv1wlH8ZfUr8pJtIf aj2lH5xnP3H9D2C55KorE/qCIoCVdH4dEu+KJxELBVVX3x0GU4K/vTJdL1ngCqJIzxdTA+6AfHDq 9//HC/S4ERZCae3vivQtYlU1IGfEU282zueMnMpsgTy1zcftEJ/KmJlJJ2wdmOSC9q45xK0qJIRd 0Qj4suTrzcDAcsKQalh8vXbHwhKTPWUnk166Z9Jf3F8+bpfM7vMyTMwD6rOmmXbUDccDAyugvSA5 yS/kH94+H0WQ94/iQagjFrF6d/9minIBBiizkDSiCr4zLEsJe3fguspD/SfQGa+tS46dmXfdek0k A9T+LqHqJPoEWZXRja/jpyW2orv1ufs7+6KH88cgn9xvct29iXRxjHKxmJQ7mYIS07P86o6F0NkE nB2+Xia6JD5L2B31cHhjixE+Jkr+SQakehF1Yu4J5m1nvzSh2Zfe/gRg51cSHLns3Y22r9h8WK+x OroYgs2AjLzTKBiRwh1wZIiRb84LwdnPdaZmCPqjI0pe4lKcAarM/nfk5uAEnq9NG6wz+uf0qe5z XpmonDXZ8ypeuvXKA3bTDj5qFwwIxHlpqD8kOMAorgjiFs3SvUO32zUdLbs2O/AKOOnwD0mJuz1y txWJI734jDDFFwY0NSDpboPasVt0zyBzt+CLk6Fw54xIgOYEu39y4MYBWD+u+pRMsKEJILRf4pso 5ha9X/pQ/zD2UJUPJE02IATTEUM978n29Ls+sFYSD7oNW0HercvYPbWkAKexBUO6W8++uF+mN0Tf S4YXNNj9x62/2+dEiH2NkEQvLeaiFmmbEXPUBRM3+k/SzV5MC17BRBpJPAv/4jpJxqwbbJAJY8EL HM78ckkD6vD76ldG6z1aXrd1drw9mCtwoHG7obDI9fvBAxJyjU6rp80HF+3k2ObYHcfgRrcgFZkX KWmKOWLioK+Q6GADs+7S5jP7BMpthFphV/Ejr8H1I7FkM+4zn4UYGZ6DS+J6Xs7/eDxw5EMyHI/o qT9Xu2fq/YxTUCx6908oRuYmR/lpbK0/0ZU4hr9orUnxon2MNsupfUJwLrM+6gGIPuyeoO9YHsdW xJbdT4EIQ70fj/yQXfdqb0/FDrNVDBgRvDKps3ov8TGpw4Dw0zOwXGVOYZB2m8I9nWii1iP+z/2U mTCjPhzmsV88dtyozNg5rfeKVngF2lWHVPAFeclH1JahqQI6NMbBz7/2SSdJhBSS9/Xey2BhzHSE 6BDTnyVPzviNmprY/6Q7xK9PQMPKGvu38Is1VKmI3gSTWJx9KiUhxhbDlhZnNm05zISXs46ywM1/ KKAZenzdqe/mKm6GYRf5w56IhwnUYYEQxq8E83slZ4WyLaP/HoK/SUJvvWeUilqwMvXXQr7gBB9s QwlRBUHZhhmuuWVH2DEAyf+11YWoTTUef5kh80dwuXvL5IN5TYw/qO8kHj3Rb3sXQaeqynVaWwcr nOIQWq9lyX2NPOg/moOJ02iNqAnLEzQm/5V/H9XKr6BN3DMHR2EhmMHW9W3cIdIIz6DahZgqKAZM I9PSNWVWu7eReSabeEQewolRc/dp6qpUOqPCsZ7GA+ME3Wyga7zUN08M0coFexliNP+0UuNE5HwN cMXa8Dwc5BpCmIyT2mRtFBIpr9DuybOTRGGL429CSc6hep6DHJ4F2qLzAVgUsyrjjALvrpriTY7Q +mcWx9+CaVaQa8U6fuLK0J46eQrk2i9DgJ/yAlCeNh67Iv2BK5aL1Oy69FAFUYDHnMc34Kji4suW Swf3kbZ+Xl7/4ogVOL14R78BYgMNH7vc57frTNSLTkU4R3hVzM7B+n/kclU9G6YAA+NEygPfHGbD rLwZMRs81ccc2Pvdrpit52U27p2AuUjmxaqJuwjmnMVcD22JrjLJdxtm8lcqNlSiRRMiQou915et prZNn4aubLq7V8LT21eTFbU5fz73xt/0CtJUfrDO8k6TCV6v9OGk1R/wwXHf7lrtN6mXL2ULmgMu 2MfaAf6O0Jt9QtO3/ECcnhSzF/dSCiScZrLRA49NuYRp8KWFl2725uIPykQrGAlAnQWd5eLDYn0F oySiBlCr0C9xwMB32rKlepPE/1j7JIQeLu4Zs0vVpbUSCoxlMnyxB6zUAY1N5WXImj5q8n4Y2Cet QpA5Nbpki5qcVRVy5v24Vy7+KvXeSrsII3x+Bo9uUjRqlH87Y/5+h4j1Z2ZxS71im4NY6t6lbN2L xRt60sk+CF6sf9hgiHVDISxURwkdQTzz8p/jnHHcfu6nAUCUjJDTcBQM+MNSBFmhQmGE1DAIFVPc tJ+yOV1oJY+nD5mJCuJP3oynXOjcu6VM6hpWh/fNaEOMBlqpOzgrtabQJJacYcrJ5jZq0AphmGXK +bcx692NRUOGGP0c/Sgzb86y8i5AC56F9fEUVM5wrJW+5X9ZL8CrUmbGH7XSAu67s5zZpFXd9nrZ m3JyGsFlav7tNwj9JU5RTaeG3HXEC6ZZQHeSXb8VXajy30Ba1WIChraAPHtMRZV/G+ALiPGMMMz7 7AVfYEOc8yWHilIsoAi15P7eLQmMa3AHW9RJIsWijzhJODQUDceindelN2zps4N7z0MT+/VS8ZsR arK9vU8i2GX1Nbyi+HTqnhPdHBRp4UyLw1ww4W/vaAXwWk8SUsvQbbsuPy/KaOW6O0l0VlfeP4YX UTnD1d19Pr45IcgbWVHq8Q4xEwbTxcwid7t5mhIIiwVgx9PcVl5Q2+xxJhzgqC2OVGhevVR7TIEW 9WJrPr5K9yPEFcpBnmWzvVGo8vYXm/ZKdPtLlEB6EBLcfAAL3AEFz8OKTGL0VHFQtg0ZCwEBr8Lg MgBE7u6iOPHJNKIFoi2dHd59EPZVxhcdYRwqcy9cLFrVTFyZ0PpcItEbQqplLxinCJkxkhjYOrIe tO0z+EBUbTE5UjBA0RVC+Y2AhAQRpEeZAQf9FQb6+LcnmhqJP8E2UmhFxmKGkV7FjCZDjtB6F2Q0 X1YyXP4ZsqgMjz63R+2a7uwu02gvYzYzx7kD4fazivduHZcuvVxpM6gjOwD/vXFkhydvq1zqXmt9 F9MALFBaqAb/i1Z/hf0kaW7P87bTXQl6BxKA+VvpGiITQdekfl2+5PLm6dGcjpGK5CZsFmNFGtAc dv4pUcxM+TIWX0oHwnWQvpF+HD0k+4slOFO/j0LY9sZC3ezdmx+KuLkbPDfjKAvRIKdmnZ/9raEY XysULiCqghKlxEl8dIs9jNvCQ2aM18iktxMGQM8vENJ+OzdLA2Q+hes6jz52iVh/UCMBZXlv9Nqr HJcQzEhdl84XjI1QDPwNvBp2/4641XTTFw++6vhG5GEKfP9u6dRJDfJWImxYVGvKJZoc48yfDJgS qF/RLEpMWjl4yTdS/kOSnVv3kfkyKUTF4qHyxm82YIVHUf/Gwm3nXqUjEykf69McUuFWC/Ae0gFd /OZCSx12KutIIbosUPpT9HIRO8w3t0fDrhMPMHZyYtEOMBFx+vJpxEtyJ6o+2XXHBgnFilowCKMW Y3cow7DsckrulMdTcqM2edNx1FYPuJK4NPDd4MTyhaX+xAXHJ90DgJPa4N94tbmj/L7e7/pVHBNE YeLSWacKdF8wrkKvMXWWOfirvrFCChyuDnJtVCQg82jCHEb8s1Dd7lo/6yoxxQT2sPaRl2DyGaXr s8UOA6+sKPHZC7qa5iB6tUhPwvHvkwDDnfj8SC1F37QvJWIg7vsZt4Ls/rmCo3+PgFtzd54h6NdP iM8ln/ZHy1yJKhywTWJ+r3vXO1jKlbGqiUB83oe7lOPzU2iixQIF91fWGqNQPr5dUsKcpZAXag+E PlCvZEriQGtiALuas/U+h1JEJzGIbtHICkcZh+TcGljvtf1+69jOj2ZWpTIfWecn2dyZC+7zn9w8 D6cGidmuHPjGS6DDee0bPNDxS92PgFK/x5L6Q0fnR4RfmR/NC0Upl6VeYQ1H6naHLDdF2UDiyEGJ j7UKLYkvMttU82qtBQhMRCyelpUR4qVhMakdV4q9CzbC7SunVMIi5Bwcc9wS5gznLeH4AZB37ga9 nC0ycUKWPxp4k60yR0Qf2c1w4fu0U/wz6q/PO0N9TUW3xfqe053pkeqfghhHRd81l7xz9YmLKy/M Ow1sEyzwmi6TsK3rqwbw7XUYONNHM3XVrPPuZeS5ECoH1FAXVA75fYwalTsC2TyPoiZXE+HZWHfB B3Wq2aPM7AQbogD+12Q/kikw3SUHH9zD3dS+yD7Y7p9KzHlh44P0vqr/+ri0t2fA8R/bfYkxQ7+r JNhHzr0AujSM4/wXno0IoIPRtgKHgyv9tCT538asLKr57zOkd6ObiRQ178frsmfNOse5mGeH2TXX y1xtury8wOSs9NvpjHcxU3UCL62DUc+CNp+PCmadHdMfPc8s7n3A/Te3gzYDlhdELQaGJQvT3U7V aqKUa/3lXdLzuEy8KD9w4+19e5cxou6P7C2oOjB2iOCc5LGaKOsJPgwbpyuBqctM2v10F/U6pogR QpAQs9VS+yLFCxGAwKvGWo3kRtlfZDyn4nn6k0W6qQfTBreVjPNZ8Fl/m+9lT/ApLoZFbgjaLz6K zndKNvUuB4RxIY9Hf9QFnykKsbFLF4B6nqVlZer7h15+3pc4CSfKBWcRCwhXKjXottUB9Wh6aGer bs6rFwKlHGNajkWqoM3T4la4CCr/mTL5ewk2uNNLeTm3h05GDP5u8BZxQJKnfTFN30fSzWVH1uXQ HjecrPI3cUOU1A5q5/p0QVHdrdKbfMbtaIzNa7/7TgtynIl2OmYIHvGTGRLmHmqxS/Ydq/egV7vR JFtJk38L9flwxAvjiF4yB5HSgTV9cthDOdy2ADXcfiFLkNJHIEnvwhgkTnKGD30V0HnNroSmWsHj YulvUYChlWXBJN7I506ehtBb5xUbUyQIAQyKoGP1lC6UIowqd6jH1RiPW6Le6vtkhqDr+pqQG9VP yFs7d+cpYwrbC0rh/WJFhssDEyZ3B/qeznbReLImcJzZS+Y5akWEgkD7wU1L4uHGp2oLWFu5giu3 9dbH2bymS05/NwVryuKp4AJLRc9YB689X+pnX3TxKL+1SM0xLKXFxhARyBmvtmQuANV1CgeSG8rG QI5xtmewHxi4Cs8Inw3DmMiovVht4LmoH5EZXuUP1E5yvMe8Xc91j8o85vjCUJvYYFl6G3TUOuWd 6BuWkniadGppYmbHXxwNXSr40da1vdOOERAeM2MHB6+TfaVcXuTEIEOe3t2XKDprEfrCyYPBosWZ j0P7zEym3MuJhPCZ6SCljqBf5+H+WR7DxGTXHS+KOjBgmOX9TIwJ7B9kdCJPO0HLf7O60/n3Dwnf RV/6L/jMqfIx4XXrGQnyOLazyl9KxkbHIZnGt881xd1SdRJVBy6JBkz0oRMZx75fxBdvSZctoH69 0U0QbWj6mCQdUH9q2yoG5SRxieI9aDX0m8pHeV0yWoD9LNn2TWyO8CGf97o498ebktObp3zD567n OiRNdHkOQZqlUur19qKXOLLFdBDjnhaG62fZ/dvEO2Ico9uBdIGMKvjpbB6rdo4Wxgn8t2DZYXm+ KpciRVNxaRYvLClTsWggDSw4OgIxafHaqtX9JC4/UbtquMpRqtk12Sr7SNK3FepyWf9gbmwdRLFi Pp5z0p6kH/LxlEaQc1RlKMKISnIvfJ60t5lrWT6XTKQHgjxznSvej/Rpka3+rTwKP8VMHxbAaNBu ZSLwg/YGa70VoZFcNq4NuobpuV6fw6ieXMqi9Xh7eKXEZU3jL/lMCX1bn7JxrEsQc28vOoGjTc+B WBL7/+S5fVG1icNtgqV8wQpl1szeQOBWKtLOXvbztgTAqaQgpa2RIim5pZqYhy9YPOWPHMPNTmK4 mWqiHVCcvi8d3FRspADAHpdWTC87qPiRESmYU89Mb7qucOPRFnihPhyALsjhTI+l1tOWvpripJYt 9rQhz7eMlfncF0iaLwuuysQy8OhunNh8aY5GOyFBe7cRW+TCd75rGrQCiKi93ZOY1+oeDq8SloPB 53ELC1gimg5DNM6qdFiX/x6Haov7ouNWt0MmvWl7D43VL0qjZZ1fLP08UxZ0vTDjUhwSPPPX5Y70 c+5SjsxUT4dh92YwsuB/RTZTlBecyVkMwyK4wJmgNxeSEDCcmOhQDH4Z+6OisFKZuf083ZENsDAi 6sG1Wi763uswDoawcMjJkmKjJJx2djsiNyVnLDfZwp7r2y1vxNQzSWOsRm8sLU7cKPx7exqmxXPu Q0Y5fs6cOPnElcqLzJWfAbFtszkLPgkcQq0H0WGshHO4Wn04wFbmUz9py46inZTmg+F4S0ui+RpA w4SmPsr77U5KxZONAS7Zppu3/G/8r8Cz8kt3dM++fK2dse3CJg6wbxYREKXMngNRYSvypmkK+6qX wRaZTm+EZqbzlmNK0oqvBFiQgZ/nqK7H/+vKN11dSLCNHl62ehKWDulVkACmtYkpkR9pgentIahU P+3d+++SCIB7lmDV3Yqe/zGBpEm+ZtXQ5bUDKfdMYwrQwZWBMuxAqoT4rIUV+/7UXGYUz4l2ESwF W41H41b+O8/mC99CUUz/t0tw81hpfP7NE/78lWZVkuam9yNkt9q0Y7gSlkMbMABBs7vvwUcNQKHX uIKsRekQ1Q0+fOTik9T678/cLBdXD0l8LW+qNGkEj3HlibKJrC1nLo/NoMvDvt5uepAYdb1Ds7YN 4N3imPUo1ku69FlNqY4XDpldWz4P5mKOorKA8p9hM2ZnwbH9cVVwHCVrckWZz5bZxEl/mCSyypJr eYW80BQj2ZW/WVpuElYV1orOT/UbN4F37iN4RTolNa7Cnb7Eig7uD3cXeSOqWIXrnehT4SYG+SLg qm53lvqu9WGOF+UFBX+gbJpq9y+on7Cxfk0RMLFgiJK4IS1e8OKMxo2xR/k08v+V1sw4VOv9J2nB +hNMlv+/HwFG+CLzzbYCx0VP8A8imRxMdXsJyabw4csPRALZxtXbuwFnZd0ERB7uG7MYj4cfSPAM 8xyEZSUy9DIfEXfKxIor3OnxQfZXrOnw3DCbt//ZdHeaHDBHlOFjQp2q80UPSrFucXNHDR/pgVY+ SpS9oG2mL6j+wJWPuFx4vST2JCEMrVBByJedxlpiRkd2sUtef2LrnlTMY+W4OY/LtByjJuS8SfB2 q9daoaQ5fwk8Irq0btNds0obllGm5KaIAxo8c48hrRS6gv4LoOET6liGlSwPX6WoStvlM3hJ9qkm oE2dGSMkskb0ZI7iWCvmFlAAWKURXCgiDAsFjeHAdbkJwGFbe261+ljtzpPCw/IOQFeJszMxHAkm N9oC0CxWQgf1rj52aG+Dcah3LXr1KUbW7qm/KZx1VTDlPOmrAQwoURvjs1RHO1J4m6ILezPGO6Yy bI+1VK3p2YfJRZGmt/opfqkskX6pKljs45HCWucZSCyXPK1IJ+ZduLUrKJJrkvLBEIqOp/B1p9dG /3M2SOvl/1pc4gotpyE9HZVEbbjgkCaUhRf+Gh7ZwdbbesX6lADRPO1mpECUr9Lv3QZ2cguMvgvf zaAe8Dq96I79Gfk04AqvvumBMqdrz4IhuOhnHr0zANqAz38dPx1+Z+Jl07nk0EsUba+Fv/+Lb5OV IYCGpfmrT7oXLCQDNxfqoKzQBIzwrCIfNlAIHlbhMzG2DMkE0gbJQ1FYskZPcnCqW0rDbtjQQyN8 D82UzC60FWmhLOSYsE4HpDBaj4jMELem5uY/pDHDpFQzyEVg4cpZJG7FfUcPHntbP17J0ibP1Gsc 46kgWPskWTD98/pZKTmBFevuxJ9I8kPdruG07SMtl3GC82/s2m+cTIt6ZzM/CCPSz7bz0K4BV5u6 9kRCaSenjSeYfz19PGv9HWhqTRM2ffOiwiA8tPcyjFp9I7kYL77MGjijQ5Exa13BcNrC2lt6cB1j VQrqfQxRoE7+2r9qyU7v8AUvToBs6u+fYhUe4Sk4pcwv+Let2HcXIFPAua0t1tTN03eK6tBbGmS5 sHhVbTWgZeU5gTZ2QhIF8349ZHhaK7u0nheUxzQoS/WrpTXgROcuB3MB0uGMXAAmCmOxIAGSGQjM GF9xAq5UH2WvG5nBfKFq0h/oI4Jht6KXxz4ySB8y8KleG8fqet/2Ouui8czfqIT6ekYV32eFW8RV MQnTvzu+RVI1MQv7JWi4iZV51KyqN9EX7tff3ANNXpOAjHbdzs6DorlLb6pQFeiI8tFtm08dXJ5X 9zq4oCDzEyOcObRo37kSvCKqHA9Ml4hDLzBZ7YlgeP/ysKGdxw0jOHQCG83wwcQm5eI3XP/OWhOO jJwMwzk8X0C+z1lG/m5RIJQpIhEJRCSv72w24PoJVN0m7M12uthytqv0uIvDu704mmPiZ3a79Hvk 1VmmPOGCSZnpArI7LweL/5Rlw1FQ8OzYGEiS1vktfsEHkppENakhNoUMsLC1C8SLR63UI0jO1KcR aLTGaxN/8WptrMfw/miAtQsTMYh5RS/RPMqrKV1K6BIHloBebGUTsjasN3mmha/Bj7U29XBOjlsF mvL/XzkkuOTnoRznmSpfZTGmWFbk0NHo/m17fDL90iSMZPJH+mAd0vxzRyRMAKFS8NmGdpZ3oMmA AbnP+c5xuu2E2KgAPJSWyiXV8WNMzJC+/TM7v+0DGBEgr0S0lQxzVmk7dZJcTtOf4JIHc5bSTiHJ NYmSNnGmVAcrBycG4Y2YCioMegvXH4mZDURn4w+c40JHxQdnwRtBexAEWuSxs8CQM6vUAtArehVx RfJWEw6dj+hKYdNQaFlZmS0h6dCRCGIa0obT+62YA8eLjgkt6hMsJXrKzUe87ZTUrFYdqWcUCFFc fvS/5QWW6I6XhJS63c6J+J2WmG042ja2U3ckBExNX3tT98aK86OfooAs0vrE09O7hwr+0aWDbw2g f3oIA/dLeC9ONEQTM0sa3oFlpmP1kuAXVu/G2EBuJlgHCQsAn8rqspSyl9l7iUlU3COSZaNyNn/0 ruoCtMiGyrLRghmrfloMiiwuFk+eqW1lDHUuVB7vf18JCYbmScY2QpXW+MLYxw9ekt+bImWW8Ru2 5xOLhrFxYFFOOSQdLrXhLh3NdHtiop9ywpVR1FyDEEoY1brNBlPQIRBSau3fwAvyRAxAW265fexP HKrjiU5uc3yzrXFhOm1PdtbBXanPWk8OcSqCPyGcqxrbX8q0KOHUSmFCLp8Nc0uuhZ0HQ9m8EKOz S+gFUy/VuOTX83vY0VIP/RLfs3V1frGtvO1+XJcEdOjUcdlpiqvS0CLIResywDMEYgx+RO3rSYdq XG95sLXwlP8WzulJSofxsSm5FXx8MBEjebN4JvrNnvk7zooS5Elxv99bxtcXOZjszwSpanDV/kZo xFCK/zdb8Zh+L4YpXXRKt++AxDKO3JVEnhkQJevQnFFXrwtS9WWHpgJOKW4+b7+yAudUprAQeWe5 ulIa2T1doOS3HAX7/MtmPMDNxMEaklR1gDKcvC1mwDwu7O4rPbn4ss65brc/8GpvMY0d4Y/VPz2g KhVbioDMEooo5katnT+jJ40Ot3iRusLPS0OL0OIVFn4HmtgeJ70VBzzbeeri473RYaiNiEQpDFbV 4o2bBxCv2MOwI4onTBfCcJbYT6o2tV0e9JxoA8i8GiRO69LaoJyJM8Z97GWXzqDEMoi8fotJEwMc sn6CHLkL/yJmZPa8c9P9JI5ibtJ2dzr32Jy7rqrURer53Fe5bddKwdDZFTADOzaBsHGJX0cJPmXE UyFuxW7F1TgPo1r+2FdpxvlKKjnn4f7k6ulV5RMyBo3jXOMauZ8hpkBi+XlJ0ommdBcdDScIhfxl nbvODFDJJ2DaXn2b2tj53FxDvlmzUFSOR3OLRDdVVTFWStsyOhX4RMhdg820f30izc2/ZmNH8g5N yjPUWk+uZT5bXxA7AmTk4Sizo67qxAVkjw3t1EGnH/GGKDplf4Sbk91LhSuaVhQYRHqNy6Zdg33v RxQBRKroWBA5MifqCKMxIl6xg5ExCnJMi7CmFfjYT4tbXcVYrlMh7TluPM73hHexxh6Or0ruWtAK +QFf7tvxwNYghX8KWUpsYJYEXqFtGsL9auPqwPD1hMXEzzKOpUtwVDhtfhhfhxbD4qkC7Uvtk++q 3fV4anlutG28TfBXMNAydjIyL2g84v2twKZkztuRRBC34qVRgXN06jHEM7pwRr40iAKy0tb9Nwle Me1D+uLpPiQCREIWHA59NFyIE/Q2uoYxwzNmBlhhwRwmnlyPk7DU1V4bfPZqn3ZbrAuYIjhbYgI3 x4ZqGLMRNJWXP9kts/Mq2r9Hq1zuwqW9fxalXVuWzXKSdyRtcCfdWMbJUb48EzTbwICReCi2JW3/ u9IrFpfixk3jT439xa2CmiwX6p/MZCjMBB7LXaTN1p9RK97zKkyZXgU4zb90npipOohzbEaSTHL1 69z1oNA7lsYSBLLFH6LXW6sU7vVQaJeGlLlBPhrIMfyuyggRi3YDeM6J/vyLX6MOD1OR45cBmls9 u/d5gRVpWWaCiDQCz2ZgqfXdEj/iL7OzPqMhi675fDgguvVGKaHB2hr08HPCe41bBWmReOWtdULd IS/kFNGGeGHVMKK93zxEI8yq9kBdb74n979MEdLVrc8bztSVX/gVOP0oX9bnrrhkLkBx3ezp08oj 0Y3JIcwRox62o6QUQyOKnsGbfxAHxPbBFW6EfiXw7FJO2oZmeShm1r8Svo9fgFJ3EqRiNJDrBEFd nSCN0Ivdma+gtrcGNYp+G0jRRJIfBerRo5ufD6IcCirqH0zfPjRQL7UdCGueHjJS3zp3m8hmtvd0 fD5E5gPaw4nxlhDyhl9BcEDbAWzSgVU7T+zt29B7vwbyxu7v/EyiG4i7hMh/LoA9lPoMUDKmJTok w4xWMnEJgLbevBZu9PEuG6KaQt4FyvI4Hlw5yalVM8d7TpNdV8opCc0/6LEfnwu19WyIMS7Z7iuS FWAj2XHtXCazsIROPTZHFJbXKg3IZd86hNu7rhMuyZqVrHUzxMvTw4PDKNVMO/BrF5LoyQ19glwc guoQYhK0+mkRZsddsNPk4G+u/LGD0ilRG+4SNWdrRFmrhqeAjlPN9PTCObagFWUP6iCpO17GmuJI 9bZO30VQvi8Z3qYfz1PlPvdpqrA0Ght2NTGv7fi88/CiQFkPN7js6TYHHt/Mv1Iwur+mgbGAvWz8 YJ5t+c63ceN/muV9MUW+cxN3Hq9Aze+X1zuxlQlCjnx4cy4Nz1wilzUoF4+WtliMEvY4Y2FIVwbF RKQ3FK4Y7sb8hzkdpQvoTrbmGXjqp9bGvKs4UN4vHKuG6hvDO3dvYBgu89Z+ldc9ZJYAAju7kHYs K4/kDjEY2L7gCo9gBKSyptp2EqCkeP9ML5RvC2AfHT5cIwxkG68R7ZD8g+U3VS6Nu6cKQE4d4bnR mHnfFMe+B8D4MQd4OTKIantAiy5iXQJ335D6+9Ck+K4dOa3Jowy6QtCnZK88ikZIVduXXKJzQ1Ts 6x+oqeqc9Kb//y3aTBAYnmWz81sQQr/HCVEy4NGi1vDNugewTu18bhothfyEhbau9OnQOhUrGkCU k9UqJeuZWU7vdLPwbz6NRcoormt8q4+hZ5p3xN+mJD6tDFDdVPWnf+mbF5cFFDVILEh7fhck0yJO tgI8TrnAW2z9HiTiZa/XZp+gjZtTTortM1QwddEnFd5oTnHbnY8PxJ2yMITmekeLSOOZI5IStz7m 6oIjPUCmGxW/Ju6W5+sGnUDOUZC0nqLLVO0rTJgI2IYJwrMy6g0V4tmVc1bjxsjn3W2I5A27e48D NTiLowKuOor5BIx3Qkr5yHRl/WZ0rzKP4TeGD9pKDpxiJvh16HaRQ4UARM+de/e8im7dmeJr2WGN 4zzFN/y3H+8zL/u/oem7VgZtTofOvHQ3XZGPnB+lMBFiCrbbKPQse73biFjKo+qD615nBzBYn0Qs EzojKa+dh2qB4r5rlwtlgqsg1HbDwvn7cLWRSlnV/jppT9o+O5Rv5BBl3X9FD82I92oAsKhhNxyC 7NeWSPae6eV096w4GeQyTUrE9q6id4Oeheqrz5Kmi+8WzOq7cV3BqMs9Z7UPBG+I8TC7nbDe54Ax zSw+GxPDQbfbycWgYx+ITsSlF7mmZ9FN93B1exur1zmdRsiTpjJirof7tbSGAVS00F7Wl+FFKXgi BMDZfRiJf0SuOqkDUuvDxmFyoCD++CULXXTSPKhJs+mnsIKqitY461FSA4z1JJfc262r8VNQPZI3 OH1AX2K/7m1xE5uZ8ebdJ4p1c4J5wrg1if64VGZxmiJ2bTL1kzw3NXG5ML96L9KPnlA6jcftHaEG L7A43DzCReB7of8/oxbaRhNMThuA5Ywg2LXXUkw06CYewH34lsb3htyPuejDwVy5XXo77wRWSgck etY4i6t13hR7fBloC6NnMGWPrEjK+rEDWdd0/PlYMwpiOicJKXCLkjtu57x9ZLAti2CVeVAmno6N 3IzioFAlj8SQSxNI6a2B+hThIkUNak1sID7Xpu+yJ8Fui1etdOk1RxBufot0uynQAQ57QCvSe/9b e4ffO7V3JcPTdQ9Ofme816GzN+a/VA2DkQ5MuWv9pLP3WNpyTG1Zqr+xxPdLFs2c0ks1XveMDYmP 4JCXsXh1WIGK/8STSWoySIc9o+OgklN7rmvl2qDc/jpk2KpLz04YPEx3VQ2s57cXNkgUnP9bLdxy M7nH2bf8tA8Rv0T8kHlNUQFlKKc8ocfMMi0ew5tBfBC24tmBb+S5qYzFjWnlv/RbKAAskF9BsQx3 947m+68Igo9mISrTI62TivigtxyVwJiOh432RfPcZB9Mt50u4FViXZl31YgpboGUF0zNR4uDQIw8 YhPhtAqcEJYR4IhLWH6b9bxbaAPFfl940cx/wZx7Mulw0mR69C6FZEGod8GI4pns6NRjeuzhyv4X iDTPZDM2GU/2Lr3I6tTlAVgjtED3sFJkN2zoydDAr57RJM6LTn2ws2KH2/JOa6xlq90pQBepoU3Q cBrsilC8GB4AGiHDf6XMcyH/1zhkLbCelXr2obwe+PnusNDRKuoaBck4QC0qh9CU0VXc7Zn+CBdK gkdh5A1k8xQVgwPMlmUnfSiLEXnT3Mvr3385aB03239o9JFlz3JyeaSOA4PljlenMwYC15hPCgkP h8IsLmaoKknfLe4YFEIhZAfe2vHwRklzsif+3k4IYi1M5pRkq+/UZhabvLkDHQbhZuPjeedNYqZ6 7HNZZsWv1kA+hMEy0NBJ1qqWr9NvpQNjxbxaZ8oUXRzFfaGc5+KweXJlPxg+jXHxLwimiQcX+ZuO o8QPs04xxeIA4VBNYayqXivmCI62Mqk2FPCC/8RsN/5JX6P2/QACjaU69NxsZzMr2NEwZV6+Iiws WaqLl6naAnLq+kjJV3i25SkzRQyJh1gg6m30rfHHdiWfVvRsymvyUSrpCfzglw01dV1Uai1UNYba IxkJNfoMhMdKE7MBBmEj6Wz+9QN0uOxTisSqJRCzTXbDVm5tSFtkq4XWLqq2e85r8yNYaOYzO/5Q YeQMMapfz6oJeEK+BLAmG2lRzDFCZRVdXVSGkv96glFN2BFV+eM7CovIg9rvOe3Py4qAU7AcUoDy 2aRzw+ENOtDwmGKiRL5fTtSy9qm2WCZ1d+UAzqdffQjueYx9Z6zBIMfIYk3HF/fxXRc3QIrc3igJ WbH0TgwFY93/+jg0nupB9AyhqmNNQEem2K2lwbFyjZWdfkBB3z54guboW0mtFc8ZdpKKfUhJ13tG s0YXmVHjr5S/j3Y40fVcJTNKzs4ZKFENTj5PwTw16v6Q+Kl/DCOpNwI+bKljDJef/W7+Dp3sWdQ7 WCLrltem6N4EPVOaNb87d2Xc35nYBwJRY4YnyofSrmLny4DPdHTtKbXKC1DJQmK8W6V/8zfUioMi Akyi9UStAILWIFDeKzc76Hi9uZuJBmT7EAMXgeQDRy1eNQKR7ZpQaz691d94IfTOsd6KnmAUR+NV Yy5ztg5vzJZeTNsxo0677VVoVQFTmOpqjmKD2e2g30RnXzOb5aExXBb1bPRRoqzaFhjkonGf+zOh QZsEbNGTjEwaF7n6r1dOc/8WkiDxiNkKe+eINAiJ+aNNtd4adoF8UPqMm+FJbXeiiii0KNF7AYd6 yVtO/rhsCyCb6mn0eNiKbCt7/p6pSIBE1mc0ErXL7e9XDnCecxxN/5TQ1WnPDFja5uHP+HFVy0QS gYbhbJumAhrFjD+93fjTVmUkR12jiQJXC05/6sqSSv7Ii8AjR4K57wIlE8ddOclsUCf2MQFhyyTX b6xsDi5JGMkeLx/UNLJ26xcrxk9ltjbgNVeXEd7i6ryU0qyjceW0oKoLRjUoJEGMEJIKhnIItj8b wtRgNhfGpdq3MVqdEO8Fzpd4wTw4htAv34XZxqkNhAiRLnLJ0QDQPgnUuw4lza34G6GFyepiX87N Eph5BKThu1jUReWRVU0dRSBDIvX16Xp4oqi0+AaDGnGGVFiUkHnj/W1lPMC0i7+90FzTgY8dKnSh xiyw8INrTDGrPtSL4i6yra+GZuJfdWrTcJFSkBit6hSC8JpeURNmlE0YQKU904nAyH6hwybUDYOP zxreJuVeWteDDGSHMml8rY6kLNZaVrxOB48VJAgRpfFqAoPURmpstpEYtLhiE0xKJT3ihw6HY0Ck td0ZyFZjyE6vg7kfiZJGtzyrDAlq2L/2FGKJ9Sp5iT5TPldxAqFAEqEUlk28JwKLobzFJWG2xt2X el2Mc1/l6dFAG4dpKhAfyxvGSoxJm3NpWJUaxCbYYMDVphiOVfpsfgYeYzJpvlGuz1pO3H3uCLFA tLX6e+cVCDOGmgFYj8hwlRTDP2Rdf0UQvU/GyJvZGEh01SHCO5rWBhDtQZPJbKzMLNfc6ajTAAO9 1gYwoJp1EbXQPpmfHqx8NSaqlPbUDQWPf/cE44TGLBwAxc7Trbzw1HFcBIBZZGQdgZrQjPydIu2b imVfR4oZV7HDtTQj4kGDeVyO/SH/HZVHxlxSo+p1plUu5LBOfHK/4NnkMHoV7+tzUYBU9Bbl2qmv OdaXwSk1U2kocRsVrA9Akidz6dD3M8o84i4EMYlchNZA2nqIxDL0N9bgOvi+H5XBh27wwg+CeYvL p1NnN8np107Ko1N07Uo0aQNgM+2fMQG8TPM5iRFa5njwR2ZWXBcTety/vmkDczTQpNy953VoA9uv gaQsOtNxK+lBn2/+ig+yb8U5e3qzZ27bUyQXp2R8s2Sy4u7uh8DKGL/xKr359m8LRBSxmSdnVd34 3PFYBIeNGrTissRHV8+5jvK4nufBnlSqth5kji1yjmB8Sm26QthhbL/LUYvQ6cCMvNnza+eeSClt lmGwXZKDHWQpvsFqq7C4vSoo+dNhH/rG63lR/8rPAU43VpCx2/qB5rtrNgBD9DuhLQgdyyiN8t+d rWknRs8UOo6LDVuNthQKNOQQDf+GKbWart7UFd0b1+7UP4PPDPGsjoBuUj7QCUmZ3sesJs+Ka6xC l0NkhuYZBhCeDC2Mzb21U1C18sUoTHEayIh18iDUM+gFa8wCiSXAUyBm8l5js4cmRcZVoF/T9fpZ d0z9eZFeo4F6SpyhEAu3CAfcp9tj2tOWCUdt7BrytN5fCZbxMJ9+GaehCHzZyYeoZy4AI2ytY0BJ 3twcFEK7VO08V8LKMlFSkcxwgg6HwOrmJI3Tu799J2d24AuyBJqd/lY5y3hl9OtC3ezM2aqGfvBo FfxXBS9eKYz1dZLjxhJ9lO8QRUXFYSoRvtZb2OObqIAkalep72t/vmH++9ht5f+vSNZHp6AGYf+y EUVfncNVOKYjvnszZywKn84aaTGvuGc+XD58e4JoopC77O3KznTBr3mQ51VL1QpoE+xL31sjgGth qj2U7n7OkebobjbdqWdnJ311Cq66mHP5S7NBBMGEW3rF46d0/bmaBe5v9B6PYzT26RacXydtQoY7 x3aCwZphNpltW8Riuox6H2cFjNDMKVU2orw8KqQmrULtxu26bQVyHSs04mxN24uS4j8qJwnaCoY1 wSVc6GbufpZbylzBE5WZ4vKMkAZwQEQoBr0BKCUlkYybEWPWqctmL8AI3M3qIf82pfgkGbigHp+d VWPkX8j55wZeIp5LDHagDIjmmaFqRohYsDmsZ3yslk4+Nd/lGgwXgwxr+taVN9qQbddP3DIbl+E8 d+k4YjfMqDS9fJPF2e+/JMfigsbNb1B0jkdePX/3LzPC0SwfGzduIEK9otN0OXXDptldyOMv1vyt YR/TcdMIpjNIqKIP2FIerBbpvykU84MZnT0yeS8GztAutHuujp5nSH3oNALVh0d/JjkHKc/L0ADB GZe4O5AyfM08pvNhQ3eyIGdrr4808M0j3cAoC5jqdAH6cD1h3W1lhyriG/TlxMCXU8Z8c0mhX8Fj 7t59CC7Q6bT50WiEwJeDab6aeUuByfCmVK19Yzp4I7mW7ApQOwXiE9yRRwz4B4NFFEh2CV6IIhwd kGnhc5IZb8EkOhcmePvy4IYBP6rh1zN/rwGOFhU1zNQ1f+ef/CB/MoyRFHOvfWvEiSQj8Cxs2k0x eegnXx8e+FZ0U5EYLS3uy9kUdmGSKXitn518//VLNBja8Yeyt9rgpwbHRA0LU1ZqhRG/ceMcXSpW V5QWWoGosZBtjb0KlbwCBITThAQFzkgNXmBIGy8lD0PNiV2jIA2mJAJ7lMIjdizcJw/KhDWev3uT laLJzqEQJrc+QNnnlBd/Ct25gDeuDSy5Tdw+fnCoUjIgHznv1eLaJAy3+IKxHcXFrTxeXjNauTvM 9jUmMw7zC4vOYmt4bu9cYy3ZurQpgXIC3jUInc97CEV9yq9oAIJfME25sJM5fqrBpGBEmbay1CyZ CL0bgQhEcXkAZPNytRKXXGBp4B2eeUBSPLQ+OhsCzsb7FlQRfV3iXwBNjdMovtZ1EllkqMsUOZ9k I+YvXHDFcd+h4mUC8yyIa4+f4gAL6GSjRmtss1cnUbt4BZRunqckGlv/XyLnVwpKZQGmkfdOwWj/ kWziXKDqb8QVmvqh08a7epqrRg4LotNsWwgUpBRX9GF47QbvXzSNfhiWlJCDNU0vseG78b2t1NOo s8DdUU+eWXOpGvW8Lk8BQ3eLNVtlIgQiXGx0z1RAIzJotLPuedO/8J661szY+wLCJuMRNeFCAsy4 Me2HVZrDq6wGPciCvO3VVptfBnTonk8aJGjOyull6JkZGGTjbb1/moP1P1VXFZRtZr3r67MySaTk XQ/YQCRYAOEk7WVsnjs8VCHwQUie6aY7bQYVDRga7OnUgPE4W4wsKMm4zplSququougq79+Ob03S ROatpaD2yOrTB/982tUKqRpf9pLtAdPYfOSp2yMZvhqa5BJIbLOhNDL3Sqm/Vaaf6DfiBooRrJGU SngbSjGQ0v9ztoZ+MxVpk4kmELwA6EwSZVIIvDDdCnjYNezi2YZNSs0yO5AneCwLFXARFG8eYDjR PzU+Vq/ZXbAagtBBbkW30YaslPuxty+NgrjqWl9pWqVzfHDXAQuUY1tj25aZ98RbHuEc0dnG1PnR CyWnWPIHVmU8ahtikzP6OJxoLg8JxhVTJZehUXFISdMTfJhjY1mAhU+JaMlIEiKO+LwIN8Px/Ao6 7Soe054yLj99IOwRrL29W5AqONHU/TRmydqDHAMQuYMgaYgAlFK4NsZ4m4wG1zEh3OEMUE7/Cq48 VsYgJ3XL6vEwll7v2NTkp1cXvlESBDUNakso85bWD7PivYQwYVF/lraMa6sQ+//v2DsoIH28Z8Ue Q/FimY4eWbbkMNumwF4Yjh+7Yi/PckCCesaZKADo/WxdAvcw9NeYRLoDl5o/F04GATC/pIqVpMMa 8VMze38Bs0ckNGhLWyRLkeicKvjLYVmZD5GMboQca4Lqtco10mOsSh67OjNfGwJxAk9hXb7oUIik jROSIJ1pjL88rJWu6fJTyyQCilIS/qorptmxU7BFnBdbVPmgZjWx1Wdh6/VL22EyZ78cZJ2HtzwB 9P8g+LG0PhxW//jtUe+Fjg21b/PxwI/wsiu9Dl6561vm5gSy7EaGEtZPiSw3CXRfl1dunrEaMiuU wtUzdTbchHENSHsll8pJF8WiH1iIT7o0yFVGDrWnbcyi/Z5vw8ymBI4DlbejzPZLLPJbIZk26DyS acV2hZNxkvkd8/lbWv7Lc4rUkAkTQMaTc5YbxZFuWXADq+Eq3dLvnwMUzMHFVC0muipqI0kI1c1I XvK6cDq6r9sZZulp7Trqideireo27V0fXVe+0/cF+IPwunqneJ6jQR0frIVOQuEWQtzKk9b+GxQz +Wwma94AYi83ByACJLxvvd4AR3XmIiJYLdOctzXN2TcA8WylMPFrbZ/vnBC44UqcIDGrHcerEB9A R0R2XKTTpuP5kIgUvdhACEzNLKw1v1rCOgg/BkL3aYi5/p4AB5aCap08zVxjQ6HBjqx8D2a1kd8X lADh4H38iowzza2GDLChsy+5/IAVqr2R1KxJEw1R6LJKOAJpc4WM07QegkMfyp48Aiu66DEjjo14 lv/s7LaqvvJJZXSiFq0y3I2cgdcOyENxkYyMbg8lZOuwH8MPgUloDPOxzQkmBLgREIrT0phL+qi6 PVULSj1A9hVPvb+jOoUfY+B+sTLTqnU/AZHKIghrGH30fNRZ779T1nliU7/WxHUjDJoIkqpYEI3t fUC7canQ+24KP+/cXq5O/PjLAqQ8S4dBvQMNCffOHjBbT9LCEcacYAR5TwN32hNrcWnjpq/Guoru QPdU0sQ+dLPpUH5tGotK1N8CHtCsPDkMFxaEVWYmYiebO9PYkLbYMiodV5y/MLmT3R3G+pNJZJ3U lmeGhGDxmlINrMVGhw6hfdtFXwZvNQ9OTYZ4j7hUZq+0QJ2r5aVcUzkXUV9hKnVp3jjN3k8Koy0N UFvl8CgQ3X8D0/d9jmCnn3w9844+Tf0UkgXJnHhFiE5kyNe7hrtJK1Kz709tdePDvXrvcmwePfiT FJJYgSlsk5h0FKX2gR1bYsvt7FX32eIX3373UiXgLDgBJ0ty+qWj2jb4ezHLTODEtCIoXhsE2rU+ doY7GE9d15PdZpJU+7G78YOA7aHMG7+L0TFinUI/o0V+/oYAX4LVQ/1HgKlrFY8sKjMpZOrTsNtJ XeXSu+KUcSRBFOG87zQVECCkqeSIb3aNpz7M1sz8hfTwW1IJJEELAiiuW96Ke/cl/hfGSO5NQkPG 3tCYqQc4fv/i9Bkhr2az1BBqpEs2YFnwCnSfA0sO13pn41foDTbMI2Mmw1CcLLf50tAE0uElh0aF ZtKMG4w/4yUJJaGl1Um1XWrO0M0x22a5tob1BRX7rM7BJjJW2H05qI9UxyIa9sg/kLjs2jOZR48G 9cQegLfDiQVTZTH8b+S3nL4IxCD9sDJqzJyy9pXSWdVbh2U++kOdySDQx4AysS6O552gAlPp1Q1K N6Ao3lLPDBtE9SoZ/6gcAnAzbvXXyC8niLUIBWZ4q/oX1Hia5pCHEQScXjcboAAdrhT7onBYE8k7 UjCLFY/A4+3LT+jNRatvPUduJJ6cZu0IEt3jhLY3h42335y71J6WOtdtWraN+GvY77ERWPyK5fRe /nsDKxmu7M/olXKtQ6CYV0mzO3LxxCCzDs5wCcc2xBDU8lFSSncbt+1ucmAdotcHoXGul0wEOOuy etx8zCroLBt3NhK7OnkzqFRzuucAReWjLLpf5Mk64cVGvRDoBKja4DG45047XdXlkdceHZctMVGY ZSEOKQcGHAio80JCHDjxH8FU9XkW6LRps4wyOPykJcZQPsyB2wnM79Ay22fsmH851i0sNfvLnteK ZZ5Njb4sRWjMs2Fu3OFkn37FJlB5VuEKarDIi17fkGdOQNcI8sw5+hYO0RkWVFM5ItVaIVoDBho8 jNV6aIvD8orR2zS8Tvskgp/QfvqfSxP/f+hqBZWlcgI6TL7jkoU3kI96+mh7Nu88Atp6sUdWUg1U tJPAakgS+fz2DoH6hrb5cR+JEmxE423Sl39hubGRLvg4/KVicHBnSWoD/Ffq0o+QGaKAMKhOtCLo vzB5kVE4dqCAYe8s/p0FJmDqV3DqwRX1OC4U1bp8EMiDk+Q0oxcn2kcPD+i6RhXPVAAFH4WksH2U d/sv0PoQpGDi49JSQksbOoZv6m8i/GrD67nPCbjYEt/S020FFrdQMGw/yBeZ4ITKpvYBBvVDZGD8 VlBhoUlEf9sprKVeCMyCsKH/hbh1cRUNCew9D6ZSOP1uY4TpmlIE748FrvBmX0xUrkEz/pd96FKI g0hEOu8ONvYEF6yRMJV9zvcQrfWhnpAXzw+lm2YRicZ6HGY+h8YOmK7CjnkMmFeEDIZ4xST5wEhW X8P+4i96JTk65eJIuMoJwfeQ8dEm+onhHSb+LQixI+XJe6uneO8TQEddS79v20MZ9xUzFSNwcqZr 6LjI3n4l4ZIxYqKtAVqj2zzjuBAo9+fK4jzLt+FSIG3+L0dEhPe/iEzsXF+SwSSLNVfXw/LI5aGG xzu/R0uPp9n4nh6TP8aNqu+XjSXB8zwsRh6M1gqpPZk4alQcVMEeNMYGDmo18y2nPpoFHONSwJAa tL9h8YePjHXAhyE8JV/thxCqhYfy1hJ5cceDbrq6F/bwxqVJh/2VrY4wxOsrFOp4n1CX3fzyC0Pe RXAB/YWWDpBZmNbhhpvfN7P6VD4sR19xpfx7bOBvUjT+NTM7+/5Ir+FZYk3sypWm+XQGAqR/n5SY kwE/duvYPqayG7Xrsmflq5q0s5QNqy4EsYqQXBGHcsfyXXI0RLBNV7WfhLAnnypiRNGuJG63isA2 wK9SyxoLFRRkeIEIAQc9cHxpnv4A8W9LgntJ3RoRVipC4BynCxVwNl4klClkI02DeIt+3i1Dhzb9 LdkchjNMemy2DvpyxgrRoSIcumlDd/5s5qMWeoG3//6LRkPUJ6hVDIjrbiMrnSIBsU+NB0JNPuf7 ti4hvecT+QlPAaPUfsKV7a7ypm1uYwpdC22gfBSCaE0WqD8DFP7X9RHCMezu+cL5XndQSXa9IXAC r4zMNTRVgO/nQnXxa2oItQ9F8bxDTcQJDiRXyjO7LMqlg/dcLKpt9KxrB6+564X+6rVNHnUdLpfB Ye2OLEJufG4qIfEK2hXWU0GAoIbKWtTvKS/t8eGm+P07FUS8voCFctz+wJBLRNt0e/BeBrmlTTFV Ewvd/FPL2rE6FHrNqqhsS3H+VI7SNoXFYS48rwHU7YheA+kg+NHI1uX+BsbgFdC5Al7B7cJpZ9vu +7H2JhhHBhn+pFs2P5bRD3fmqTOHzSeG/GPjIzQt2dQWId6dLZpTbyWvSKNsG7cqHDzraleP2CtO FFPIvoNzWt+zJLuKMRmcM767vXusEzEb9XmiG07csiBQ+edS/wVE5pV0tZub1XsPDtrqScv+/VIG PIIHtCSURvHmG/jAx77SjwURGGko7Gd7yJfOpAUh8RgjFF0Yxxn8JVpD0oiVfRkAJ9K8xiby7IIm BvgljrbUW16ZvV49mzdayytSeHuUSQffnzz2iXu66tL8bPLJS69E8VHjM5vLvihOHUP6b1wIMwwM crQDlIFGPMxtw7kGJid1FM6konlAMyEIbGzE7hYoREW2sY9lMOu+kBiEEI0H1vG4AXvTjdd1tFbh ncAacEQbtV3b0dyXQqanmtTCgxOgfyrbYag4c8Kk6+dlskCi2yNY9FBgzQDov7cIDC8vOGHJ3O4C 4C4eG35/cPW28MlEU/LXKOxzPCNG8rGl4gTN1eYmq7PzfFB2y2ZOVekidAYVmMN+6hVT7oYHFM0w P2R0ez7tC2Vsn88N7VFKg56KzlpYZHxzNseaVPUJ+wXFBf+P1X7sOQQOJDvqfUn2cdqzFQdZSNOP t+DsLoDrhiroPmZHCxJCmlV+VEW1nRzEraxVZVvXc34beVopgzJIKPTsVAL9xn4660zz8TMChWyE NT4x6JHFW5DMQZVKOuNmhyQRsyOwX79dCbaYEDVs1sT9+FzVk6WHD0gp+PIs6oU6n59oW+ZYeHnC ewigToBw7X5bdxROpjZ/5eJDLfdgBxlYuDfKfQO9iGJBHP2Om0HJOH1u7QyxvoU5l87lCfgSgumj slV61qTYjGbESkl64VZ5dH0v5GwFI/2RwLbDyXFfPi58PUFexn4i7c9V0YwAP0uuYWMMdp0UF4jA 06L/5R7vTz9vaQAs6lNfYSBe//BQYSpwuoJ9Y73zT/cX05+eEt/x/wRwXlIvv5KNigb13USEOw63 bxYsC2mP8/MsMwIO2geMPeX0VTZ3/t/Hl8233puS0fJbmZpoVw1ePSgC0jR+nEydqbJ7EXxqHmpR UTpFfD9pZ7jsitqkZpZx6bJzB5j6WdDkvjEHXAYSc4ONyv9ZpGqt6bsgExMkH4iZJ6INCQNQzMW5 X499fyIuWHn5lvFDvEaKQpyaTyZ7W/CKFE8RUHWYC9waE7B/X8/5SEXGEJAYZuPxORx/k2kHqKvi jdT5hi+ohjy2QWnIsO8lywUm7uQ0xEJcRV1OrfcwBOMA17hT5QfRbb9BKWhAoxleREn14Gjtaz9L J+ez0rYFuFwuJZ9lTVszO0yfBLbimkTjjudAcMd33vs6fgY2SD7oF+NPpPkeDOUkDe7+p5kMdrJR pBbK8wpE0FIhyGmB51fhL0GyLcmj9MdI6RcrzX7X1VSdLLIrfEvNACi3VlbdyLiHudIxdEIRAXj9 6ajGm91KTR1N2s2vUUZgloga3lLPIacoXJiN9k0B7UitawZd2BIfzBe/CbMF7PxW4HUgmgGOUUzH A5mY2B6dXw0xIJst8bczdKjXizbNq1WeD4LaVOAfF+vPbbfRLGJELh0kwer/sY6P0LhFQsXgRFM6 QhjMzl0YhcMmmudzb2FphWH/PmZK2Hc7N+8hdtK/hrD+kJpA1KeSs/3ISeYvkZGTizvFIKjGFmDY 7dTUzi9031i7Q1USh3nrVU3bSG7/5607/3WPU17CMAea3f8zv97NAHVNwMy1USBeQuslFDI4Zeok OUYTLRQT0aXzsGRrxI3puJEOB1anqGXezsVfqbzIZ+ierA8K0YeN2O0fyq4nlCMQM5tBEXX45KXK FnPSJxe/luqph3mVYYluFPlOHA62gcA+/lihAkG2nvZGNZ6TTBp7kDYsNe7aNr9Wh2fFjRTj7lkl tIaj1YFzSfa/105WhBSi3Tcc1+oqPnz3n4nCf/0WXS/I8iqLaH66NqJM2Cjn7w2x4VX/JAKEk9xR l6q3U2DstRReexqZNmZhBO7slSx/+oIfnoMjaRkAJQJba/yowxZdOsTBQqpTfXCL8aqJSGoBxRpC FPigkDk5JyGgv7muIBdbSuEKe0uQ4JeF1MhXvZO4It7sVcVc6GRHeqN30o9QlK6rPzxqpKF+uLxE ZTibVC+QxiP77m9x/Bx7DkraUFITIx4IvP81dnLWl3FW1EbH6yXlLV9Q/aPWrzsU5BjmGDqrMYzB l0ZfCAU+bLIlRT6ZZCnS+szbpYq6oS+1UXvWgp8UedBkBpqURcZGqedzY+8CYMRO0G8Qz3vtontV tKmV1F5kFANpgimbBlrWzewDIZ6Mc1EewAjiNcTazTLzaK2Qu6qvZYAlq5jJkamPjcIDy4w3Ohao wXcx5AXAPPV5pFjMeYdK/bq4Nq4Gamy+LDdASJSgTUjPdV5v+/31uwAZ0vijMdhBrMScdeUNXK88 9AWhQcxsV/zDLynDJ6HXkYzxKL+uEjSOjY5pxrJ5BfDCY48/+3U0L/LiPVDk5I4T/vcFCDLaoQYT gWqUXUvkT3hgUpHOc4wCb2r2y6/fAPlExyv7tXhw2PdJf65xeREJfs8UOcn+bYMTGLKB5fBaEAEB kKWPMdKnBF+Ss5vpQrRdkruE1voTaWQh34wgbA5N7J0/d+xlxs1vzwXbx3AkGGN2WW74mWvCx6Mh ow7nXcy5JvgklXfIscolM8lauOoFPy0r9cq5J3A0Y8bwQqyYpentIpbI2d+V8216LWHjItQYKil/ 3br4vXHl9/2Zr06y81J02OeEorj3lHDra7CtBzstk3zYfBh+Ob3ULvs0EgiSoouk76vg6H5+K42b T2BCe3LXo7hxQXYOrW4adP/5ki2jba8e8aKN8AP0JGYgCHBGpGsznrR55kFXZhWPQTDtWqcJCTse OwcVqTaC/LMCT3nt+rX9alfb54mR6OO7pi8r/4ZM3aC41OFVrNqNRgQxICc2Runxz5sKv7EwQXhf n+pfz++zx1cUZYg/ayse7LT9BFisPM7tUuLmvSEkVMq0Ksu44KwBsUVciwwuoxEm0/i50sJjM0Jc TzIpBgR8idvBZXs/eXIQ2NWO3xyKCAO0PV32uC+hKGwt3ykrQevgTcw2uepLH8TV6ZZ8h8vPydMz 9Kqup6RGqJpUONa7WyHzvy4MQglTi9R2XUsSoMQphS2tZa7nIzLoH7K1QjizXlL/HSQo1GFTY14y 1dWHF9AeVrNXjxHy6AUhcEf+AbhbwXquhW1SfJnv4WELKTunY8UEfXobxyRAzmTyIhVeVusV4Aa2 smPWIn1+0ycruj0fBb8EqdVSktgV24al/GnjKuU4OTFKEC66uegS36y2rd6fR8YWZyFIBhbqw2sG zGgTKWgQRCVcXgzyTYoHcnHnt+0WqCn7awGx2rXy/IyQOCdSqTaamBwQ9msgJd8gP3r4dbvpeTPu wKtD4vWFqhq/qRGdNYgXxjguloAIA3mrflDOoFuzHRvmbYRHAokIG4Qdyhj94KUrdTGjT6p9yVD2 cESHLCjfqYd6CeUWFSVD3F4oPEaE2lGC+2LVWZMSEhFSA4j4C0KM9AHaQxv+ZSihIOnLuw9RgdZn X2nhsiPFlW3xNUhrWlnkei1COr7HvYlyJFQnZyAfET3K4RzDTQoHo3refzJxpguZN6XnMbyhAQvA xbwm2k+HJJG00Tr+4YF4wadyV8DnPO0NvpPiAWncbtQjOcDevLL1l6Zhmi3UQoJgZxlOblOO+pUQ 1pB4dRc7e5cWJUqIHEexmE+Yy655U8n7GM8bXbjw4PUrql5RT07MeTJ7+4cSrhnOjszGutHnsHK3 ci/sTzFzJVarnjQM0KDQ5a3XP+DZ/eqzPSZgzeQxsrUPECwMDc5CPpMN9RUv4b5SPcfeCTLCPsTW RTkCQq/8IoX+SRbO4uj9Pr18nbXNSmbbsYDgpCahFoHAL74N78epq+u0ePuK1IvHIJKCx89dDg7A c9AwTSGeF+jKBgvSoT5giFSCU2hoQsCLdQXUn1lz3/W+NCsu9l1pO4SCkVtb8PCKO5xRCSk20LBI h8Rt7qp3VE5D/S/5I+qwrv3MOKcl8bU3t7hLM1TV5bqTi0o7L/HMQJGi5F1e985/raDOZgv5SARJ VRdZhvQxysQq5oEVmd/JH/1DEE8oKsXZOTD4UZM2Co1GG4ZGzbTqs4enDZjEXq3fN3mcW7CPl5Jq 68SufX6/q0xTiQK2KdYe6+HP5Sm0a1d/QCdQqPvMeIdemEuSh27J5yJWxx3yeDmEnn8TpwJqLw0A yBMK9oJlVAniEEHPOntOwGIPslX5jSq/b3j1p5o7p88c2KRe8S1Gyc7Gwc7jqn9Af5qp0129882X +YkhEFaxH8Da2jYNRy3IyfklSKQDA3pZNyikvRFg3OcGBWkdkWGVhJ2qwC5fG3c6bouy83/3cB0N i2D9mJgOlvlZS4c4ezQpSfuCm7dr0KOQAXK2jP9Hj6Y9lB3BnkdQrYUOlzv9ZXN2lx2P1nnFUwao tJ6Tx5XWlYTKrihqLcsz+5iCJPl4llA1CEtRRxvNzbOXwxDKmOg7ZMvdSRTF6AWupk3tCLXQcrWF 6p/MyJgzkdVXdhm8BOXJlNcvqoRsN/jban+zT/1D3cmPUNtFp1PbyF5lMSKBt/0ulF4UT7NM/eVi DBK4PouvAywf7pluLMfS6WbMgkr/y2wqPhUbvYz+cjOF1T7K6pRGSbTB3Il4ku6jU0thdJvOnXSG VVHtMxivP7P8NutFTnuHj1lwuOPQWh7GOHJOffx/sjg9656m+XlMSWD0Cdjj6chuJZbgqsSpGon5 cLt9HW+b62s93IYxN8/5F0jqSv4708VXBVfmQiHKGmx3PWUjzA1G847+WtVnz83UKGC9MPy8A9p2 d7E14/xBlg2Q9/pA2WSYMZ1Kj7ysGM7zvM5nqc53hU8SQA49OFIuwuu16Qn1+Ouud0sR9q42Q4bb x2r+r4Pfqa7ss2WqROF43D0Uqc11dnIPH7kNaYeO+s3L/UWuU690KfTppvxmxHmuKLw0wJnQ7W13 ThojwhK4jboh/KGiwQVJF4JTpM9cj16xR8TWqGyvQPbruhux+AMqd0LbtKFSCg39hartifI0qbo1 3SHf88qhD5zFFeEy1MUGIFYMuZ8JOOFFB5qTE7pjsvUTaC571OQg0PzZx/wOIPwZ9eKvdYweaAwK GLnRsmz8MLkj+W6F8wnsY90y9xycwkCofedkwTtFUs8iKZiQ4ddqn8fbX2Y6dDjAAwhZlbAhutko /cvzxjsoiuKYYCIznCJabzymexAu7Rn+iPxGBVVIjnWyL3RzRFP1znues2jzQSyVOz/VwN1wF6c/ eNhhW2YcT17cHuSBEXyZcYREdw0bsGH6ZtpbF4yzTaOruXy39S2zgIIOkC0+DZgiVxjqm9UtIPoM 5BgFCTRBT8BAQ2bqx65ELeGzpt7uTv2/AM9rwYyYViGXPKtOoS02WAlDOwBb+SuYvUvxfwzAL2XR UA5UEyXwVvT4T/rSWBfTGNEaAV5A490EuyoaNdb4HleZUlKcb9V9SVFkTOjxVrPG3QSmh8oLi1GT P7w4QC+nboc2cAfjj1B2tyED72txxqWMybB7nJnqe/1XLZBnd+UKJdcDIe7OE+qAmIdD9qqfi+sY tZWNd6eVgVl726reD2xuma5CMTsKF6csEdPSt4llEM/xTh9hqTN+Tf4xp6QVYltjwMLEIt33NDSv jG1L/sr4o/U7e5pa5sbCGBCgKlLrffbOOeQoZRKLoCrK88zAPixO7ZzDYKc4Ek8yNobvq0BRC7dC KJ+tlezws2ogcZi1lwM9LBb6hIomwmOezmr+GJBh5nb3xk6GTWwiNFevKkXFCIw+m0RiJ7J3fUbV GFhDiKgF4vXuigntnh3kLL8pzSD0SDNkLDZBrJsSdSgAovk8XSJ0kgmuKDGCZNrmKg+uqXCvZnjR xo+4x08cjm+IiGZ5v4yHfjvZofYMLzvNO/829CEDiNz+Q8OOqHZ6dAllL7XGS/MKPDhmIcwgmpnW sEA5M3MpcwOwyGatsKj0bneFI7kcNiH603eb5Xm0UYRto55AJITg13Fhw71y4Nc1suFw9TblVs7w 89fRt/oHMSNk3kLBIojQClEI8+dthVM+/mnrt1RuNxDmjud04HmswiCeTpvuPIhEfC/IBsUV52Ti WvKRhf7+vUBI82ygcUdk4KfK7i0bnsH/Z7fhSyH0zb1oOyiURrKfG/uy+qfVa+C559j/XYqRUZYu MDdPdmqpKCkb/8bQDh3gZIRtr4nlgvIPvyOrYHe5aLT3coxtMYIwbU6JAljC/3joWNQmh7JdzMEU INPdBfJqosjrwx76c8vMud1q54xmH8b0aZoFbORRDEbRUKa+PHoGN0UCk0gGfjVg6jmENLUPqBBw ef01ym2/sO6tCmNqsXvV0Q0M0j3zEldUznLGtWIurx7cAhiUQPbsKcLhG+7DQoBvvgeKT+L3g0Ta k64IYKbOeL2LVEFxkFBwqkUpB8Oxjlmy8jUXhYNnrcIy3DjFDiXg7EBjx0M7YwWEWw9Cz+wX2OxJ eXsAoZruOQ1OK+7kI35sgbz6evp0eEmzWPUdcNaRy6bvfCYDaEYO6qMEBgl06Obus7q1IqHVLxif AAYQ1mT+N4XQK3Xw1hC74bLam8YwbOLAyC4y1IDtRV6LO0vA0iS3mDbHvjUL7Yezpz9d5aBuNWAu ILpPIK/srO8XJhhmkYPA7E/RydEJaioQCN0ouYMI4b/Kb4YyO1MoT9/FCwDywGllNcMwZr8pBWZp 0skHqV/POtUAqlqRiIH99Mi84MVxbGwZ34x8F+sDjA5s4HqFmo9AJKChQBW/vkAKMODG78/WNlvF a7pHYAhmWV3rgnMEf7EOHvQa5HYWmrSbT1mInhkitVCVHi1L/ZN54F0bg62O7VweC7TJ12LxvZlj iqVO30qx0VYuMhmw+Jt0riMMQ3bSDDV+4+ey7WEja7KHEXJrKzPSH7cVAs5H9wyxszL4yzaayJA3 Liocm9Gi+7WgePnzNOD5vpenf3xhaUsgCe+yMAhekdyYR6K4nfCxo1xaECPIgWGwcdxM4Ipn4sok wWOtSwkHNPjGIiaM7/tKN8i9P4dKSal/rbdSUhKvasp+iCfSgtGpZIgIunXDWs4EcuDg2ZLipZX+ 1O3YIp3MAbW9F6JOJqvmXTPbjCqcuMP9TXmlJMnAc6tmWxItuLADM0Ywe5OTjaD+DwDEhaRTHsw4 5KY1eVwAlWOhZGhawq7EPS9b137HNjH2RmcbY0GJFrpkFl7GhIrZdpxeh2MV+MfecgHwVb5Wuyto p3N2JJKD+44qyRMsO/+mnESgl0t7h2FE/8s/yM9LeNnx0DPw/AWvvhZbNuBfTHHNy3jGdSJKd40n fkoOQIczTC93pPzBXr2HgoA91sg58quOhgeAb4f/q3KduTFRAeu1CYD82tTPOnMCMiMRCfpS+hlt rES1QXSlrm29JWrNtDRDhlsvyjxddTc5w8bxMeHur4bpOP9+aOTwl2m3OaWaTTS1YeMw4HZ7aQHi /Omn5cVoimuvuNj10uHXjPK+qqOEutpGJaZ4BZPy5KhNLIYoL7V5xGoUR1MStJTYb3FfQoz+k/1/ bVrIvgDCIDP+a/z+JOru2HYXroqy7YwkZ8Rq6Pwy0EklKUPS31bbri/JIDZEvQDL/s7Xkq0ZLtr0 SxF6jkNCalIGpJHxWtxSOtNhZZOJ0SeRLGdjHLS6O2UsIWncLC9yRTFgTgdsRelr1ErzLpN8EQHK BT/eca5/SVyu3cPsv5slj/l4xGwby5QwHokI3uRG6idrGvqrAk4jJjtidzb3u2GSjHEgkH4TN6FE 8E3KCvfcF1dGt8Px4vB0MAQZVRNBguC4FDvdAlIsiYePO2BXlAIJArgSV83w7bEnOq5794G03iT/ hNTUgTWtOtZLsJZpKLjxJJL0Ws6Zlt3n1vtni7r3ffQWAQMcxcQpm9xPLrmhHLAV59zXqaiBWc28 7+RMpNvHelo2hjuQao8B4uK4N9UlcN2uFqKufiUtvouiPFT4vw2mXIYYXqOnXmZIlIjDO1kVmxfS cD1UDG3qTMXfWBVyY8qubchYhp6ogpdfSh3b0FFLWF+RQBy7mQ57jDaTxXITRwLNS/mIfrZtwiq6 /zDBIYRc44wBDNLnn5Bc8IFOJOP6oHHOioYD+YIJ7y8nE2ffYOWgdyDqorvJcZRGRBCnpa5gsQws WSVWfXyt9PfR0Yjg7rnhNoVGaI2X9LrVH3Y0S0f0S4W8kTO34RgUsbm+lKnuHYQ/KSuCaonQbQUA xniGACl7qBiLoN8KrbmcrQCS0f/fDmZnAtURN/Hb7lRlucxzCsPvX13bTKFFYTMZORuc+/DX6ous 419SxM9U0alWCcMs8XPA4afV0D3W3DMg934dQZvU7rXsqgGhSe0b5C+oDUeuW4HVHZX3gruk7Klf doeQKLjiXkUy7foeazrZaL8plcSnwTiEtKsghkfHa87+DF0qUtdxpBwdgxcggJTW2J5hlRvqUl6f 8bpwTKCYPbZBmp2XNkIE5g2zKVhhPrevQhlX3qDSgNfa5D/8BVtWXnk+6ZBGa6E4zNmuj7UKbHew Kcvt8IxHZF6OCiu2iIMzlca8y7VH+Ka2n47BDAq+BAFPsd+1clgiCA9hcf5g6ZOpGJH/LxMNdYcp oEM5WxcrkR/a/EJWSfZjdR0Ga+GZDUrmBme9xoAf+MNYlIh3eWKZvE3c35SvqoxBwhGjk15xJjNn QnK563iPvwsC6SN52apAoabKjCl9Y1aL6CBnygoUuF1nA2Y43JtfyK9esV5EgsCk50mhGkoPKLXr 8+Kf+QKUA2AD5RJcERdkvdZndKLXKFHoQlsqYni3EMehdgNrm7NAu4dKADRYL67CP8U2KmTLQa7H h5WZP7ww2ffaSVdVke7afDtXgl/oYqCStpUgiauP9Lo8eJB6rQivXoSXvEaZYu5nfwb58RLbblZW yOdcg5Gzs0PibqU7rSJ23Wj1Z3+nMJJBOvtoKKTC/tH6IEfklmjGYIcgqpyMsUB1yGehSQuvF2gh ppb3614i89Sx9fLZRgnmUKXn4K+Q0HToCpuKOHuns3d47eHLjYS2uqNb5kiJvX5xnTeXvwo3oO9B 60Q69mI4gOYnBRmFNlO2zDo2PNhCMjBsqMrH8lWwpARLgQu2EewCqPtY9q6j8XpJMED1i4VOMU+x FG9ujjLTh4mAvJ+Hr6Z1HEVMf5ZLkCYzRn63WJ+vWxWcaU+jFrZQeQV7EBRj3Yq1ZeOCZhKgTC3U X3A5yPA1LPeCytDQJL1kXusrQnin0U8lxtZFPLFyiZAwarbJ/f/Q1O1OxkR54yHjejaE+7L9aCw7 3FdbhfzPcECn0MY+KpqrNwY10KH7NfkbG9CRxWakDpyK68deRIpDdLNLpwG49N9Old3mK6rZwYP4 9RtvfNgeOZBQ7+obD4MFXR4pQHYvH6Kj2HWsCf9mYwwl1GPKODyK3hgWE5sb0EOsseMHzrIMKkDe RZH4uUgLVS3UfMV9wwGBWRAONs60neC0UTBXhmsUcB/X6iAmy3qiepe5czhLS3X99EpP9ETqLlbN EQn9nsURH/rEH5Cl9d+OJDzg+6McthqXeY8CK2hcxN2Uhp7VHWgsze1lzt7mUMFhDQ8QebSIErKa qrQs1eOt3Tdxq7o3CJisrmiqegV8EQOgCMoXX4ozcpV8N4xvtlC5O6+oz9nfRW4xLuAaNTb/8mc1 VxjfnUHsRH/DcrZMH6UfUdpBRQIXpyzvmqBeIJyK0b0dSfKi47GSvw2KptpG7XtO8VubaeEMNJve mU9LPqgqycqowSWAUrHaUIQU0LWJxOt4OAgQhkXM3vu+kZrSCELohO2CF/3OGegiXqa3u1btG8wZ e/ru2KlaT0SbPf9lE/gB6iUh8V4tO7DuSAaqlkY2zAh0l61myqLMFjOw3x/rEodJWPD0xlxM9xj6 gv1TlUeUxq+7dwRdigSo81PZ6fbN/dXvL4YHrC/le7giTEVFfBpXsnVCAzsPSuyWCOQC8Ub5mk1s FJT0cgDYyklqFik+b+ccNLdYP0xMSo89wBXNiEiSQaIg+XA272SJau4y+EZnnMlRdzITb876Oqjz n/vxsECqQNj7xLwqE4WMcMOlQ6ZEF0waklQRgqoq78LwAnKpucWp+RLVBVwNE3WL1YpHiRtjVkY8 S0k0Dmormc03GLHEEomaFfufCQBwhZN7ZL3AIlYhoD+CBxV5sLThILBpsEyIvgKa3lY8HA/oKVNb PZUbus0Jxcs/LIl7OEuEXcCZZpf/+Lk8SZi05o7EYyx1prpyHC3xlYo9iQUNW3YmbMIcd4tLNd88 O7Kl9LSRI/wets5WM96aia9ZqZ4XQTCC2iJy3FJAHIKxv0Zti6D+Ihr1qA3chnhszUnKZaE1Kw4+ momEH8vh8FBiPK+u7fhFaIHjCDHhp+oW94s3xWOMS1Ms9YwuxXJcMCHzfcqDEbOA2LUDQZruhndq yOE3mtjuOCMS0lvQgDt+5axC5X4h9irr3dPOfghiJk2PXbzEtgyb491+GaZzHD+7mRSweR3JQn0I R1E4rg4VxEWhV4I9uf5HFqne+XaRbo+IOTxkMpvCQJ8mqxmpxcnrj6/TzldxbOTrLvBTE+6fxrL+ 5JAVc5ph+nQMJxepDt+VA+l3ufsC0YjZTNRDz4zC3d6IA2ZVGAoP+0Ed8fYTe1N3ouiq1wRdp86r aGoq4MNtRBuMc7ZmlsK7h3VsZVR0mL7Tn3mVWN1ir1bpl900or99BT9h5xjsOuGZsd3osqlQ9LXR AWKCdfZRRXnsfNg7QZGV8pxSz0AKb/r52VoGjlzVw8soVKndg0cikrdbIIu/5Ny3EA/P83wMujqz gZKeuFAUBKLtuC1YsO/tDlM7GSR9ys8IJELFGiLysO8n2cZ763oimeF4igj/kUM8bXjD4t4lWJFA oQhhqiz2jCMYYC83Wuzji/lnaQC0T79Dqsj+B1p7AxBMhLdttM3T3cWNZ+i8/ryIbczAER5rdkat HubOK6RwmdqEWXj4lTyNhvNnboK/n2hOaoP1SH9QFtGAtZMO2t9elZmiq4SW21ZZkT+kZ4BSSgFw nnaLuDY4rrHho7DD0w054nb4qxOhx9mAmoCQwuLT4qT/nCm/MarInE0rX4ZPyONLSYVDRhbnMSsI BdRYAD3xSzm6b3lTlMhf5czwCrZgBBibuuNqXXb8VVGmsG1q2oxZa9gdkPxcRmg8foNUeOgAOQZy XCFcIlsQMAcCTISxBABDGfXBjLJmtbTqMrGkP9GTOsCX54aJTbCHZdFEoWX43jqvX2w4yKsGBsGS zF0t7oFEFRwqxpUeTKHudi89YJ2V+f1zNgaWINKwQhtMkXRyuKg+HdpKDQ9uD3Dj4k7JYZWvevnb XZbTkuV8+ysUvvfn8FqC6MqcMDKXIW1vZzmFcdfiGl1mKRFJ/L4zQ7jYIBexbGgvAoTQK5G3BWFk q7eQH50W5phhrv1tMQ+sMqDLt905gd8rpOtuE3WIjZZsijCWHMxQb7xBnbN3RMRJVpVcazGJYLhW JZxflDewUOVaKLfboZv/G1dPrsN76N+rSK8l+fqK474XXQf0kv5IA5v3BHQdXTojnX+pAnBhaZ9p e7Sf4WNatPZdm3pNF+RU3VOWQ+aVKC3Rt19gSKwG+1mWv6EYgN4AWUAh4yYVHN7mK73HM4/7lDin SgleTrXqmtxE4tYnpU/JwiRFUxy4oMUYYRk06XWFfszEstvncoxgA6E2dbpD5foGsgyLR6/LZill zjgFWdFsufvWXVDODl6vfbwcoIn6fYqB4aTOLkYzY8ZwthIT2RLFj/5GCK9wxB0fYFPrg4W4Fm7q 3T2zMTiuZ9O0JeoxRtP+wcRIJ54Vb6keS+MUtlYitaJea2iC3ql104TJTAIZRXE+WUVZ0bT840sr EEmnjyIzQ67zbA1D3MBYyQ7sAQBImpSOtOJkhkAKieYYFpGU9ma2p7JAhuvF5HXfs52azoHB6loU EkCWU9hTj7TunxmZB3ylxwR8Q9epEDp+wNGWk1dDAdlAoVV7ISFdYofOSGuFdrJDsD2jqUMVqR/h hj/VpYt7FbYCfVMLYYpBot0DLAq60tlsusRYJ6JAuv/XrAJvOOyzUP5FRwbsc3LmYsLWYTWq15Di zLo4B/94x1kUsKz22JfLrBruhxaOlX5Ns2vFptfEJqgUmY2NuMa2e61Q1VAGgyASizHLESPfQGDn XCYapfPXbo42Gw0TBIRRTMV5sHFfs1ImKO6a0nwHEnvrpM0jFnTXIYoYmkqOTzhdchK5R9zagsBg HPIZIbcdQIcFBTlwtK41HhV3ADBTC/T+5L7H0O46eqtlsfSgjYPIWatBs/mZAGI0t3ED7ONAkk1H tlm7svyiKwNUvNXZaG4OOq2JbMQVR3cUOmD6wdWLzsnrJ4CYIkFrIMTYmPFeiKB5A7AXkLdMqQjs 4R/C4Mla9FqSWbEcnSPDeM3mQ7cXDu18RzBo2WQt/ZVCwrk8wZMjMBFLp8Y3hdRg5h7f8Ncoi8ec SydL9CBli7ErZh4d1HC3u/wpA2hMHJFNqrLn/i53yQlbUS0RayFVZwh6ySbZf8fOPPDF85yeLLlM 2DR6sZDRDF6qo3Czezjcf/65ryNC8rCd+1ECycCRfsmBK1SYVOt26hmxatemtpqxcAhQGkZSM51r 7KHwtfCnQNyUK2WX+c0iMiqNsFZWt1w+Cm+WqukbHbjce68yWv6V15Uknkt6sgYBU5wevQdo2tsM /zAJgj6k+n5MJ1VETVV/t/N6A39zNeSL6x11YsQUctcf627jFhPsNIG+neHf7HuyX6VfXicP9h5O hY3YeqbW+f0IiLj+/yfViaM0B7m79bw43CTdLmUiEz4IyiVI/KurtAAsk8+/veATRK59mYYITuTr KF/Z7Ju1oCcuMW3uGhP4CL5JdFZad70BmyjQaQ36UvRppNk1rDK7ZZCJVLaHlB5BxjxHfqGiy4RX 1TWBDYBXjATBb1PeOH0Iwmpxt9bal7GzTuF+DO95aYOtCM0+dHRU6DvjrQgy2V5YfyJhWTWGWChj 5I33/g6u1K2zRyvLIw4nQhEfRyOEzs81V3/J0nL7voAsnVTBjDIaJymT4dHYKGCycyi2uTjesfNZ BHpMmSn+dBvMaGGV/HbMCr7laaes6IeIeaTn3+o4AuKsRYgnUaYkv34foe1aioZ5EZckGzVXf9tW xNGAlQv5y3fvieVwpYx+Px+gdPdmXSZHnkg0hLlB4DXp7CpuvEc9tt5Qxiw1cL34h15zz56TFAB4 LypBXjl1QhsD0BQLyWNoaKseP4DZNww1lfZ8Xvn1r5zc4+uFGq/7vvPYwG8zXPmxDUpqn/VOxIzc bLJjvMPbwqmzSas5ZGiMnqjpbx7X+T9b9tiK1Dmd0Xs56QHO9CNp3jhBIDicmurwA95BjqXxlBbV +R/M1RlXAi7vSAM5uJZNQmFWT3Idcgn95KhyQmYRy2CUMHxRgtJfBJI0lxP8DSDQB6X2dflIMu2b yeFlgdixSt+hI3x8AKQXMKzp/Vf17KzizAr8o/uy1GGh8oS9sSCE6z8iO6Ko1qRr1RKPW04SeLNI zlo3Hc7vnvVCMj0HFoeOcV34V4wMJSkG0AHgD4nHCFF3qV/zXIK+NJfbbPch+K0HB/wPX70Ood3t xbVBvCp2PkwrtCH+RqOhhUqXRqD6Kvp6JkT+pntNIkL+nJhNpbbH+vwPcGiW2nODki7nv9Vat0sj kql1HbJkABIil/H1uzqS+QAR0vsh9/AJviPwbVJDNP83KVt6uAKJmGuk3dj69eUeapb/pmWWnAcu A0f93L0abOGBsiS6ZRIq4zIp0st5liigG+/9sOJZYg0lDZIz/NzdW4Sk7CSUh+BDPO6YKfn/MevK 7S3+0dkgYjWQey6iq1VeyWEJ0/1w0tcsXYxhd8fMayWJSB8uSJ968XtblwncyCFFZkRt5JtshZKM B3j0Bu65fx7Mt/pIRfc4Cb3kfKMco/nayC0GvbINmAWaF0aHCYXs0Z9wAIcRy0bp0vUWFWRYlbq3 U5pryDlwxwMwyHj7iuabWWSlPkwLdShjwtqjIx+0Mg9tYQqY+adMsk5oQjdoXOY0jZpTQ+xu/n7a wEwwWxjLA5KyrUuXNUtFaUdw83aVz0JBXB4H+im1svHg/FpL8c8GSpoNoO68qWwXV1A1vaND8xc7 Z2HhciyNp1P5IQ0zOuIZZWLMMQdlrSMBI41+FkxdKHlJuXA4epG2XGrcg7taeWeZw5nsvlYo0PnW DZbk7H1Zkl1I/5oE8Jdv/beWNioVRg2f2U9LjiEOk1gQ1byWxKp3ICTLohqffExgDj8nKuKUrO8b G4MLdrDmIJVGgIChN0pF4i/m/kvML/ZHBz2Wx0DUKa7mXqjYI7AgA4c6MfRrP6kj44eP9r3dcwZq 8bNxtK3/3FEPWHivYXdJUhxIUOADcp45j96Lcmv298CJN38FqP7k4juHblGt/f/Vtmw/udIfdIe2 ppRQo0hCLkSfUWfLgVLJjIu11qQdCUDlo781PXKYKy6iK4L98gnyDVUwH2GiA5SQjevlFzanwxAD S+moovfxJfPGmuFk/1EvQGlQo7Ib/EyYqurvqcU0szEBXh+IxhIFsSsPZ5miIPLg/u+VlnyfLwpC SEQ+jiYakAzY0M73sFjeIMvlwsbmM7qiIIxVyDI8BjUgkXNWXujHOek+YjDiK6zw6uzIHo2+44QA 6qAvVmABmZmwxNVUEygYtku7ufPZu1GTBomdPjaPYA6w9pGZk1yLNe/LjAf2ZS/jxUNiE8HRh1Fb h8pHh+0Bb9ehdK0yHuS1bkOpucGMMmeeToVnAyfArsuHbK4d+EgJA6Mq+d0Q/Kg3mHt8Hj1wuOx/ 4gfk9bdp/8w9zElyrJ7xAgKvpsbro4Ju8qnrslA3y71Sx2evUw/rZR/s1DR8pWP0kJdT6aDKvDH+ D5Vx9FNXkRZGDZgXaXIuPoumjXY/j8mGvWhLS7cbSI6GAXexdvb01QLJbYbXzVdCpn2COKfXbu2D XQfRfVRML6T5vfUHtf+g7dSXc95zO04wRooj/49unLSVrXHXyaYqKITBW4xSimXIY3IaiiquRLnX o0lHoj/VWPmZQN1D6XGWzGxn6RFXvK76GurMRAZIK4PkD3Cb4Kb+Gp+yVoWwQW7gMixezA25bBiB 9WgvysaFRjfUu9hc83h+VfSKYt5VmOSqk5/MrfuXcNxPXO79qGlNthJRTR8V3YFhI15rwEOG2zRv hdJEFl6dz6jUWy78Kp+sd7J0HKAX2YlRwv17qg53ywEstSFHj/N3fGSzzsEtjtzPwHFTX3UIMiuJ v1gyB3f6HzCOY4gxo7fXjpJmT34AmKRej/jolehkT+OMHMESOYQleH6W+ki6CxKfqVnKiH78bD4O DveIQp0CvT/0nwbQB7gsGqUSy81FMIol7yS1vGT9sy7W5IirmJf2rcoJ/HF46y8jdsligLjNsWEL q28eWI7TiiQICmneGj5rVU4C1A8jjUCC5dP5BCW3gYSHAsOOUwEbn/Pl1Ir96jjJfU+BXKx9KWPD y/MYKxg4OHfzqUByqcdR12igYqWFFX6kMGlqVlbSf1eUliGdnghNw6IiK/ue4/VjxYmBStsrnN0o XliqS/chDDt2+/mGIrd0mqTY29FRtTWEU2OQVglZkN4DFn14ZTo6wb7YCRTEtIJge5PsDb6pyUxq 48ZXQhO/Cr8FJrOvsluQWkRaIl+CuESVAMw8pcpPzbspFkYEraYm2Ajsy3KRkr8rbiEbn+P+VcOW HIofKRr8O23SI2SC1C+Wi/09ObMv0dCI98Cslp7a+gzp0XxhSx0dqMh1ynbIYIU0gL8Cx6oE5Yqg FkXSQdOC/vy7KxGbS+lCSykJ8+ILAjuY3pQVK/ubH4+P46u8i3jMK74mlCSzrqnVYixlJXoa/fp5 s9mqgRbsKwt4A6hRGEOlwN9GOqlfH3nHjhagfIk2EJt//bq+vYLIDidhl64Ljh5EUMGxUaxAa+ej gp6Rg3ZBlvd3N5860tfqz8HowisJqf5SEBgCfNi9mMrSdQXJYb8dBo0eU5MdoS6ZMhloGQdB0a+B 9z8aByITk5xnTdoq5FYCwZapqYxTfOHr1+948R71FRgSLf2CCzUVq45e40VpsMyKFXKdGBwMvmr/ huEvYfz+jPdf25qcY1ZvoCz316t/kWL6cvM5lZ5K/qlniC1Dmhqtwblom6SvI6sISk9fV0vObZJ1 8t8/EDOdR195i9RmG4mvC2Oqpa/kSztIIfHU5nn49Ol+FNdxlHetn/GUXzFYwevBoNWXr0ks4VKt vvzdxaOE1xjDF5i5/EQQXao8XOEbPnI63SiKiGC2zXB0re4VrfKxVarEUpG/eRUMJjywksypWxEr JOgqFPjwEBsYJAgmXCHUV1DKVQX9hK9oZ462wNBOUMHSXGgwCccREW10Z77C1MW0D4MKrMnno2Xx SujBfFilswIotvqNbmvwVnVIvK+/39DKip3SG5fFDKpvkhaVaUQ4k1AtCuIWjGGw8BOhm3Uv+7GX 7fNTZlRXMK6WegCDOVcb1DT4cb9jaoxcMpLURbtr9bakKFA0PfEWLmZo+LavmT12Vp5aOUlJPTyQ n9MsfErPuuU/zP6A/eyoQI3qzUw1yXIuEf5X/TvLTGJNlQ+NXjGoaa4OJR3a+msmsUbpudhy3JF5 3grnJ0luG31Aj1xFJqKG5IlOlDOAKBosX0ZZDNdsIg4IFwLxk6juDy3j7gmkv+YlO5AYESIG1MEN WU+TQu5AXnwzcd4vj16Yhpu5+XGxSml82XdHkpv4SaomY0SMP65vfY1DqZn006Wnh9P0pANK7maK 4MKfzN5GW8RS91X4ox1lV5eb1NY8Y4wBkVhgj+81FmtLm/fR8GA93LLijY/Ey+P4zi7PUi/BLJJf GeMZOMEw5AEDcSaXeqW6NNinvwfFKuerYOYQYLDWagiiuwFOG0u+HK1WAVz+TZOeuc0k6+8V/Ec0 1/QQgbfvZthPFDYIC5LSYcy3RkvTtzQ5ofYYGxVuhV37ZYNNg2/y6E1vciEd5Y5J0XdBupTPNYZl QToIuLj2FoNFdivAgTZPW0Ej0AlkKDR3WjUyuR7nPd+9MZn0dTMSdKjDooFdoEe0uty1FpWThjhm 7cjxRHCSum2TQDlfWXlooWedCknzo4L0cKi2yjj7o9Vz5UJftCwYrKpgfCu4vrREL2wLWX3eLfte kgap2V2417fQxqhgIGq/fkoqtSuyacIWC5ZpACe1UgoylS0vo4gu4oALBL7JWQ7hgn5cS6VV6lom jGIot3bnX7GufmkJRpQRh0VxhJ1rdDfHGJOC/6nCeBxdZLnrkc8Lw7gngR8wuVxq+/ar2OwEPIMR Jww16xYsc2xIYt4cnrOTOalrlngcGml2ZEJIseJZjUjV3lANriPSowqeHBFCZrJ7U98i4nhLJwDT 6gMcGNNqW0Ubb/wwdE3a1ZQvAGx+Nifg4z/KpMCOmT9AMjGZOxhExZZwDf9/8ROo26+NcJdS+gRV Eo5A60hAARlvPYAGE2LD7khjC3/BY6XWtjzyNsNXLQ61dA5xXhzdslRF3N9SayCt5guWA+kj43Qe Ks62f2TMtRVhLv2Bb8+dP8601cHI8Lp1swLQinrlrlLorKby6BFQn02D0V6lg5XRcf9P8Tcrn7Y+ povb2P+NE/8gXzAcrGGgOAfDFb6QwKSuH6Rt9yFCl/roj/fU9Sf5vzzh/bZDUfl4arvIZLLM1IPK 6hBV5uEgFMropzx9MCL8NZdLZD3ENpHo8d2hqVa//aFNA/CP91KYAyUYlTpKt8bUEp21ZOMGwkN7 OPiOmlD75j5Snv0fnmrYErrG46Vm/PiXaBXI1m63LSmkZ8ikO7VDRCzrs7h4BmT80uaSZkXa61fg LXPNyTcsKum+vMr5HRmfzBtYH2WkMlwU7qCdg6FLG2z47uWOsWXCT8ZdMU5Fz2vJmUEBfRajFNE0 XkX5fEbYsBKcJvkdTTL4utF4yu5y/2+B49q0Nsh+a0h/GXxkXt4BbB8iajrmrzI3solgSS3ZqWTw zoWHGla9+YshA5A3vDSAaq3qpvA8iGWb2TQxGKW5IWbm9hzPYB95sU6HWDSl9+5deswDao49BiZD wSpBtDAGN/3GO/B95l6jegsj0imtgh99mYnUjXJh29yxMbCfNXKZRJGC22SEuOqikcrtDhzRu639 z71aLqBugDTHH1KwG5BxPd9jm0sfea1sVP2DlKUVOqPOSSt9dK5cL2jGfUpWc7g8T3C4OebwxW63 9O073cTLQuoNARQHVGV0GUsWnNswJaKXj6Zpjhds2yomXBblXvOeCL8Aj7YZDvroRFWSLFne0zOc tyu1Ycim0O6LylVqK3JU9L06VKaoDlp6PyGsrtsXoY0Tc2RDkWV7Ols1fW6ppBf1rHNVKW0Ms0UB VoHD58ej+T82lmZdj8BxUg/OWh97LRA0OoCmcCCHIXhKhDx7RiK6FebvQ2xmmlg+l0tKNR+YOVd9 pGaMUPWrNd2jfwB7pUqs6CnE4Vk7iY6ZIAiYLCBT7bN3GgXYTSnaR7q6wjNILE0iClw1R9KeHEWF CigRzQE2J2OsUjN3keqoC6h/dUpwCuQFJUZO0JX/OC/ZaqA67GnignMmFCt50PW98AzT8L9veQqW 4IYypXKBvzS+QkNCovjAj+St/Yi9C/NVtm+DN9P9vtZ7RZ6MnKv8FhlmRWJtdZjULmue4BoRz5wa K4JgQ/G1OYJMTpRuPMLKjevkc1ZReoY/a9bgtxqubB8fAuNgkEHPIydx4yG2m3lVC1Fef2e/wguz N14V92fiuEPIxJ45ZRBgw+jVUapvdKTwxwR5T/SZDNJcdxQ70kjLKUe9/CnS0QDs3iXSuYTTdhf1 oDpjR1qNIk5zr/uFY6CIUbfBXchQA/VZR8doQsKsmoE5RswA9lkDR8iwqd71D0IN3DkPo+X1RH97 9/2EfdPFYlEG5fxkm6wyEbj978gSS5fS9LI0HBVdOoznuJFFGULs1b46p/M6biq8cdspt9b9X71y 0C1sVH6MVI0CjlYgjBn9IiBDNBCvrMMyn7efNsf+i9BOM3lqey9zqqigZYFqHlsugur6KDPex15F Ta57rFxj1uuDO1rOnVFtO0vyA2GNem/mXCVTVE7BdoEIj5lm8DRKcrdh0YGlfaMfqSZTNSzh2MdR UphCuoqmkYV8SkDbz7NJCYYdMlyEAMWKNCU4hDB5mOiTHc3r4HKZxn5AAAI8Tf/Qyd5pywdGZEcA 8wCrbx4Va6MBMOlP7haFhYdLAWbsks4Ia5sIdAqhekvjOWeuBmPzrYqj1gCBEY4YSrHpBi++GVM+ 2Zj5Gj5j9P3DeEqzKUFp0K80s0Z4AUlDZ3v79UsoLiv9iZQV83VIGOzoySUKphxDuY8K8WYIoIK3 GILuiw7Z1SVCtsVRjpJ7E07FcCBjlM8a/cL4iKkyXIha4+xJ+MS/ukITAL77Vt69yolcb2VfTxN+ IOYJdw11VCCGrByKvf1TmRL4HTqxybQWLVM/7CFe2p02ZfVAZlp9lyCs3cSA8YGN2B202uBEyjYU i1sZRPseyL78lcuQYHzW6ur3hkuur1enc1NxUqobMsz8X2RdB+MATbRmZZMjclHl8feweR5/0kBC OMhKBaCCl1d6w0jqaYqkQaCPXl021EAyDyxHMNtqctOy8LLWfSfvoAMgr4GaYhWONIl19G4Ekz6q MuNR+j9If6zTY2OteLSV9ladZMRD2UN9d2xNU+ZznFycu1SS3Qnycz9sL5V8wIbudqwFPqI65CGO FgZGzhEAyEvJVVPwkyEdV50IMGfeFtqrnuOLbuIE8a5bNhj3p9R66anDttNdtz6tny9YTPSYSZsB LT+mBZBj1bb12Djd/+yff79WaBgtl8QzQvu/tgJ1Y6o5qmFJzxsxtGGYm23vOjk1LYKR/nsfVLQw vj470ysaLoGNZwKoucqn0suTpQuCAWKu1feEDx+1ak+sJLjn2xB15k9cF1+0DPGUBcvkYs5yvbYY WlXGARgrmG6BzFeShh+KyeTP6JOdBlWV1NF+e7MZL2Pj9/lVbqBUf+SO2ll7ACutp7MpRqgSKwNU 8zep0rq/E8u66cukXhwyHoDkHFX5KUnneBk8C3IhIaDfT+brucPLi4VlK0+NVNxpScMoCIZDmO6j BUtBG938NO7kTSH5b2NWpsFzQr+YbR0GHUaz1GZqRHXiWdh+f5aNayl+FqvgJylga/FxhR3JbxFI 6jlHhHqyjwq7KtlhYrpVryq/zRhBBML9rwvg1xzBlAgsSf3yJV5jEdwyIDoykDW4MP6cc2RXPBtr Uus4LYfDJ928c3gttVD4CghC3NzFVfzbRfEbHQsZ4tSGVM80F5vdvEN7XkiABrjaN6Cp2TPm/jTh ClqpbTBxFExIrZRf1bOyY8CBptfDlMkGCLcbv7ET1ASLoqVWqiJEdREi0JJJrIO/I3P60zEdYXJB fK7arcsK1cmIyRYgAjPsWySg4wmmePBEkldsegE/ylfnZXxv3SkjLgN6k/A1Q137O55LETWTpAke sDpwISVLj9+xN4FHtnuF8o0OUYhKE8/ixB3fAgTOV/Wzl917JIWWi2nVxRRW7aI0799jR+gsCTV0 owS6UyO8Nh0HVHRDmtzC0jkk+ZsziDWTfJD8Gi6Bz5Kdq98FIap7KzdxSe1CpgjoingD9PsYMmBc FV7cb1qgy+S8DFhbLgRc7t5TeqYUJHZ3xSytKpyH6t0CBUH+hHAS1eFzGa1brNOlCxC1VOzBwgMx +Knp49cD+FGoB9O/xee3Tz/5DMw/myIxsU1oYOaHv3aZdJ/kB/sefKFaFgiQ4qhTvwlrk1sakp20 d6tN68Y3SEF7pOlBIYlV8mj0BAGmCVDQb/oGU8gNw9iA/nsD/fq0rRoZgURjjtYD+iuqCG/XVZmX VQvCJK8HpMD9mJyh54frgEromwPaS8jzwhMtJW2dIxSssgdwR8iTRpC4T0ohiWp/JJ+PqRxnKmFr +PqgaiFgnmYC9Tc6lT/FgjBcSi+nxo3tWVDuc902RUfFE+KspYAIqC9oQ1bophYNf9xyJ2MvBdGJ h6vF7w1Jf0DNKn7FnGhDz4fgReoppqLil7U+lULI9yFCAgk9l1QG3LFP0M+ju8juRUmynpRFWISW e9UyNHlKwsKarMX5qNQvuShmvQomZSwjW7biZ1JDb5jiJxzDLhnH9soAaIWbjbRn0E0nU89SHABI 0LEqudib1mS9jSrEFiJYqtloziwzo7NS68LoF39AoaBobs0CFfnXY7cO1uRWkZmfLjXX/FZpStjr OoLXTfOgtgNfK42BUPainD0Ca2h2UHDDGpPevp74cOrllhf6cNcMX1wgykx0YSX1fCKbFpmMnFbl ZSV9z7kVUvPI3iJOh2yFVwEHdPq8scgc/F1vd+lR26aAbF0V9yl9PcL2UEmEDgx6z5pMJ3SLnx+F Kd8ve/XPdxcHCTXCp5c8VsNSpbnmyajoN/JQRTADj4defg7Ipw3pkRsWG6JXLA4qgYJe+V3rUjGN 8qjK37hGSTrDYXkfs4G/8iTcMASpibEhtzrDXevFRMB3USotIKhvX9H551ussT6S3I2Dt5sDct0N OfftpR/TGKSK9GXDZcrPgU2RfGnVbfi6YZ3xqCteIrOJuinneA5yOAERQk+4GIXAZg2+7idNkbwx YaZ/g3AJBAcUj1xbuB6abFv/JT0MoeMHqJiMqD06XYwxUEESRz3tZaB9wyIlF7GgnfIH2OjWgs2o hkCkMHDGpAjNapVduyr7jsCVej03ASPOFDrq+0AQsCKFfAnCm5IJAX2CBGO+YcvWRA1jDpBMsEVZ KgGOlPDQBxpAJ/X+A5iRudEyy2o8e12l6VvIM7esDdU60UbkhuKFGWahHROXGuPtC+BmhNK7LYpL zRca+g6ToA+j9SXgXIfT/fW+lPP4/sTv1WK2WgaCvl/70DdpYJFZEVtnCG56PCBP/fue18tBUqw7 ditPp8aD8j1ODGNz27br3QuRlk5mdj5BseXnglfVfIx+IiuEjLY8QFs/dONTfuPec62PP2wW/EoB SZow/F3XydDZxaV+VKQGeBQSMImSoBotMOi8FHxWoICVB6EH3Gx0k9+BRDUuP0mIk+bKG4K5nwxj qrlrBWusdqtY8MycnBX8tcLVG64wY12Bypla/Eb8PS+JGPkU0h7BkrT0gZx+UFtI0UAciJx1Bcse GExJl1074Fh4RH/6Pe0EQQZipD81nMh+HIB6IHj5XRxPZYKQ1gpeZ4igfDfcUf1nbcczue5bRV9F WNyIEmRXlK6u3R1nr3XXDV3ZvrcKgGIgwNlxhZSy5UjdIZ5/gqiuiZpOvKlkT5V3m2FUYqn2RxNH OvXMPhH6V+2fBP/sOnW7Q4tjjeNkekLFhsy9U3VdD0ymAIbMpARgFmJqETx0duilRdmfqB14un+O y9T4agO0NsuapIrJOAWTrXU3H7q/dzpu5TH5U8x3AzDCojOGSyT2WTnrpC9pjgUez6t2WJgHzk3U KdflgUdrEpxPGkoa9+HYX6cGJuuLraYeKfPy8pjV4xEyWAGETw/OdwjBYIXNeEGioNlXsnUrZeSo SodwyvhSTMFY10MW62aJzOtHXXi/GAbyd4PPwd+7w/q1Ju+3BJKL8W42QknFXWljOxG2XXY/1MQR F3kGXDOPjIbQ35oayrRVPm7FKBiEZp6mxfgsG/F7JhL74Wt0VTRLmOo197ERTSc+XR+9UmEi3oi2 MgEAypaHvw6Cnj+eSduD+LHGqMiTWkn331annYCXHqYXAiOw9C8PpJ4KbjHN7MqvGqRVtlWbcAt+ UWdwSQhhVM18Y7l4nlNA47YxlhkgNKBdj/rZFJFJSrsmgwVCcJohIRxfug2N8FZGOtjH2i1tLp/s 6dQk9u4EOSB12onqrCelGoxejEPFxoV8idQumEVzN3aLw90dzIFcTTWO/4pEkUQgDP0MZH/x3v6w 0OcbW/740qAubTouUWYBxh3mQ2HMguofKb+mcZvFST5FAvoAHI149ijHZ2Z2G6mzaZAidfO4hARX L28apPG+R+3l5XVZgKOzPhTwWA/4q0jZh4jR5hB4Ib6ny3qegm0L6txrF2wvUS/3YMI2QRz1GNqn 3nu9NrQk6XX4DZPwaS0dqGfb96traw9eqnTx2aO7d+BHP+rtDans8OIqVkLp6oUrtn/allBUf/Eq Kalolw7Ud7WMB9lTqWBZpLgRGJHAXHGXVMNRkKG8Q78vb8z+++5BzmZYnOmKP8gTtlhQPWEI1P7F vY1idbAh0tfHvEtZCbD6tvAfiMU+jT0oylcExw6KyPfr+Yt/7GduSwHstivIp7/PGCNQx3VhMxm9 OfnbFhRhcNqdWLTTg/hKSVqRxJnItcxhRa7l8Ugz22gwQ93hkjVrdjJBRCfkxDYkB87xmdUw4V9Z HSy56AQB07KH4lf4NaTsgXuWiVYD9g0wCmps5GabTSEitMUtagodnIcbEWOo67MLemw1s9I+ez6G MwqbWH7tkKG8HR1f6yeTmWOLYqIiuUyVyjQvsEcdwi+rDZY29nua/BKpzcame6nBmxsdlkcbuSEs ZlYMB6gjUYfBfzsUfkLWiZ/YGkSetRwRVFgIRXxI+A0ID7bks/f2oQQyvCVn+Ylt7ngH+yhY5buv HCUBDoxZhP7HSid9h6w3Mq0q7s3V6+wQpaFqTQCRCw7WT30EwoInVFxfMOVYdZKQbIYc82wnZpnP RBJO2HjdtVdCe/RRWY8JJO1K0qff54EjkEKSzlJVEpumjaZba8U+dbvDIDqRKMWWX6zYjjDdfI0a /IVes8GycdHDDpVTrNjikIfRnyBQa2IGXvhN6aNmBEdMt5Gl/DHsb1q8ZcboogUFRJzLnflS1BOz TzsrClYXgKV0Sgl4PDeEBQjz4AB3ssma63EmUzMn6gQAAO1jRVJ8ZcJZPbbMNoJyvl1Ypgodo4uO 5N7Z5BAHupCLsDtiwdoAtD3WnxnGdNc46svBhpKN5vxzIRQDczzfAZc48Q9GMrbyNLFee3TQmlfv 7IQjNzlerWr4lsAhH5bolYU5MAy1iDl61/2/Kq0EVTp5xjiWC1fYWFN4R4tHo+q+6hFn/2CRXpg2 tSIB3+MjPUSNbhL0PBMfdpJLgcoC7rFz4MM6JOttQytGvyyKz8nFYMYp+qpseWF6QMj3vekLe68z RHpvtFgSmh/QxOsJz7pnC3OXSly9EPvdM727KNK8hJVdaHTv25Ma3MJ8u26PILKrtoGoI8IM6H4s tEq7YUjLODr4h4BO3zWOF3vd8srDb+Dnav/OTMZ6jQ+cDCDLa/gPbktDKuj7Af36NObHeVTsnkKw YjXcnC0Yd7s2Se+T83jVrtvWreXqKF0QRPLenS3jmoC0b/R8Nkl4XP+Cni6uKN+1F6w/Nhb8aYDz R8BDn0xiFLnkLV15wth8BST2ZMmJz9DYWh/E0TUEvV6DUB+6HbBnUloQMWr5SieTRyXzP33t6V9f ZIp9QwLNZKa+97WtyqGqEs0+rYFe0+E/KM/0TS7xa1sez8wfZv4u/V+MJ8L3YvNiH3bKB2BU6IOS oac67ED0Of+VAk3o6a+UX5ch5h3OcMaRRC7kOQqe86Z9nprkCm5LU7ObdS7egTQn364H3bBGTOBk XpgPCZU38E3qMG024rjHWlKO1VLUBHRDy/qB0dlwY9qUmvSgCXGP8+wHAwMOvl7q33DvVmBT7F/G fP/kpfkqKS4NpKFdbNg4rZE6TLspjvly1aINMtU0LbzTU+nE6rbBofHmTV95nNy5/92arBG7Anzm UafxIPbmDua/p5AmYtoTdSqIqKpV8v089XZUFcJAcihHN2TNETQoHnoJytWhdnMlKaPfdvCtnVXa 7WBj31ZBMuP2r9SmZ4++Pr483jWJzAYvUl0nghe5FB0NUGm+P5dvVQoHUnswg63YuGdGpN8HvhH7 bbzgDYtHnP1qYmgfGCHxMgYZ9GNgktnIoRk1MX1SGho47Bofok65B5U7UMmXCsIZ8eDvo9Q9iX4Q NmufAgp1DvqsNvyvBYQ+d+S4EEykL8DzsfO/PQIzKX0tDvPIrln9BxiFkvqyOR8pNzvvILEVlsA+ vpruUAAR3HpA5OqC4L/YtnP2FSlA26aRmPrFjLjqEPb/udsk6qbblg7YhQDv20wK9Ep5hQynKxZG Hs+zxhg1Z5RNa9CnnY4fwDn144RZLL/yK+3HwO37tm+y9H1Ae03Bz/JVVN0XYfm6tPReRYrO0rGj U4R1hsJWPhdVt9hsVjVeF4n9BmtmS7heO4QiH8cpUnMQUtyoWkn/xzqNt2nMvjKbBLKFJ6AIfmmM dMtCuO+NikCpIZkV04jragsABoNt9sL1jH8Y59Z97bCGbHGCrZUM7lDcqFGG8271BbtQDgfwbS89 tU8TZ2zH2p4c9l1N+M18mB7vrHkCqOHlDwXfdJsTPKZefRDQJb2X40WDCEdjXMK/0Qne0s9ygUHG OxAHkQGOsb3C6RieXoiHaMEMb3z4rA4y9I1G9p7FPtzkf2pemlGqb9Sf5GjWNR742g2u05Fh3H8p 4pP8aXdtK6aHE5ICpLbowesWgD98tYDvzT/0gfg1hOnYzWfhpdWmznAZAYzaKiWvCWPy5e8urrQp vd6VWtxVnhWeeDm8bDBi5wyFUcGhV43yRB385QXq1LKQCNR0Q7rcabv61DjZbHm1KpPTqjQdYWdI ffOcyhEmk0y0Wx8zfjR/Enr9hVlww+B6ztzkU7AzGwB5dPTpDk6vSf388jWT40/PSrraIYyLO6za G8Y2NMozDQRapHSpBf/vg+ASf8XbY8PpsGA1K+7sK9S/TmUvVMD//RIMGo58pnZO4EqHJAer3c+T vih3inf53VYC1GnzwaV5xnbdfrre1KLcNbO/uRS79x1tlYf9mCr0CJR0M6vUjOLZKPzaXLIAhiKT +WgfaeK0EtULdlMDczGlT84Cd1kmgvxLeGUslLZ3mmypFWcRc7Geh+2UpAMHtpVL7xikJGqxsxBk mAZn3jxR4/n6DAQc/Qh0FUZnDaAczHW69ae3GHN6XXdP4TJm4aUpAAuNy5GvJThla+nxvpsbbg8t 8zvb7IFZBRQcduDWtlO05ltFWaMf26paSwgeNj5YScUMwCf8ulvWu9ADgpqXBiIXeMIev+CxrEN0 N/Hnx9Ga7hWResV+i/OFEm+RfnSKqzkbAZw0nLRAZ9gfyyXcmGzI7XLhOSXbd4PbATGnBnQ0ZfZL Litfhn/id8IXXEUkEB7MJDAb+meEp6cEiueifkN5JgmPuUIFrLJpIaq7bKzR+RFJsoOJirsk2TpT 4E+h8QQ8Ghkr9pIdLSb7pr/pUnmWnTNukwOTUSdUOIlMmC0Oc8KgKkOzY7sgzEWnHNyVdX6U3JEi Ghwj/KVK4CTXg+0i5KsZAZ+kT3afDLSwGICFnOSz6xZ5S3pG4dww7oeXLmDP4yOahw4j9GvlTSTq nzz4CJpGzJcGE14xU95i8HDolWt4ZJitxSQ5nMEWa3KUHwuVkJnupCY8ME8smAwA3IxbijvkfoG2 rMo8I5u58Cin/uom5wvpuf5FJOMkuRkmTBgmZOcZG4fcxjAkernBGI/Hr65MLOkmoExoYAoCD8xq I9hqSEgcZdYnwGNHVV/eI62ZXV1NsGTduvVs667La31ArmkT8BRo8AatkXOL3Gue66/awHeP8+ub A2x7Sma8LkeCmkMHkexNzZpuV+JHvzIcomKMqtJdsU0AxeA0x9IccvdHALmMn/r+DiW1rcV8c9KP A3gCPjDsZ+5p7qVaPrK5u1lyDEw76Raze2vP9Z4FQZH0X/n9f+1L3LVbaqRuJgY+jYAxrzuDrn6I FwvYrLGg1rE5FMsorIZHafaVPkJkBwtUw3tbiOJspk0UcWHNYvXTL/xJFUkcQgSCe/i7xfCXm4U5 gRYJw/3Snm9Gq3BD/JARmw67Y/m6vpJNy7wlXOwlFTV8v3KnldRYneFdIaiUkYj8/tegBsH6sYda FYowgK+5dyNJQJbJcUxitliz6CPBkTSt5iv4qD4Uae2X4Yabw5/bTirpucEUO9a5n4zNXbQprbme AG8332pLpRkc30Sbz5QTF8UNBgzF4a+sj1M1p/rgO5b2zemqjPgqiD0GVmf1MjWaeU/vcKdFJoNs FPDXdQHuFmlGdaA0/89xAE7NfuvXsW8MvZPifHZ1Q0Cof/R99IQNyUgfi6YtG0DDfOtYZAk89UPH OMDoqeaTsiHb7gr2DfG3VgON40jNt7KmCqOzOzAWIfViR8Gq/bSDDyjwEfGw5awzGNsO6NX+WfgY 0Cy6QYWW81DHnelZ0czkWcLqic7zHZYfiyuNG2JFKvRT8XWtm1ULM8hyJqWoplM9JD5r8wo3qgbk p6Idjn5/qEp9KrBRIFRAx3m2B6LE0Z64makkMtEY2rCXCkTZdKBiBG7O60m+uejCz5hLZgZNQ5QD Xs4EXnq92fcAgxbEO+YBKsrZhUGsXbdf0FlnsUG5ojLVuk3eY5tL2NKf/bySJLDmzZBedWTAc/LY 8Nvogx/9KvcQoH0SB8vJHvMtYpwKSaUuY1jPrPP+TsadeN+8iVCUo/0HmhL/vOfU+xIyyAzGFQAI QdaPfEzmA9Asixz0i3sZpCtTKuLISLlKyJMvBPZZz2Wgun6Y8x/Trh0MWqI8cZI+OyAkYfh07Tjb H/dGKp7v/BHtdnx+gA0MWssyJhdQQIIv2NgEj1FkvI+QXKiRTv8rL6ernjQ3AITWWuf+nPAyf/6U YZs/ZAA4vqXfJ8aVZDnatgrSateQ+iQT6uwU3iH1x+QzOGeWqQSc/SoRvjMTP+HYikJpx3hqS7Sa Sf35RLzmykSmf8IT36rDY/gaYn/d/bzXBy19oqSW2L6fLC3RpIhYFF1aiZV18GY8mKdDGVewJK5r BlWp8ePY48jv3CjGWFerI85LzBw5CkKGnxS2PS9bMoBbCWmnTvWIhUH/CIcBuKcCfdb6hJhkWmyZ K2T/Z1mglCVauP0BOpDr65LDxsoyH3Pg+7VgrFcgX9bIu56EMvR5yubmkUm8HqNex91btGHjdLDL x2g2fK2d0ObrtAjIZoArSI/XE0cszigq9bJOM9N3luuG7xWtzG+io0kmQ/sWyavQnW+EUInMkczF kzl8UxYHDmaZufkk4tIYjXT9iUIYBrLNd6L504xIL/vTE7rYiPO5J2j+422iPUnLgzOHD2l0bNzb jiRp9zWIPaI+HjZ/5Px0uE+N/Rfl4qExSkXFooTCq1yqvZ+LCIef8+3KioT27tyOP/XM+hh9VBKL JJj9BYMeHGGU1BsEzgNpSK2XS76VNVD5iJWFig6WCACAa95a2XwzqfSbUXz0smCjuBUFsxcAEHUV +LX8uNguuftCSDC8hgkijCRb8n2SgAsGdUGYiSMJ9bc/kICHUUu/13P2Unr8quhmSKHG0s8Y1pPK dBQtaIgnxoRGrVqcsnBKo/QF6cRxdNbe8iuPn5IqyuFaXxB8FgvDirxQ8jM7lTUXC+o1P1u9TO2Z gU9N356RuLXI5+iwrKZxyi+Eq3vLxlfPY1591Zg5XeAnoq2bXy8Nstqqxgm7z0HGtj2xtWoltrTa qJIoI5p/sX6wKrWLTBb5bmFflcz8eEldNe02RGSu3JCu16ucL2S985vbp+HjR6fNk76YEbvOFXd7 SUVm2JBD9YYSsyfKBO/cI1wt+1XxAk7ocpqSLlU5vcYIrlL9TJFPwJfh4XPZdV5uCox31AAf4gEj 4lUVjymkGpb9mVc/Ah1QzOP7bsBfsLPoBIf/2we1f+/KQRTmxjEQ5DblPjcahzOsW0vELfjsh5cv 7RoLquAk2A5iLPvrW4OUITbZJsLRZpKtCKXTiuwBWvJ6mAWeyTE21ocwLM2ZixhJbZfJxYDFVS38 Qnhvdr8apUDHJidaTV6XJE2ZpwLqgaWGTsXtzUQmljrMffyFXpuPrDkb8QPdTMrIJKAJM5yY3tW9 FpEqnou5jtzI0DP/bsCtDDvSnLLU6gxtEgLh2MPBJ1DkjMzmHXDjKejcpsvxEmlhWbX4Ii3xz2aD l1D4lB4wrQTU0gAok2iGPpnvoa+fpeUZXK4Tmc6HrR/UgyzF1jwhJggeUYxfcofLHI83wVFAah7v W32ASvqgDQccf3FCV77/AkyaYQlEFtDrkhzst4CrPgbKOGm0i7RM2uQoCTMBZyX0pFLlhpkQbU+G xEhFAyby/QX+hYrtsZeRNyADua1eXR2Br7UtI3AI6UqqrDwYIGvFAifjR8WOdglWx9CeSR1WwWzB KmaXwdmIfPjvCUhk0nNEbi6HyXVSXzSu/VMx9FZEldSqchmTGO8bbJGUe3I3XZ3RhqsmBRRyYQia QIzC4OmjSKuLtY/SRfAqeZ/F+iQMIwPj26XAGguwWeWhzRV7SFA4nx5am4L/xHLUPUEhO5CeBFQV ZW/8vK2Q6iW8rESiqw7FzezZ9nko6G9hnKa+E8Gb+JNli2cdInFZj+51gsA2ZLldJT5w5BnpZ6U6 fi1IsG1yZbc8OLCd/YuRJt6utMtKSOrRgQVKTlL5cK5nlSr0t1CHzPO/1avZEdAr/Lcp1WPbWfK+ txvEJ6duVEYbmOVxDoGxm/rB1NeixeSHNbGlcEFz64OSK2kP07trduVRQsQgh4kJvXTokp3LQJO7 Jn/en9y6tYc8P5PnInFX1wgmwbLF8gSSc5nNFZu5sW5KI1331VeknlePiZ44jk2Pt1HFp+DRT6jQ k0M31I0ja9mi1cEczSFxJzKLHX0F4mTvkXtZuPddMpdCfV0V8KZl2BkOdxMr3jVwp34gDU+E/T1K CYN8lPp4EQ6jATfDNb3FF+KW3N9etyfeHH3xHkcb223zmfFDauhALeDfel0hKIDxlpdgvyEzG5iV REKfO/laqicM9OiGupCjkftkwelmg1DrXp0hAd/leu/daqnwq5SyT/V5M6qtH6DrczfyIPHTMEfZ KmhsumIdVJLDRARPmOCUJAbEqkT7G48v58Jh7oBe2if27MFmc0mICu9VfXH9Y91WHxCSDDcEFOjx RD1ULuJTtIo9TEP/Mh36mg+A+ISo3zKC98xlmHQLzwyycS1v/jRodNFphx9Hbg7Pk4XXGer5QyDU oi9jLjcZw957AFYYGf+q6yA45Ih9+lWT5c1E/MhvKYCZot48Rlx/vgXpRl5K8rgvcPsjukbB/GjW AgkHVORj4vN+Jlsdu7heHjmrX/jvwHyhAT3qGnK7WVI5p+JfXJPd94ldTo99gTpL5WAFpTB15UyU IH7FcSEniLG8ZgEUYmuFrN6CiRt+w/wpqg8HEFt8t0vUY8HdmFqrnxR2p93DwNcAGbO7pzwqWvLn LMx7qmafCFdFhk5mUNcEhn2aymUw9FBZlCkJld4vZcIoD6xdr00cXUnMBh0jdf8JMgKEzvaFTnCw RQEmRXPkfOABF9iW5f+KrI5bRApVWzfV4bZeVeXADCYJmWbRWwFrshDcbDlL9nFkaNX3Q/6c/PvP ZFC91T+rTDuCEFMvEFImKnJIwSmHWxjPYr3BVvQc8n6ZdeKWfX/Uw0Qskln7JQoXBSmd4dcxQTrs Gh7eB5bVpDvoIcPb+9NDlS2I90kxmPgVxKfArhkZf90vaCUM50O3K3Ab1Nc0ydKSELP2eHMoJYAp 2ROg6Q7JwzvnPi5pxr7wGw5nCSkCcuQ2tl5ork8ZISlvuQCmX4aNzV6rltf+s8cgWbmoOaHHJQJ+ FURNXTUf0aXAb/NcjnmW2VkpPnM989BVrMG3D66mxub71/bkvNTf/2RvdNEgESiBQ5tzwpwDxJwS 77HiHqjUyZ/t1LlGe83vLXvMjFmA34w37nkrIB4LLYFxmkIut/GJp4TVuhvlQ/xog3SAE/qUTVnF KCsgkmC4qP4cpMazfWe6uTAnmrTc0nrFiogafHv9NsCX22qDZIiDsdgJxrYav3gZMN8whSe5BseJ xc5GPiIz6YRJqFXC4yFkC1jXiRWQ7oyoA78uder+2AoP2oV20akNBYn8npFMaRE/yKdBsc54UiSb bsJv82HWJroP9bWD9mr5VXdr1cjfozOqyVPPaN5dgsl5EZTe96n0VSs04QYI1XIaXP8NobXIG2LW gBiuOoa6Lp6P3B67406+2rGBkfOhELNbmBH2CE8n9+BGxfiz5fhr+mfTHOz3tKUmBaq5jwJRJjEV kRDkoZOuoNJCqFIW8ybisIGFfJePLwUQcN/eY8dwu8+lh6qCXCLZz3E7WPIUQk7/fQrNrmhrnRzD rAg927NOfhOi7GJAep15btNl9/ZKDEI/iULWL3dzLJ/h/50Nvlo10hHA1XmrWNRRZx7/LsxqcwBW gj7DQNftm9wwmBT0b/ULN++d8R/UividaPdIUfYGwRS896sCSWnku1FWHzHCBD9L/J+UNqOr2J+V o87muwenBQMDLZ6bBilctbFi+1MGTozKEp44kuf8z3YK4gI8k19aLSI65/iJbOVbQuIkw8wTe1ea FQdo/S1FYgasTuo3r4YyGG3jxaZcNO0gisVrF8TkQYE2JecObtEnPWb2CVs24++t0oMdI1zp9sUs +PQ3l1rtzQ20q776gBDK2wmnaqIMNiR7cZsKI7m+4JKZC+2QbLZyzjs7s8M/MNkBAUUhBk1zu1el FuRTcz4o0MPhrcQGIzBO+8+im6n4K6sRtdD28HMnTdsaIvQc00RxOjgH2mXlNUHD+lSrxL8z8GFZ 52mblA296u1ZRJMFk6lHJzf0IF7bru94/o6sAJdrhByU4H889tO1j8OZYfyi4xxoZnwSQTWMGX2l QitOM6JfuA6KXIWluePkogi6rjqFh6QwbIr6HBPfZ/PQuhdXRIwTxmHupLUmYAE6EStM+DSdcmqT mc3930rBgdi1ueYTo02HUufOJ2bUUJdzf30vnU3VaDSJNkgy/gjlRD0axT5phukcVd3HTV8YpzEV VoaKvLSWU6MbucD+KUjZ7EJvVznr4f/K2FtW3DtrE+RfT/vq6NLfIe4bQ/fG0uYuEWUWZGERKYZl t+f0P25HAy6BuCpJKaWY5PPKN+ekupym52ca1jcBCGKMpO1oOk+KRwCYWfP0vk7RSw7qJ/0cou7A QdWvOu9O5Ue4iXjcTp3+Zf6xNe45ZrNFxIVPtopJT8R8CSde0BmazwJz8fvoUaPb37NdDo/T+iIC 9Sk51JhXJp6aJQJWcwK0afp4BM00gjxdJ324sLkbmSjh/1KgFEzQgrYAgzMwvwegyGnapznglSCx t4pHXoSGtrplrXUl5cimMWHnbhPQTfzoWt/yxHw1j5zYBvMg2twgXhNUFmurCMmnZQwDDaTfgzOe Fgx0mvigKarMSWukF156dOWpq5ts5vIUW3vFUKlGCZS575fVbrCe10oUhTQMIYb1hRTgvF4as4Ma T3tWpphyT0FXGc3DDJsaGaxjxdz5SzsWfojp5Xa7NLVXrjV8b9wFX45lHx8GjyRPO/6tgnSLlK4S XId4D9WqvVaSiL3ShnTULNYpFhTxws7R+DXUDhNtCqzk3IRoGNXH5MHVyZDkoZ45z5+lol0u1FAE iHLezDuYJ3gOyXr4uJHXFt9z6veaNVs0dCuwL5eQg7K39lQAwSm1xj1vhLWqQ+dSUb7oIxoxTFoG NvFr5QUHKbUxdf99HB3YCtECckFX7yPTlNKvOTfpuOn2ZvbXiCdoX9WCwh7Co8axyjU2jDjWpi3/ ZzmKdB4+46mB0ciBRLEzwdlNXgWQle2SN5gacPH6mMTaiIbvtaCkI+oS/D2OFhO6UDliEPCtqUe5 xWGZICLZiiFzc7fytPkvn3krzlaGAR/IzARvUHBoDRbO9zfsvXa5tgNfreA82ljuE6AclxMOotPV cUG1vDl+ITG8GWbWeB5XBXstWih7uIUTaARZ2+cwn7eq+7ybBYMcnKMQwvj/FboSg93pq83IScUr +0Ln3bUD/T249FG3p5alWTjkdAzlCG8GzumubC/yR1/I6DQ4Al/8dmyGq6NE5BgA2vvEnf1jIbXa bVSjemZ5vOBX4wXOZX/iErPz/rkwvX2PM3fn+p3M53FATG5WxESwg477Gh/yrHLS8iyWhEnXx5Tx +3mHzWmB4jJkq5pZ6iQbvGVcu79L4FFFcdhf33XIXU0IH/QMvXEEN3LdTdnL5SqAof1jCttnQd/3 GBN7wgUlDODHI90Pkj5W0/PCopVnZK3t9dA0XCFs6YrJau1Os9hupUg1jYhA5AuFBnVpfhNdD2pt au+pqP5MzcM/v0tQnYIgvqejCzzfePzDVR7fjqG6gANhjJvYtq49BDXygjDmpzkctfZtwOcBP2cB ZyiTWTat3kAV8YQWw9KX8nboGaxydSmfX8O2so/z8DJqi06Pvru8kimW2TuRBXxEp+glDRF0Ezfc vDdAEuJQE5dtp9CS5WCe8QRS7/0F5G1hbL+FVLm205YRPfTeQxyHaSrHIdMeLSyP7bQ5fHtHldC4 Z4gwVLmShpbmosjQQHbiT9AyHmtESA+WI0oupFKBykBDXM5dh9nsp1Lnj3mBz8G2p2KXCiIwhjqC erQX2Q/u1VjsQttfJsUkjAymw1pDYt5fO3Sd72d+S4CIvdBZm5kabm0OYlwcPBMWeUJ+Dqf5D9ZY gEtqrxgWYtBoA3F2gDQXEDOwh0waHaS29hDXH0HA7mEW7C/2hlPkzNuWZiTk+bf+BGkwJklUeqXh gGnc6lFP+lTgZzH8fwhgskCa9E2wrYV+p9z/0GMyofixrM0DvbV6Yi6F6pmdyqsG2R6i43VSUq0V zz15v8l4pFSD+lHz/ocSvL5XvnVXVc8vOnvMn6CjjUW42DccO3vN6Ul9iViGMrS1S4PI3ZFOdrs/ kDePr33Sop91kSm4NSby1Z0QowVlFHXo+Z2/hxSrKi3bigGZAzIS6DpdC0FBYmSk2Zc8lP0l/mIF btEDAulRDxexONvFq6aJeODTVrJj3rarfVTl5fMp2poAceArF3yV6oGAM+DJUp8P7pUceBBCJLYJ 0F0x3LiXRs1u1ljWeDzFy/YgLqVurrHzpFIdHmwaZr0KvrQgRrxyf2ZdqAFCqK0gQATOFTRjWNrL yV1m3DiiuzV0tzJHI+99eNqkpsAjjobtiiViFhJPK0rjTzxQrxct78DFP+INlURGTQNKB0VM9nd2 AYtAiWRX7WSSYeQeEiI2JIjDdu1hSvcM+GoT8L9SoEiA66DS/HIc9uWNY1lESuKZxb7YY/TNUOSG QS6T34+M+iTiivUUmGhPF/5OAIo9KI3OoA/pMdNycdZf8fXKIL0to0BYjlLyktcQ2Naj4TJZuA4l sbzJHDxDAUkRxHAq1curh6sKKQTBGsHC+ECej9jlpqmaGWM0oOJyP6Cd6TQ6OxTL8kWMy76c2b2y xNQpD/tfL1R+EIbdE4xoLKoYHw7gTnUGv9gSgoS8U6zZyVxFuXw/k/XfTImIKVzCkgCp5h/NJ/49 cfHenMmfkkOcYgwGDVu0LGXcZIbxLXPLF1ZHcy+bXSMqxvGgrml2fRUUm2t5+fElOrQ4fY+rc7wN TX+Hu2tj2olbpzIyQ3z+HvtEhOvGs+AkOqEutXBuJ6/K0lr+iUICXyL2k5FnO5OYcSwlDaCppnPY TKCp3CBkja02jhmRatdUZPshIm2SzxxGKPM0U4eNcWALfSLRx37a0Xd3fhRAFcwe0kRJa/9mn2AJ 3XwjrqmvWpP7egQuVGPSZZjYuMbI5fvo8AzbkGW1I8FMJzb0JeJ3YFRrTmkcIlH2Te6yHXQ447ca Gb7Z3uBgAzw8+OeVYizF3czv1RsrhILMmp8UenLTfKBlUqg2bThLf83UDT+/RYzWdIJSfJsdTQCE j9xcZfuP/4N1IQGHfl5lSheUsqsZg2LBemydK1O0kmfSlJ/iS4wzKXP8HpCOB8s2umY4YSfpORj2 +kYHcfbLnSedAWQ/8kT/Vt3LwtbwXu3UF6qGCrlk/aBr01SEIjVaulzNqBlg7kaiaSIBISzA+RxI MKaQbw4GvHcEHGyMUWykmV0sye/SwjNHLoTOAWBGAJDDNSdZ4ChYly41trKAstX36j5M4jvsqZLJ 9yCNqqPhA1R9R6Kd9WuCe+AaYw0vNXmaxcHogqWbsLi5PfyMEeETl8nr/v6HMRzLfmaXu5o58YVc H944VbsIDN8yilG4z12W4dOetGT/8q3ON5/SUbAnpFggviqEBwrm2iKGnzHar5M1unDR4UxufSvk wh0aFacQ3Y+84+QEdpa5BY0QVUKgKFD4BBKpNrrjg5+6MOU5j9lYIiSeX7Xg2uIkStJU+L8BAV2c Y4yncs8oNeD65f2F2236cw2JvKHP75i+P5UYal7l2Gwrie4bd9hPZ5xtiJRa6PiJKv784IZwM9SZ iPevCbF7lnpm4ERpDk695tQP6H3AS4rv3A4gWjaQIM1WY6cK75uluSeG5mTY+oaSJcv/ijhmLKCS q/HxANEBeYYNZoD0vuUl8IjRWxy+3zq1ONcHiwdNiS3s3bumyhO6GBVXkg3y9FMnF8BPY/j8wfnb Yz8JRAxSIU4Ui7/Q5QL3CRlaO6Hfohrr1OLLSbsVp/zNPc9V0joButS5a8s+6XhsFuyBZTsdlug4 vVJkZK4znOHTr/wMIuW9k4IdSjHBh2qBLr8qFHSu22rdwwdn6UY9uDooJgmgLXNhyXAOzQQ7XhxB bLBuZuVt230QvHTzV3Mq3uDmE9APXsmOKB7j+p94C63iHmgF0gyo45YU9XO3OfmOGDX6qIo8fskm 9u8heZsLBWq6oVhyA7SHvSijg5/8UmbdvIITn8aY6Kjbf6Hli102aCJEcAlcpn4qjolYpqp0GGpg KM8QSHuGhNcfCbPfQ9euaM9La4z8IoQUdXYr7n+s8vC1BR2nrWQYpYxN1OugAPU6H0EtuAHqziAO vNLT+xFL9B+P/S3MB/dxYR/l+AV316nGRbstxlTczlNdxeEKNE8QWEPOwiqnze6HCfG94Pf8LgZh RfFCS8dE2Mfwo6I3nt2k+PGFyk2J0x4n5txK7sJ+Is8unYyEoVEDWs5k4zfgFdvHKktZVay4VMac kW7D54R4qGJJBhcmp8QiuIkYRoODhWgN3q6z58rVBxlkejU2E/5UK6VX7vN3mGAmxdcE5AcxjraE eX+Uy0lC2ax5kleSilRXMezHJu1u/8FV3focDZdpPsKS7LqhVyqu8xxaGbgstc1ZGZDNnBAfl16B lGUTRtsk3PY7VoGFyz5SXKbCPySmDJJITrg84WdNRyh73L3AWg1TY7BT+T9Gpaeq+vGTUtt/FxYs ysNWP0KwcQF8jxpQaSf0vvHTC/ntwNJVZ4fGDcP1xjM0EfWNMJxFfH93IM9FFPTteoTGCNJejjlB RJ3LjCIOckEzPya6kmaLaLYQNaVvtnFhhg4dsk4yUR1RyqyCbnrfSuwSqtVQTE2BmOgjVsLqNqrx U8ZG0LdMriBw6uZLgZnoq0RsFzqawU/QmGFhxx1sJK2wrulnffVJ+rj+nntRS4TCyjZfAIBx2b+o sydc6XIbslWjnA7I1Dt7EvBOH+gvm6ZNkZXxfWHg3L/BXdHeVN/BIL5R+slDpuJSUAUkc+Pqp+ub OAMjmdzfCUw64VM4FzHHh8D0/FP3v545k6hO+ElpRnGhTblWhc1VGEYBjrnQIMp3oFJ/3juAmmKh waU6dfge/7DqdLY+TbCHoQ5S8e6NRkXrIDfGtyTjP08FK0+9rgNgNjpf2IKX8HEKvbx9p3zODwgF UmkV4eQrwNtGWio/JsxlYNLco9iZ6MJColAR+JYygIesG6McdoNCsN56kSdbPQa/fBIXKelq/Oi9 M9IwRSatTzgSEfiEYu4ZRtzca/NR341OL3q4yEGWHdLFsPlqnxNXgLsGRfhhrn5IYKy+sMEcMUqo JYHOhAcsaL1u0gYJUzzBoojdCOt17pganbMplDFECQqFvPOVW+g8E9ijDhY56Gns4ATQ/kshrnnz /kuQMWDp1rIRonbaQ7BYWCB6wtGCeKpB3n9o1wlbk8V1wNoZ6xcuQFIb+IyMd3ENODKF34XGZ8Ej D8pjxwRIy7Sm4hbfBNNRKSX9VpNgeHDwaqIjHdTJL3Fhp+n6BaR5yKV6faqcHczdY8VKI6C/Xx4K IGOTWMxCx2GcftZ2s3l0169h+s3QUfHLhFRxYYJ+bQJIsrdKbbY43aFGvZa97QXL1EiwDDGWg3Ic Wza74vQ7Y6LFRzKNBoR2amqdYB+3gt9yWP4pAW7bPLthyX/FRLJgnXXr6gH3VMa1ODj3rnvUS58b g1J64I/b+Jn2FKBYxkE8KP3yuhQF+vmEH26lyEiQ2egF7Z4lUJ3ORdT8n2CDENer1uYmlWLJ9ynU Ng5TIBZkbgc3DAiWfFxpRsybHuwZesTBn9Ajf0/EMNL6iZEnEDws8gSfskWMSAqp0Q0VDMRn3YKE z06eT9v2jlGRW8iBbeHjB/Zxd8Zx6QVcKw7zC9oe99+XRAdYM9ZYisrtNvWTtzppq/pzvK3XDNBU Ke5VhvKPSVZzizAoXghGAkDE7wrHpMALMffNnDQg9MH5i98EAgrEtqa9TinXtcFKP7z/XTkQ6b4E MkK149RTLHMEbtyPV8stXS/1i3MapD1fmWIReCiVQy1z8V1kytclpCMVO0xGsUr/9/4Oy1/kyoA5 W9EXYM8wxlyrkHjY7vwIylRoPTYUH+jMLYADt0dL4TOl6YmEa7aCZJcatvTRhTqSax5X4qmJg/Zk uIZ8jUpEU4ZSCa8PaPAWu9YN8zpa9+qZr1tk3BS9m9n7mlv8EkOse93NsJCWWYcN5Wfm0I3LXRJT HL1eR65vRi28jEAuM20Yx09X1jPW1eJIucTbhTXudmjHrV8HJAhMqH+zKwJP7y64+Px25AriKpeA yMjOBYwTbHtUJIs7B2CsicG0tj8b3faGfnuSfe3MOtVh581i9qpIw4C/N8eG9K21ro43rLdSNYGy 1E3/ijO3JxUOHL0Km7aMMKMm08GSAUbomWav99yCCHGHos8AWurHCd02SdXVe8bc2LFxWCAamcDg yi9gGwOpLT9Sa1/McEMo7d08atAJe2SYky7ZABbmqRSzqw36gQLLoAEdo5wbFt8Mhb1/tuzybQdR kIzUMDt61gmsrd9RF62M3dOHG2ICxuFgmZ3mqVn/MH4whKpWB0WvTwJ0jchhYGt1g6mA2LQPqWcU VV0RAFKpWNSwUvj+uPC4IDu9kSkZT/QVKKr+bhUU9NZC+1foUyMtT6h5nXOtGsomst0beo1MkP2g Wy7sGru3qN4im7w9pw63Q4MREb5TvveZmF5lPucmRiuHlaPkUI+J+xf5rSWkhkhu+uNf+MEmJ2N7 sJrf5Idj55fjWK8hegqeZe5ezP2SiQQUV4oeqqbHXkW6hidmvFZoLLBvrgx3fOB6l93VRmTagOkF T3fMlv7iqSMkashz+Sjd1iM5Az1Ged0iadAz//F699RpN6NnbujhO631O7SeXLvtFRbjd6tCnKz+ JBi8sM12d5uUJP7YYv0mqy/ECF3ZXrMZwp+Vccno+WRRqBc36QfIqUPN6JMi43y+eFomCu6xgNX5 lkdmKmJ0j4sSq7GpaZKFHH6O60C0bJVDsX2NviR+y8PvWUuDaMyJW4OsMcOltqb5Y+0obp5p4bUU 0z7uSuvTo5YA+tI7oIdzjaRn8l9yzqL5xxSa0yrdB4ni9WlkgRBbcyHNTj6Kg2lwLgH5UpLhBs5d vWN0wbZZxAF3IkBKBTFV330ZBIXv6K7C1D70cMrWfw2ti8sA9ZAISHceP3NIGiQX5aavybHrKy5R KPnsQLn8yWOy8hVsNponDa7854lpeIHD4o/hdkdCW4+twfECVG4gUCr4MslRnUmcZzpYiVUknAjG tunSm8PfmxmNzAaJMZ3Lhw9XHo3AdUgjQ2wGcmTPBlWB46Mz3b+QeRdWwEJM2gbaIxO/DHcOC/IL KSP8cjiHTRP34wInO03qcv2D8HaVZw+D2A8+0d41Szl7E7JxBhD5C6PO5Wuw+QKn8eEQ7hF4sfu/ r4l0L0OeAG/yyvVBpBT5wUSk3l9LW1XT3UonYiYlALYYvvv0+kJOr5gRlBbISIPVlTbyL4lHs/Lz bP/8KfPpL8mJzlc8KYCHeVQi1Y5WwDDlnTwYsGEozzjYsqECVTFpj1ZUJsrvHuqVYDRzPcZEbjwF 2gSQxpnezyHIjgyhEfTi3EATGguXPx/zL1sKopNSsEINFTMaNrohl923JLTcVngu13AyikX1BVXf eKCgZWamMIxoNvYWDhLnqhZHvu65g3s+N+43vME9bEbwrk8+rgxcobIcx6PIaPPTheonDwf7Xjnu f9sCrq6A+N+vgA2rlWlcOZJeTCgWtUbcRuhs5CsmnAV5KcDEhNHAMQSuAuhXI6ge6rrNMuLy+kyR wkqynzDcds0gk84DxhOEPhE8h2yPCFb7pEwtlu1ywn/fN3NZ2Cghc2Kd7GXrgnMkq5jDfDE9m+T9 PPHb6Bu0H7CDPxYARtsX3EZkudKLfXnznBo6zmIlaHcAdSt6FUp33aS+2HA/+bQaJfe4p7txC+S3 g1fl6UySozcYlPPCRRPvtAixVexeQT/45KVurTjahSBBqezp8dcETttM3mzw/1VF2y9uBxX16iOW CCRu2muF/VrFC28C0pLbYPoM08eOagti/GM65XdlPavl3jlsfWBYQKFhEHAkuIiJLHPtzyGQGv5L 8uPpvpaAmqknRdliGXa/KehI5ElLW+IdRdPFvDNSuUijqeDf0zJUgcLB5mjh+61n91RYM6GXPltX Q5/hmtiRJPh+XGFl/oXmNsrHwF7+ulRuzuDbyCVrVwenmSP9/XUl4/yJZFGtX8L0B2sufqWRudxl QBw4EphCJLfAq5cUMX1wPmxBC6tK0ehq5g0+AMfDgjkDn8Fz3PGgkaDz6w+og6fs706bOw0QZoZv r2aTpQN6GFCsgwiHZ0us6c2V008rhPT+9/XSpMXF8l6WfJVt9sTmWko2d5WmVi2uBfPcSOH6J7C5 3VYofXMzLAxHt3lKnBC4kRkzKFHhuhnuycMszQrMdLdZ9O0HL2xpOaODRw/vvhihu26P+MClqv7R jjzBNEPU/W5K/tfgbkbKI/+Yt2dQ/GhJoiE8dbTtW6X1F9AgYveIzCCzOXcGr5ke32c8RJv8VH6G 3RPgc1W+vw6uMTPC5JNZp0erraVB9I7xcMsGEKQo9BEZ9QXhSkvlFK51zNc3Fp9HhBhjakxSl9Ek vdJ6f3bdxdF0Wi+Pb5O44D3FWvt4Dn/8eQw1EFzc5Eu5uuDDG7ceh/7E5WaxdBJCU/H0ttj69S7j PI6fCpTPxy7gIrTErYQkGJhBTnsOcQocZ0vcEcWzdt9Dd4M1rzF1zPSI+RwWs7zJotF7ldY1/e/j hrqV1kAyivCLk8+exPhPswmTm2E77h1vYTrrBtoToQ3sHBB2OdAe7N4ZfxH7VxtWnlwy+ijLaR9o eOl+hTCCLMGI8LniO32s/vUITNiBKvCkOz1Fnmxp2slJORcJvbNxjJbCY9mraPvxUUuI9N1vzljd kqUbP/DCW0rije1tY0iMe3pEUPIbuYYyHZc29xVqCGXs/n0pbD6pvYrQT95oOIAlc5S2v76P7M9z qqeJKQglkoFTXHfztgcSwnNTiHNPcNHDM0u4GKWFi2tpBFqJ08qAK6orSHzYSRv1lkpkpGY9rNTy pCnDJ95sViWOsZiR7i68M4/oEJZbK0sFr07GbNghfUq1PFa294Vj0PsMbykPstpmcDatkoHXkg3x FMQMxon3Xpu1zoaDeKgnC6Z8xMXbIXtLKwF8NLoAUdObkZcRb/L3ZoHl0hcUq7g2i2dHnx/fENX5 wX2PVfF33LSQF+WE5VAz1a+6gzH2kmo69xYFfXVC/mxfs4FiaCpUsvd1O7mJtMZt2L330eps2G90 xWdA8obZlFrwajnO4qZULpHa5aAk+9X5hvyIXo9MpJT6u7He61QjeYFePDGqNMX7+Y+KVZqEOLaY 0mWPM83uR5WRTF4JnHvHm3BZVEISxPbUKCzcagunSzyH5YmwYBuUoI3bFNA7hRZrHvtCg7Ue8SyN RZNCej/iMeJexghn+n7+R/Hq6jeVeSeA4Y04OnPy7iV9m3R7WAmuhBdhEgW5vdamFlPljDB2rRmQ SM8z5NF7GwMuUC/cecDm1lyCgINnTkxmXEtiUCaztZfgtI1WvVfmAsG+mNa+zs+W7031kV/Pbzqj yXptFetsDlTibzalLBkNzvB1SJxcwq4p5Ur05jm4GApKWi9P2qehkY6jU+ZyNxVRFHFlbPNKf4C+ d72rzvu+LY/zBEuUNeG3EkeCrhkX3ZTsjSZRrrqOdqh26CmaUXXIudhK/sXM/RVnC087D5xicZyh QhwzzbAOLPT+IqZHl9pDSpWzkUPtbkqvpJrOnh/KwP8b6+B8yXfrR3KKJAy0qKhyZxxiyYjZ4pYO M1P/8+uElyNWyHMP3oizpjRwFvbD+uFKMpW4HsypzY8zwrFa6IgF5w3dmBKa6sAdzsXlJjg1PFzw TjeP21AnmgxPLoKiNFCDOqykZDQGclHW/5Z+7L3FeaXRE3aiTLyb0UlRb0MEP/t8g2Q4gvua0Usi hQFYjrdNcZvNSflC8iIS2YzrgJN5vnzQzmEwKjS3JKtIk/+l5+kUT6N8gfRA6ZJ9ya1tzNM28ZH2 50PbPXSfwzuPSMbG4dB6n/tivNI3vaLhc9zqbWL4Vd7zaSuDf8DJhfYUNjocOn8dhuJqag6SAvQ/ wOBfwX8vuga4f0iXwwLv0hk9pVFqsswtN28p9nvsbIOx7YXptP08jLcQ17Aw6B8zGhyAntUT9sFa L1iOyJy4tQrnaLzE9Hdxp7jC2ke1it/pCEP5YEEWwNXNDjlgXkRiwvFH6tLgKBj3R3NLoPqSHxou h0PO4ZNQm7TvFAfggvbpcT5VkwuybRVqyX45xU0/a6MmaBndrjRp58vmnrdUVsu7pA38G+6e3LDc HB79411aW8Irn356cjdBhgxBXrlICG9mSkOEX7GtqoW19Mf/7X35cx6NTsjNfKagFTw642a3w9Qs rnPVctmPM1R+3mfBO8c2aHJ/NZLTjgrB0x+U1J7c4R9ufB3gslUl8VYXacMbdeo/N/1DMgh2WiWM NAhQ47iGU6rc66vqFhDNDN5ePjtpgaRdUnxLwnbeGSvdO3ufaxGr+szdhrForqR6Wm5JlglhGZC+ yo4dHMMtCMLZsEzimy1mzfkySWxmxk+F+GHN0WgxdRMsaofMFfO5KlY4zoRlmox6YwfPW/5nAeSS s6un1UAzJmrn6eBvQzO2eUiv+GUs2sEPSlPeyfsHrZOMDFwi6CVMMMzccJnpsbz82FbDyO7ajCUa wigzto3/aUvuRatPTw+iT2JeXwiXgdaiiUIIiI0zrOE6ZqOPGAa3hCTjqwsXSKScdMlMcpjFCW/7 8ll69KNzeYM24VRjTOr1sqLDb0wX+IVaY7mMW3s+Edetckm5r8+sycRge6lDCO/8dJS6k0n108fP 4mGER3ftfVn+upTJrtaAjdfqtN29OJHZX+SpQ+WhUn9pTVvrjppJ3rE60q7N2TFon2yRdNRIkVvG ++BXpXw9o49R6i7yyADvKOywRfMZdryuJVN20jd3Bu1YqT88qghcYkxuaa3e7GxIlea1VjPabP93 muVv9Tr7Q2qjMhDiBtegq+7GR1LtSKNmXZJ8qpcK28HGf+z0aimRc5NdqWjT/PN1nwfbvOgIvOMe VuXm7urjjFc6BeZbATZZKua6hzX4kpiKqdFOADsEZwHUU4q/dg5ZNFHFbhe/Ph7K9E1/9I2oBojZ wKIbosKCZ+fG9sIcfS8943rCttXYZbjwG0qQuCFRskGxi+EpWWGDhNHqvlyZiRILTBDS2XLej3mQ aVEiCBgLXo4jqSM8gr7sklQIaQt+PCGOEDi3GTouSDjfoo5mKw/+gBB10EwID9XlgFuAmzoqJIfY mxLrArvb1J2mLykJS2J8MNfGAuz7tvVTNoNWtjY9hOGB7uMbuRMMUzv9Y9JX2fV4M6t59Nv7uVvI BMW1u8hFe7UIOWFGhqbWg8SuL7U66pgwcKw0QFAgu9zys2GVSfDReKRcto+JJDYdwwILewkDfiLQ gZ1VdcW7mH3JlReBy6ihBZ6dgpA4kk55r0t01glWVB3oNjpba3sE7kBNeMBkg0CMhW+vqM6f/Q4D I2BOHCM6rza+QyOGf2V7ManHlZ21f3NHuzBOSPRTP75X9a69fC7KZaT+13UYi9tNFMxpL+2HDazD EXG08Z1cmWRkjGK+S/T5aV+b82ITF1QmxOqsSv3WmM3HiNzZleNo6gvMicTyPqQ/ofJkToL6hN0/ 2+fUZgBwOchKh5GzkEf+Qy3j6cU8jbI4EJiOtP6vosrdOZ1n4JBG4/s/QBzqj7M3uXrK95258tWp B3I3H0wLgcYRM3R82kXc8wpMI4hjEXYozxt72GRNlHX3zh0fa5kFqXW03FG6fZ0ngLlhmgvHzwSI MvdQ/G9aa9ziL5Xqut08ojYGq0plhj3wh0Z60zxD/39zIiYDSsMonsq8b7tTGklVuTqPJG/kaEIL DH6YEgCR04GyN+GIsCs5l4yif+7e4NklkF9U9nMc2dwUCwy/3aCdeizrqB/DPuOF+Yoznu1Xr8Au wdTI/dpoFfh4/e2NDvGAujatEP58ECj0tcImtfH4qqLGDihJ7zt4us7mVcaS3Zhbup0DfOqr3CoE eatT6vDJkBqp7+AideZltruJhD65fetqb7wP1LgnYGjspBVC3/X4At1WFduikj6a6E9VQIDRu+iD IHmnYwgguTdpN+bOk2qFlsLAOO9CtrLLgJaPDTmeyVZ3LaWU1Vmi1atMybqsLGQEx3/Gjw5GCFPc uNCxVTIbPvlIho55uVO/x+kbssIzgcDcURPCzmfHorDDgnx/V/b7JASFcRIBcJrbOaG0x8lunDQW kE4tlgjC5isINgFLQ7ySzbdHMUWUjBOS1NBVZHdwMP79wz+K5w706Nbu9fxuDJpaAI6B1GhlvrYO 5NZ1fE3tVFQPprdrRWAc6RBWXiJ7p2MLlezWCnMfKBXrmpQAluwtoD+UW4MMRge6L1yF3RwXIxiC 3txySUuDgKRpRc+YFRFAjHNhWiF7hMxXmQd0KlK4gxPs8woFPIUjsrER0sP0r6ZSJqG3OObn0o2B Im6F3J7P9Uor4AA4OT3AR3d8xCH1RRDRjPLermYQnoFrtN6iXH1HtnK7NKeMYPVEaooS2YbM6TdE UFvNzAwQsF0HD8F9ZdXOVIsxpVbw5aqwvljIz292c+AQ7QhL6iAWn2J2VGwDRdZQEC8FAc9lkcsN puTIX38OyGYFTMqnn+oNINPHzafuymaz0+dv5FHu44m5uB85XuJhjmK+iiEuddP/agezGOkIv6kn a5IOCx7wUvpczm54ilpiq3T10Zu5uSJtQnd+vE58K+TxuOmBecEoLgPJYeO1gmh2wIxftL3LFt1x lNK0vpswq2t9P4M4U9GzysogwIC+oL6n7109uHB0QRiULm2HyXjU+xPEz/TCNgd2WGLWCZ5p2mpz qIrL0bgOQDdEryjt+maF6xrehKTt9pbb22AjyeEPD6LqFgLesPtGS30hrXDmTzNckkOm7N0WtoxA zgb4mbbUg0CZtp7E6Zln1iLeZG8J9s81Kjg2JdUu8moi4kF6YQkYvGGNk0oVPLupgV3dn+Afkx55 ORqSiTbx6CXbuwzrV/nOWQTbocnkNzY7ovo9JYvb1eYUMY8RgF60G2seCIKkHRbnT1qxrpuX1X2g ArMPYt/2k2avh/vm+r1J4p1KggEWAZhiKUw7IzUhClEgWTpC8wmYPleFjN4THYNhCzptG9JClTKV fr7QKRHAjnxZ6ihLqD/2I2wKM8PzGIP5VgdNrCWvv4R3Z29uLE3xaTyYUl4ui+Zbgx2GuoFTFh3h N9U0Z+Awp5q7b3EV8Hw1E+NxeEtFmFi+z5mHjcwlptqZhJH1iGtpXrsoien+IQ1qPuO5KmddMcgy 8DTpfQv+d/hk2bfX2TAZb5KWlyD5I97b96gbB+Bs+jZ5MzUjam2Vpd8G8rplGuM1zeKf7jtaLAYL wAiKW4ZDqQOo81JVNHlYM+MOJhtRh05T/+RWBxgweCNBqPV3n8BWpTViBOoYYfO7lsYeuttLO/kx /pVffeNtPaeo0kAWeYCKM6j7SsNAtotdKFMaczTIr1zd21cwiwpN1Pz4mjhD1mKNtlDSiWf+KuQ7 XO+K/w52tHn6kC8o0eggibYhsk3GACyUPgM0FiZ0rCAICImgd+S9gy0Uya6keR71b/s12RYI3n+s +IwGvYNYfPuDrkMAgrn37966rkkhyGGwzxzl6Hcqy/x97Gf5umEwjnhVMO2a8Zpk0mqOAEKLU4lJ mmm0cN6lIH57kLBrieELLuNo5rXZQZerRxsrh89ZyReydztwdMpfF0DsxzrDISuh2xixPYtBtCmH jJprasvy92qGOmmVuE7uG9ED6gaZfoUxGPyFH6nEwJDff9vUYliesgsNUrAsC6npIaIXWLD6DTG5 uZ7gRAvYM1BC48P/R2onqylLa3vjlxPRv5C+PGOxfUX9sUIT+liq+mEwfu6Pgrnlc9Yqb3BsHdsq gPmPVisccS/1/B+ApXl6I2s/Xw6NVWfAqSQhdP8hWaNQ/T67OvPsBDfhAZpoHm6HtVi1kCqcOc/K iutWTUiA3vTO3EOg1AZU4rfoAm5DMmPzzHSpaROaJeAofPgLLZstliJN2ohsQQNGbpMTdWnGafaf SWaB43s0nPOk8evhS5mun6uh+KVenOBy8HUYnMnRtp36Msd/3uwkeTdS44T0XomQuvb/z+h1u6Np nCwCJKvMm7cyoy2fBDcgb7+r/lVlTk0mj7JE+xZZZs5yh0HF12XJjrLtS+TbeuqrMvfcVex32bzf 1pRb7U3StlOkE6ZliCR6SWERbh+8o1HwqiLNvxKFZyOg/XOGrcv6T9HQw1n0HTewYW5yFQO3fLqc ihNxHcZcdIvtOuzSFzsD3WFf62+ou1ZTPojSo8b+Jv/YnmCuDXT08YIIbKgM8iet2YiE9OyEtL5w lBP/gbb6xggsm5ywO9pUQO3m3xxAqpqMojLpvQoq60FpOF3WcwJtswWsv/MVgQNMsHerqJLUp7sr D/aCK04YP8EHa8FDdZkyJ0b3QbrYXQESXf9gzMAvOKLJlilyIVolMnozcd9xdQDF3XKRYQEdVT7z PZyHykIi6BaRjW8BbL9ljWUS3qPjYtn11JLj35G3eVzJ3qnPbpoGzTZxAGY20UpInHO/VTBLEGrb +99usto8VVgAcq3Bdg3AHksxnk2HOz70jRIQq6Tp9ffd/90BbuW3eq5BydALIc5ZLgyMHbDMlmlh jHgs8vBcF+cEaKgF7DETVCSkwuYiXSE4Hf2vh1PECxKBlDmIX23KPvwrGCP6h+6J4kXzZuL2Kvn4 2kqtfPrAz85/hw65mxPevAt0vLGJ7pj9XtZK5yWjEKBT7IHA5yxqOts7heITAJublmPXqSH07qyK CJoVcnPBCh+QdCZYXyFnwdNzQDDQET9GF/NWDpqsZQGrN8ajThMhzuyUwxcJsIu1Wde90rb2XBd7 dowLoKQkgNfTRfxKt3+A3O3NtEjClZRE0gOx6oaVLRLRrJbSsMT8zCyTALVTS3ZFnF8NpSudCeAG uiGrvLm1u57iFE6EZQ14Y8eKLddyuO8Ud1hrDYJBnIYj33QGCfc+q0UpXTXstEZf6VHtRuuIL8cz GFZeUt8WYdRVJdv1HrTdt3DsnxEROACtV/lsRDlxXeyXPNsasKn8+aArJGjl2L4nBhmvErsvDKgZ fplyzMFFb8sG+7uxlERMsv9/K2juH4PnU0SPK2iXti9qzlrbMa62mBSYGwMk044IDfn7hgOHQbYz wMT8o9tay8DSPRrvJL1oTsnrpWr45IzwDzgW+a6cRFjA/RPDi8yM8IICvvpNf7UyJFnNwGzBOilc 05L3opjzp7S2OHj8dwBb+0tCwx/+B1U2wYVjb2Y0OG3mfTrvm9/NS6v21t2/xpcTNc2gsCqBvF/n cVrmauFuNkNoqcNJvntF8eSsX8CMKXndzt2NUXkcv8M41ukn5nrVgm/M+o1Ditn6CtADQfwqPitP d5eQk+sb+hD/q/8S4/FC4nqfi3KIsgvfYzCtihDocT/vwMiwUodpQWGyurbULWbRhB5WFq0DQXa2 4cwd69PANIaI8OY1TUWokh66rYcaeAprDAjJ7//OAc7u0vgnf0DAlvOWm2SAFNp1WmZvH+IcTX1W zYNKZ4/uO1HVlnRL5/Kmc9wp+dhcckUl0wnK71RaCu4OtB9FJbT/UX59LzRBlntW+szHUod84rDR El0SSFRqpTkIksYIhaieXtYEDCOowmmuB0h/gf4Khd0O89MBNARh4elCbrvFPjczC6ms2oAFTiQe J3PtiUJBRUFDr1HoHeai3TI8rQWUdjAoJC+iQZ2GLT+bynTNO8Qblv2+w5X0VsedQA41kN4sFuB1 nn9KaIXsXzirkORvw47X8/0qE/po7KzoWQGF2rGKrqddU1sheFDiw06LJuxUvxbdHdcLe1r100Fp L+WOLUgWhseGzUUVIpDG2L4IvfCu1KiCly9D6k+d5JmF+82cuFuVHyDdt/3mJBq4oziGu2jeKEUw Ebcm/dqFUr98qlahDNkUh2aK2OSxnOo8lJq7RTsZ5dd2btfItt/5A6q3ni3GcVfAOTwLwmI2u6I/ 1+L/kS0nWxRlZaRrE2lBA3Wx8ipEVczUQvg8mrfNWs1E7HmaYaJ4xtfZHCYak5tJ40t9h4F3Hn2M fIl6Clxe3jZ2Z3GStZlXo+l4ZUs5+y2sh23tlvkhf7GHlqvWRvNK0dqlzIhz0d+PLdz8d6Nin8nl eU81YXm4nas5q9jsFzwgom5L4m6+bkNwxqyG43BTXVhpvaA6NGtzXQ1++wxJfRywCP4E6RBoXfsK YER37CEpB2CiV1qkntMf3GFpQogqhmkbEbf3o0Sg6NIzt61fX8F+EORse2nw0YyKpjsrYfn950v3 sco295TZldvLppZtH5ILQ92fniAI0ifdMt6b4DSJtVvnveQI33ko0Ok6GQ5CB24rC9iAYiP1iWi3 AL/0UAOo8rjI9OGIZNfN6mkqQzNLJwShLosQgRBo1lWSxEMKyH8APT3HkdHxRtrWwtm/RqDlIvZS E+OTI5i5q4hVCGxK2JxHbmlMUHDO6UQIFrUpxT0hpvEBsOEzPeUfoZTRBexdcdxIAMbUqor8C1gn gp9NoJWk3+5t0VCF2RJweE5CSdG5XxKyLRik5Hn9OZxty1OszRXhy6LsU1mmyuZtzVYKqWtUXwq5 qpOQ00cEXgXMcian7bpOmk2fPoXck9q6vPMjiSyYxUHZRL84QR/6WRNx+KwrMQtZ8UHh4zyDjMOt nTS5KY0XvU+a28aVDZNisZeXMpyNKNg4ax7iTisvMSn2td49YGK4eS3wdOmuY2EgL4tmHU7yDfqn XI+8SuJw5xQDuQRgLVArRGb0N0nmeB4xTzwDVpJ9V+irtja/ieHU/0Fh4msvojugQzkCtyUULYBp Mjuxkj3Z/xiVbMxbNQDGcq0z6Z+tjLorYjrV+AiAjtUVHYoDIC4mxZQqvj8ujQ7WVnCtxrr1djDk o/T0u+o+BIxmah9Qpnr65VVYcG4giToUIF4kM1MZJl17odlFlmJ0qi9pLKjOAg+Uqd2rsX/Y4vtG RUXiGjra4GBt0mE0K0AnlAC1VymWzyBb8P4hDj4xfG+P5CZG/C2xJsDHrRZJjiMYO22C+OOMhi0d igj+YsXbvIunKuLOdyNIEVCH2lDM1LMZXfKsifej41jt5YX7Y2jTGOMy0XelYUL0sVQ605y8fgZ0 lnKpHPtzpNgvK+VhW/zUFu1q+nP/6E5vJ+nnlFV0XgpGd1vLzi2UwKqQV4htlVLzEJRmpN3iFG1A qIHLoyEMlP5NS5mzeTVrBEsePTjEhJamKnnhu3ZIpwqFKFHmasG5j2xj3hmZo4ND+NqewwFuR5uH I460LmX8J3y6PN4EAsmIDKRd29kzSakBZ37ZywQmig4cikJEwpaJQv36h9xpRXfMCRB6XZBuYIcW RV6IiS9NsxB9+QZyMiBr5xbTZWBZ2bzc4BJg/DIO67qFgnfRFyiUAeXNFnSkRMTzW+6KwMjmbVK1 gVxw+HiN5FgsazCzCqqQBIn39Y+yiB4Q53pbm9ZbCmLTkZwxedxsdyHBlwQQbyuSguKaq+FqJZSR hpeioVa+lt09guDTKjBnrz1Jybkx6cRyBOr2+uruN1OBwU0zagrN0q8EepLlRW7Oj/QveMzdb6FI mwNd4q+F4VVKQtWgroTfkCBHxCnkJpxEIajhuUtFwnEbCZpCawubYXiROTtecwaOhToUDqILAA/q KC2YX9ZUqXVPDAniClI902dI6TP7R83Gx6b4x248NsmN5BGx1v73yVLkTI5e462E9YBMAy7tZk3m JwsYAG7GoNRjE+wMyf+3xG3J5fL8fL9C9I78T7h3fts79hoNu21Upk2UJ+x0VgR8NFkZ8qy75ZOP rtoByCf2MXIwR3JSBdfBmSBGCDDLsvMJMD1GggcPIawgGi6ldnRvfb3c3dTOsuMYfeS1fDdpIx9S gueIb2wyOcSBOWwZJrsvPXnRSFcppOr2i59zKlhguBOF2n6bd2MSvEsYOe/c3rDbGox3PleM3JY9 7goZd6P5sAaTNHBBp8OWpXORs7CN5ET3aijtkaNfq61PMq66TyebUvGFCuY/sLrK+ZR5X9xuzoLf Ws7QfywGRBxD25AtryVcvubI3lWm9n5Uf6t/eVtevbEHUxB9BbaSLq4c6IyG4d21TPFhNgtSKrJX ZxSeS4FAkB5wlD8ev4m8XszU7QY+FQwAgKjnpGgsehaHeY5HlQNmuA4Pap9qLxAxE00b9hJX9je+ aiOko6wQi006jcMV23PBdsapOq/kthbXoFWkIOjcwjo1eVMJYO1T8LPZ/URoEqu0SRyWFdKx4pka 948Rw41J4a5cwSVreotp7ORLJRzQ+7+sZ0dAmGM2Hb6y0nkBbJo6rLLxhfro2PCMjYB3H2iYrHB3 CaRmX1eshqksCt9eF4+a5DKRYGCo0mvaee8ALLt+ajma6CGxIjGUk7GcvuZgQ2geVQK02o+iVfY3 YFRet1wsKj6CFIAaRlF0quP2CVpNisd0XoZ32Gdty08y8JeuXsYIUAvIbfpRNJqT0OiDz6gZB98w 64LCX1XKFanytO7E6GuL4HGqWS4PpMvfodYFh2JxaWQU3PscpStBsKY9mivS9kr7LbgzLWWi2ZYM vdr7wujTTZCjGqp+camIT0Cj77xtWYlsE4ERwB7PFK6+XBMQVUnJCMc6YnBV3mBYKCXwonKl74fz 1PzSzWcwBcVDq7OptndQLEjgGrXhdBH87TKM9HnRZPF1L/jMQ55Hk6T/0wxV1MqgZtkz7gKbPUZD E09ZlssPYeVHyX8ZJx7JVXSHDnO3PNXkNslSSH19XP3wWyySYmUX2LgYvKePMHNG9tIgks9E4DNR 8g1yxJolPgT+2LR+S3d1AIH6D6oDfHsaybCxwhO+iudoyR52IiLQ5ufjs9qVS3HytVnGHiYFQy1Z IT/a/cFkPd8VBB+jKEeYEAEs3nR3fIaZAxqoOFtI+cI0NPocruUFhobHA3MJsgPexJQO8u4ECe0g 3ZXJ6WXAfGUJcUZVpFGaJenj4jbSy4ycYO+FKoQzgY5G7bm8GLTSNRdWgMqtfxhumEX1etlmcPpz +wKAbtlg3WenM+KnWpub9fk+tVu7PUZ260rhBrbCmZODRRTqvlZymDLJpf4asst5/aU5juLCtenY GX9HScFlOxDRWX+iBTP73pQK2pTbTqWiC/aTDFhK6VTwHhLJ5yVo4P2rEOEbsZwLGYRCWLn1VcUx +c029uW11lPo8V0SuKAhx/I2YcIZHB8YONOmmf5hRTJD6lgmW4I8Du+TThv4xCaPlcuB3vw3B1Ea RxK+AvEj46aYQREw2Ag8ueP/sAuMxJTOnsD9gJYhz8e6OHFEpiDEn2umIYl6tZ5eQ6/crLISRTNl xWNOP1eHD5ENReEK7Q3xFFQYA3k+wVUwqbSN1DBDE1CJqcVoiHl2RzruZH939LmoypIldokpGtcA q5pXE9diinpq886tvLlBqbPVjCsusc4D/gkYxZsHtXbCUe9JwuigZvjCOvWn0B9u5/mZdtvsW1ZH psizaX6hmX1cSJP6umUXbyhJa92x2BlwZsb8UtwrFPD9ku+QmWou310Jj5AYfCDJiHUGaci/Kcav wKueWyhBvo4ut5HrA0fFHyxK73hvX2aYvTGsguKyj6TdaAf8wZiwKkm1KtmNVxn/xv2yxeu6eLDD MxXo5e7x1jFA76/uKXaEm08d41xqOtVWbfljs6YoWN5GjQW204BczBB/el03FwUORLjomrKYt3pf 6dwV0OgRPiDtnLf1uockHEfn10X4hBFIZ8r0L9M6fAEG6eEuO7HZ1LznbBMYC775w6dcJ6iRnbVE BWQCI2vCi+sy7CeoY2ga4Kcd9lUA/8VkX2l5ExL2QEKGkMI6Zms8JtOqzGzYXenRNbt62Nli7ONF BJTo7gsovymGLgyCH1rMiSqtJwDZX3tqmwtnn+glqsbrF/XoAOHjPdu3eDDdkrAVIQd+A1DqXD37 wmjF/MAPD4DlWoLy7Cn3lwfCOJ/75WD+9cRqRsyJJv6zNpPTjUfnW5NWgvBOuyWwI9fp5RNLXwY7 bv4mbIWpUSOzP8PfwBGSJK22PVwFhFxsomsrtloC0T8KP8IXRPA752e8hNGYOLVGPy/GjE3uyPzM YrJo75nNiBe9DoMiohK37fii09PTdfT75by3vT2GzXzr3TGttz+4K9kHMlAYtHlotmmL0PNHKbYV wkw0PyxUEB8I0VGwp7HvGGks/5lSl67j/7TI7e3uxPqosN2y87TKY9uPK20JkN34NrJuMyd1b1Qp 8M5JNxIK/SDJ/7iZQ5U2LdEWAM2X48D+xugkEzdZF9Qfr1R2DKt8Zb/NHbX8MRrnLpGENwifURgH gihaKLWzG75q+hA+gKj1LsPhywI2N3xr6kUiXsQ5Ceggvdh39PMRQek3gJ4WXO5h1E8exyiEgLu0 RW3rzsoyWKqYTqx3fw7zKoHQEkxxPXAagAnvADMMomKZqPC3HrkMpZzY6JamaonNYzLLXev/C1HE rNGNZ6XFCcb9v0EAsYkyHyv8rnmWTKysAr19YGIkSMUQfBT8o9hhawRawZ9AJYZrM9knAFaSlisD yoM0CNrZp4WjkEtbr2k7JHIHgNoQVraqTWiatNXiIJOND2+8SBe0mjiYM77rtIKQMSUa9cJbPWao 1kB3EMxaLmEtSb8E9TYZOJ9349wxGn5XC8br/rziYwOrHU7gAltKloQgv2rcSJVxHtA91y6xhIc9 gf2Fpy66vobkVK/xP1BebooY78xMvCr+aQFdqPRAq9K+SwT8OOrhhyHN6Rtu7oiGNvcXMz4qlI6h cJ4rJFq1QgwECF/JqUhZUccW3OTnPg82cLSSYhj5YOL0ewHKqQKRWCsZhqmISaSzoVUPw4AT78nc l0GJhkcHm3ad69GbPszuNBjgCRpFtjfNHMV9/wQA4lcb9yHDrkBS4WeNuCgni8yPpQOwiNiMRS6j rihqzVhKKlTJBjeeHi7dfvu6OBzyFsJDJxRarc5MOxYR+ABVbDGpDKOqP8QzwGMTUejBw6KFDbDb q5lQdx78+iq5oCGC+ny6sYKcEd+aIHKzlOBhOhyrdn5ktF7ChH0PQS7Vdqvlpwz/f72ufKoRnS+G aiSsufdll4/lhEDnrXxP6tv9pUJn7CSc1C3+tU0c+++hPZr7+fCgnzTRFvmxe6ce9J8UOLFvE6TO OPMOG2r8KG3e/X0XBW3eagWWEKpFhTnKuDRZVO/qLEVipu32gsUmcEdCssLUST83hqNLIi6s4Y67 b63BW5KwZIIVFthjM8WiWq/P01IbQu5PcFfR8GLEF6eOxoqdATtU8D4unugkpEVvJCQgpXa2QdQf u/jtDFn+SR/C83PWVKzAYPAWx4R4f4g4Y90RwGgprC2Kk0j9dOLspqnwZKIW8d32frrYq1qr/o/h iKVzUxKk3z84XwRLzIT+jG67WxKbPZYEI2M3ScLQvM1an0+iCXw/pA4CMXrKWXV7B4ETIsRdmUc6 eSN5GlYKBscCF7C8w4m+rFDtC66D4DNROHDSXVzOZROpUztYHR+/9SGUmlnqlbQkxJVnFmBg4ryn bA7tmKvQV3htWyMbVKSLErkDmoPNU/g0uQqGnMYeLU875Yjpr9KKZmUktFUS35hcHyTX+y0E1zOF jFxYa0zdWCi/ImOlTQX2yAAXwyDlEw6JtVfVIPXSm3ENM74LV0D1otLL2BPS7Ezv7lMWcwFKcquS zrPegc29FBZr7sAro4n15CN4dCDctf7g5HeQ3Klelgq4Rzjl/5rFbdwytOvYiguItqjzW/xntNYf zKes9/EnYJiqNjpPjPO6V0Q+yJ87blLDPN17PFMDd9KSf0dGAshuhUedvwbHUbWSgWmpvNQVqqJZ zu1fTAyN6xC4n5FJtv1N+TJnrrdjZeY1Ij0rJLUW5xqFsUohWEuOQ6jyZXUyvHpih7hR10sCOJV1 TBzyf5/WlP/rUrNtjaHw7cS3/XJ/dThaD6tw+8pczzWXkUaVNhyc1FhpSXbVp38WUFn1XeMB+yfU CiAuGNzFfOAHjJwI6Rsa76izuzXf8Mmsy+WkRv99v/70dkFkxQZtjAx9M9AwBQU9kpj2AOgxN8Hw cSEJEh46izLOlJ4Z9Cy5C/AMhuij3E0hOXhrf4Q2E+c2SVEbRJ5FIX4OhokPB9kxOoEM1XX6pYOv ieBRizCAG8afkh1vkCBb2j0E7yMxFYJvTWhRHaujlioorc4ygQfiyM8/isBtZDXZM8l/SDOsLH4P ghlyM9QlFbE2Qc25efYyfTqlGzEkdAulZS8WuVtaf8YccQwzTMoNMs8/ZOSTl74Qiz7hUOZUA+Id RSy4nRqGIfBdam8+zo35FEX+b8oSRIL5g+dozoZefIMTEHpC87PtdOvtEnmvCIso+IWI3b9tJhQK cG4aho9Bkd6Ow9NJ64l7EV9A0HHB9IRWoGdm22H7ajMUgbG3BNLf59tSwmeiEI4pCSjQo/KSWtqU Bu8/Dzr/Td4g425YXdLz2C3CFaDUVWgn/qWT9CuNSj2KVH9QWLOEzp0EdYKxwww6tOu/GtXjXeqU AeA3J7WULlVq0uvZBQ6GWTDDhJKyqwfrgtTcDdPfeaA0cFtjJZDM+veYy46t7fDXzBYjAGsYHZoN p6CQqqc0IVh25OIWl0g+Gi4iAAiY2v6mdyHjUD0LYFmpdVuoAbWGzDQ+wsss5kHX4XyI6EzOidGR 4Y6/o0GwmPvdpGkXm5Zx5IWpo7WF+1Mvi/jFR3SMQN2HG6HhEKXx+e+tz50zXA5Kgrvj4h6Kw+5v Enx/Dgu7brWx358y+BzqsndJ0hmA1ekSjhgbyyuBs+f8xNL3Oz4tHOwPRuGKq2dSAORZ+wrEpN32 MzIjRywa8PxDqA5gc13/azxIcdcIclQxKryHbyZxVdF5VeMC/7q7B+upYulSSlvDcqUKbfeP3qa4 fTklPjYDtKbbZM+YeE19IinO3yqxoPCgdDFbJk8toTHTceeaXEVSgu9PuBzCGQFO+R5f6zvsD8Mh zRjBf1sDlqJ4IpHYXzoK7eQbY64M13xgI1vY1WJ9gxewIqJjsoUMgXJ92/b/TdYEN6G9NXPSYi+/ /NqjbITZSXEhBE9DnsY8EpgH0hwOhQZGqoCmbKJ8CWkeewtmQLvhWTdKwfQnfbX0YQ283MwHR/+Z rQ4vtVJ8nZqC8G2q0DSXq6nnNqbHRuCKHXXpmXer08BMzDxWlInGT8IJlpwRzPI/gSzULlifQyO2 RXYrabhLa5EWjlUDcQnQeVhxbWZRja/1G9+PYGO8nIF6SqCSx2WfsFqe/XZiumbq8Ub0Z0k2iXWQ F1IEMlc0bZmt6kgq4eovZzujVbWwp+KXbdHP71Qg0bPH9Qu946PmFmdTYPTc2S5yzkDggguGt48l 4idojA9WQx5Qm+AyzWKKsYEwQNNNDlNnCQ7lTZqRv+stglKtYsfITu9g/Sn4YaP8B2+W5wLX1Uah MKS5v3YSTeR8Oa/3BKloXJ0R39szvQYIpVW7Qz1J0fCtaMSYdMOD5QCfOzMj+e6FXUu6qlkODsIB /t4EMcBl2SgrhBXhZrSV2VeZ4aZU8TFyUP8celwU9Dnu7hH5RM59/Z7Q5yVcTdRC8x10p84nKu9Y XUaalT9P6pCJh5VhTn6iLxz299uwbLzrsR60TjqblKLhbmQgBi2wgr3JkM3FQQNAe3U6DS1ZZzo8 xKGlO9gguuZvv4ggz5Z6aKM2dnBvrwj8RhC3QO+PT1DAphC/+K3j63T8X9AwlcCYCaKnj1EZo5/m kVkn+4Yn8OaCccQ7ToNY1lmywt689+D5yfwOxK1rNzU8raRIYHl+9wHfphykrGgESETm4+pe2Jb7 B6Ly2kVPRjVauefqX7Yxz8l7MkypkkU3Ix4YEMIBdQoQW6VU3J0ee/vuNBtn7mvulIo4hjYwxcyJ muppz3dnkrwYUBoOTb4GFidgOGwy284lD6GsInMXsS3IlsjdIMgJk0kflwBwSAS+lBFFdxqkJkY3 p0Pk3eQpqjhvTSKJAAaOYnoKXtlf6YA270+kLV1eIY2mdlhAujd1h6H72bRsuCS5pnogYqT+yXnR eKX5yzz2NC6gGfE7AJ/0m6ucH48kvQrPzqv6JfuAfoGM3SMiZoFKO14ERstoCCxtetZP6ymfEeQ8 O2MKmaZFJTyMvV7ZK6f56bWIfQVOr9aRvTZrk1AuHM3gE21PFrNPRLi8MrzBG7tHjiBsLmzimx/2 3iRXOuskfHtELJEdfNL7ecvG2blQARcrGu9BQWuzlXLRPPvlK5/w0xUTHOvA+XP1QZaM33vC11AF 6j0IKQATvb6Ahin8O4mgt8bXDWletHb77tXYlK92weaKk+v0Z4o/SiIW8jqqqpBFKNcCpy2fD7If yYxJJ8ilWckvR/uONAjV//Y21fgxHNuWO0MH9utk2MUXDhhyJXqa5Ts3whFBOmgPO5X+Wu2JPOi2 35fY/Tu6rvMsWBmrQMJgdJRXOQIJuVgNN/EWhKRvHaOPcqDrsw6HZ08fTAiIbJ6TDVrFurrjmgzq uVU6X4Aqkd3asAmBzbO9nnHW35LaxQzd19F/LNl6LnDg+K2su/NBPP+YO35JE0PWrnDb+K5//wRd Jig/aLgzJJDREdQKuwoN8Im3qbfAf6FdA/k9qgFQNpB/VN/h7faxJDlMhJ9eiY4KOat5VRxdnBbs 5fwEdoU95XRJnSwYsI3VTRardFEdX7aFO0/Pye69/BJpGzZzxgCepiwSsEBScXCaWNQjsncvPzb/ fhTFvEWK3uzzp1Tx5xibWm5FHK8eHHHtO9IZVwZyNJqXo/2/mMEOU31ZuiqgqlU9BUJOjtMIy8Cb 6IigRKTzgDQsKhnNZ2/wNoRtc111PVR+u5rnH5TpN6dBkbAtBDGhAnVEy02lxw+So3jPdcDTOqwI 0EjlXD+5TKxVW6IL14h8QjrJreWGb5l16Zb1EjYLEYhfUeceytO5VxWKDUod9TzFuOQm9GUqOB/b BNub7y/+pGPxZflCQlGB2YadPhDO3Ji1n04fwG4rIYmaF2XT4R1wJr3r8DNTlS4NgR7yohJzO7Vr JrGrddeK0TIRGnvIcFxihP57ZWZQtkFge0HPpGVZR/6SXuL8qr23VniSyXImFdRmClHQnKtm35jB sM6dEKe1FctBU2H/9Kw9YkzrvMLiq4IXuU4OWjlUvE2p4zp7I00G7KQeo9aa+Uw418SX+TwDAl7C i8wrhDjzwX9paFQyd1GrNZrin+aBkiUxO03jCjSPgaeRCmyv5/8LFkjuwp68MCq34pU1koS3pVB/ 8zvfKUrKVSL+li9vWwnPYLvAqh4ldOphuREh2reumIUmCcUamqxxzy5BrUYLnVZa0Nod+DeG64lJ 4KZXEwBeXm0N26N3+Tb736cunzA3hjq+SlPsHqHnEz+wBme7VmoiFC71lp9KWAOLF33wY488uAlD T6MOkQltirSBxQsVO1SmashNAz1fBitYCc7/CTDgKf0zH/k9g+MrI4a6HUtyfL5DAfOC/gzsGxF8 +lkmo1SM3DcYWbqA8GJh/UIlPqy6iL8IJRDOwB3IsjeiKV85GMfnmERehDolf3vgSaHeG0LMslU5 2MdfhhDOzVDUPX+y+r4o74mQ38To0VZ9Xo0ccJVRCG5Jydq46OV3TvmfhEbJnKzA/lsH51SfOD5S e/ExHRHsQG1rm0ckmgckpNxkI9/9CMqam7xfTxo+Z8JUDdLQiNcyFEghPvFm3ko2MmWnixra9s4n d3WvlWKBAujefdxbMIuIClMzi9EC7PUu7Vs05Aska31BZH7wHd9GCFXp/cxdHQsKq1vZoTqi5ZmE D2528TFZNI9bAqMPQsm8uWdMwmgXw5CFuttIRasVZfAAK1xFnwOxz+C8UQGUHH/YziZLY3hruzz3 gdXnpNfcxDHGJM86rQFqHQtz36OF7EP9kmYCPJy5HT6pzehzPoknBbZofvLAEOUJcZUgftShOHY/ /air5bcHX8AH2xmxQZDVcAEts7gOGb0XbPyoqZOXkLpOD+D6+HR4F0ZE62K1yIdIOVsHuhJzMhRr 8kMCti1cJ14bUMP5AIaD/tol9gbh4BZ7oYun1IfPbIrs4NAy2j7qYkUczB0WBd0ocgs7sTH4Esum wuWD+ny24Gy0ai5p6yznW82aRHzPlDeGFOTSTe0rjgCefgwunTlVfqF/E5Z7QX18hazuJPqdd+EE 0qFsTAcJ5TGiUz/X9DyfnKDcuPfXkrVLL01kMsbGDq2Qg7tfEbmeVbY2DhQ2u4KlPc80P1TsWTg4 d3+OGdyxMDlp+nolEHmSrY4D76dSmpszNK4HMRBkjH9LlJDqwqn5QQ7TuyGTYjyPVtY0R5zW3E16 nmDCFyz48cIt0k2oSXWmRPw8PvSgvaAXl+/CTUolXRPK0/vo6uOp/ooSAQUPt3QSxckM9YRr7flw nqd1aMjEDsFLGtu7JsuN4QDRJokwCA9aJVA+FYTFjmr/iDGT5BhXCSRTSf8iIRM+5VpZhAXX/LuT rumOghMmA9tt0h/xuYnYvPmDLBz13UUjAEZ2iIBpjDXDmBQwM0klIAPT2CFyqJdl7g0SomN3EY8N Dsc4RNunj0ASQ0Qzrfps9TMUS/SzbSimysSFd8drWXocD3g7VZwfoiXj8s5XX+sh/y5qxNsfpkvC 5npJtRRpJ7FP+OW29kqNJptz+I0vN/VKlCscaIx7I8cV1Ya5l3V+N2pprfA9IQZI2xVEXJ78vhQL vnwtTBPdUxv8wh53qi/Z4dx0icUiaCZ3+BuCWSMrOPe76EzpNgiQpsAECiUfny4CZWxg7e6eICrM ZMEdot4etLsKDIlzNP3XBUgCY/189pmJbCdVKXnLTpBDdGaNeRRqH6lvC6qOVFfF4ZHzFJIGkv0t 7aypCFhWMCpsFRDwHbFAeIFOw8WJ8Mi+wCsF5JPds2ao3GZOCDAnnkOGphZSi0rnWVHS+eVWQzgn /6jt4DbxZynmURlUqyer+g3hifSzibGE1GNk58WczGYlRZRJWjY8cfVCIuKMIEsGrVbuYT4JaaU/ VQ9Ahj4eq6RZvx9FV0b+ZKhpukuI+pWVosERpNhNZIUwSxllQXhnhfZK4f00Q7ulqG91gNg+4+Lu kq/bugFsPH769vxiW0NCMGbRXA10RImVmagpy32szmLJXqYrVZYn0RfS5NebfYby5O2KmKfT30wE hw8ETBihVO+FgB6Z9Q4skshew08GO8LCUbM4UaMAIva+xPL8Z4+/ezd6ddn2X0GmSzZf1cnNpjwv R8mlY8s1Jcax/2tNiXJFFHqYVGCb4xdeQvR3QCUXlBuAj1e5yi/FKZ73yHv4rf7aNcMyl0CROp1E uPefQa200RQNiXinQiKX1+DcMWUGsNFUPzY18AgJFD5trjzjfU3oFUwyoiiLXUSSx6DNRh8pO/IF 2pAu49HlCf6N8qNUY5Ff8rojSghso+gXQ2C5RuoLCGVrQ7tiMkJnmrFopMgOmr3VCKYbM01EQ9ZE K/LQwSvdfNpN1oNUIy+bOb/GhasCFXg63Y5nq+TQAoIrJcQvQkK7abpcoLK/KM4Ti390x+FOh/qT aW0OzWi3IvQbKQzPZ7JEWf4bSJlUaRXn/c0y4T3KJageK1HZA0ZfI1w3JK0Urx6IY5FqmM/HxJ0c f4k97ZRx5lmAWhFa/JeCuO17Lo6NMEzQD3OLITFhnk8RH7MWvscAGYuqFYRA79zdj0qR2VAu2hoP hmXzY9++oHAx+2ehPdr52L7+rZz7w1/k6gvAiXZbr8+x1MADgJFlEFSIQvWN8whG6TT8pnxC8uIe I7jZwYLbw+MI71cc2gnVHrQ+WY9RX89P1iEMw4a/XzqUYfbtAbB/8/wQH2a7BWhe9nUDkMZTzwhD IDmvt6aWY50MbaHdPZJntjBzVwztt0GN07pBjL4Mi5Rn+gjrilr/VxDVLqWY/xB2PmWQx9L6+6qr 5SBnH61o6Rhi5SL12+COJU8U8e5kjYOAPn08hHaTx10SLjFJfuV+7cZtwMRCln6Y3X6Y2mlExUNi i41gKp5kQiuwISfWm9WHiOAqFEJUIW8BcVyP31ljQQecJhK0cPwlxGo5I1ryEGbKhpgTIV5ew36D aqKMjFcOfBYrXysRT67NRnRQ68ibWWnGYf6i8vxPQgXQR+qRmw5gkww8sO+a9LtNpKt9ZYVnRkux gPI4cW+VJCGLq75tkRJM9gxbRgJLH6tpGiMrBVPJp5ru2P98m08CbHi5laWbHwilAhyjVDGtuLPm EQjt36QJoTFMTJeSieugkVk9m27i+a8jDScZeI8O9QdvNPeSPgLtHO1lxxGv4yKx7LS7YpeYbJq6 A4/zbZhIMsy0cxhiVxuLmlwM2aKmVF6Ky9tmikGluX7Xcj+r0iAEtAmjNA18LR9yLJN9DehRDHKJ jNgZOhTlcEwY/WDoAQj+XlF0nupDD7XmSqxiuEwrhj4BTGVCuhixFgHW4XzMyCZVtJ/b0ta9zLSL S3SFKPt6rm8b2x5KrBH0XFSi7E1BjaH65jcLFBrzYcctgnDUy7WtfopSM/33mdrnfPnzb92QOG0S uVsQ1Yxv6GdTxpjpJTpf6+8Npxoq5xwBLOdIVefSOWtenpUgkoLXoPw12kEZZJHj+5g2hC/C7TQk DnNnMjA+dPtEflDe5KqsH54CT8tIzBjDLNlS3OMObrJwbbGQwUHo6xMQ8x9Biok6jZSa56cGDRuR RsFZpDms0CGmpY543DKbGTpgoOUOwdfr2B5lUZZlK12C9c3gONw9LV6CL8e7ikr5Fh4PP1rxPdbG M2aPKy7C88S6iO+JrQM4cxzGkM8FDd6b/bmtSUT+znGbVmqa9fuP3/RJ8NEzBycSbuhougKj/RdU wUpJf6ewn08gAzzFBOHLP5beUm64Y3CgZ/P0Ogaq/Gu9YBx9GayEOFfGN7+/CZy6BIihAKqB1TsG raNKFN6Z9OH4cu5V1i5c1YhcQUcvim6bI1iy7tdX4b1ACPkSBysmdN/vOPYERg74q6LvGbhxb2F+ wixG7Sb4lb1vCOUGs+XTkcMyAhskJ8KsNuYMluOeHDqzLQHi8j8APMSFiuOG2lk5QwRviGp2X61g EjOs6HyynhvtZZi2OqEutKhDhiIUEvTGC+aaurK/8m/yedqHpOp+RwOgvrhjvuk58PQy7pwoEtWs 9h1FAI3DeXUmeVGXgJy9DNINMFQTPPRJW1mImFqMKBNo+182je5y04FJwL7QLAU9RZpZEU7GEU/V y8mLYfQ6CmwmMWzQsqh12iZs0jdgk2sxPVVjqMPZladgk8w7geCXg9XaFI9mfTJMKn62KevlS6c9 Wmzal+XNCLCi23XBsH6ySvbcggEutYCvFRKtCYp+CvMdj0iSpJql7hRXFhuCztZk10zDboMLYo0D Yty5/xBhIb5MQHlPSVONDI53naooC+Mh8HEd+eH0ZIe+H88aFlOzgKPQUyXAHy9rjuwAoqf5e95d D7f+mENhxWjhTUpSX9n5DuYcX7N4kgfDHxb+Na5d+u1wPvrjARFfAOaCP8fNBLpI8b1YBiz+cTs2 P3v+eS+st7j+v9NDCghmcQrw00cncyHyfoBBzZNwvhaaREPRDXdPYUMYixOsd086BXs9dZ2nmv+J /MKymmY1QqQ2dWuvStLUKBNcj+C9tL+3F421dmJ/cziyVH3R9ekiwI2iEhn7RB7M/vKk5r3oz2jD +ziR4tbnNBSza9sOEB2RCK6rE7VsLWNdiYSsz2vGCjmdwN1cRZgUBOOF+DSIX3Sk4gpnYLR8g1zf CMUOZQKh5HvBS6x70xKc2wVzDAh10uNuZ/Xz73KXH0U1prro3pqqvdBPDpZs4/RTIL2jniw2vNA5 vewTa2UD8+sS1mB9KQQzCZRdBxhC0abo+VaPKgw0zCDZQ0OW/twURPyDiKjNJPvmMBNhXflUVEnP 3ZZX7o1D6YU2ua61H6HvjCdAnQ8gpV2x5/Pdq1v7A2Ue5ek7Y2owCmhslBzL77ogp+dzZ6sgxhON elOW7PKJVT2xdT5kXjbXoNerW7or13/eG8xumWsrgJ/1oGCD+n8mEkl30u9E4EmElZXuaacghMCt RDLCiUc+34u9404WCR14BDB+3Vmirk3dZ8zDPGX5TjAcBfC5aAJSN7eUBjCVPBnFpVvMznaP84QJ lePiq1xjm3Dlt1FjwEnPtypDHeinxycRbBecq/Ukk/0gMCnZuUy4+2Ksz7VcyMI+Wc4NEyZloO1F EGN23vytbHcxbt90EgCPoHiEQZsg3Cj5lgSTjdWRLrBKt3+KoAqLGMU9fTE4GYgnhi9MhxbvskbY BEGKk5r9ujLFWYMPGxkzfHxn0htDg5SHC5Scq8/Fxb5mcgWTjbVAPtVIxInupakkcBdIcEKgWaB3 Gab+F7NdvZhgo6cmFrM44ggP6VjS/po0SMxAMtdkNVuqyqs1sZOhmvVwVf1SOGQl7axA04l57sdM 26oHwoAs2opyIUNSUIlQdoVR9D2Iwgx9muXDnEGCAJLd2UaUbIaDekGWNV9uxCIar4XnLqIsbY07 hAvzBUiYjSfoDuRU9EX8a4BYum2mQbrl639M955cylED5DmjRTGMJc8Z/Rf7MIvkYiO20fTeHwfa oBIePMVTtxRru3Sn+xpU8rqcY9WqYy4uYqi17VoGaoWRx/FoFeM16dX67HM1byMp4QBG/PejPmvQ p5CBQpVaNb4DhGrrucIQWcSswIqHKidH/XFkeyjWQpvMryNOBAfsfphgowW6yx09Iv3gXt7Xga/r Y6MXXnLSXSgSYutimauS1/96Q6b2rSgd2wGxrxb0LVKyOVBecJXNAK6e0SS2WXDXAE4BbtSvKfWg gQYMyQEYh9r0CwodhaQ9PPfo5WtPBvGcLDDCJwK5iQ5QwOV7vzCwIyk2b9Vi/bTBp8Dk0dQ77bA2 kAkGcZUJI0kyw3ViR/mh5YjVlig/Hejqq5i1DJf+pCICGT8/8dyyYwN4SPvlBHKIECJROSp58STP eqsDk62H0YFNRWeY8YE6318Uk3VmBJMnsDU3ugdC+C+Pc42w97pJssJS7i6M4uuJAbOBCG9g/L1R 8RVpQ+kajf65rrSA0qDzF7M9B2OAZvVFFAeODfDcpT9dug3IeA2ExWUmv4J/wrrzB3fMo1vOshzc 3gzm7F8Zpy/vJSNjYF7R2FvYvAkPEpQFHfSWtwEw1lQ/nCjVWmuest7VdCRFcda4NP/rgg4gndGe kOrjemuXPU0zNUtrNd82Tiz0Txrl6V+FVpgv7pzdNKTpMG0lXVc085uzOSNDQijCs/Y+x0HlBHZp aBloFamgqcmZmtCWwChayY5ZkdI9wFgpS5LFsUsUhEwBkxLne5jRxZvkzuHi21qm1KZaksKvf9e2 +U04JWC3P1iHWpeU7iWQpkJO6F1os44afX0jtQsCT7XTBjj0x/5PUxGgGZnKuqBurUYd7rytjMKH kM7h8OQd+bA8FT/KB5GHiqjgoDDz9A/ngdZHskU9gdorR0Ht62V1uF/Sa2aGi5QohQ/5ODr6i0G/ xVPkUKZY+4ZHfv8DUM046vI8zbVlaico06rtcQ8/pJLZ+6eoccAUkREPsR/+i7cTmGh0jjT8/19N WjzdydpjNoCsyKZu/9SVtAYzn2N0ezGpmQm2B8B3Sv49MSw/FVVAG8BLE1vpr3zsvrczTpE69YII /KiHm8TR5XqQmREb2eQEjGJJ14j9/Obfw1EV4RTMAA/mE2gb4Rfz7J5C1U7+zaNA6Fn1mEdWe7du 49m6ZdUN7ugyJ7QWlCOcK/MKmueP4DXh0zd7jy6XufRxoEXBSCQ7hzbG1nu1tPFLcA4KzqJGst7T L4rWov/oZGR2InWQdc6XpjkWJdjBsrri4QRQM5ewAKUrMBzEp2AVh8zqH9/l9K2RPOA2v7VB84Fe JWtubcLzXMifSAiZlZKME3DBTCtty1xm/1tJb1ORdODm4W25Dg5rbZ8ZAHCXdIsKXQ2liO7ITDjV Uh2xbaKbmCvIIX/xwQcuwHW6hJ1IRYcLFAHmKu0NYKV27epfer9zPdYFm3NmmiZd0xo/8Y9JXApi 4WlVCCQvHFqk8hNsGf8xyADaYE4SdJKmzRBOKT9X4UybCSUjNyPgFGAwJw7KMrBuymIg2Rcm6Kmg +aeBVJU5nUCkGDRiM54VLYFtGAjnhJrc+NRopD5vh2+oI+iS/OiFmGKhouYUVAHviduEd4qILWtg X37z2DdNgtJoBjaabGQUFpNw246RQmz1uVAGG1UWEDiTYMnaKJINzjzCi3Q86MO3QiBilHWhFkZQ Tb2I0yp0DJwZnyU3Ui2m3U+i/qHNSBcXF36RapAdQumpTkWGfQakqvUZLGmoMfRRjTFJkVS8Vr9H lMX+G+iyiuwMRDtuShQcEv99OyNGPE1rumPNv+iQ3PfIZzQlI5jPFbWPlYzAj/jAgnILLugm/o5y gtoIwnsLYDDQWNXlXSki+i9iDaOi7RW++p9w1wdxyoNiZ9PPiczoj0xWG0bw5z4cPnsVSZbkX/M6 mN/CsTxRp7xgDzRUXllE07fksTzL2B4aWb0AvUqGBPoTDyDtGETpEKMnSrExfjTsZ+1FJmvIDzOX 9va8kjENftvb/SlGdOEtx99EWLUxw/gETWx8hdxX5NBR5JHb2JpEpCKkKCeUPJN5fAW+flawbFdH eMywsTwi/BlDVPTm1n6/im1jpclCltHx2R8j+XlG0Q8aTdvrSngRd5Nblo0QyVg7pzgaXWGX8whz oj6aIpee/4CY+sKU8P8ybbz6dNdP5/XQMu+61byL7tlA1XY94uhEyPzbIvrfzzqSGk/QYiu0T45M RoJX29L3b80fcJwN07bUaAlL1Jy7mTKecKLeTqoPnqLXuKZ1whjWiDohhj+MY4JeRsntuaWW0KgK s+lyH01eJu+iBlilIIBWtGZrucIEai3HNaalNenGqCONrm6wKDoZidwx2fiDoJxIJzHESn4MTtOh 494KSz2nRLiVKKdCJkd/pjrGP0ewpXBVCbUE+2p7Tae2fVQOWa5D8hnfD4akpBK3xL9F3WZ0rxIX seYdbEWmsxjmMRc/0i+l4Yb8pu3q3Da7t9FEtSvjZTAHVkWweHmuy+yH3/9oPe7z2hd7Bp1/dJlx F3xHrggePZ6mem1NGfpkjLG7fpXQ7G0JRZIImyGRM7zDA+FvubdcK7cVPbrkiMlae68N8HX7kcwp Pin/AOs+yIpW4OrrB4j3pQU+ahEZFyWNaZJnVG7s6rbpJNIwBq2nsZoYM7pm1Hr+VlcQiqGKhwxb EpsAztUi33ne0B1N0yCbhFn5avNohxVVXBnigvM+kgUW0tF+b/E61qwDw1HKbWdsD7i/3n6SSoJj +g0h5FP+BlU/ET9pOzVXSx4+Nfs/Ay5Bxnnyj1XXCi2RsKNE+qQMyPGNXV8d/vOxeOzlPEtnj2rq snuYDrVKxI6kY0rWmnwYCBuoaxe+SJpHJXlGaF+pZr0s99tEXAVzXxsF5n1SmW35uA/IU2243g/3 xQiyveYXhKtuohWt4qqEmQA71bf0leNznBEAk78q2OMLapsChbtImxvq+vx64AnBNnmNF26MIERa t7AEPcraHx6eQ2VnYc2D+0otavbt63KZWM1ryauvwyh7eqRQJdSCU6/nOhUmRBH1zGtDO4CGlteS uedQpeEuc4FcXRMUsr6Tqt65AI/zU279ScRSAUiMFf+7Gl75RtnNPXYkEBBOw9+oaJhGt/DJQKBV pEeHPuhFopZODdhOaXDSDJ9hqs4+gD3wZ95VgTQFRGU2so4xyRoCQi20z3GV3pbxs/cWF8k1f+Zy xuXl3XWlAN17oejV4pAYeOiWOgVt2e/Bp1670Hrxm43RJULwLJCr9T08grBDUBsQldqAjocKPxD/ 3oDq+rPSuvmWFoGCDS0YwEXzxWMvLDH1ARbyD6Sel3Ue+pc1GXi/th6e3y/8cE6BC/iKQZkjuD3r lZ+yrCj8DkuezjL47ypF99BgF3ItwHXCZKEjVmhzVAqAeg+3ZrtWZGZanTY+AocDHNqX8QhhAMrN KHC/IQLqMfRBVxHrHb+IYeaPERIxE6BpDRV74ZpoXCnxcBLjC/E+4yorPCxNqtv1ZnQ8Z1vCUw3C 3opu5c78Xubp+6tMl9Pu56GzQSS/dKXlIi4mWUOxGlq7AATZXsjxyfUW9hiQ5JnwFMfViKhnOYjf 6IJ6JeH3/9Ku09uU8tLWc2lqffpxeLX0vmMggN266etvlPaxuBrlfOl7K/5PwjC/5T8SkjpNsnkZ UJ8BDcl/ca8+UqiDcV1UPRdqllngbhCXLd+80mp5etBreGYL9GtHe9BU2KjthUyJoiac0iVEX/D2 iAn1cbda68zZCnNi2b7jtJLWWSFlBEzxIkSGZfiRIuUzDWTJgnajUtFSgZL836F8vjJRMVG30OLV AQf33z6vkuvq+A65n/lzLgiE0Uttjv+CYWDvJiJXd4j28WukEu2e4NrWjw8b58PP7qqBCcKalWuy /yKEIYbaAhbcVypWUgj82W2wKDoLKuuLKn6lmjOOO0FxtE/PjTarAd2HiyruGU9lFg9Bn+pqqI/O Xzif5QuZDJumCYx/sCHyNAtyrY0B5n87D164aDF51tmSiT9q1dCISSXyo9GnLVFOQMCv3WymFvfC Q8KVqW2PWy2Cgb0LGxvsdESblHPRvPc/qCiLUSm2KapOVpm5/uy9F/uJQ3r5FRuQLiCj5pz+lRui MZs/2IKXxHdq6HqdjDnU5mDrTi7vT0waNarmo1diPxrFnQvF4iPA6rjzWSAkUvwt+i1dxt/zLd5q uoujFVQGILH1Zbr+Qhko0CDY9J49AZBH35cymqc+0SCd1J+/UitkvDpar8Z8E2/3/4bpkG+7RWw7 R2zaQtWIHh7WMR9j5u3hppcaeXOP+xzY4skq7Sy7NkZ6TMGQpVPp8baoQbB6/fNcMB/J2R13fX4i PMuQ0lc46k5yMat6pUQgu854ICF+5e8IpZck7lS3V/5LODn/soxdkmvnMjhqyZw7jvVjaF0fCv+C 9jKf9z08jOf3N/tyQlqL+3Mdn0STV3hdBv9oySCjHGkEllbQv9YayS1E/seFKgWi+nXvdChfnef8 WbgQMGB7uJ1X0yE5PpEALcfWK+E7pMYdmtXHaR5lwLA1hC9hNRTrZm1vWbaTNU8w+KYKEtUnS6vS q9mwUjQRbQ+NG78fSqB9gFY/UqVKfAjykRAo2zDJHZlBQz5uo+GRfcYsYy5XlwUuxm3BGrQrI4tG nVkFQ0RxVz2/+OrlFUUsefcvyDpYCYoRlumzHLeC4ejG5RgoyoL/BRHeWri566d/cH7M3pcWdh2R CJ75XVDxMnKUvP2YoBOALZSwOpu5orbjKWfLauMda/YbJAxxEjP9ePRPcxgF4CvxmvslsbzfI5S0 jqvAnT6w8iHNHO2NJ1be9lmDiLNJXACU0EmZI60LiGVpZ5gb+y8SKLimQPSieoIfdKzDcsn7QrO5 EBR2/+eHRlOq6cMh79umw5OlmJuAk9tezS+DzfN2dkstbRZkwLsQ8bVZySiT8sbqzqw+kHD6sxI8 YyuCK5XJg2FnbaJ1ZEDzhpWu3WgzkodmuEU4YQkwxNZNO4mP0WzR1uB6pydlje14sb/7xQZAWLbp KktVlaKr6AlkRDWHOuSF2sj1Z0lhaeaPBlfGRYI8QIUAwN6Pph21S1tK5H1MPMWduvjLEXl831D7 g1NF5NmH5Owd8yRqdTF2k8NKSfjI3ui+KPgClUe0KJT2JOcXzkc4+NeUkGQBO0YisEMa0kHStJsS lahfi71KHlfboGVfWhFfkTlxgcruuyMdcK4WyMNvkocFVejxD44JemINY4LeyWQZVyYxNY+v+GQi i+Ub041mrLpMuWXQwrLw6h47Nt5QXQjhdIgYkUFwez4I5DsdKnHLvmDuTJk0z6fHgPGse2xktGw1 AhR0QdzNOU/TmizPfqPrng39UivNAcA4nyRt8S1m/+yCDrLDmkTC0i6xlhWvBe7dQP9QV8iQuBzA aZzEHnWP7yOqtiINYf9JerEBZQ9bBkuDmDoTm3bCRSDwlu37AXBvVoqScEUaPG0JLM6xyHKfy3dM bLPWPnZJ7W87hOVLoNg6TvfabfoWAfBjX30+9wqD3OA7Bmr8QePaOWiElBXMdfkpemJlq80ysuM/ 9xjnXkekcxH4UG8C1cGXo6AEMW1ho8BHSV6BBi/bXsk8UUhUYCIz+GOgJv0Vm24YcPK25SU6jJ5J IHr09vFdV5mfqerfwwGhc0IeP3wWWA6tE01ZpKig0+gP93AGPuLOGxeuknRxhn+AuCujDEHH2Bef VyGMTrviJqRqKTA2Ak2bACXu7ekf9KV0gJcsKIasKF4rTGV/UqdaQS3XIz9Auax/cqGlw5GZ7NYw USn1Atnu8kC9ZUsJFthubf3kRg0QGDyIbCK+8fykPpfq7of9xdkkSqmxRd4IVf1jIVjPUc3G+Fx8 BJq17C/rZzlXaD9c/HjR3odD8faF6Z13Q2BD6KoUt/VBR4ClSuzJmQ8OOASz6/dWSy7Tn6WDuE/0 o1H6eCwq0iOIISpk6Uat1ZGoeTif8LzAMiRLU1nZqU9NGFkba7dl4o2WeJBljTVrXgnqny4J2XQ9 y1tjH8L2mJqObxE+AyiJ9YyFfMMwaecvXmF38wmR+7sD+H/teeM08Q9a+Ht2O4mNLCg+1UA5veCn 8yodqQFhBtzwp4UcYYXPcnR9DiIadyyOzgnbvJTAWAdoLGzxS7XKlDsraDCyNgJOc/01eCAiggTI tFA4Ax9NU8S/+zE2dQhOAXM3B+6HyTHdvvLZm+bhuB2ql8I/DgvFg9mKii8GXiABudBolT2I1MXb Oag/R46n9j2ShQd+pB847NGxJzIuS06ejRLmKAxJ+DUBoXQTrfaGPGj4/m97A5hXJIrkv23tDBC8 aqaV4Nm6sMdObdlYSE/BR0k8p78WY81r0HiksDLEOszyiw51eozOC7MdQNYpmrLT8i18F/+nlRuY 6OrxsvMYKIO7+I+fQzMGiNbl8nRi+Z8U8Yhb0eTUVRLqMRjqoEY6TNzJhk0CcPzTjNeokoNwpey0 YYzZq82tKwZ5LbOL6pphOpzGDkowpNOPee6kTw4/DixU2HWFjU4gVoNF6D80rFHNjaec+PeCn/Il 5BKCaY4GTY+bKEEl5NYAYoRiLrsgNmBfrZK79ngCRFJofdUq3i/xiASjm5tTmZOVR0G2+wDwjhB0 zN2ycVBXF0VvJlxS/f9Rik8teOtui2pLRY4qPHU3F/sOUnAvtR93hM0277U8yGId7t27aM53fHZV ql+tV71MtkJ66w/EfsIX4R/8th6b9ZF28gfr/LXdbJUGBaignR8TgPq9G44XhTT1uQBjGHbYWVpy S0YJ9Fesx4hYSKMWzFt7jBmII6zRFZR84gUVFYZeI8uoF3nOEFGw3eM2QxLyWjQ8rmtyzB4I4e+c Tdp9gESYHDfvg6rGUiCd0uFXs3OUPww0hiqYBfS9TXo67lC76E0guik3FWTayBBDj4HrrDTIv/4S eXjB1u8LWlWPx7xn/2tDyVfCnvn5NFN1yt6vVbSVWwS5CZ4FfYV26Zqj4Kit8oYl1ILYrpHETdem 95bZZIytGmyFYROHLB8DUyOxBM7aPTc4oZ7eRGGP80c4WR0HmWoJUxRhJUgQJsgMHYLX0vdBEpYC ZxeHszhL5OAGUMIbq6EfrQ5/8VM1W2pXXP458cxXjFCoeGx+RMyx/HQrVpAWqX7NRpADvhbvlYDC uMCVVnmQ5UfY2HybCec/qJZ8JGGnVbO3zX/no9PXfbIn5AkgNtCQ1gKfbxrPhTOptDngx8kE2kCL 3nQuktQqMnFreBNRuAvp/uwCKEXNIlAnwnQYeuCaJ8YQwdbG8fl6ncWZxkXb/Kda1lT8Y+wQU/YX PeLv6ZkGdGOgSnlDhvGSIt4bnDwb0+PuBM2n0J5vTiqJRduFJq+O/dE5irhVU56Dl7YdZZr5W1nB OTESttTF3ktZynwmNsw+vvh2AEVADgDbRjyACnnIceldvU6vOuQM6LVX/B801f9bOLZ7AuzUVksV 7fc1AzfP5tp2e+FVE6+dXC+bn79A14hruQ6iLGQ0jDZmvvFWpzep4RzFeTnvDEmQvbKJ3Qvx0rXt 6d6CIMmp6Edd7yULy8i0hovHYIzlRggiA7IVB+b0MVPPDdLjUY1UjD+omiPe4VGBJDHP7Bqyj459 qpDlQr1+Y9wyQaqb6o+Vo3xHlxkhaefESNIIdjLR6SYfDdXaVuo462Zgh9P/IsE2Jgk0CyKvskOE ceQkPIaGoBcyzDlTNS0y5amU5nm+68wpJqgCJx2s5Kd8c1od35QgZl2LcDfQHHeNkgfpUZdFrtgb XoXNJUxKN3Fsfer9ZhgqHG64mrWHI+h6KX6MaxOI38AMUttzjHvmLs5qoFMMuW4e/nX8deZ453Ey 7g1oEbdyk3pfvyGD5ZXGODiV4HBvp5sWC43+PyoRSO70uaWjmbeDab++LW7vduXMZSbGA1Ou9JvX NteQt3Y74NzaPdyn7+jMXjlVsS+oYviYtqEpKim7T636M9Qrt/yMG8SO8+0l8t4LVnc5nQnmtbOr 08ZnAkg3Yd/nTnnuoSO+qc+MfW4LgRLHFjfG5KksD+wBvfGaEGcVD64DeMICM/vODOhuihsK+sQA bmlNbRzxwPPQlaXbI/hcrYVqgH8OP/3Lebq31d2JxD1AE3s6BtR628wJzvSL8J6ztCXuVIqfemE8 URJG9JOPf1uC57NR44tePGHWjIUfzkjp8doF9VeBagUKqx6dInHSNyfd2fAvYTeLyASQ9FvWwmXO WWTHC3zRwe1FPtRX67sTTZedMOO1ml2Ndy3LkBfWtQmX6JNgwfO65InYFG1rkiu6N8d7mHAFNNDg `protect end_protected
gpl-2.0
93c35fc3781665c312c9c043cc78cac8
0.955579
1.805455
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_specialcase.vhd
3
13,695
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Xbx7xIwGr9qXZQ31E+UmA9C3h+YfZtr2P8H27IAFCW3y4CBtm8Zjq4slmC66fPki1Wrkbxv5nSOG c191mj3oAQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cngxHIRmPnvD76UDYC8i1mLoI09H0Rd/jxtVnGmOQjmm0yFs2TZ1DyXI0PTya48QphNacLXxVUWl 4M9FTFPiGI+Gop5X/Y7wffM9Vty7QS1QY7iu6XYVg/WS/DZrSD6glAhLjXl7DWCCyH+LErWR/C3h mcG0DA3NCT0SpFK5TkE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block X3AO1+Or3X1oPf5JAwOFRMc3APjsxbAlM69ln0GDlwTkdzT6TmmF9nm/TICkJc5QMBYjEkDvAvcV l/uBda6CuCHkSDPUJZLYiy5DLYJe4QtxUqRiMAuDUDPyeAEb992OusP69lvY+w/jwVu/NleXo2st ICklxkq+4GpQmLO4cAGFFWadUSHJrtuysgXlfbrN0T0Qj98+yn4MSxwajusFVzDnG+lIBKBUlTFX K5FrPWmoKcVyToz8KhUGOSpxDiekdw9WAmJm+LcMpwRRW/MP8W4wqJXrGQhk7o7smjrBHXxSOilu GkG6dVnadCpB7ZYudf5iJfN3HHUhGy52Nhdx+Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PHVGkZ0eplvhR/eVfIDv3aQNkNcNXSy6MqtGCVAGrYH/wKDnV0dNBPO8CTFTCD+okrabp6s17DWx fAHODnyrG0JxGwYvmvDhiq04fa+XVmbSYYnfv4RasttVHGbP7KR6fWqawGabpYMQvrJDKcY6Gomw /UOWsyM1QyZ+5zQzpfo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YNW/V0DhpbLywMjDrIfltjuD7H/e/cgieSHanRrC9Q09NW1viD6qoupmzQ/u5vHvfz7oC0avz8do u+vj3Bdy0S2AA/hWGDSEbc7VNZuTb0KtJBLRrHsF9yp0GOPHuRjGv+bj+vZXtWhE+Fu8M8/tUix0 EyHPN2zxZATRcDMXquMegViaV4kvga3EeaGYX/TynTrq2L0t5zpt+TqQrA48t9y9vyNELnEsJyDt YxIufI4G9hi8oWxLWSfcxVT43jgkpD4L9Qptxnjj0DIpk8TmpKEZa2nmDN3TINPrk6xC+73HrhKf 4AFsXnDZwPfQUHPSJiaPC6tn369OZxrPl7Q08Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8400) `protect data_block p0oeFt7PwGXPFOVihZOUF+GzngUD+srjWXn3eYn9R+w9JopMdB9FrA+zWpIzpIvK42EahO/Gmotv T6u90aiEfR/5Ccx1XGQohBoW1f5RPOqbXVIUyNj1PbmytmQ/6oCCv+Gi4/Nsrr5l+Hi81OaLmVLf k911QG++1CBDyzhH5CCK+6l6+eC2aRMPbJk1Yo7Zpw3ufXXLY9ylWfbob6kLlDaEHo5h3r3nO/k9 XwPUbjvNhiYgKBAMRLu0kqkfREx7IZiUY5IEWoK7y7JvYx81hzCPX8KH6Ko3nmHbIHZnajAmbRSX jM2LwEjrs6o7yaGW1/gWyxTO8b236Q/UNGlt1wT1K0KYlOP1+upOkwosngDPzV2dEfeNAAPgyRD2 bV8sZvg1FdUvXbspbfkqhQaHO3chPp6EkUCoY2OUbnzG2UHL2oPkWqL9j8mtkXYgMy5l8J7jSWAu TgXUJ4jgm40OMh+svn2vZu4UAxzr478iqH7op0C7nv7YmSfCsOL0LM3ycwel9WwdVPk7qAN613EZ PBRg5tZXczp9VnbI/BVzp9BioGOFNoihJ7dbH0kf9GDfpxcUEoqXv3w5xFMYl+2sBVqcLCaohZvM sKpWEp3klWR7s9eG8Gh5gEFjwSe6m9ARFRUj23dEgVcSbYV+YhOVybY/Qir8nuBxKEz3zAm6u9/G 08HSPT390FScxa7CiuRCib6cSFag1B6rEAbI1PArQN3iC+TxnXMjLk5aZqVg3As4UN0vw0J35cRT KHnNVqg4iuDd0FAlhaFxgk6dEtMiEfh2Iangz4SsjIA8kCYEkRo1ReDv0fHpKO7meF5TzfK49Yla 3F7PYLQEd8fqUCiZl6SGS3rFtpBCYaifWJCPCGXqXAqCRfutkSnGXFGN3i5yNAXl+usYAkOkam1L jC7Qc/eaFxA7YKIGG7sdsx/gcgMZ3Y2NO97Hty5OcSEPryJIUPEMiPKhO+HUPAliofSouQRhzYHZ 8Irk8yKimOEslqaPfq6SUNb5jTNJ8Ww4L73LdzD+qNm16kFA97jpRj2LMCAzledNNyS8rnZhuz4R mSsUUKaMkOe0Vcy+cnKhEGuZCRHcOX0oX8/yElpNGt/odyRLyW+7EbmYbnRro3ZOeGjjWrC4B6od QqQu70QmItrhm2971AdwNdH2ZVqVlQ9GKUq4B3K1cb4mhkOx4ZXZEYMV8kg+TF2Tni1whhW5Fna3 jqD5B/8nJ/B1O+XgSOAxVfrPIY9o9q8MZqLSqya/CfwlW034UmkkFt3xjmZ6vgHrMtbbQcBCrJcw EPNOsOEsbcQTgzpwWrvsh3zDRC6ZDF++vKQRi/LQdXdYENj2RP5qv0zBhqzrVfManklsUDMNmFfS nD8OywIce0Dxf4DR8uhRoRbOELNsWWDfPO/X3KOwcMoGf+1Pb0Ic9fTXnBm1BBDcY0on96s1bn5T B2TZvtO9upeOECyA1Tf+VI0c9qG/oDI0ADC5AG5d1oLvvAsHNv5wK2ElALS3EzTT1EoqLhhEJIbX 4K2m7wP43Sp2JWWXDycgBIPS/i72KEgli+Hmck7cQTbHj8gKX/1ZgSGrNEMEbmw3ROnv3ViGxpMS YHk8S2TkJG5GGXmu+nMsKkVtKmg9cHR+WEK+/gK254hocuBD3I5gDmly4Jfe8Kbwx4eGZKbT3SnI 2+DeEfUfZ/swwgZDYAJ0eZ2W/mHf5Sin25303aiNGA3MN30g/OOiFZQTWOh2IhY3syRby+l/p4hS NH3MHENPYaE1KGTcWyttZbO9JJTre00Cc1Sjgb/Q5K2bTKz3GeWhFRN/oxa+Qz+kia5Z9L17tPoe e8vdrEax51uBSu5ppXpXXxMNVlsm88U8R+8wPaiwtdnKp1elNCluYfwPSUi00jHY4wNqRjiUCwfQ leQuS3KwLehlJ2Ymo2LxNxrczVF5/dOUBsWZncD88fQioeTMhDZUZLkO20wQ2q8HCEC5rUyNy96V JG63/1+Mp24cWaolXRw9jM62wC/LGgUIKNFmjXvuEPhFXg7ImMhJtxd5VmPbEXDbZiNlFu6Z3KU0 0KKJ+xSNzbo1Atbvs3z9JjpMBaZsAAZwv0Rz/DZx8eRYbUvQ8OQJBD4hcdiZSYxr6ZkbbTyreT3o SDgpCSmAWQwb1kg/fIqphKgPbS+UTsxofQ0gspuV4GmtWlnlKaBzrS5PP2avuDzqDMaiZCt4e3qA CB1QGSPiRmX04baqzY4L/Lb/SXS6UMMNJTKNPCz/FnSUQFysqrZY1HnY1N5VxSV5CieJfgGCRmmE 0pSr84s7Av48+lzuep6TwPp1j6OMtFMiHUHO2GDktJYiZv2sEcHvo0vJSbv5J+DtRujQpuMku1x2 Fnu9ZGDW/sw08ynVLM1y00vdmEc2NEs8avKdezTUTW2xBxLAKojkxDD8p+7yFvuREXzISd2B+SeU 8+/SAhIdXmX3jrUf9kHxz6PkE7ZOYBHyw18K1BkSP9d8J4ffbbswHMawu1JQmxIDMcJfhXn/k1WK KTRaP3hIFgoULbNAgo92J1ZWWRlkhqgMRbQ+QBdwuN2HAZgz5DJm+bqHu6CYu0ac+5RH7Z1w8il9 9BQswrenp3kKrV0VdLbCMgvdl4bV4JD+Hkaw8U+9a4A85MtXtSdzvqy/BSl6Qj+V1I3VCjqWIGLJ 5D8sIlmXS4Fb33WwD59m6hZch7P69h+VV3prImGXXA+xzgWMvWB3Z2w/1o8owsICCvqF8oelCL8l h0o4P1C/bR+sUVn5VK4voCmYfViHc+Dv64p2yiGzS0xPoxISDsnVJZQg9tThPs5CSbZy7D/kQlTk 0uJhyh9ANptb6aJrdvfJ/SvVZYAJkFHozFlav8c8d/Le69l0u8wLKYmslK910ZjUVGIqV2oeMQjG S5zn/mwYXShRMT93u9tiNMsjhycqXGwaSk2qdJCvhGPwNyS6MBpgr7eH8l3skE3S9RJEFNczEQx7 +kTgaZwxOwNWc7TB/dbgCXqRKUe1nFqWGD9NBc1wneyLOnTSpR5GrRvdVdUodrugFssXbVoMXuyw QcuEfqfpfEpGZz/5syTPPh5upznxz1NbS2/cfIZ1EwmoxIG8wd+Rj7oPRKV77IT3aY7mFcZLhfA2 piZMq8jKlBmCDkbDfCAD0iNtUWaPHHfPh851LetotCrU+XppTOP77xbnyap1SUldodlwK03mGeF2 Uqty2VAqURSN0twQM5dnpTJKw1oakjTh3r/PZjRl1HFoOZ7bNjQWXQegRMAzROyLr43KESeFam9P LzwrJ9F2cWNKZbmx4inV69+m8b7/7YH8T04tfEtUjR9uXXftypte7K5r2M2BI+wlltMUQ4ujdNpp Hw1xvq/0JpUIFpBrcoBNVZeu2Q6g4N4wTmFD5g/Ar+B/2zsFHr1EhddsMqEVkAkuuIk9Y94aFMGm wZcySvy5kOAwjyYX0kDA90LzR60IUu3cKuIA54SkI7MkQ+M/EP5sUBP277gpBVQgiB/scBtGgluE pH2I+FAgpH/UBDFexwMO7VfnxWPtF/MDTq4eWMPHldW/g4a+aMYiKAWn9koVpdds++dfcaZjnXOB tvysFCoNiMNDgLJ5h70DoGCgERg/szF9wKA2r7I0gV9Gq/uvbXJ+ZyA/FQ2YE95HHxtZQ3+6SiM6 WJxiB2MqpiPB+Tm2akLyXDxJNsYRz3c6sYnqvc5wfxTK3MmDBWcC0EPlZoa0LOpu4AdeCb9pIqy+ OiqNZerO6UbHzeR0ScakY9D21g8fQdJmafkzYtjV2Qh71OyEOKLqztDdBiWU6XsWzFrsrWHMaH18 NYRwisufhISg35LKR1RVXf9aRvVVecGZeBowSQJm4kPZYPCm598Cq75R71Mtx3s31UEZO5lS1WQH 7PPUARUU2DvGRTMOjLA+Y1Mjjov2myId8An2n2rAygDWLFt+f3CZJcd+lrbKHXcmjlH88AUpgHHm V5tJQc1uCra3hTb+GLUEa55itbaBny2qyhHvQGEE0EmlgjKpoOk/i+0CTF45G1cRpAOOIwYgOH5I D/PKaZ5tjgjQmnb2ewlEply86FvqQPkLM7hzXWB7Pj5WwXgJtVTtXF/KoNmJ7CLamOAmqkwFcVwt tkiwJFdmL9h8SgQ8mVZcg2Ha5TfPTgST4fvWdcQH4RAbirtNnFFEDAXxd80FZsw2FxO6ocyg8DsW /BbYwZZ81V3CPGYw+FLo7yc2DY4xPOYXup2wCbL5MF80ojW9L2c9yNJuy2MP1SZGZYY4DEIjD5Tx pv9+OyF94BqZ85uJQEDjvn2JtACws9+Dhu3P0O/0CJ0tnZtMiIXiZVHx2QQEjSF8FU37DLgVbbdV fB61zzRaHZSpKQluIXXQ4poNDE1JYpn8K8IMPjrgH498fXGXr9yZ11uVAkAtImJBWq6MmgQNzSsS kh/evwNC0mpdi3IYA76NaNI5Of/F8bVM7AlEx36fOub2QQFPOOsp0VS/ijXNJzxm9yv1ys8sA9TK zEk4S66GEyu6zCRw3coYcMQG7kM+OfpRJ07QZZA1318rsxn8J976tMKWe4fZSl+GFlfv+A9V1oXR dvGZTN6MJmGRM/nhCoSq4xJqRrb3afQA6a3yaZpWeLzJMZnVe+KlK/UNS0YihO4ggWPzr+yh5LiB 3X4Qwsqhjx7SrzUyuDQrDisUczsa/WyL+S3sA/StZ2IAqD1e3v1m9BAJUI08VUm5C+HtEJDv1oWD AkY0Kwqn35fdV28uTYTN+rq7GvkXBbA4OvwxwtdDyXMW/V3p6/FqqMhKMl0pj+eBbuLn3ClFy/uz QBJTyHnOhXEstowPGt2hV40IKAKb5jvNPJcOWbkmsBaDx6V/cG3WAXv7XEsuXtXxDUVmnOIiozT0 osws+HMUXNfKf1LdAWyBnVpeWAtSK3GHkdxQwXbm4R7Ec7GwH5BCh/oVDYHsN81rGB6I1zr89sUs U9OYnRaF2+/pXaZ7YSdXGSkKoQL1Td4VS1OcdPJBn/r542WI2Evo9MDbb/OgHYy9Tp8d/kY63b5m inQPtHYZVNIh3LGsJ1R0XsndHB7TJKCUSY4rotbFQmgHBIZkZUn8xipaowuyTSrbp8i6RJqLI1+k u+kNc9WzKjksbiXFuMt7DQZzybtCABUCkKDfgtyguf9vLTeGYye6YfeaqG4TQ1IWKgsPrB9Jebtq unC0gFFXBG7FECdN06DbyrmK1b+Ewan0XjH1gZ8/fv4yRTeGYk0vVJB9SW9Z0h2uNkYh60+6It0W Xnlt85N/fvt2ixVTN51Oj64nRTdmc62L1iVHx4ibD+vJIUpxgAu0++027uARXesWHWdbedzAsPUE N/oniAQHUimfw9gmldL3qj4oTz5hTKuLOIlMKnEP7D7eHYl1QebGK8MAQoSGA7mO7He+0VdROX2+ eXlolHxy1V5AagJIwVMINA+SiL/HjDX8Ot7sUgYD76rggG1DdaNEf7m6OAVA2yWYzKv6ba2gSPNn Vt4Z6SJ8qchWKl0T6eamtSSArrBM+X8akE7U0kc2wuuZ35KNXPXnGHsd/bL3Yi4eOxGmMHVdHWZs Fy2MoCnnIRN1FCuXuzunfH5gc+TaVMOONmvX6Ak7owN4EedrJ/koc8A3DDcLXKy9VZHlZxVNN4XQ yDj6RvDICAsG9Ubg0EjVfn3q+Exd/s3WnSGm0XUCBx30aB0Vb7qDfGbs6ZYbQy3227cYHLdfJlVW ZIWXDTDg2f7/2e4HdyoqclGNmIlN+GAekPpHzCFdwhDIjwK1htBwhxVX4flKyeE5BPXbQlMTg9Yt 7wXun78xRCkv1VPYWhFCTgvbU7EbfraGJ4ZWEEDQ5NjQRtW6YEpDqkd37RS2qr6l9Ad6C9Abhm3s M7xv6G5BPplCuC56evxIrrbnGLjjwb2JkPzM/0pm3HgpKR4OZYSPI7cassxH4p7YAICDTBgc/fjs FZiBSAya7b6bEqCO2cse3Rb9Epi2tFnuenRgFg4GXzbe+AEUTso2/iYqrme4cCCTnM1Z7MAOlQqI Xhq1hoyYiaLXpJyVRX/oh7gedv73pUCF4uuBEUywXXKB/MHvNQ4hw3S38A54WcMzamIjRe3QKN4N HhLFAVO4qHd3eqIl/onohMzdO3A5c6a1YVl28rI0G27kBe2pqiQ2idp5FAFhbv+WUUrUEG2qESKG CydPcOnsKm7GyuMAWz1jyxchO5rb/Gx8IPlFyldit9dfyUacFD5Swkd5r+lI+vrOVRLhAfubcatL kMBMURRSlqY0AoijrRVC5Ypo8bQHO2q0m0zqoHL+uRJe7FDpjye3aBHbVD34VphPWi1sgAP/BCar NqES5EmU78FhWSoZdv5y51xSUdu7yMqVp7kNZ1qQnkTd+qO2GohTIuWHr5kGB79IFszRXNQn0XZD BZ2nT3sGh8rI/aHae1KWikE84pCzwJolblGNlqx7Ig3g10vcwyqv/joMdYo5Tpe0OOwPwOal7yQg j3/a9Ci//ONrYCRjwhrBp2E5i5F4ID5+6EB+2GmGbda976N2jeF88Ejh2Rs6P3uBdwRw/j4bBCd8 U7bQtB3sLnszRmCEJJXQ6CMCV+LuzXd90/TXBM6F6IyjJxjXwcoZ+dwwWTqxkZXSOM5aWXjp0oYu MgwMDHz+N0LPmbK3hGhFKTB2s2bZb7kBDmeJDfokPgdye3pok8rPV0UrIc8LtAcIVw+44uDDzMaW wBur1eaUnK3Y1zuT9+mtKpwiquZ3p3dlNRQCoP9qjYyTEh7/3DFo5gacbBgv0Z4O3jQLDgm1Nyu3 PH3p06Iol95BAeBHV9kj0JxK1EYw22zly7zGdtk9HC4QzdpTKCNq2zQb7SeZtsvA0HDQSB6hlTt1 Jf0qb50aenaSRDnzA0/HGLygS0QEJchykjyyk/bnO7WRz93FoiP1AI+5mfkTBtbb8Nh/ya6l6hsg wBYs+qg/Wu3KGrxM7+hIJEjBr9HVSBeTaixSSNNd6OXhygWCLOX+qtpSFJ3rmSqP8ZeuwtF+4ou3 vmVUrKnge0l6AWDZHTjgOtJrgo6e+1fMbLehc4Xsvg9FfcB0hm/SUwmAshMknztda0GVR0ThqjaY lIXr/nqQVuAVsKPQxG4wz2DI3aBQMBm+K/sPgdWLNxmzDSYchbWhANWsot+hDSTr44lJPcjcD6EX xflpm9YXbAAdqQOVKncILL+CxkOfqfQ+PGSzW+HUmCcnf5V/+iBCAxpKXP2l0OPl6Xlvq7tNOQYa XK5GLi45f/fHl3iq/d48iXfZQyw0uUbzBUSqW7mOpivWKemMjjGRivkJDJn8ss8s4IuJAsKjZznh gGuLLDWy6msxKPGSXHKdP/O2zU2lSd0zIUXG1ZULSwxnnA2SscYrdGLiZ5gFB4i3CvDNOzHx53Vk 9nKc3Jfw5fRbgvuq56UkFDmlhI1OATWh1fGJbQbccinMj6yEqlIfX3qGs8hMYkQqgCZiHZxHpnU5 RbfjW3lD+HN5XtxmudzIftYcySN8GxMDCz8QyUUCTwKsEUEKOEBeuiUuKDUZvjv8RRhoOFm2ly2k rXK1Shr54HlDywK6e4JXP0fJj/Op2BvmUTLFCAm1KxIrQlkCCb3cjVbOm5lfYhVyCO7Av1P/Rhup OJWsOx/wIwClBW8SWsC9hTM4xFNpancJrtOfWvJOpABeWKXV/K+XAT8FDasfPp4Nagfv/TU4FJyQ RMx/cZMfzbKKpcE1b7FttXjhMKUE4Zk4BXyl2vEGuXKJhlwdLyzteNyDSqOlziUKqBCRYWZn2gOC lxZ27ATWXeAUiVkZMhC+rdB1eX2fnHW61ywZI0g/RgbwLNx5pecU1pJKlJEO6IN7KxjEsqx+F8DE lqK6XPNOrx3bnj/Cz5TryxEndXEEw9QZRfTKe/iRqjB70Hl3vX3fkQuJtXFW4xuCXiKgGXhqJc/m MLXxwWkNoMe8iq9tLqgLvqnlJ/RnHth+4LMgebupiIYHWXwIOBR2eYYaLQ+axo/6rbp5ISDgdya1 uUbILJ6d16pAyc6eOAu+L4ZM3z+G54SHQ/6+ruOCsvL3MSPotZcoHH66WTaVN57YMzmSbjVLhwB1 kIIPl/JmBYUy/tjV3cP3aIMCs3l2oDI3xujnHwC9ZV+XbQOZDo8SCrQa8/5J60JYqyh5o3yJAIY0 c6BLnWv++4zLHQ0E9rl9Qh4xl3SEp5+gEy9hyfq/Moibb+obO54SKgfioHnm18NUe6MiYqAUwsgi SpVxiwbB2AxFu0W16xdcDzuiuVmYPU5VVjaZB+VzWEA9N2orvKCONpCJo3FmSKkFXp7nWm1JtPok qrPpQXIRGlZjpDeOfxS+ya3LRVYt2ZWaRVN4eym8A97w4Qeg4uehTmilhgBrGQqgfsCYu4rl+bpG GN1U7ZSHjYvKi4EsZ0hMNbKblIwpZY9G4V0L5ocQziOAdk2Fjlq9t4gKikEUl0vNtna7Lh+w1tSu CQ9qcoSo6o4RIWTLsVzjnHBc5Y5H9SXMvM44cge1Dx8iG9V3GAzEv4hqKto8qHkXhjq7vuNsIyXe q774HrCXs+QclTXIb+z6S+8SeoIC7l78A2kDej6qle1j1fLZEl+nKtDwMuhWIWNIMvN17eH2g23C gQPTGRr+dZy3gr8jEK2wEUJXzdeNHrcswm9/aNfrIxpOFhSRteF9KJki0AdKmEhBMkicOtpG4HaA vJiSY8xgfV6u5NjFACxdtB47XyHLZoiAUxZHEpASXWjo6VSuGbWmbCiQnzTviayTlEGZGMlfOJtN 2m5fDLNF0XhI1uVJ271hkJ05qdOo/Z7uqvgG48+tugaDd1KRyivC5SuQteW7ZMSsurhQO/w4JoVu hNm1IEpz6v8ZQeKEU1CWns6rjwhuFjSYj2yI0la27wPiuAHg8yA695Vht1Lc3a0WsCPjaMPZ7lKt RP0fOaY4nQApHWPF+L5ppnKcmf8dxxDMRMCbD+xvk60uZyey1ZHG3esqjSmEL+EPz7ca09fmGkQT g88HUvvBAW5BITcT9egarhLFV8t/Gh27kgBiHFTQJxTo0IKo2qPiDqDKcVOHvnZGaERfwO7/7Qey 1NrqzvZonVOsGHa5u2al7MZPvpqBepJZu04O5aDIkPXioOFgjBH8ZZXb7yBPBoSongY878YDnK2g Fdd1OBhlBQADN14fds817T2sRoqmjE3gdTmcbOwmb42oUyVhveHy4lhd9/o7kTuWknCKdYXpPD08 knpy93gC9oD/21jnxQ6EVYropZu/bvOuJoHkZn0uREE1UBNV6xPumRkheJCg6x+p1TaClp3ntIN2 att9rCCUfyGG9SGXY9F2dtFSFfam3AZB0HnFmlgxrkV4bGR24nYvL5YHc/voEbXKxrB6S5wCrgn1 AKZWXdq4NaY+pUCNpR0+S2/FRY66YxrGIXmTLSIls58X4JWWZQQHG54sHR60CmHk8HS4/VNdIgWV Rp80GR58xd4WSkKYhCjGaj0fGZgE0a8qJjkV2bE/R+mD4Fo7hT/N/w6qOqoNeOk6504cqv1r+lSy 8keb+OrnzpvEBilfcCIzACGFrBk7aLuMTNC4OfwwiwLePpLL7lmSR0UfYy8OsR9XeijCnvAJQbKT PBgDtMiQ1zzbpRq7myreFi7TJelWOgfBTwZiadvM+ubvFww9HuTAKIM04pkrvgOhOOCy1VZRtywf 9cLj4I9AlHp7Rs1pgW/Sgc7a3b5cJ5GuWRTrimgphoSA4gqOtpnaIFSXS10xlPjguDwHr/27uPcB VSHkErfMVmuoyRAcLIEp+zq5PhoD/Jx0r3H7Me5/v0ztLj9HXWNiZ2aRRXa70LQgDuHxMTG3+R2m sGF3re+nqAjNGZcrbjEXWQsqjp30aVG5XoAXwoP4BcIQVbN6THe39vhAKd/j4qfwxonXDxylR9Wq BQccjcRdJFIH5Djy18asrix/dDXSpFH92oS/CdHKNXhgrqjfzutJWCvYjbiko/nIKQHjE2J48js9 QMRVamXa3ynbm539nR0oOIUOPJXDlAumHDh5nHV+WqIJ7Bvx11NAN2P3/KWs+ZFhy9VHxgcUtMTB gOlV/p0gTHzWafN59h1l1plaJlGkF3NclBd/XUZVNhBWZN5uv7HPjzAuU4nYajnqmLKU+belD5Sd I5MqLWp7GZ7yH59wQPWf3vAsJEm36RvjvcnZMoAV6TlR8ueGOURt1cgHL15ie+SueW6j6Yr4LXaW mfmj50wS6rj1ByCRadLM3gdS8qoRVDNp6BhV6Lykbyh4gogLGqsalWyBSbD96OPIeixHBdWuY/Kz +uFeukJTa/bd1KPnY7IjQqW76vmm/933/qbr3D7filVPW6T26pfU4luCWOVI0yB18HPrGe5Blm37 f9VMbwVCaL92JgSREd8TUkbV3DUzKrLYtrF0t9rlTaQYnjtLvAt/ChUkBBBs4J46R0xK1eykrb4y O3GXTMIh9M+2QYuJ5DxW46+InOaflZx0u4Zpgz9YypDuUgVEtwB1DMuIOjRloLHPVjd6GeEimA/J VywA+4pvX8MNsuuU+eAeVUOELZDRfQNaJtniJ40hGAVd/azLtE7NIuVvmlE1eOH9kNO0Q9kXS7MX f4RLQ9yypYIqo0I6VLbf1XC63YQ9SUwobc1oYii1X2Epmn0AY0pp1KcjguhUWzNCQFi0enFA4Da4 ZuY8ucxZXDcwbzGvyimxnzXF7fW5w+P9Jby/8eVpHD3mB+TQB6/dZKvTyFtnyQYdlDyR2Vx4v4+9 nek5/jvRyakeb4umLa5Ud43MuPfJCwzPxMcJfobXr2RnwlsLYK3m1Nazffc7we1UQ96W03mn3gki BpUY1FnAjakofRHCmZ4ziu5d/m8Xh5Ern4I6rMDWKv8s+pZlxbfNezQiQLuEk368WsUatxxmrgK8 N2l9mZS/HLKxpJsqVSNVyPshGQOsPPMyR5q9kk+ZyocewjT5NpcwwYAI4GhfCMB4D2PB0UfQQ7+s wn90lGWD2cWbs5x+mLmwoKhxzuPhGB+L3/GMVtC3kiZ5B5XaxU2Eyb97BNOKRyhtRIKyjhmB2Pqr +pLUIvzfTmH1c+R9HoPKc4aM9T8XyKO/sXTEjI8D6g8Esrtpa/Ap7PIJ4l33mzlpwM1lCKF1JZvL utjOQU1avidfb40vxwDHo4YFxAPD8AN14uYDZzbgpyYXBCYQrQJQ1d5AvDaixKQvXMDBIcqbmCYx tH5SJ9Tuoc7pLV6E6T7Y3sippHPY `protect end_protected
gpl-2.0
bc28c6d6b9c37019c10b16c28b90c1d3
0.932238
1.863519
false
false
false
false
UVVM/uvvm_vvc_framework
uvvm_util/src/bfm_common_pkg.vhd
2
30,615
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.math_real.all; use ieee.numeric_std.all; use std.textio.all; use work.types_pkg.all; use work.string_methods_pkg.all; use work.methods_pkg.all; use work.adaptations_pkg.all; package bfm_common_pkg is -- General declarations related to BFMs type t_normalization_mode is (ALLOW_WIDER, ALLOW_NARROWER, ALLOW_WIDER_NARROWER, ALLOW_EXACT_ONLY); alias t_normalisation_mode is t_normalization_mode; -- Functions/procedures impure function normalise( constant value : in std_logic_vector; constant target : in std_logic_vector; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "slv" ) return std_logic_vector; impure function normalise( constant value : in unsigned; constant target : in unsigned; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "unsigned" ) return unsigned; impure function normalise( constant value : in signed; constant target : in signed; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "signed" ) return signed; impure function normalise( constant value : in t_slv_array; constant target : in t_slv_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_slv_array" ) return t_slv_array; impure function normalise( constant value : in t_unsigned_array; constant target : in t_unsigned_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_unsigned_array" ) return t_unsigned_array; impure function normalise( constant value : in t_signed_array; constant target : in t_signed_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_signed_array" ) return t_signed_array; -- Functions/procedures impure function normalize_and_check( constant value : in std_logic_vector; constant target : in std_logic_vector; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "slv" ) return std_logic_vector; impure function normalize_and_check( constant value : in unsigned; constant target : in unsigned; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "unsigned" ) return unsigned; impure function normalize_and_check( constant value : in signed; constant target : in signed; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "signed" ) return signed; impure function normalize_and_check( constant value : in t_slv_array; constant target : in t_slv_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_slv_array" ) return t_slv_array; impure function normalize_and_check( constant value : in t_unsigned_array; constant target : in t_unsigned_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_unsigned_array" ) return t_unsigned_array; impure function normalize_and_check( constant value : in t_signed_array; constant target : in t_signed_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_signed_array" ) return t_signed_array; procedure wait_until_given_time_after_rising_edge ( signal clk : in std_logic; constant wait_time : in time ); procedure wait_until_given_time_before_rising_edge ( signal clk : in std_logic; constant time_to_edge : in time; constant clk_period : in time ); procedure wait_num_rising_edge ( signal clk : in std_logic; constant num_rising_edge : in natural ); procedure wait_num_rising_edge_plus_margin ( signal clk : in std_logic; constant num_rising_edge : in natural; constant margin : in time ); end package bfm_common_pkg; --================================================================================================= package body bfm_common_pkg is constant C_SCOPE : string := "bfm_common"; -- Normalize 'value' to the width given by 'target' and perform sanity check. impure function normalize_and_check( constant value : in std_logic_vector; constant target : in std_logic_vector; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "slv" ) return std_logic_vector is constant name : string := "normalize_and_check(" & val_type & ": " & value_name & "=" & to_string(value, HEX, AS_IS) & ", " & target_name & "=" & to_string(target, HEX, AS_IS) & ")"; alias a_value : std_logic_vector(value'length - 1 downto 0) is value; alias a_target : std_logic_vector(target'length - 1 downto 0) is target; variable v_normalized_value : std_logic_vector(target'length - 1 downto 0); begin -- Verify that value and target are not zero-length vectors if value'length = 0 then tb_error(name & " => Value length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalized_value; elsif target'length = 0 then tb_error(name & " => Target length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalized_value; end if; -- If value'length > target'length, remove leading zeros from value if (a_value'length > a_target'length) then v_normalized_value := a_value(a_target'length - 1 downto 0); -- Sanity checks if not (mode = ALLOW_WIDER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is wider than " & target_name & " without using ALLOW_WIDER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; if not matching_widths(a_value, a_target) then tb_error(name & " => " & value_name & " is wider than " & target_name & " and has non-zeros in the extended MSB. " & add_msg_delimiter(msg), C_SCOPE); end if; -- If value'length = target'length elsif (a_value'length = a_target'length) then v_normalized_value := a_value; -- If value'length < target'length, add padding (leading zeros) to value elsif (a_value'length < a_target'length) then v_normalized_value := (others => '0'); v_normalized_value(a_value'length - 1 downto 0) := a_value; -- Sanity check if not (mode = ALLOW_NARROWER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is narrower than " & target_name & " without using ALLOW_NARROWER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; end if; return v_normalized_value; end; impure function normalize_and_check( constant value : in unsigned; constant target : in unsigned; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "unsigned" ) return unsigned is begin return unsigned(normalize_and_check(std_logic_vector(value), std_logic_vector(target), mode, value_name, target_name, msg, val_type)); end; impure function normalize_and_check( constant value : in signed; constant target : in signed; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "signed" ) return signed is constant name : string := "normalize_and_check(" & val_type & ": " & value_name & "=" & to_string(std_logic_vector(value)) & ", " & target_name & "=" & to_string(std_logic_vector(target)) & ")"; alias a_value : signed(value'length - 1 downto 0) is value; alias a_target : signed(target'length - 1 downto 0) is target; variable v_normalized_value : signed(target'length - 1 downto 0); begin -- Verify that value and target are not zero-length vectors if value'length = 0 then tb_error(name & " => Value length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalized_value; elsif target'length = 0 then tb_error(name & " => Target length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalized_value; end if; -- If value'length > target'length, remove leading zeros/ones from value if a_value'length > a_target'length then v_normalized_value := a_value(a_target'length - 1 downto 0); -- Sanity checks if not (mode = ALLOW_WIDER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is wider than " & target_name & " without using ALLOW_WIDER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; if a_value(a_value'high) = '0' then -- positive value if not matching_widths(a_value, a_target) then tb_error(name & " => " & value_name & " is wider than " & target_name & " and has non-zeros in the extended MSB. " & add_msg_delimiter(msg), C_SCOPE); end if; elsif a_value(a_value'high) = '1' then -- negative value for i in a_value'high downto a_target'length loop if a_value(i) = '0' then tb_error(name & " => " & value_name & " is wider than " & target_name & " and has non-sign bits in the extended MSB. " & add_msg_delimiter(msg), C_SCOPE); end if; end loop; end if; -- If value'length = target'length elsif a_value'length = a_target'length then v_normalized_value := a_value; -- If value'length < target'length, add padding (leading zeros/ones) to value elsif a_value'length < a_target'length then if a_value(a_value'high) = '0' then -- positive value v_normalized_value := (others => '0'); elsif a_value(a_value'high) = '1' then -- negative value v_normalized_value := (others => '1'); end if; v_normalized_value(a_value'length - 1 downto 0) := a_value; -- Sanity check if not (mode = ALLOW_NARROWER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is narrower than " & target_name & " without using ALLOW_NARROWER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; end if; return v_normalized_value; end; impure function normalize_and_check( constant value : in t_slv_array; constant target : in t_slv_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_slv_array" ) return t_slv_array is -- Helper variables variable v_slv_array_ascending : t_slv_array(0 to target'length-1)(0 to target(0)'length-1); variable v_slv_array_descending : t_slv_array(target'length-1 downto 0)(target(0)'length-1 downto 0); begin -- check directions if (value'ascending and not(target'ascending)) then tb_error("value instanciated as 'to', target instanciated as 'dowto'." & add_msg_delimiter(msg), C_SCOPE); elsif (not(value'ascending) and target'ascending) then tb_error("value instanciated as 'downto', target instanciated as 'to'." & add_msg_delimiter(msg), C_SCOPE); end if; if (value(0)'ascending and not(target(0)'ascending)) then tb_error("value(n) instanciated as 'to', target(n) instanciated as 'dowto'." & add_msg_delimiter(msg), C_SCOPE); elsif (not(value(0)'ascending) and target(0)'ascending) then tb_error("value(n) instanciated as 'downto', target(n) instanciated as 'to'." & add_msg_delimiter(msg), C_SCOPE); end if; -- return ascending t_slv_array if (value'ascending) then if value'length > target'length then for idx in target'range loop v_slv_array_ascending(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; else for idx in value'range loop v_slv_array_ascending(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; end if; return v_slv_array_ascending; else -- return descending t_slv_array if value'length > target'length then for idx in target'range loop v_slv_array_descending(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; else for idx in value'range loop v_slv_array_descending(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; end if; return v_slv_array_descending; end if; end; impure function normalize_and_check( constant value : in t_signed_array; constant target : in t_signed_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_signed_array" ) return t_signed_array is -- Helper variables variable v_signed_array : t_signed_array(target'length-1 downto 0)(target(0)'length-1 downto 0); begin -- check directions if (value'ascending and not(target'ascending)) then tb_error("value instanciated as 'to', target instanciated as 'dowto'." & add_msg_delimiter(msg), C_SCOPE); elsif (not(value'ascending) and target'ascending) then tb_error("value instanciated as 'downto', target instanciated as 'to'." & add_msg_delimiter(msg), C_SCOPE); end if; if value'length > target'length then for idx in target'range loop v_signed_array(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; else for idx in value'range loop v_signed_array(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; end if; return v_signed_array; end; impure function normalize_and_check( constant value : in t_unsigned_array; constant target : in t_unsigned_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_unsigned_array" ) return t_unsigned_array is variable v_unsigned_array : t_unsigned_array(target'length-1 downto 0)(target(0)'length-1 downto 0); begin -- check directions if (value'ascending and not(target'ascending)) then tb_error("value instanciated as 'to', target instanciated as 'dowto'." & add_msg_delimiter(msg), C_SCOPE); elsif (not(value'ascending) and target'ascending) then tb_error("value instanciated as 'downto', target instanciated as 'to'." & add_msg_delimiter(msg), C_SCOPE); end if; if value'length > target'length then for idx in target'range loop v_unsigned_array(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; else for idx in value'range loop v_unsigned_array(idx) := normalize_and_check(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; end if; return v_unsigned_array; end; -- Normalise 'value' to the width given by 'target'. impure function normalise( constant value : in std_logic_vector; constant target : in std_logic_vector; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "slv" ) return std_logic_vector is constant name : string := "normalise(" & val_type & ": " & value_name & "=" & to_string(value, HEX, AS_IS) & ", " & target_name & "=" & to_string(target, HEX, AS_IS) & ")"; alias a_value : std_logic_vector(value'length - 1 downto 0) is value; alias a_target : std_logic_vector(target'length - 1 downto 0) is target; variable v_normalised_value : std_logic_vector(target'length - 1 downto 0); begin deprecate(get_procedure_name_from_instance_name(value'instance_name), "Use normalize_and_check()."); -- Verify that value and target are not zero-length vectors if value'length = 0 then tb_error(name & " => Value length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalised_value; elsif target'length = 0 then tb_error(name & " => Target length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalised_value; end if; -- If value'length > target'length, remove leading zeros from value if (a_value'length > a_target'length) then v_normalised_value := a_value(a_target'length - 1 downto 0); -- Sanity checks if not (mode = ALLOW_WIDER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is wider than " & target_name & " without using ALLOW_WIDER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; if not matching_widths(a_value, a_target) then tb_error(name & " => " & value_name & " is wider than " & target_name & " and has non-zeros in the extended MSB. " & add_msg_delimiter(msg), C_SCOPE); end if; -- If value'length = target'length elsif (a_value'length = a_target'length) then v_normalised_value := a_value; -- If value'length < target'length, add padding (leading zeros) to value elsif (a_value'length < a_target'length) then v_normalised_value := (others => '0'); v_normalised_value(a_value'length - 1 downto 0) := a_value; -- Sanity check if not (mode = ALLOW_NARROWER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is narrower than " & target_name & " without using ALLOW_NARROWER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; end if; return v_normalised_value; end; impure function normalise( constant value : in unsigned; constant target : in unsigned; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "unsigned" ) return unsigned is begin return unsigned(normalise(std_logic_vector(value), std_logic_vector(target), mode, value_name, target_name, msg, val_type)); end; impure function normalise( constant value : in signed; constant target : in signed; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "signed" ) return signed is constant name : string := "normalise(" & val_type & ": " & value_name & "=" & to_string(std_logic_vector(value)) & ", " & target_name & "=" & to_string(std_logic_vector(target)) & ")"; alias a_value : signed(value'length - 1 downto 0) is value; alias a_target : signed(target'length - 1 downto 0) is target; variable v_normalised_value : signed(target'length - 1 downto 0); begin deprecate(get_procedure_name_from_instance_name(value'instance_name), "Use normalize_and_check()."); -- Verify that value and target are not zero-length vectors if value'length = 0 then tb_error(name & " => Value length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalised_value; elsif target'length = 0 then tb_error(name & " => Target length is zero! " & add_msg_delimiter(msg), C_SCOPE); return v_normalised_value; end if; -- If value'length > target'length, remove leading zeros/ones from value if a_value'length > a_target'length then v_normalised_value := a_value(a_target'length - 1 downto 0); -- Sanity checks if not (mode = ALLOW_WIDER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is wider than " & target_name & " without using ALLOW_WIDER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; if a_value(a_value'high) = '0' then -- positive value if not matching_widths(a_value, a_target) then tb_error(name & " => " & value_name & " is wider than " & target_name & " and has non-zeros in the extended MSB. " & add_msg_delimiter(msg), C_SCOPE); end if; elsif a_value(a_value'high) = '1' then -- negative value for i in a_value'high downto a_target'length loop if a_value(i) = '0' then tb_error(name & " => " & value_name & " is wider than " & target_name & " and has non-sign bits in the extended MSB. " & add_msg_delimiter(msg), C_SCOPE); end if; end loop; end if; -- If value'length = target'length elsif a_value'length = a_target'length then v_normalised_value := a_value; -- If value'length < target'length, add padding (leading zeros/ones) to value elsif a_value'length < a_target'length then if a_value(a_value'high) = '0' then -- positive value v_normalised_value := (others => '0'); elsif a_value(a_value'high) = '1' then -- negative value v_normalised_value := (others => '1'); end if; v_normalised_value(a_value'length - 1 downto 0) := a_value; -- Sanity check if not (mode = ALLOW_NARROWER or mode = ALLOW_WIDER_NARROWER) then tb_error(name & " => " & value_name & " is narrower than " & target_name & " without using ALLOW_NARROWER mode. " & add_msg_delimiter(msg), C_SCOPE); end if; end if; return v_normalised_value; end; impure function normalise( constant value : in t_slv_array; constant target : in t_slv_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_slv_array" ) return t_slv_array is -- Helper variables variable v_slv_array : t_slv_array(target'length-1 downto 0)(target(0)'length-1 downto 0); begin if value'length > target'length then for idx in target'range loop v_slv_array(idx) := normalise(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; else for idx in value'range loop v_slv_array(idx) := normalise(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; end if; return v_slv_array; end; impure function normalise( constant value : in t_signed_array; constant target : in t_signed_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_signed_array" ) return t_signed_array is -- Helper variables variable v_signed_array : t_signed_array(target'length-1 downto 0)(target(0)'length-1 downto 0); begin if value'length > target'length then for idx in target'range loop v_signed_array(idx) := normalise(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; else for idx in value'range loop v_signed_array(idx) := normalise(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; end if; return v_signed_array; end; impure function normalise( constant value : in t_unsigned_array; constant target : in t_unsigned_array; constant mode : in t_normalization_mode; constant value_name : string; constant target_name : string; constant msg : string; constant val_type : string := "t_unsigned_array" ) return t_unsigned_array is -- Helper variable variable v_unsigned_array : t_unsigned_array(target'length-1 downto 0)(target(0)'length-1 downto 0); begin if value'length > target'length then for idx in target'range loop v_unsigned_array(idx) := normalise(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; else for idx in value'range loop v_unsigned_array(idx) := normalise(value(idx), target(idx), mode, value_name, target_name, msg, val_type); end loop; end if; return v_unsigned_array; end; -- Wait until wait_time after rising_edge(clk) procedure wait_until_given_time_after_rising_edge ( signal clk : in std_logic; constant wait_time : in time ) is variable v_remaining_wait_time : time; begin -- If the time since the previous rising_edge is less than wait_time, -- we don't have to wait until the next rising_edge, -- only wait_time minus the time already passed since rising_edge if (clk'last_event <= wait_time and -- less than wait_time has passed since last event clk'last_value = '0' and clk = '1' -- last event was a rising_edge ) then v_remaining_wait_time := wait_time - clk'last_event; -- Wait until wait_time after rising_edge else wait until rising_edge(clk); v_remaining_wait_time := wait_time; -- Wait until wait_time after rising_edge end if; wait for v_remaining_wait_time; end; -- Wait until time_to_edge before rising_edge(clk) procedure wait_until_given_time_before_rising_edge ( signal clk : in std_logic; constant time_to_edge : in time; constant clk_period : in time ) is variable v_remaining_wait_time : time; begin check_value(clk_period > 2*time_to_edge, TB_ERROR, "time_to_edge must be less than half clk_period", C_SCOPE, ID_NEVER); -- If the time to the next rising edge is greater than time_to_edge and clk is low, -- we don't have to wait until the next falling_edge, -- only wait_time minus the time already passed since falling_edge if (clk'last_event <= clk_period/2 - time_to_edge and clk'last_value = '1' and clk = '0') then v_remaining_wait_time := (clk_period/2 - time_to_edge) - clk'last_event; -- Wait until time_to_edge before rising_edge else wait until falling_edge(clk); v_remaining_wait_time := (clk_period/2 - time_to_edge); -- Wait until time_to_edge before rising_edge end if; wait for v_remaining_wait_time; end; procedure wait_num_rising_edge ( signal clk : in std_logic; constant num_rising_edge : in natural ) is begin wait_num_rising_edge_plus_margin(clk, num_rising_edge, 0 ns); end procedure; procedure wait_num_rising_edge_plus_margin ( signal clk : in std_logic; constant num_rising_edge : in natural; constant margin : in time ) is begin -- Wait for number of rising edges if num_rising_edge /= 0 then for i in 1 to num_rising_edge loop wait until rising_edge(clk); end loop; end if; -- Wait for remaining margin, if any wait for margin; end procedure; end package body bfm_common_pkg;
mit
e84e2cd423a50fec5185cf3bcf379f6d
0.611857
3.758286
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/ipcore_dir/Instr_Mem.vhd
13
5,601
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2016 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file Instr_Mem.vhd when simulating -- the core, Instr_Mem. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY Instr_Mem IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END Instr_Mem; ARCHITECTURE Instr_Mem_a OF Instr_Mem IS -- synthesis translate_off COMPONENT wrapped_Instr_Mem PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_Instr_Mem USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 5, c_addrb_width => 5, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "Instr_Mem.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 0, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 32, c_read_depth_b => 32, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 1, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 32, c_write_depth_b => 32, c_write_mode_a => "READ_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_Instr_Mem PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta ); -- synthesis translate_on END Instr_Mem_a;
gpl-3.0
f18c3064028ad7bc67cf3e2668139026
0.531334
3.94159
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
Lab4/VGADebug/VGADebug/keycode_to_ascii.vhd
2
7,145
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Keycode to Ascii -- Project Name: Keyboard Controller -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Keycode to ascii --------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; entity KEYCODE_TO_ASCII is port( RST : in STD_LOGIC; CLK : in STD_LOGIC; KEYCODE : in STD_LOGIC_VECTOR(7 downto 0); VALID_SIGNAL : in STD_LOGIC; -- Output COMPLETE: out STD_LOGIC; -- Hit Key sucessfully ASCII : out STD_LOGIC_VECTOR(7 downto 0)--; --KEYBOARD_OUT : out STD_LOGIC_VECTOR(7 downto 0); --WRITE_KEYBOARD: out STD_LOGIC; ); end KEYCODE_TO_ASCII; architecture dataflow of KEYCODE_TO_ASCII is type StateType is (init, idle, READ_BREAKCODE, READ_EXTENDED, READ_KEYCODE,SEND_COMPLETE);--,SEND_CAPS); signal STATE : StateType := init; signal ASCII_LOWER : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal ASCII_UPPER : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); shared variable Shift_Key : boolean := false; shared variable Caps_Lock : boolean := false; shared variable Extended : boolean := false; begin with KEYCODE select ASCII_LOWER <= -- Alphabet x"61" when x"1C", -- a x"62" when x"32", -- b x"63" when x"21", -- c x"64" when x"23", -- d x"65" when x"24", -- e x"66" when x"2B", -- f x"67" when x"34", -- g -- cganged to 67 x"68" when x"33", -- h x"69" when x"43", -- i x"6A" when x"3B", -- j x"6B" when x"42", -- k x"6C" when x"4B", -- l -- changed from 66 to 6c x"6D" when x"3A", -- m x"6E" when x"31", -- n x"6F" when x"44", -- o x"70" when x"4D", -- p x"71" when x"15", -- q x"72" when x"2D", -- r -- changed from 74 x"73" when x"1B", -- s x"74" when x"2C", -- t -- changed from 72 x"75" when x"3C", -- u -- changed from 79 x"76" when x"2A", -- v x"77" when x"1D", -- w x"78" when x"22", -- x x"79" when x"35", -- y -- changed from 75 x"7A" when x"1A", -- z --Top Row x"60" when x"0E", -- ` x"31" when x"16", -- 1 x"32" when x"1E", -- 2 x"33" when x"26", -- 3 x"34" when x"25", -- 4 x"35" when x"2E", -- 5 x"36" when x"36", -- 6 x"37" when x"3D", -- 7 x"38" when x"3E", -- 8 x"39" when x"46", -- 9 x"30" when x"45", -- 0 x"2D" when x"4E", -- - x"3D" when x"55", -- = --Enter Corner x"5B" when x"54", -- [ x"5D" when x"5B", -- ] x"5C" when x"5D", -- \ x"3B" when x"4C", -- ; x"27" when x"52", -- ' x"2C" when x"41", -- , x"2E" when x"49", -- . x"2F" when x"4A", -- / --Function Keys -- Based on the IBM PC Codes x"1B" when x"76", -- Esc (Escape) x"3B" when x"05", -- F1 x"3C" when x"06", -- F2 x"3D" when x"04", -- F3 x"3E" when x"0C", -- F4 x"3F" when x"03", -- F5 x"40" when x"0B", -- F6 x"41" when x"83", -- F7 x"42" when x"0A", -- F8 x"43" when x"01", -- F9 x"44" when x"09", -- F10 x"85" when x"78", -- F11 x"86" when x"07", -- F12 x"09" when x"0D", -- Tab (Horizontal Tab) x"0D" when x"5A", -- Enter (Carriage Return) --special characters -- taking up unneaded ascii codes for simplicity x"05" when x"58", -- Caps Lock x"06" when x"14", -- Ctrl x"07" when x"11", -- Alt x"08" when x"66", -- Back Space x"20" when x"29", -- Space --Direction Keys -- taking up unneaded ascii codes for simplicity x"01" when x"75", -- Up x"02" when x"72", -- Down x"03" when x"6B", -- Left x"04" when x"74", -- Right --Unknown input x"00" when OTHERS; -- Null with KEYCODE select ASCII_UPPER <= -- Alphabet x"41" when x"1C", -- A x"42" when x"32", -- B x"43" when x"21", -- C x"44" when x"23", -- D x"45" when x"24", -- E -- changed from 48 x"46" when x"2B", -- F x"47" when x"34", -- G x"48" when x"33", -- H -- changed from 45 x"49" when x"43", -- I x"4A" when x"3B", -- J x"4B" when x"42", -- K x"4C" when x"4B", -- L x"4D" when x"3A", -- M x"4E" when x"31", -- N x"4F" when x"44", -- O x"50" when x"4D", -- P x"51" when x"15", -- Q x"52" when x"2D", -- R x"53" when x"1B", -- S -- changed from 54 x"54" when x"2C", -- T -- changed from 55 x"55" when x"3C", -- U x"56" when x"2A", -- V x"57" when x"1D", -- W x"58" when x"22", -- X x"59" when x"35", -- Y x"5A" when x"1A", -- Z -- Special Upper case Characters (top left to bottom right) -- Top Row x"7E" when x"0E", -- ~ x"21" when x"16", -- ! x"40" when x"1E", -- @ x"23" when x"26", -- # x"24" when x"25", -- $ x"25" when x"2E", -- % x"5E" when x"36", -- ^ x"26" when x"3D", -- & x"2A" when x"3E", -- * x"28" when x"46", -- ( x"29" when x"45", -- ) x"5F" when x"4E", -- _ x"2B" when x"55", -- + -- Enter Corner x"7B" when x"54", -- { x"7D" when x"5B", -- } x"7C" when x"5D", -- | x"3A" when x"4C", -- : x"22" when x"52", -- " x"3C" when x"41", -- < x"3E" when x"49", -- > x"3F" when x"4A", -- ? -- Unknown Key x"00" when OTHERS; -- Null PROCESS (KEYCODE,CLK, RST) BEGIN if (RST = '1') then STATE <= init; elsif (CLK'event and CLK= '0' ) then case STATE is when init => ascii <= (OTHERS => '0'); COMPLETE <= '0'; state <= idle; when idle => COMPLETE <= '0'; if VALID_SIGNAL= '1' then Extended := false; if keycode=x"E0" then state <= READ_EXTENDED; -- A Key was pressed elsif keycode=x"F0" then state <= READ_KEYCODE; else -- No break code yet state <= idle; end if; -- Shift Key was press (on) if (keycode=x"12" or keycode=x"54") then Shift_Key := true; end if; end if; when READ_EXTENDED => if VALID_SIGNAL= '1' then Extended := true; if keycode=x"F0" then state <= READ_KEYCODE; else state <= idle; end if; end if; when READ_BREAKCODE => if VALID_SIGNAL= '1' then if keycode=x"F0" then state <= READ_KEYCODE; else state <= idle; end if; end if; when READ_KEYCODE => if VALID_SIGNAL= '1' then -- Shift Key was released (off) if (keycode=x"12" or keycode=x"59") then -- 59 changed from 54 Shift_Key := false; elsif (keycode=x"58") then -- changed from 46 (9) if (Caps_Lock = false) then Caps_Lock := true; else Caps_Lock := false; end if; --state <= SEND_CAPS; else if (Shift_Key = true or Caps_Lock = true) then ascii <= ASCII_UPPER; else ascii <= ASCII_LOWER; end if; end if; state <= SEND_COMPLETE; end if; when SEND_COMPLETE => COMPLETE <= '1'; state <= idle; --when SEND_CAPS => when OTHERS => state <= idle; end case; end if; end process; end architecture dataflow;
gpl-3.0
d9331915af0214bde67680fe94ce50e4
0.516025
2.424499
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined/TopLevel_tb.vhd
1
3,627
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09:28:39 03/31/2016 -- Design Name: -- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab1/Poject_Lab01/ProjLab1/TopLevel_tb.vhd -- Project Name: ProjLab1 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ProjLab01 -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TopLevel_tb IS END TopLevel_tb; ARCHITECTURE behavior OF TopLevel_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ProjLab01 PORT( CLK : IN std_logic; RST : IN std_logic; --instruction : IN std_logic_vector(15 downto 0); ALU_OUT : OUT std_logic_vector(15 downto 0); DST_ADR : OUT std_logic_vector(15 downto 0); STORE_DATA : OUT std_logic_vector(15 downto 0); CCR : OUT std_logic_vector(3 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal RST : std_logic := '0'; --signal instruction : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal ALU_OUT : std_logic_vector(15 downto 0); signal DST_ADR : std_logic_vector(15 downto 0); signal STORE_DATA : std_logic_vector(15 downto 0); signal CCR : std_logic_vector(3 downto 0); -- Clock period definitions constant CLK_period : time := 1 ms; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ProjLab01 PORT MAP ( CLK => CLK, RST => RST, -- instruction => instruction, ALU_OUT => ALU_OUT, DST_ADR => DST_ADR, STORE_DATA => STORE_DATA, CCR => CCR ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; RST <= '1'; wait for CLK_period*2; wait for CLK_period/2; RST <= '0'; wait for CLK_period*10; -- instruction <= X"5002"; -- -- wait for CLK_period; -- -- instruction <= X"5101"; -- -- wait for CLK_period; -- -- instruction <= X"A10F"; -- -- wait for CLK_period; -- -- instruction <= X"950F"; -- -- wait for CLK_period; -- -- instruction <= X"0050"; -- -- wait for CLK_period; -- -- instruction <= X"2010"; -- -- wait for CLK_period; -- -- instruction <= X"3010"; -- -- wait for CLK_period; -- -- instruction <= X"0010"; -- -- wait for CLK_period; -- -- instruction <= X"4A10"; -- -- wait for CLK_period; -- -- instruction <= X"7A03"; -- -- wait for CLK_period; -- -- instruction <= X"B201"; -- -- wait for CLK_period; -- -- instruction <= X"C212"; wait for CLK_period; -- insert stimulus here wait; end process; END;
gpl-3.0
2f34118a288e773ca047cadf1dc2965b
0.574304
3.352126
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_viv.vhd
12
14,128
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Dim80MW1FDzDpMmJHDGUKIZM6GOSCOgn8n5PNNThmpr140IugqXlkEH+UWGn4GGamH8NcVHl23/C K7Z9tEfmYQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block n0+ILXG6w9aMv9XBqXuTeZFz8g7Thrg+/RjAvZBWmbMg33oLKLbuZvsPzi1c6p84VaZ4iWNrKG4A vQPf/SbjQ+TcyLOuqm9h4jUs3NnM2pjLf0BVXBXYzfgiWinyQ5lq8tvG7wi7r7IV1Q0k/c8PHnoP fBHdkGS2CzSWx5v3oRY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SnPwpHk7sYAL/6EZewZQHl2ygiC9CFTximdg5HEk9gXUzMT4jEgMEIm80K9R0p9tRZpJZIfGkF7m yz55wwfEMxLlPJy8Yz/wvBY4P08HLFdUuqvXmf9hIjcJKa+LhUPiAgjxlOgN9rK4i+kxwkumF4IH DvCG9+82TF4WUoh/sBqbaBJlM47bGIDa/gHOhk5YpLTMSYumkoujSsDP7z0DxtbO/qQrn+hggrHE U8OAUQsvSr8vj9l++TNAP9Apg7aO901amzcZhqIsRUBaL+rvClTR7nPH+7l7oon/995Rukx6j+PP 5Idj002YVDUvnCv/1w+uMklYNp4V6wHjpeCxHw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EQsfHFU7HjRlXIWcOPv1NW7mVBKeZ9qrbOio+xjCwDvbXOd4blv0/5xUDnWJaGbhicjuwr0V62vw QrPEzz4ozqQqEtRO5z6xsf5UR1Dzv1Z7L/Q3/sRSc6sfwMlgy8yye1xcESwh7O+yvgAORweWkhSm AdL+wZuwC59tJi7eUS0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block R6oVmCiSyuCkOjet92cJkHc7xLJXThwi6DZYFxvm5kxPtPR/UqKA3x99khRXxiTuMwq8Wh173zka AeG6qHX1IGRz+dBw6LNS3vMEQVCfjs0Rr/3OqQe/J+yAXw04ibSNipF9E7L0ksryrGa0No7SywBT +jLRh8nsmNEpqfl5+BDOw3nF5G9Nh1bVwm/Z7GlMi1PdUwWvmj4o/mHDsBDXfAEPNolLfjdkvuc7 rKoF75d0NiR1bymse9IBbI8NlCIQRkU5iK1FF3iqRKAiy2Q0ewT1+KkxgiiNFG/PFO04h3eDVsTc bleZ2T9DNMLgVGy/zhQH5Vcfv2jReOagJFdrTQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8720) `protect data_block U2b7rOVcMXNQwkNC9hWzjJAWm4gK3lVE5vRkH1rjY7butIP0RWG//I8WpePkO33CGBGPYUOYJu3u oUPbrFhUlYqtRLn0ialcpSFNv7eWCDDZVW/qi+W4h4KZgLPXarsVyuAzZ0V2rwgkm8GyPx6d3Ycz kGNmgep8fNY/aGbpJJTEQco2p+BWhJGK9DspOPd/mVPd1SP5+yxdDMq5PovUwhIk/sZbXn9r8nr1 IqwiRZi7uuEsFu2xLXjf/1+uQnVLaHtxZJrn5IIgELfLOrD98x+M6iOQjWT3ndTDjiiZpC9hJygw V0vysXYZ6QD7JjR+Cod5chohr0MBAXqkCu68Yg+6LiCX1m5AH1QpQAZeedZcJ0ZteoxaWiSPb3HO 178MKaFqUa4uErxbs318dOHg9QzUwcJlOUOvLDTgIhfhIc28ifAdi41R5rSnhUxTMGJNa/tMTqNz Y089p12s1A5CEz7YWBpx9BwRaOfThErX+SUEFDtplBPBDm8L9gi8tp+C9vFRpcD0Pp+cOc+YzU45 2U6NabfWEwHcKO5O0zn/aExIaxRxlEjBZcf6Gffa4bs5t0m9ycKAA+GGi2aBM0aKVtihx6t+Kc16 RjXl49iSsspL6kABuHa/wFbEhOLzlNOfDrKuTq551Z10kO8/XYjSujW8mYf0HK0CGj2uXsJpAV1g 1xLevnrODZ52LC8R0bL+S5skTlcHMMcaZbbUJRjD27BRaJNwsbZzdF5NoOObjc4GYbxucaQev/eJ zg/UF262OeD/IT/W5DqXSO89t52+aMqPOLQv6nqn65t6WhS507rHH+rG3WzP7IjebG6J1WR+Nm5h TiL8JRsmRdsoOqDeAT6jgDGNrkQxFFr5n1Q66K+mhftPSd9aP692dLIj9j6cmt6icMZ8YVQK2V3h XdaADvG8PFkKh0hfWhU14tsuFkY+26clmVZU0HUemySxjl5G1P6JPvqsQqFXGxmxjMNYrA1cSShV 9EebP0YnjDyFFQe5tmudDxUImZX3WS31nIgm+8yDLkorp/h3bxAY3z885y3HH3g4Y4NdZd8pGasR mcX4h02zIpMnVodySSdDMlwTcIhCmYze+Qoe+Q8T/hlPAV0p0aJTmbU0ZNGfrwO22utDWumhf3g2 vgBGHVUcvC2OlF7qEQqCOrnD6msZVUSljCg7eyZajyqXWApiLLU1KZHy9+ZbxGccXEUTBaI316j5 oQhIlWYFXgfWT+WGqABefR4vmIBaj9m/2/XgBRgczZ2cfCKbeXZWKqqynEzmQgQh1aMvDz147Jd6 kB/2VuOtBpOBFv8G0YhcLA+qYZOYTpKANfGVgc9233FOvKaJjBWYuI+7gJVcMLnJ/PSPaqczXF2D zb4oo+guLmx6AHz9RK4AhR/7UDO89rrJd3QM91WX2D2pX3Orx38PUBVW2y/7JN8LhFbxGoGhyApf 6+2tYCoX4rlntH6sVoYIxzH0jez0Vzat49zj8YOkJdz8RWzNhrsy2GIWudFX0ogLcENM6EAvBBvD XXaQseH4+rA7bv+KisMf7POADPfqsVFiL4yoVJj7XMbjnYQx5c5vcm2PKTGtzGPEtkZsNkewzOHG IdZ6q3ZgB2HV0DlkxaVPSOk3lq5G0dhwPRw1Q/ubh3WXyhuXcq3BuSLwo2ZfX1PPqnWtNpH8MoR/ OVVWQeixxCReDeRahB9bzATq35PsvwbN1LOAxzb9Q9emkeWou4ZGqem4tDttFhUmehi/2pxcjI8S xZXEwGZTO7tFFs6U7KGB0NczjEVgnORF04ofmQTAd6k6B/OmztNeFocbfytPi3wb22CpPCJOfAiN A/ZOxc8SXOTJf/kOVRFwENublxB3FXk/D0hGoGHW4JOW+D2olVF4yVjiG4G2OvXh6Md9p26YnKJd f+AtsKX0ARMOdr6INrn+86CyW2lRLE6OT/fhq79yWrhhEUXaJpwvyFJlm9aF46BccL2aoWwTQqpS 6e3dwHDctNf2srel7pND/sJD+FTW3f3UkAQh0eo7Z+bX28rm0WQxYbMMwg7bz0nZ+nF8PNRKgbsd 9BLPficlJk1ms2KDHJESaKxkijMA00naAF/RMf7QWT+Z9JQ9rNnUekdr4IduM31Vhfzhk1vhR95P Ft8q/JAk9fmOi4JQRWEMZfkrVlde3HsXgFf/E7U5a9ZuwIEXFfFhv4rt72wtiXGL6SpFfSQtUxH2 YjIuSjrvFBlL0cg/3kSs1M/2dwE+cFqN/EsJWirILeFP1dVjwS1jzvhe4QroWWeAxfsbmBvORW+6 4ONDcSCx8+bodvaCFVCseRTiKKcSf3O389VK3h/mb7tBahhZh9u/nK9suwg0wf0//Ug7U6o9eFgq tB75fIetgYjSJfSnKU1htPHef5etT0Bwgr6WZNrtae8NfgneQyPYk50kAGhaoPkd4X5oUei7F8/P +xrqeLQAj02Adxbp8IxmafQiv6gpdeHrBDcJICEIOipvlbvV10DBuj8XkN0Pv3BcT6T7TsJ1zGUu N93Fgd5uCKrTp+VoPQRorYM8n2de/zGWSVQp1yIi2WHISY5YbY/ScZpmvcSHQiMS1O/D9E/rlD3V bSVdnfvHdeSH4PgrdARSQoclhC6RM57UmxQHYqjy9SoJx+TBu4xEu3NYOh7JR9SONVWtv6Y0jxT4 hMTnKGfhad9cOUVEJL9ShTHKSLlyV30ljKqquaHCHTL7Q+wDWZkas0RPEhvdpJpilL+TREVXakp/ oIhGjtPuY+1bw6Zfx3gxwhDlK4Yzk/SB+ErpVtBTSpo6vXPcLpLC/vukfq9IaqTXg4JxipPS2lCt jB9CQBNML13kxr+ZC7WnTsPScxNOxzGXKokdDJwjq4X7ncsDCkjTKAgylVozxlB8jLw+ay9pp+Ds Db4kjZ9dvRiYDFoHqE5eRNG/1svbpR/PMkDTcuSxfUUmQwMQTHfProFYpN7WqVHICUz8hd/PvP5v pTNeSdMfFDceQiUwiowkzFy4YBGTYv9AjirEQqdLRxMr9AikOuNCHSwSIJEt3nI++cGYNxKC/T3g oXDj5SsfMj6qAIoYJjn0mGX46Rr0cXnMxDK1iPa8Fk8q1w8MDwDGKe/J912ofErNWVfbfO41UpYT MuY4ciH5qRnYxDsMcUD6Kya+gpfzgG7ZVULxvyuuroFI/oP1ddnXWoW/DLB6jH9p/V+V2E40W0eH CyUPbriMu3HJn3phs5CMAhC7Nh64hJFyClgEtkPGPFk/xd+IxG9L3DHDn6nf6iigkEebKR1TxJL4 7QGtFbY5eVgxxt3XT468Nyku0GMjM8qAiTqnafZQ7IK3rAFoVj0NJAlYlTpeio7HbXeNZVP/5xBC yKJgMY7zqoj6/Hbt7Lg0BCY+p9YmObDy8xXz23hDJCNp4q8SRHJTwQoaY+rczJpvq2PJQn1cDUKu U6vxnN7G9P/LpZoofkUaLXs4zO+hMySO6gPpjYcbyVGKQ4ppqvHWeCsqv9W3eSdT8jEaLdyx7+3a n9nFICjgbvgVKU6kspsZCG0IsfPK0qqzGLwQEj9B2VxpAIlrj7r7E5A6L51DnlNlFMrxWAQipfez TKDAYOcxNlrbTtEfCrSQLYO1Yv2MQ2rwuGL41Nu3XsjtnQf1DB1ra6kKWgRdxccNEnFdlvZuQarg M61Ez9oqYaBPMkuWDAR317vOVotTBS7gl4sxoDv6TwiW6dz6vM24Ozjlazn2Irhzgr+9L/Pi1JbE 2aIoMpbzgZIwE2I5a+x/hesZ23tYpN6bKyezzo49SORVmuIPxXCVUWhMSn3vpbUlhoO6Y+PQC2dt N7QdvnjEEiuE0ciohprk7trbrFb6yC/zhMv7cltUrcPG3hfqXHGJA5nUZ1I9U8E1xpiPicSXRQwz XHPtcVOoyH6HQWElrNXmHxEhKHBDGLuWvUxWnFx0cvAvkLmuXxX1GCtRtEnAs29w2O0crU1BdFph lP69L+CHLDvTMgOrWnwBjMORnv4HrdyvyS4Sgt2TZCPLKhcJBjidOHEhWpYmfjpkT1wWgnz4LIPd KGk/bgJqduhOtBTkOqIuXGTS8O3RGYlfPT/JksdfhveLKjjI+GE8+IhdozMRsjG0yFvg4WrdrM1z Z2zwcxiTTJeh2sIj/AtieKRvzDIMcUaOghmI+PIrxDSBZg+QdUjrUEtOEtcHMfmLn6rl7JaUJYME ajr2Mv0qAsaPC30R6BPGwXXeu5JBHG5zSlsYezFTqn7pUOZecfGxUVD3ZX41UGURG1yi+akaFqa7 t7AA9zvIq1Lmp6LcAlVZjDagL1va/aj30ZD89FKXbBzNBEenL/mOLERXHQqo64BG+HIXL6ABQRis n+P24E4CcYrTKz1cO0ERaGIm6UkRHzSbU67hZxX8VtXk0A1Bkej3fy1KYT/t+UnDtEomHQswN+IJ 0zk4Bl6xoTp8amq4CjgsxKz2mQCLRdaOW8FUYou4zLLeZ+d9Spl1yw1jwLWXKZzdWgNgyfbJo+f9 LvfBoWFq2GzzMhsYa3Zbs26GlZ2t1c0tTE1yMhEQLR9x1Ct+BUzHie239ddjM1qki4DdNsvZMYEF z8w6esm74aLE3lzA8JQrdoP2xuaEgpAilHP3XkuiRGHWu6jVr4o6SCz6k7xSemkYz3wVeitTvjjE Qj0jWl7sidYa4bwoP5p0FYB4JkAJbJldSMowAoL34Ez73HBTsvB/yFTBRrCDfWKb16Tl2XzQcYjR aYnAcAm5iC22qExROEzylKOoN1iPqyCntwKpAxVrZ5F4Fn19JvXeLNPM+2eXZCR/caCnqYoyczyz Cd6fzUiBoLCogqhodqzNu2rGrovPsqcKebLXsW325bAl21TURLiNNaeyfdXsRM9Gu/6Ea2NlfmES bXFZOlAuW5tJ5aCNiRxDyutuLCGjKmi5Xg6LAfvqasKr1SzWEaES66X5ubZE8+ww3jGEusDQif2r enlijJOMoVn894ct1OjgDKc8r0Y2rpH2tVsLZv9fVODyH5+/G4/vcxHqNeIGaqM+yJT0uikJHA9U butpUdLoXtC8fgCg/bTH43fYIguJcH2u0IY6OW5q9nAQDlEeM26SZyMbT88AjmcM0Qr+HLWCI6Vr FnDMv5aEzSMhcjtFmu9516kWBkIR9m/8WsXenrRhaaMG15kGQMqAZ+fTME0j7BsRiY5AJa7nIj/8 yOxsvVOanizbJDAjezs+JuVQT2rSRwmQ2zNR9NdAZfhFXvABjpnTV5IQwW643hHmXSwPb43m/Na1 MaASsBtOaJdmrvKwRDkHrP5bO2OSct/7R8+do30Mz8o18jUoBgnm9xdB+4UxhU3muRsn8Bo/RzhD z4ESWDAfqwI6E1Hujq9tF7WqIcV98/0Lj5qN65FZb0QABGqRrf4KodFtpp1ea60P7I95cfmp0ld6 F0k0JtEfsmAH32cs3PMmxOeoot6c9fKEbfKmAFMQPAfH5j2VabSQ/PNiFbwnV5lU0qdDQGZ4C42J /fgFhD9tVBJGdwKQY/2UXHwpmakovQrbtSYH1jkcsLw1SYNFDUCCMaKcdNkImj/6oBxorobHoek/ cNC0ikI5txBJg1Yld1EGeZQYHivUOY0lWpFuwyG6ojd9Vbt2ANwHVLV7Bj0D6BkomGeoa844DWTM JfqDnVIh+eqEySt6+2xHaskLXODx5AxkfeWMSsjVpLKUPDCZGFzH7YZG2XyeA3mAiOU8dJodbFfR LVu9jWuMtOHa4D7sIrfMeWlbvIO4GXRXC8HExja3PFCqVwC8+0QTE7f/qeS/VPQTbKjydG8OltbE 7nrrc2WD3taJ7H91qnpGXyMDSZWdlKC2EYc7/6wOWphhpakrAqIrQNKjqablmGfEe+CLLRI4qy35 wt5rKtUHTvPuFlBWvyiQpVYjpnh8ge3uHdEuO5g/elanRs0txL5hmk18up7kYZElmcNcx4bkkqSO 7Md8+V1x//+Xqf+T0XQUhR1XSdCKuHQOApBs1740rlgzz0lACKxEbK1Q9RccKdD7BeAPhhfatIcT AezCjsonJmY+HnxU4dtqnpqebfd590ep147Zq9STJNWep2dJB148iEi0f+J+qjXiggDZyhLafjX0 c4Rx4QIY9PL9VtlYKVOm7b4/L+uDbg8VOmVQUqnzbKSk7d/oHzWcBUpQHA0HPVqgTaT8w5WzIoEN 2I7hh7mVfFREXdDQw8kMdVDMMiWfty4K5T11t3bdhvO3nf+kjhfoZ6aN9JuuM7mYfGQDYZklgXBn 2P9bgAQ8Lx8lY1fKo1YnhQ+z3zeoXpXiWfGw3fICyIwDF46XAMMzGiWnsXpvD28DOrrxNgeluG75 gFCq1eOB5eCg6rzIVQPXP8U11jzufiT07psnqit9M03xseKLvKW7GKoofsmkGpChF6kIAiazqFEH aaF6wygW4w9WX1p5M390P5OtoAxgZ6VyxkKoaJINL92xMpwzSU4R9dLZg3EEp1Yrn6u/DzkM1zjq m2ic+9GXN/PpKVc7yaWYEh5wMkEJ21dmlMp3QJ3vLgvnGthIIx/YN4Fmf9j2tVmSMsL7P4u7N0WT 1WHpZvzxOaugO7qzPqDuBzbgpBvJzhU+DAekFPgm9flEbUZeoYYLJtS1Y67QrhGWU1wndIpyrc/4 r73s4J4vTdLN7BdPf0qvLkP7fnKJjGa3aNlZ3fOibb0hmoqSfB+xgSP4fkwU1YUAO9YGz3v9MM16 MOktpiEZ5/fCOH3VaG6P6AE49Cw2V7PDSmC/pVUUJngaujb1/oG6dNj+j14gyiTT1JpuHqTDHM+1 kP2K+vqCWE4cgvrObR0uWxE5duVrp4J40mB8Ry3fFJtZyV16oKsS/FufOdcDNuH521NlvBKO7o3g BPnmLMR64Rj4lWYmnnF/KIQOb0y7RyIS+KEE/pyUVVO+u9GajSayCdgzn2md6DPpEL3neCOMxxn5 dm/SXXPWGtaO5A4+itO3yTOZfq8/7xN7wOPmxZjgbWviMjjIRYBeg2+cDSrJoKnrIG2mImoA4SdO 1u0ggsuYBfpzYvuYHtXfUP4L9SIMOadKpt1cJ/atDWtdoQD9NQjaQGTdZrbobFJ+X4FQmM5D9GXS UUVc1uw8Kdg4SdlNcDr9CHUpiL51qRNaKowUS690Z6RqUfNF17a4CzZLOKtdIHiWFvV3mZejtXlZ +VmE0LXFyxxRkCiMwD8X7Asr3LFfmlMaB6pZIRek8MszUkXnZEY26s8NESX97dpHhRnbDy3j56hc LLmFjs7C8l/GDALDg66+nKOwghb4FobMHgafCEvqQ36cpaF+pl9H0Zefc0IciJw6A1qhI0914Dda qfsvQFt0DEGqNgdedAvzulA5vMORl7oJ7w98TwREgUvkzazpwGcbPWN0NOAooTftuIEh9NfWQiKO fnBseXUstolhx6IQzglq4ABkjlJMUK3VeiBVqk0cdh1q+rUg3dU80fEVj7+REFYzOU4X9H95zg1q /R9+JxGx/k0/9iaPCtALCbEZMZlyvnm2cEaGBNm4lhAPINJgI37JCe4SX9VyLj0SNMGRLYi3PUug R/07olhK+gPI1ig/+TuGXZtwUoooBBuQwMaSNrWWwdzAOVz6zsZGq6+YN+VYDjor62CONZEK0+dq IOu0pcl/EvOrQFI1U8yuz4h8KXcYDmSS3a5DEZZECkjtllNnnrsgMcIvhR4gsS1XhjZio5CW4+dL eHr2DTyq2sYhEd/FL/F4IIZMivDvpBcM2Qob/LhS6w9FqRi/ERArWKKcXr+NxomYcQlu7UICJt8V myr6WxcZuGJ2ZQoo7VQtF340FlP5RTTx8CrMCCENWMOZnRJIGlZlG5/EQ5VvebG5uLQAOH4SPUMp 71560eL2Z/mcV3ngON1Q5lM37EpYuFqWX1G47TptCT9kismC1WxEemM5tQNvwg6Si+LWVFxFkYOo 8KbsTZEPe5g88b5cg44cN8IzIsodFZhPlS3ae5ft3OitNT4t9IsXub9Fcd7M75F6OF8J8SucqwC+ 7XsqTrUzq6lY1r6f6h4oTOXJZDIZEYKH1iCyYr2RgOUZ3c+FVuTdWm3/p0V2BNa6aOnx/I9oiMGG rY+9S4tj7fGQvmbtjifIU3Bh7RfOEmJdPqaWgne+U0NsXbLE0fPqb7zwi9opftNIFrakBqmtyxNP tjNDHetcICq0+jU/G1o0Z1x0U4MGdPgVQslDk3F0MgKj+e6rnu/RDlDE1gMU3kid86JVcbtfMHeU 9mIpUHURjWEwkeEBvg9XqzInAG+FkCtBhod2BDQr8dBzg4ZKkNiFp4tPb6zqmQf6/Ox65skc4rkF sssO4Z0Ypi8yVnDmpX+kDiMvSaiWK0LM0KtOXkdZu/CHzyRfQz5N+lnSqQ8DyDw1XjyUwRBt67ml Z+6ISnStbZTx/jXjD/y3gmfQSsXSSUZvdHA9BF+m3XaSziD9a5XnP7JoRX1qGW67SzoqaYxC7Vkw 7s9a4+vc7/5s4l4zpr7UgejxuTY9KKsKaUp47W0eWa+hBV0iUYu8CGQUkH67FSwDf0tu9GUw7cmL WXNr76eQV7590PtitDxr68mLWFz17FnspjU/IHbN3V6GCqKb4dfGAvh6Zs7RoYFEZOIzDIq26u1x Hflcr1GAwAOJ4mHBEeny+xC2ZQ5E4mIdKHNJSpo8sbZA8ySLmkvxdlndIHsJeOryCdtV+hly7sUk ZoPT4WtHS1HT2LHp0KCZ383VmEjnDc+ojqHrluJbgMI2pxn3dNOB6wOz3YuhuNY7w9tf0RdWRyB8 fAaB6re6WrSTmS5BqnlQq+b6f4kteGcE8Rw4kxd9/Jo7rKEDS5NIBqKicY+Gk/H+lueZEZbWLJE7 edZhlp4EDR/CqNjwDYLRi1DgXHdS/uAum9e86I3qQa/mF+7FSpqzPlwQnOYYwAWFiJouT7D92QY1 j6zKapxMSE2wSPfwb7Ebk1vOXIVybZ9dImixVjvuUE8OMYav1ua7XzoGovS59UAMju0LTWus5raZ XPkBo0bJFZze9dzgV3geu6DUL4p5lRrVpZtvOmGhL4XvSJEDbJkgz4h8VV72kXjAv+2RPFCDE4lq easw54OxrEZmQcInb4IUPvVr3JYoWm188RC+t0kSuORqHC17VSehYwdqVI5sOh7C8tfgSz3gk1D6 x9DOEo+IcPpu7BbBwuMpJeSANJ65+B7KxstmNXnSvJYR0Yp2RuYtxBRScijpd1zytcbSTv27Ke4C KQ9rQMPDEYwi/8XmLcM/FHy++l0Ch97IrI8xqLTEib5rQO1hlsJDYgQLK3nN2WX+40NKPOStXlSQ vbBZOsN/g7s5eMuLpPLLR+tJYwNDSZD5w3kbjFOrACGsUhYU0umC4bFoJ4y/Fb+XNoXd0+4tKNuT HyPy3pN0azdu6wRzmyMzOwv1TPOma+ToWyN6aCqVdC11CP77fMZHIYhf8zBt0pk6k2IL3jxhNswF J4qsy61or9SCPjn38/W+ZgZ0aC2mQvBz9aG9LXdcZoZo7g+sW4yGrMpv9/h8EEs4DihF/fLuMgVM qwoyzmYWkvwHOgpx0sTSo4HnVP6kgvXuIBbQGHWR9hZp0rS27DoW2awGfyfi3yPzRbG5i5GAVzVq o9JF9Ej4BolOeRKM1b+bQVgJ8rRTfYBUdO2wuO4oQM7xg2abuUo79/mlqB+lJHK4uiPp5Bs2ZOau dFaA+lIkgC5xBa5yz4r+nm5fahovCZ67lZFKWr6A43ufWxL2uwWM+bxBeuZCqaNT31L/Zsve3QnH yLfHmP+lQB5kjq7naqm/AlFd+CHfjba0bwJ+c65+PfKF8TTTtth9XBhZlzXdhtCw8jRG1VkInLSg SD6ghAmG0im5s9ZACOr/342KnHPaKZ/DHMzDNeVkpPWtKd+0QBRksobAlmLTXbgG9siuuaV691jW DULXRTq9HLptntKzrPiuCaL8hKMdoO3kWKuphZaVMc77vQ80oZCz8jpVGmLBXxrie9D6iEnleg+y Eonz5Luqn4ciJupMNtNbz596C0Yi/XRLxR99vt4IrdM0I/MsWs+HuYkqB9MtrinCgaNxfJvdSgIF G84/3ULSCf4bDZBbUnncnCFslI6tVpMYJa4F7Ni6zg+Qb8TpSn6eGTrFHNeB+LEJQGAloCfp77YU Cbf8q6x/wZHH+9a1QZW02C5PsiqvVNENCNiFo/PciszITBekO19mk4kCdSO2Z3hw2rHkvpAUglvT A3nnC+1Mb6U3PqqkU7Yb8K/9LW7B0Z9f8ps+Er+vCr+T0jfeqgCUM2GitZlnMbjel3N+1ClmHXgu Rt8hR3Cx2M1SDHQ6RRxRolORlw1qkbxCwm9gXab8dl2RE/asbBiyZmSa3fcxYDKtox5oi9UHbbS0 u4twYFMgpIMccHZZX4pmJUsXMYc3U7pK6bZgF9tP0mWGaVsK6pNV/JxMTMZ2Laz/gQkGRVykQcFH xIdCj9LMqb1zJou7BOr+g0WPC11IhknaR1/tRm3HmKQyvvqThYhLTRHQAyhTbNsb4LpgJSCIquiP uTOhXZyWlMbuBOd8RIqUiw1VrFdML95OWx2Do5TztA+ffWk4sTX91vDS6qgCP/iM06joEPG557Cy DpL9W1+nsb7grtx4uFbhl7bUjxfi43wSLKe0C8oiHagoj/wE41g0hJlWTnfFmc/+PI0lxE5MF9sD 9HShxJ8cgJ8YGivSk8W5Xdc7OSkeys4B3pFWTF3fjMJZwSGEqki1pz5fG1IlTb0a6VmU1Yxc7Q2R bf2if/dmKRXkc53JYNlk5peybf0lJ4NAeU12LrrSAOxo+2ugTSIUJWG0c6kP+uOC9ohvxwETPRGB z4yJaootm0ob8zdq2JlCPNg5/dj23eU5QV7jne8emIabVdUMKfFXZgKQ1B88MkqwAphu4rEJ92tk HsihSLdrDkO9uGdQcAwYrWYos5z9t9f2CuXIAIher00Gcyk/TfpInni/u0H8N6wa3YqcwhH9wiwP cxlnwGhYPqTPyobXDUhTeOdJextF+U6ocA206oZoOCwa8eg/FibIwV3OYDzIU70cOtIPNp6zpQGR A6m7b6JKRoUWZVwL3qeX2R8iRebi7Z6aGK58fOzE5WJx4fmehVEaeMlcIdY/YEZ4nkeHa02xwnUB emG5K0SEfsp0Xob5SRUnxF2NHZTbWA1PRj4HMMzBkUJNz7IEqF59vUy9Ca7BSblQ0QHuuQZnAnI1 7jx85WDCNd0PpeH8k4LGYQKBFUPj8hz3VCW8BPRE8VcuRsR6ycI4JCjF+fcMu6dJIWmyAfQrQE47 pdyVqPCEAspGc7gOXuqXOx+W/YaY03R8LyvLDhjdFvN+9FSvnQJ5//bzbGBr5biHbAfXT0PF6QVX doVpAyzRvNM4z9Bo+uPuz58EDE9u19I35r4WW0bs81nd8jq1M+kp+5G4AxT57nANHgfBMy1Yzfhh tU9crrdhhv3faxCjDMOVLsU5MP3XkYBmT9HIhNez8Xyxj7+yaBTCrJQSsGb2CxDYwBklqdbmj+hU N6wFBzHQMz1z/S5XtyjrcbqhmycMV+EPlnJoceLPoG3CHUpukUgINqBgxx/QxCLr3VUwJPuoCiUI jCLQq0hMqbyTiu92x7oMZX6PPGiEjRQQNSxXjTi+w89lXUVRvAiE8vYS3KYgXYQHpNxBe7YsdWc= `protect end_protected
gpl-2.0
2f4c6202e10df3db245ad81a94873ef1
0.933182
1.862378
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_lead_zero_encode.vhd
3
23,317
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jqxZHtK4DF7FN7MnfoXwOPg9JHLh/xYyJVOcuANxf+9aDyU8tiAbMKMcksWZAVyMCFpdey/nqjYh /OPH2/fu1w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UnYTLPVVIcWXQW1hyG6ahQ9XFDPUnW9paO39lozGP0YcMX2Akp9RmjSXxuuMoNtsYVEji+RX7LT9 fyJE5drW3mhND1U//SSeQPkA1iFNx/SNNNsaaYmEDR6VmNMnSj9t92JKXW1hyiEDPBNA/QlewL8b bH0qgcHPQyfMmur17XI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Xwupj/KUD7H+XEyDC8LyeIlyRqBAReFJWy/CtZ3tay8VXbADL67XAbdVX9f8lgWei9aJTVA6WPjB QdoY1hMd0pJlPyi91Jx2aYKq1i1ql8EHPkCPMNEk3lxt1jEWF0E6okotqWgbXT2guJeZQYa7K/FU KOLWfkMqCt4xgBsgWWp8iEDEbKhbjcxIJQZVHkXNXLnNzn3L6XdYflHQoyw+Y00DxjzBznSNlUNU RJF64E/g8M6k9agDzwf8qw1Df6mRpWMlVbVfvuR+N/7L2UYD5fr1jvoMaXYJwvC8Z5smi1KkFFj7 0/aZ2e8Ru+i5P71MYkSPPF1YmFm0gtQR9RrOcg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lx9rlWxQN/kYEKka9UUdwsMbC2WjMyihMvnlGYopOjXfWByEeTbWfmCjFd7eVwgdVEeb61pxTBon c3RsGtVgfclQ85Z1/A3qtMAH8UiXrkxFQd+SMjhFeCnfMUG7XFVvU/32OFX0kryJwzNykkSWWKSr mfdgzRylz8ar3Q4OwbM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block olHkIijGKXhyAkPsQhczvzeTBQSoEYvYO3tgbaqFjnpXLmKMF+Q4pm7TtOgamWjUMmH8gm+tQv7L zupyfd3704yvTBMeUc2cZIQWBLcCui4M1DTfGlE2CTN87Pw8402EGEPuY6iwmRhphTUZjawBSl6d hZW1EomSdBx1q4GR9xNlk5Dho66UL1RywwPzxyyu7nr/2NwcEuL6t00UrX+TujdJXK1MRUWqooYh +ly4V5Sq+5i3qoOPMd/NmGUyDTnWViZBo4XhLxmNXg348RPgZ/U5/RYEspxfdTi3YKcC7PyQfqxM Y/gHvkdYBcQ+nlqezDIuhO+9G8tzuAnG4VQn/w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15520) `protect data_block 7j0ddWUK6vS/0f5k/gL8CW6k8ZyX/3ChOfrvOaEvCo3+h+oVu7d4pSx4O2pw3z9cYS4+YtuIqYoR JgpruPJyJww0YVdcjEMYqRPqXPyEzVT2nT3D2zKqFrYEwg5PgwluCjZBEeFI7U1c5FN0VZCQ9MH0 3dSOMO43FIlcjddFYs9yDCA1sI79KYr0t4RDUdaeqBumbkzbsIjFje+LpuXS62La4rpX8++ycrJn car5qyIBLeMNX8zm5aa6pqmFT9AQ1sKLYU35hcmqe7SwcWKZvn5H2bENgUDVBU1rQPR4OmusuRDu Yc07+4uuERdyBTZ12wy5vr70tdfI+EgwTrz9E6DYa7g0U/nFHuA8m8oRoZe8FVkQCKOnLLr0Jb73 QjaQ256EPw5qyZlSixUqDt3/eSYJbyUgPtcijvLxW6GvNFmP1YXj878WX5mRwUfq3BJcd0P44maS fQouV58/bN9OqFWmsQCxq8QAkfLOPr1W2Ls3L3sJ4skrKdPjFHHZ4goaRSZ616rvSB8IgjlOJPxX geo7W8SJbf+6SXxCP8spCZYSxwFMbgATi+WJYpGUHY1J56lpXg8z43CNstZrzWu0/mTCTApf3VAv 28swUAHQw8MK4MWAQCy7Y7i6VachlTGsBfdI+8xnAHzVhdMEPYm6DpKAGsKKz6TqpRw1pfAxILX/ JywMicqzVW45DPZfWcYI5LOwbv6IqYpwv3A+b4oD4nTYTwGiVhEsyBAwsRJxJBxDD07wU/aGmNA6 0CmkORg29dMD8daU1rgHPgqQV4H455+ABoRQIp4Sugrxi7HWJhXA35Z6nXmWfNLjZM5IL9UKMe4N +Nj1tTm+F2BwIv2WLX17bQKCgjz6ZkmtsxdccAlywHUSPlUJ2IUMyiq0je+/EstBfI33pk7w5VdB rv1gsxHoJXjsA+bHgCae+kh5pGuvBFmQRYIMNZmuePJNpFyFqgPz55IpAfC9hQiDs4FXW2F4+Vq/ KHWpEGejjgnYER1+SDuy3gN3nEK9n4BR1s4N8QWZPzkcRodXlRKWwIeG60BNVWB8JO3UFVwtYbk0 uypciLcRJMGrdO5e65l6IHW56OyHwVUXTbJRY7vFBJezRIS8HmxYhSfkDwuH6xmafJXB0CMQ61Ux Ro53b0lkp00TuaQq+e90Bs6yU6jB4g2PyKxTRwOWPLEwTguNT1OouXp9fuKZn19pZc0jTr85JhgK ELm3wjerSkKEPBVLfB72iA3JSuLNpOw+fPmg/1zprdbvL/uR/9ZKbnQMQ/Hmq2hdpXfS6TeNmzJt +CtroP6yKwdV+j8YvYWc5X2MGlPd749d3KNhngrjbhloIxypNicSWasxptfDoHp8zw5nOjw8XS2Q zzVjM/dWcsZTDBiFqWy1TyTVW62FhnzkZRbiOYCKabWxgPCBbcEzcXjMCV4P0NJBnhohBw+PmQVW WF6+YNh4CCv0cGXAbuGJ320gAD60+AxkbsXEFZsaNfuU3md4osa8t3bDfFslc6on5h/3uAshhgSB 5Gaa52sWasB2T33oiAasnVXlqNNBS97qosSTisJv1gJpR1gaiY3gYWOp02rDDqnQIlSvW2oCVWxC OWfW4pAd/yDnGKiYunSpawpEhHylvHPjdgXxIvzslnkbC2ZYDodZVP68PeWUk4QqbwrcPWldxCD7 xbeefnY4ELrEZCu4CAfTsDgOAs9WiX/SjcSOd8t9FNk0a6oVgN6SvprWs/0qIsBgR3otHoNGDUFw HiuTLIeAzrFfYZ61PW+MZbNAgdg5ZIqK5PZskL36nQpmQf4O7ScnsDA16aSmpTbSKejN9A7naUVE 1dG266Xznt57ObuIv0xADHJdSCEjhK2pDH7VJGxJr2bs1RNbFuGoP3tci+dfCcnmK7pdxugt9j4J BwHkdgdUhPVtu0DKpFtf1+/LX+HTKKketTmFfiDrtN9cjsg5n6JeHC255NTClHsBoeOouwQZzkJS 7msX/mJ9EISHnJx0rtTOXrJ1y+p4SRr8RehS9CLJTW1WVrmph/ExH3skBMtzlxCDOqSIuTiY+7YD B8p+vhUQ5j4+9uWRIleDcDG2HqMhNQiIB95bPNx1yISTEzzdHLbo+XWpHJzu0z4qF7POsQsnD1S9 iSbfRkFUXdFCEXEfiukeri4gFoRXqUfIBDKNn4ba7CKAhCNIO8bPcJSV1tbNMYgjLLHOIphryL4n rvNTDYCvdu7OrZo+Ia5xdwWyrXHG4/b/dTEyMwFI969QUlT43kn32A7SOfXUYq4FIqPqcrWznQsP C8z5YTa+ALM73wrEPmBUBknvap5TeSOHLlNpuJ+GfO642D7dKyta7Ghv7AxTXYzLFnJLhPAScUGf q3b0tloPe85HSrdQ1ANgLrr0SE0zpTm7hXIrbrsEcvr4vYVouEGcGB6rBpdmM/QNJDgIXdcWI/DB Gt1G/pTw2YlQxuF2tD9JjanKoNLfkaYjsoYoz8WkE8iwZU1vhSPMPDUWTCb6R5zIr9EGKBp/OTHp q+X1Sg08gfsLH78m1aZ5tyvCQyL5kKONDbTPkrRvU168wFH55x0Uz83pTjjVpbDv6DVcVn2Ly0GT K7Hv73gefhsHIX2o5qe8grZ1o4LabTrc9tqUgj3hosexijyojbvJyMwQTUO4Gj3BFmfkSxbbeMIB x2jYdu4PSb4/QtpvgION9vXY01Abf/jfwql31Vwqae38dTpuJ/nluO3yAxthrf9F5rXVuuTglsGh vLBVDda96xaZ8I4ui2UQN/s7RYIM4VCfTUrx5JYBfDrXjQ7yw46lLNrgfVkgBLQvj40nhHkTzAz/ Z98Nel0ICntxlUbjQrD+ZwT/y+jf3DuL8XyQ3D3lY6MAb4eA34OlTnDy+AxxlgERCjlA1PxjlLzB b0MleDaSvHF16RYfiWk/vHKtplQ6UCqbuGi76rJM4vIte4myppQfZ7ckALBIFp1ek3dMpPz7wXqi zXtGpamGuut1Ay1E3p+W2OSwvMX6t+r7lDetJiusQRPFwPYq+NmFXn4IMwWXGErcth0EyOIUXyOC 6c4ZCLGTM87XR5QhFh+6E36hLFvdSU+wNTuROmSmu1fEEyRFcSo3WLxWY4RF8qkzsv13Jc6ZaXcL 6froXO+miJVagvg1tvNwhSlEXMW8yt76lbVcmxQ2EREtjV+oTNUQiyP4SPAm5lOLsWh7gbFLfRfl QgvzwBsjkjxJPGGqfzH561jfNYdjpyzCDPjpnSI6mbkD8Dp46UPQbZAGils1zUpHUKYYX5aXnyIY abCBpei1NQiC0E+smKdRgSpJUZZjoJXV6iqvvCbnZ+ZZ1LqZvJasTNUashy19ERYNV2hhzrqOO8E pxbHbwJMcF58UoM94mtw+pWa42HuiiGk8hQgk2DYTV2xrYyJNE1ZdvgOTxhlj19sCtc1fxkOZkNf GpXUIGtvM62C+bbT2LPRw5WJE68di79OPvRnfRr2ZBG0skcMdXNKWlbOIv0s+SZ2vMxKLZlmhw5B Fss0HX3NsjRCUwR0Cd0PSMKTXjWeWQWYbXnhaLAhw3FHa3jE11a0tIk7an/eJW01CUR3Kx/svYEU ppiTpqW7x3Td4LIi2i/HO1TwBTeM4VXzg8KKkyG/T9zfgfnFLKr+IOSuDrugJcLsf3C4zRX+/MpP mDlVmaRR8rFhdBTnM10xKtPWgtTicANhF9bL4ASr0VGIM7zng0MPfgiudCvBJn8s1y0+sccs0fRG FYa1Rvow3aYpWnbcR+9uDGRqERbak7dVziOffPRRB5b+NV0Qb1cyDqpz8Umly8svRhYSeetVrGkb mYb4ykuo37kWx3du0UhLstgg6elvB5rnYMFKAApE9Cfrzzvascqa8OTAm2wyLljZgART/zvPVqHh advmVESloVvjLxhC/9jsYFJ475xI3PN94YUS1UB90zL+sRyAvhKIyoKiTjJgNdULoxqYmL/MVsrM hymiTHxJ8S2ZO9V7FstIm//AOffBnGTXdtRTcy6g/hthAKw4D4/Jg9zR0V9egjdP7jkrthsMdmso 1eu1gV/kuTxdlDgUU4xavRs1V5UyPLabWjli1Y0n6TuBPT1ygUyksZ5ffYaXBrHYkpVfnjqQonzA S8reO+JJI08DdJvgy1qzpJbmAJz0q6nlnha+C4WcdTx21k9L2pN6iBABOAQYsNoAQYYMvxPqihfx tArb//2YQKmOZrw7UsGJT8xDXe6IvoRetHaffxT1QT1uh/DPzYjjDhJD4ILo0OicK9AGgZD++SRQ wy9jssTBveFvEHprK38BT/Tsj4oKMjDx1mLFoP5r3/O4KUswmdQ8URdyJhdZI0snHhu/eEV6V+Bq qvyHRgDtbinHzFuDY8pYAdZz7+5QFELygNaDBtE2zumCBqDu+UCW2w2jTMxMPJ1RTgfvfaTd1uT8 A9RpNtWl//VpKo/ytzQiBv2924Wj94tAYUMNFIi7xUV08ybys7fqQM3miHs3BWOr2Ca0aWrE2EwE d5DNQkRr5W7EQlqwvbot73ef2ROwVLI8OU7xwWrpvSRFGXzVB0FRuIrT0SwDSl6hEJV3dFyfginS slOtgphzOv7p/3DX2gtLY8odE4wHdzcQJp+hXk1P1gDOyU5tW5vY4p3igf2UPAoXmgEsZ965DMCq zMEs+od/Zuop9g4osBnML0bibSP7A+qSVErhLupfGiieRrDk3XTNDIIHLA5wLEJUWDtbELRm4Nmj swautmQKa/D2EIujMhj6HS97igUFNyQ6vGRdKrKa09tGD9HfcAjxjzoZlhG7RL7cM5yGhWuYCNl8 N/mt73NiXBuPGmLgZ/47TVdqCiEUNyZzhihCywwAM8wX1Fz9gdTUL+PE9hentYKjDb5f3jljwVqf Y+T1evltO87D7PMh0ZlXay9Gnk6fY9C2q364my/9J5GC0VBSRVjl1ji0c3Xw3rgKMmg0RPb5rGYF DLNu7htmJXhxywfuN5cFhUzU9TomRxCjY6JO7iSPbcIl3re2IL+GeFnGvPcD+CCQAJEAahmO8WQD Pti8l+dg7C8ZU31aZtMCfoDjKpwep8mWMD5Yrnm0kbJ+JIx3eSTtlS622pv1NmvO3Pl/KN21SiQq 6NaR4FJudcjEYw0u6dy0HRRMRakwt+S7bgsqm8rHtNm4Nr3BCNNU4h2Wiyf83nndiqpt5RosLYef H7TYmRswMDfBLR7RNFSrgSm0k9jYFCGF9Vwyj2UVGvmMd+vCFnhaUHZrcnZjJa3RLG/u6v+y2ptL QZRNvbryyv/d9eRZqq1Ch1xyT2VlEKkD/gQ3MxzvZQTUIR+wXiLVWEMAUTAa4iF0qZcvBB4WVfay tlSawtxvGEIohKofn8yTevFu9Vg3xjd3k6FW9Mwt5Yvm4uFgSNuw/TR1P75bE4ZXv65ZfcCg2Hmk TN5Y7KtaAALF8FLffw6vEUnddjM8LKVClhZZC+IlJ+mAEagGrXSVgrTEnykDuYIb1yDOLt0TfQSv R1FoBkASZv9VGIKrqdXeJDjYi9kOQN8L3Fhxr4up3qF7INDUHBJ7ymVNt6aU4l5VxixBn16l8dkq 7619SKOyS4MOMyGwFYoNwf4grnNur8p3vdb5spXWeAZHpxfICjLJIAV8QkTNSeWWqC+03gcgwJDm MgwpM5pvtWuCiOK/6rlVLV5OgdEyqubn9BoO3xGFEVG8Tv/igmR3FGlliBtwjm5EVQkNYvjY3gDK xQM3kvV0OPy3XNQeRtMAzpzHb+PGy5cTIdIIjvmqjwih6Lq6msPpv0ldhFqYv7Zg/x/7257PgovU rsQUAMee4yrZOPXjTqCffFZCotTguu/N67f3k6naiqAzmHIoKJ/V/7f1HJ9iAp36NAWNULcdb0dX WUaWILMsWlqNJt/+ZUOmxQmseyYtpbFI4nde1lbNIFA3nMvNPBDbZ/MMTuLW1m8TRxyoPJu5Xy21 HpeS6LkstLP/kaX5Fu4/N4ysnSYHI4khYPKtpurnnX9cfhva9KmH5WexoCroZfZ1jibGjRyXKzxB 57sdDhykSu1HnOC7Akj/5TWxi0QbifcDJjfX2UWULs5YZxnw87pvM7VARNbAkboZkM5zAwFLwSCA ujotU5RI56K3UQxsm56gLg5q65yQI0+sj1OOSVIcj3RspOlzyeiF06/zLHPXKW378IGQxRUoDref DsG1AZ/7mpVh1pA77PjBtx7OdPsbUZaJ8Bf5GNuhEQF5JXvv0YrWk96TzWJ02jGlksVIx0DKLtGq iD/TzodMndjovGY9EERfgrErS0H+lcnrkmdNTSagtGvxDXxYdUHQtQ5tDMNdQQgr0jVFkja+KJUH rwPGX0Kf8BlUnCVJUIGd2rK1oKUV1c25yGQAG0KAoyT+Y5jkkw6Jc0MenwDyOo9ypDA2MuBvCyal dh92f1MbK+wQ5q0H6AnG+8ZLZYsxCCSF9EHmHimOjQIceJKUrmgpxE2pGbR+SbnAlQ/UG2V6BdQo 4Tw8PuDawMu563fdMXJDNJSevt4K2BJx4JhaVs4wcw/8U5j8f/h2H015oNG1uN+faDV19l83qg2q srpYZHhL36M9trwh6/CqXiUkjqLbBmZRLCpzSuIj7nuWw3yuaupKua8ytjF1JXaF9d5Pn2iFpN21 MgCwP9cX3VKaSxuyvcweSIXt3/wmmpy3Bd8nSFq1tu3Zsme9FALhIEtBWrZSg+cFU2erULmQt55K Q3+5EIPOUaR4TkbCgzdWv9GkFyQ7IDyTPMfNUQDhk9VTFwJwnH9eo9zWkk+1aPHFdzcydA0mWv3/ GMjV5RLflUmlaA4Bev8niI5u+vO9v9PpPHV26ZF2JlsEMxQFUFMlrt/zbPpO8v5y9OrP9p3krK0U PF54VgQaW3OgTCFEdk+4L7W6RgATZNcc1Th/5mih2V23i48+iW0SIvkfzziKmRSG9BVbm4EUkp0Y wfdK/PPSuPEGNPlJy6V5jYcgXP8G2fF3SAxsIuSSOYAPJDF/fE2w/ffU/yES9RcX8s7NNZlZO9kP 9eZcuc78j8JNvyRMgCHz8c4Q4a2+1RJD8z6Z/Q5SJQibwVqsGaSm4CgZHsemKpGuQWzsYFB5K/2j Fi+kjzHoK/K5lNL+DxcXug9NA6E5QZOdnBR5NSV8g1kA25drirZLbFWP2xvlyxePXmXI/OGpVNsD rahlb9a3ijBhgVAFvjVoqU4zz1Fx3YJ+5F4pFiJLfrWmaywVXtqN7ovQFeNscDHXfWf6YS/if1L4 1xpMhBA4aKqQnecOQOX4P3NiiAGxbqveZybKaAw5q0FKoK5XNPVI0omXBqzon/1SjAdxC4ESnK5p jgkQ8Ps6Q5lpueFnGaElMwZDROtlzw2bzDS6R4XorF+/JNWu7CXyUu8g0HuGiu2nHQjfPKvuzU5p ef4HbVEgju2UntS18AropaQser6w+6pQp57svp16zEKFYvDbS0VNtErea3R42vNt2z9F2K3Vfvh0 seJPlA3aJG1AsSZYG2IyJjuRxBrKZuvXX1c1T1fXf8htWQnpPo/13eXLCOuwkoJZlvWhOo8wqqkS +x3eb8djH13o1t084MoLT5aflf8NxckeEtUKfG+Vkym/vJQJ55OYWNeZG3sOJbuel9odc8UchWSB +xprv+HGKknTSqMdPZi3hEqc/hL99/zsfWpSkTrgzEFSkJgHGC0WBILMzobCrtSLdAc3Hr7125BX QkYHzoOPO5Hh7RefYmjLn1FcWd+3wgqnUj0Zro6vPBwHmzzdP55f09c6v8oNvfxhOXgNAgqFJ7W/ I6ZrSJIzXDN+4oSE/wGvGluVXTlfBL4c2eJE5HWnjBybCBru+8CcGqG6xJik95kXxCnyea/xDyBl fnFPbOyQ7mM9lA9tE5FtGl/MiLbQCZy2ravz28MfiFvLLfJTa8kdsZqSqjfZOxVR8xfl9ic1JRDc uaKBTSCUx8OPrteXSrTlxeilwkpUi4WSzDrkGnYifROnRuUbv1cLa2YOsWPfsKLRpnlIAaPg4r3P p7wMZRLNirGXSj0/mqak+VXJw1fyTiX1Gs1vvypMNj1bCEIw5k8MNeMqRZTkOCdqrBhV0DqbH/sG LF0ZziCESV5l1ynV9dnmN1OTSwnlAhhMxoGJfhVijd1GwgErs+hwWBDjfu6ur1wDRQSePvzUfIBo 6d/jwnzhZb+JxvHncqIWO0HuBk9a2/tyqzB5iXaUUwf7KhLVuwA+76+fh+fx+lDltPswizb4bx6p rJOmPzhIaM6QjkQ8/us78pVRvVbyo0Ed1+EJ0N7voZM2igzNAl6i9cCQql/1G3yTLByHd0z5im59 yDD9B+u8//s1obhFCNkDvR+xxwG+KYEpPi0kDflh9w7fWteMfMfl9A3EXcuz0czJJGlKkLGydeo6 ajk8IJKk3tk7n91fwohA4TNFUcUqfuwEcbrUI8Fvw9tZ8px/ZRb885BnH901/RxhvX2CZp96pvBS 8f57jtNnFC8xewiaUQFWhJJT/oJHI8SSCLC/WcFWlKjF9BIhU8qFOkemZHonl9+eYuf5AMURnUB3 8oIU4GBcg/Hq8e0wH5lYSASMreAbyKEOAuQQeSdvT46xsi1tHDMTowmd2SoFs3GtCJHFspRgB/dJ SOewSFVILZzjT/VJUycdw6vlhNA2Rjcj9AFFIQ72dPoHp7CG++8T/8pN00VtKPyDeG4f3HargCuf 9fjAyeqVE1DlE070pS4WlmePulu9yFWh/cyridNSqJAoqdJco1khPVZsERv4+QIqDrsz56qS1HVq Lg0BmoOnCpfyxHBGZcC+iDuofHwxFwv+iLKMR8fcsvwRVLp+q7MxzUB3VG55FxXwntaJFDlL6d04 Rm6/O1uKqHCcFn3q5AX3ANePmg7EUDDZNJd4aUNJKy2Cfra8mWlkyL6WTSQTny+4aHcbdZoNU0bK krFW0VWK2LhyMgAQkj+fA//zbhhRZm181SsbGmcxXY3NMbnWdiOC4G1fkpLvaQk/o3E+JvpvCEse 5V5qtEWu4+7L/e5qQkur6pgTB8rbS0mCZdKvzKdETLFEQT35y61/0b/HOBJ2O1a/6FUSIgcI7+Ov AQ1CaGBOxd+RHUdwDJe3IzSSROT/LWPQugvkcbBimMkzxYH5PDm17EqSHlw7AkIigsx39WH/KCBq vBdP7U35lk55geZJka83PPnoMVv+FWhJcDe+mjVVeWFaXVcVyQ3u1qgqAgvmKcDoUV6C+rwIYqGN iah1dESKPEaBwP2616ouFAfl1LXikqpFvFsIGQtw7/PMgXacdH5+jeWOj/Edj0WLaJcbhzu29GuO cPAtFs6FRgsX6iETOKkPw9YchrPir3Ow1FriTDWDw5iq02Voc4eIllcqW0AVH94Ww7XO5NezK7KS AUZ4YKluSpdLCZktWXTqR+/iwEmyyYg5RjIAAV9rWpmEeR1fGrlO7SDLNVlX/1waO51LYQSwHuFr gBjLBpOQYDsqqvx3H/nD77KbNSGD4pxjM7ZeWgJj8MVP8wQPyG4D40t/YjhtyPfZNzjaTFtYWl3Z JlNrv1+8x2axhDaRTZVEsmtg3inCWhQjHjuGnSv19q+NUkXsYVNxb1jYPObCNIGOeiQ/6ULqbQrH V5DKlhV8zP/vDwTL3xnapjaZhCKxJ2Z/W3RPFRghMfbh83BMzi+NCWANTt2JUMi01bCgqR+qyhPk vI+cAHJ5qZbSJBem+bCA+K20dLfL7jKf6V1UKnqmkFJyhErcsLJM8sUTcd2GWE0FpXGd0INeYAbm ZtaSSTyn4Zvcw/EO/nO5bpczoSRKpa8fUq0RXJGiCsk20P4w7ZZWsT8Fy6BgBS9JO/3UdglK5Kum /+1zxSAHo9JHVgoEr0KKYFcZOFK84+yuKJuGLC8kme2ClC2vrq7TJR8rtKlxS7Tx+KJsjZyN8tKJ ox01moIHQeT0rWc+uf0MY5LK6EAsfY7InoymujYljBn1Lzr+8SxS9u5/KSjSI/iAwAIeQOB1a53B qN4gPFDbQo/I1iRlDaarTcZWk/qEr2jn2Fg6ooM6V03moigUG+rehJDJQHSkLeAKkb6B8h2YWN86 UzTHtFcJQ8fpBpHTk12cnZH9frD/e1bhFo34YkSFGGlP7K28lDmBiaqVkA+llaUjJ1v3NUZCclQl o99BWyrkb/fu7OEzRfB6kPZd5/oeWRmgUbGwY70bBE9Nx2mPoUSejI3K/m308EvR94f4bS15HXgQ T/q8q2LgF2ksHFWvErqFydQILK54LgiDbERxTgLfvjsUZOsZQT3mKnsg5IrOCuq8e2BckoxfDqxH dZvaCMTSVnxd9DNFlZKl+KAJOv3fk/Hn+lEJEgHKDQhLthheQ3TqRAPfU7Zd+mVSVqeHWlfEjNOG aaFwa0SGwnYAge8SceZRczoQPq2c1fNSA3toAwJox8FfQyhX2sH5nXvjsuCHmvskUWx8DjXvSS4U /dtKFpd1o4HgiMho13SEYO/453HtYAR3ci123GURiS9MZJQidnwxHTznMSqWc4z40vktsVYGGU+i IQc2trNILvG2S/k4OKvGOk3dCFXSiLHCusQHWteSMn39d7b+idRW6ZaoLz8ZDPqg2mBddCJslLL3 K66L8X0eroZpcruew6/PaI5jii0WoqBufHUAAJe8FZdsyCaPCK23x+TqVfy9gFbOW6KCWX9g/tek HahRnwhf04hLCWPFvCbdgEiIJwa453Nj0KMcC0Xw98jK17DrUpWMsG68VM6+6dZXJ0Q9uhLYHr/W tcmO7LM0sZNY4hZ5ZHH9LXJnMjvLZHcSmpVoy+2lSXNvtlh9BEkYnj3u4rt/jBCErNltzFZYtOkY BKGby4exajgcKE2uwOZEhQ1fGdJLamf5mqGsneomO/uPw5Gam7z2CIjYVuuOHyDxVrmi+muX0fyq FxTdSbyN3T0XANNrRZXdataLGQr6uD4jogn066Ogo2DB2WWbUlt0lS2yik4/xjmBHg48a87nbDWJ yb4+rDlFArx/Z4KO5OiMAsW003PdGHD4ubFfU5CXGPQY5NmnrwZAEcjNSHXwWJGBNkJCi0xx6RXu nHqMDIc5FgrBII9V5amwCJLTopg3cS5dmgdhCeCfHlX0IEay8SOrHz+f7iM26golYkS77IxnzNnZ M7nIB80ffn8jpK/Yr0E5jzS9Y+5LraY1QPCNktu3CahPWKR4HBvLfGktMS2vqSfm3IyxOTB5nPn7 +j17f9dicebwkZUEpydkI7zCi/qCFNf3L9Px7IITYc01IPNZbX1bOu6hozKwdvGVr4ujIRihke4k CQ8sj1yCh7XvQy8N9LRigtBtUaTdNng4vT36oTRSTjh7hF5GkIjSJIiRVOrMJESN5y0V3qPZcggA ssZTN0Cnv1EK+9hnEasdbi5uhPLN5C8p87YNu/vuntPpbb23jCGdMEPykgStAyZ+OXbNjS3yoANm P4DxAFOo+52K8Qa372B7adKm0DX+M4vLyEFiMFMY1Z6eqgUoiml6iSjMNH0tv84a5m6SpyTPG0wA SllNl3nqd0o3uR9ZyiR5VFtP0qiI5HXmEzoMmc++mCkziz2ofuTbeouL70et8UN0WmWW6DVSnJmo X67fMQOK3aGsjdU61jeOSXmEJaX7cjYADWRw/HoL/uLuY/L3eUGzclOXcYiIyjyHVHAiyJkJVT+r 2QPv0AtaYvUzvgyyfJL+mgIxgdWLpmEpopmyemeV8JNeCnp9mSuP6p2NSXmtAOjmCcvOAJNgNx2b lzrUzTQfVh9/mL+NnGZoWJYieUZggECE6rlKTsuZikMprCF3/R2zLHzd2jsQsn73anoyK2fTu/EX SEpTqn1LrR0UM7pnowTYxpX+qStVqLiaHDAJt8Mv/tJYwRjy2/qaAfh37A+MyBCHTdYbXRM4mbXg phh5iY8KpPzzL9guRyAsfi+pJdhINk2ugEZmiGowwDkFPir83WrK1ZaxND2JdJBYqboN6tFjTIJd azirvG2BAGMDPTs2Y2gAJyOq5pus2O8w9aypcGCiCtVWbqUR1uiU6oyrZc2D6RsJuHP1iNTVii6K SuBEnpxeXu0K64jpdWttbbo5XLQKapF+tLqeZ9RrpwfHrUCETROhh4r7VUcr5dOESQ22kJ3gq/iZ R++Nt7yvUf5xmIvgebl6taxeojC9YJSkN4vlPfDPw2y51+vfFqcf9Bv5OEVLsIGcOZjWUxU5IRMp 816KZCjQiUc1VPIUIIHHgxUX6MbNmWPowm3tEPcftyfqsIbXzmkB7XABOdjgxUpMFfLVr8kr2tsI rAcGWWph7qcHlNwfSR1w+jBNRmPzvArrWXGHQzkSZQp6s7f13HCELIIiHppcMnGCQ/nIa6bZhZSC jpm0oyRUe8YtXYU3vjTi6oo5JW6ddwSn+9Uj2TRYUTPdXlC/gSk0LnU9TISSCTZDvfDJAyjICIzm /ZQRpC2hv9LcKIGyHxJp0m6vJTb2jFAK2grLjrIaob+Rhpc49pSdhm3oa6SnC6m+b4cnh/5u5rLl HzCQsm0TYbIs0LxYLmZAGpzvDuGjroc6kepT3g/xzWnd6hIT3lpjPQYjn5pgJH1Pr2Fk00ypAdJ9 52+8JmvqQPWHGXlhky96678Uk/LffIXIUIFc0hGLGFQvaVBLuT7G/u+pltAsN9I85SeREuV6knjq QdMfFdCRpJXVsmaRON41/TxaUs6m9owyRdedJ47+DtBHosEc67RWpFJXloCNsen88xd8giOZhmyg swG+NlEHB54I3BpM942nHBUaXegKc03nXW/+XVN0ajNrNVQ1dg+YOWu0PaDmT4edc6JheEGgNCfq PzGkIZOal+Hpl9yg99woUCqYrmH5IMamc6JCcxW9MYF+IQIx4SAxMMeb9wgwJF4modaHRuDWbnGZ kZ+2eQN98FV3lfLg/joBi1AuD95yKsIv6gx1RicHLYIWxigrjGtyUDyyg8ekMpZayokrhyIBSibf fj8v8Zx+kN/8rigEfb0hjw16uftPrdDIar0Q2GA1lJIhgbcSfC4hwkaOyI4uVvgfftuvkf+v6uWb yxhsaWhdCVaByj5nUdcCSf2v+XKWbrAQyEyvz8+ZFUCxlFexCSf44q2Ayqbhs3q3VLs/C+Af8+V9 6K2pL4L7FunygO+PJ8QZLhkWuhFb4M5hp3gME8bcxHfdkLEiqTEDuH0CN/ftkssfsMaCJUK+wBn8 h8nY9QjFCZTuZgnX/Qs9CIRaX0L6REPLlNoEuNwzR4RyUwplwjPC62PdZ7apRi3tMn/qNE66b8qK z7BrchdCJUkA9NfgvJVgZl3GFiVd5e/fpUiFrpC7b7H98fO7sOqlIDRI66MpJhtNiD394vqxUst0 a5Mvo1sdkoqNjXvygYHfs6JAEYxx3h9Ar3skC/Cs8eqn9zJruUf3W/3qzZQPlqSPverLMen6lz5h QSYDNLy4NO9HcQCRkTn6MH4WDQSXcjQr79qtwpXlH6M6vIp63ObtXkS2fMRfFU/N/6uLu0mO6S+G Bk9RAj+it5qijTrzCLKCuutNpdUIKMxj4aHSJz/DeWrcaG9g3s98Q84o1F2rIY1jiUkKGasZtMlK Co9Yc2ITWNedqLY3+7Jj8JOaksqR1dG4QT/22QWgrL7TjMUdpabLP1VIrxgZLlbmE5R4aTcfXXTF X5dzBhZ6XnJ9Un3MYrGM3TmBl4BPbvoMegSqlp2rctQMIzTqiSeVNIr5osgujiU2Nk/E7YBJgaxY U9xftWHXjlPFX+pWLv2l1NQSrfN61FmYwIq9jkr06e/Tf63/pbA5H9NV4k4NLwwrNd80JJ+rgemp iwF/UbMhX4gxslKQiwfayvPjEtn6XFLuBibq7DOwOe8CsoJj2IGcj7dOg2LKSy/+/1XkmOtxQR8r 0Dv/277H8bcAjQ7PeeNRsayx26AKn4m5QyB8G2ToW56Jm3Zy/PGliufgUDbrQsx+2Qb4y2424bgu BDGKos2DQDmqwcG4qEAENncFiiVxdXeTd8nx55Z+6CwF3ECLtc3pIiOcOikNUw1DzrZ05Oelqm1Z TJm/vPpQabdnpHF3H0/dAxZfESso0EibQEMX7gLBDj383J6MA+4TOAZiJ7G83YZTyDqiD53u1XWX 9rqBLAO6aasd+p9eGnEGVSVkBMeYIqEz7ogBmS/+LKx+BOC8R6wm9s4A+aqbMlnTBsER2HLkbYqu Gd7GPIT6oRgGv94e0F7e9raxt7k4H3Ro10ec9AsjHadQBDjc9KI0UcRMUriWfRWB0FimH6UMAPAf 75AE5xOIyQNMhUvEXrVqKE1Fo7hdCtGBZYJEsPKdNQoBZlqBWbZC8Qhwcaii2lVrQKVJi7+2N17d 2dhcav6hzq0Aj4dP0V4D+j5I1OkbNan1xtWsKTgVDY8J0R76BqEuLAmqvKzs6Nd3UJ/MPDL/KDVA fOJLHPdP9JvMqCpPzhDo2OZX9Vl3YuS2vfccwDA1iBv5H+kDfa6+VjiVzhV1xGaP+hOB+IA6OrlK E8uTYw8njGbxGpOxxP0hLOib2gLePRoUzkLGRZdZeTD1EoYq1NGZoASGn2i53AEwXs5hSooLnao1 ZuJGznzeK9MUIvb0b7z83JuXdQp3N8VAh7TA7GwO61skklTNopOcvLLyqVbbW92KHCFdvRoQYbdc y5/8i/TWimO38hgR/OCOP7ad2sMCB7B/H0pDyXdcPN0bWKem7UsJ8hNFkDYuMqHNgsrdgKjGWUVE /9NyQLZFPCpra6zzb1+lFvglOAA0g2foV1gv2w8a8tHRCO8MHBZHiPXCyrkF8vguXLm9pQRYF01i m7J5rgor+B+rPguGFEef4UUyqF2pl+Ff+pKw0JqGqhxUmHk4WBu05ukgF/iZRXcuGKBbEz4x0K/P a0Khq4Gr0uld6TU2OgV6Bx9YvRZi3dw7rhsz0mibFZjgRc/5/9waElYUeUbbetvX4GevYGCjtCFq zhkI6bDrp56zaoSd0ZrEBZ/Ed+y1O+0DeJn0hs3GU4T7eEWeO9Z7i4XqAKvSVhEQCFrSooA421mr 4qKyEdDvATQkHXzRXLyKuGZoXThEgz/n2Xe5HVGPjFxv6Lqe1AHIXZPqMocURwiDNagi6M7HtFE8 sa/KVJ1LrU3DY/mJhbw8cfaF1x271C6pTSCsRSWl7JTia8jVoh8G87VBU+VyUE0FX9CSsSGW4Ioj iRuylqqOXnFR6ejHTCg/KF+Kl1oUN2EkOIgq3vCaVtuvlzNzm8DMe/9rot2hf4ou5BMqYfsINJDR 94j7cR99nEV1MfBfPB3UGFmMKb5mxMo8Pctvcd4XFbTPjiUFWIdgkjcfr1EMX1+V8bLzEdQJGFJL 4qyXAUn6cLqI7QEoYhdszHcMTGskmWvX7wMqUdz5lyrLNnitq6N38EDATK4XutakAedK3GE+bSNg Q7BjuAPD+BB+c/AHllIgyAtBjRXkK8u2j+ImLmK9uWEki2+EJjvC/IIOxvVtXGLGov12DpbL78Gl mfKGb7IuwgqNgRJ8UZgvQ11FoKPLt3BzcPAaKujv38+yogQ9gTVMYgAqbvPc9aUm0Ri9v1TYPng3 FFAj/5OhRU23Yt4Pk0d6Jx+lV4VvooPd15wsdUwWm2QFK1CuvMhIfIkkY80WkoD+v3/va+urHMVf u683V7iO2Wzg4EAtavwuwlLfjDSz2zlsIEuu7KxNcVsCxVjeLYzw605GvP/ngwzl947h93v6FFRU Y9mEcm6jLUfoXrO/KszllaoExY3wTJznt2Mg9X5ldZ6cCRfc9rX5N0Oeh9HE2mMBurKvCIuK65eu DQQl/LOYzFSHgFOQrTmAiphnLaw/yXYxz3z8h4Sfhyhu90PomSqKeimGs5ZB3jUH4++aOca7iHK5 Dv5lqS0O/vqBYmnqA/dkCliOYJ0/G6rG259rO4xV9vp/zPhw0ohr6AgTordsnyUjUkepUYXur78t Gd3NiLCtzYlqp0Rs8UBdtBw2fQnxl2lZFnYA5bev6of4FDZFxLa7whLSLAIqAPhTZYVkq7PiSNHv BGebYbsUiD8Y+KNJU2KJDKOwZrqmv4U9GoCDlmg7ufQOCSAcgIDlIygbzIxvUpnRMByP7X/vfLR8 /Qj6Rxxo5qaEmnUMaQob5l4ZKwDWIa7V5Dku72JeSC0UBwYpRlK57id0f1AsiWD8Y1WlQ7V4EzBp cX13wlMsD8inecE6hofAs8258ieS41+YeLL5PuJ0dQERiaDzB1G/heTwwP7bq5mf2gOT5mkIxRo5 22dXrnt+oOxlmhH8F7I3nOPwhujzB6ur2fMCKK0VtKk4BxZ4gwfs0E1w60On4JT2tD0MB276hvqE akWgBs5siKaPlDbZ6cYwyYOVWmL0D6tmYKCdrj0+YmvjXF5edKlFL7c7TtF/jNBHvZtz1s2wF0MO Qdd5ijct14dd028RmDj3/Ka57W0PluRTaKxIAtJwohPK4sKjLuX2t5rn79eAOsXdBlbqHIjWAA5N 4FGbDgLzkvP/VGWuEX4zWr6Pu9WW/ndNl14xtp5L45M5UxAVVIwjt+Mrdxl29I7DWL1gf6ApndGF LCyBAYQxQd4hH9j+mwiUs75TnkuQE3CqAwRWpJmx30f2WLyNNIDwrGYYrwaOzFjoyCe0HZv5/7ah hjQc12fM/heRbYTkyEC9MoOK3PZYjnl3a8wZ+Yid1MOClvpsFr6LL+mx29stySfLC0CJHre+4zee e7BVqpszWvp00/9jJ/UeCLy0tPRlP+9O/E/CUlySuY4GpQ1jm7nTl6JA8JOObFfsUO05BhXqqJng wHYnn+egyNdLF3h0oMdFBBgfKx3if5+TyPmRpAQwyypTFOXtS73/WXhnS/IogHLkJrn7r7xO7Zzv avh3vd3uvvl8Uxq7BSCp60ZfOROKQAoM8ldz8RQW1GhhsM7UOsze6zsClk2BwHvO49w5ShHL+MHh mSP3VSGo/eGOiF9wle+R51pzJtaiKVuEQN9Up1idToegd9YOtDSIMwjoQYPQ9B79fqKAkAL251Rx dO98L1RAJmZ+Ax8KqxExUx+XWs3Yp/bh9V/EMoC84F92FVo99n+vFPFyVO2yrIuhHE1hlob59AEE dD2jVzCfyoABSUnLd8MASINZyMo/VLttfICsVRGYL+ewB0zFdwlGdVH3Bw/JH3xkzjvg3X6EYf5m H3wQMpzOshzwdfvfBtvtZneYwoE9yxSD1NEk3HSUhqfQfxraR4gBhWvjGSU4hGbHqtQcVd7I670k SjqiwMFfZoK4vtgPUCWjzQQFFQ8M7w6DU1JP/XmxwQDQ/VcHhHEAkHEGsl+RG2oeZDIYv4x+zKwP HAf1tS2/lkOl7dWTrN+HjvJlMsdj+VMkdGbLB4FUt7t0XaPOErLrzDJhmanrPCgIXmYeCXlQQ+RB CvngPhypfQaP9w2N6PKIETmlCfPcxZdb7b/BEuvtOIeKV4APz331Srd8w68qDyNOfRgjjUcq5mK+ rnOJozurbHEMJoI8lLLN+PqVDnV34E/85FobGDuYDPums1EwlezyM7aSenfANPmz/Px9uQSQVI+d /G3DGjnULNK/hvHJs12Gd81mqOhMOnavnEwOW1fJGhlIcrJY9gN4p1gs5wLPJ8jwH5aRHEAwZtCb Mmd8Pp7KIFUdfIIyVC62NMPtQ/BMPjNO2XgJY53HXxvw8JNRR88eTC9aGo5YuTdsyX7emLfUS/TP NPhjznF9mg6nvYOYu5qawqY4NE8Smr/f6ouzrOkVg2yKaYSmULJnO9BuJtrf1BWE+EIEQVLFybRh +l7cilfHa22X5N0HBq2o5HQzTLSzFI/hX5Y+FYb3qoLB4k3nQJdV/bGMyiBoTbDRv3K/Q1dupHJs 5uOZUetINkDvpHZQ7hjOf5BeOnGw8ekfzeD5w8P8sKp34de0xw1fvI1LvUfz/p7giWCcOwIVpiu3 bMlBPBAMK4U0Qa2S4QUqafO2Fi3f7acihMabA0njMp7IAjchfhL1nQkbW1r+hDyIC9CxkQglKDur 4D1bDk2G7BuDyqK7c38Qkg1cNrtmj+BXdCGG9Q0L5xZ7MxOISPV34QCPSIfJjiEo178uTya8QPw4 OwPTAdyPAEZRQkbH3nwK4eFcKvVrzMv8/nK/IJ4XEDXzf2DYWD9kkAUBi2s6hpgk7V7pvilRFiNr Z8GyN+fho63mEtfanA7zVSWzvVBPOY/Q/9MSxkXJF8r/Aq4GDVEf6jLATSb530lmNubTa/hHlJsh NdvUC5Z45XHXqwd5wckRqdKPUf8N39m4TRFm/PfK3vgdUWyQoYqqaLQ5+1XAZqkzjO0iCoiHQU1V 6OaUUn70J6qV2FfPkLAyuMgAerejS9w/EUnHMAidsbKEZ9bKyGQnqikEhlRKuMrfsKXUNujZz8PC 2V0+rOxTeM1euu2Q0xmipQjJ454przuYTdhim0KCKsQITw//EuPgx5Dl7q0i6BqQ7Amnhy20jdUy FxP34NpIUf04L+qEy4h50rM4Q0Zg+wacdubYegZLB4/fHXhKKLkKth7W21h7lqk9s8sMuUi/PF+a cZneO8T/MHNGyaXRbXn6shlYrLyLCkLU6Ju8RuWtGk9KOB4xICuN9QYgQRtdNC20UPXEwr45QMXc W4nQYCYL3s5GgE1UcQ0RwWsBOTz5gDjpbl65CsVB2RJI/b+6fifps8KpYfoexq7yLxcbRqswfY3S yxTydB7zNsS0Yt30I0CL6Pv/fvJn5L1eNdahUXnIFFe6RCwGnYmskGbBpVyxVhmS+qid8xrfC6UP N1BLKD2Onf6zmnUhCHq1z5fjiESvKdOLOucqVmRr3rpq2+0RufuXkuwl7K2+lpEEeyUQgx3u86qq fPKyKN0qFO2meEBvh/fxCfddjz3QVngc1ohHdFxriQQftFOURyt53Ad7luyoHjQmF2YW1+t6pskq jxSFSG+CmtB/vaZzVW1ubx9Pu4LY/mv+JLklwYDhRQZW+95sZ7fa0h+W4nzyShL3hK24fSbP310x zLtxPjqmWWP2/sKR7FjOulbw9hqffPGBB1xE9IDCvsymnxg2bQl0TD7/ZdNaJjylKClZAtLj28Ct JNk94vBVxwqgJNsV+ntYTI72r6UaprXWe6XSgVv8bzxRamRPIshhMOgWDO9CXJUN4aEIUws5Hb2V 1XKQeIfGVg/JqLdmKPRCrICCaohLSylv1dNvhWMEBR+8y+nfPu55YuDdngmiLphrqGlrhw+U8oYr rU0iztyB1mfQTnfwvW05MNkgKq1NxBDh4IBS+G52l8MPkdn6OvxxsiDZV0COty6g6LkwXxdJV1xV Rbd/Vws6wALWBZI9yHUx2HcOdxSj6RawEkW6153UFWXzuEzDz1KGETXFRqOprPdIjAGTmSkM1ynU 1DJdnVydrvz731yX+s2VHlBA7r4DL8VbOOThT0dF/C6A0vzblmBfXqJ3qMeuZL6bYstbqtyHe0jh ZgwiQrq1n2NlOv/VEO8fkZZ3bP2LRdweGtHQJaxXPa0X0H1X3zit7n1dgByp8q4zxJz7qC1yIijd CzAPr03x2ubmi8PrkAhitCPeO9iFuuDXD63Mzx1S+O3L9DEjN0OgDz25VwLsM7SKyH1thyQxgCTE 6rixV4nyqsDojDBrwz0t8FnsBA5QkuS7R5Ro97tn/C/k7vzJXopu+eM04rRmDGO3gTJvWu7XBkgw OIyVZrRTEPd7csulSjO3Uc9I9BcbKwjOpEgiiDwn/vUOJE2/XeMWy28Cmu9TCU8s9yXd3ohqVYOz /LLpYRJDoed34z5EAZQnq5yr9wkv5lW/OPFGij3jzJ1eX6gebdzItUOaSBvUl66IKrXCacQUqj6v AdSVXIoZuJEgQMrOHEVaEEUK8VPTJfSv1BEkMBoCF4EtIjgoeVrs7EAnhnimlbrWUA6vwI+CtcD2 9mn0mR4i0xNNBYV1EmGmAaU270jF1dUlNMqV0jjQP2xnVlViNZUv8NzgeGPwAjmuEjAqHgZZolii qRtLcu1Iw1xedy1yqYKgXMvAkmijUUoN3kPtpwwnnpnRD5SwX6sSOcumoYVNoA1tlIFMCsTJluPk nUwnUccmvC36I+hhTliFkUF0lb9f+ENzN8N4IjHxOo0e27OsbC0b27aAhcXD9dBBeRc5hdF5UC0j NRamISzkvVUNrH8cpQwEeFqcRqHnFm7u5woFQvEh9nBjuVrvxs8wazTZ1SRNq80XYAQ1kTX56wXc D6Yd99Yzbqhe1g720n7iXQncd3hq6Ffl+gsDm6YXofExfqDCpeEbzxyuZ/uoKwk0Zo0pB4hf51MU TTQK1mB6oTVkHlvoEzYfWHt7Jctkw72IXi3SFvlOVELB45HySOmi6G4YGREcgayQPZYvr2VERPRv VksXixJIHNiSb7NgHf33Ur/VboZR+hfHoF54b7Y+Qth4QJDKmXnUI9B7+pAeZRpVLviY1Al/tURW ce64wzRasL/8FA+N+nzGsmhQ3X17gnCIDg2v6+N3VvZMvKcNQ+Bl3d9oPKKnTRCkFmjt4ht1ph6Z MeVs5VTLo9ZGIg/7Xc1O1OqpW350EB8CZyWjxgujmrhfc431HoqnrID8WXoJ3++b+Lh2vmS1MBG7 204gcR7oSvXAtfGxvwKQupm+6ZJrHf2p3KTHYrKJUvWGlZnpjOeqvkKbRdKq4Ldbk5qjMLfRf20p X7azrifxPIMl5pYD6wWQDzxvad4ZbJHfuyvLcb4+qfiywUXU2hnUvUeFwIogw6uWHNUQQ0J7QJSQ 8P3obmmVJJGI4PPh3RkxuQ== `protect end_protected
gpl-2.0
eac18c7be6dc7c4baa5e2d26c758454f
0.943175
1.847769
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/mult_gen_v12_0/hdl/ccm_dist_mem.vhd
12
11,405
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OP+KjfVRZreasQPIncWQPmPZCt5JFWhIIy4VHjaZxj7Y6wr2qKvywHjbF/yXodNxHFYOy9sR3vAp hH71X9VK2Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mnsLcCIynG9TEe1wotjZ45CdHCA1MBFRwPegXXONgrzk1QXBupO65Vnscm84UpyxWv/E/UOw/Z6m Pf/FhWz7L7LOInTR4LTQqP4jjMtGlWEJjxFg204XylszXVmXu1lCXqzI7XU8izUjYa6qEci/pTrG uy1jgMWAZ8rCB0EyheI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block B5honffKRyTCPFgC8h5SSIyg+TXvDcf1J7FUQ5Ne2IA/vtxwp9NMLD1BefZzHdX1uf4H0Jx23ELj NIE8A0Vhe37jzCRHQHxRJABSQ3WJUfLKT3Mre2wQAv3wS+SWBv7ZJtJXWfdqc20Gytb1eEt3UYn2 fJtMIxzNxRY9eMascdgF+pRnoc82jad1+ACEnvp8o5T8cn4yQtJq39geJdlD9sU9sBGuGOmyIPEv RzBNT81HUvqAzS+oO3VRVNBiKBgyX99Z8iKJv0LV6Rs3VWCHucNnme56IChiJgaKkU/pn1u7LhHE zv/4JuzIspwJd0ZBm9BIe9lqyOqr/VS5Pj8Kdg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Z4vWmjiUJM8lPsUoPX9Iz69piUYkVaeKiIlUTC6Po0g81y1+/kdSwHoTICqOsOlrho+30wlQeUcc lOOj30uypDyt9vQGTZboHLtPXag8c5Wfi9gwTtEJ62w5iMRDhXWRz2TXUBWIBvLP/Z2N98pnK5nT SR+MY+xMYUxhO4PyvRk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Zc3yAKfp8yBwKOmxWwVMJBBil1Ug0keq1tMd6HBvZAzoX+aBLSZRbz2cRmFNvxCsvfdeJ0zUP/PR z6600GLE6sfXpPGrueSjV9GuJLKHitvDxOICOmHYDias+BlzwEWLU4FScw51eam1o5P0Ku5Es0w9 ZdxTDAjr9Rov6kEgFq9Xjw+oK6nMOwBdgqCpoGcx0m2WFgKBhVvP5ftOp+x9TdF+wxihznDgsxwf AKRNiMxg5/MiOWUSL04whxGZ7V9IkjqeeJBSByNQrfsmVecOp3PNGoScSJV/M3xLwf8fl1yqf5PU 3SFUExMzKuJasmUTXlk0r9p28So4NLOZpltxkw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6704) `protect data_block 7+5DjtWa3GLXg+jdyOQ+kpbx6tx6kNxNUY58lELS4fwapbAoBK+jVA31wvLtJRlh82fB/XSAeVGC +HV4Lo6OcCxG1RiHjHqwxb4lOsvh5v5rQC6YZPxj0vTI63uebhe1OH2q4cA47PkXkHhzqpH33HTm tLqrk1JL9hSrHcCIy+Gz2sEt+R/588MRq7x9Wx77cQ+EoT9ZFbSpbOvxLvrDJ0wCligu+7+ACQdY SKifm+vV16hoTdObRyK5oBZcjfEZE0wRSvzrDtgMd/XZGYQW31Z6y1UjsNyZoTmQEVNQRexwqPqv fT90LZS2oY1f4DDah/XJxci5IcjnMTHAt8tWSLKGbZ6FGh5GuABhjdaWCkCgeqkI6MWHd01F04x5 LmXU4B+3eCjD+XAAU1y9JTr9MwTXVuZ1wVE5n9aVrAIsosa0oZ1D91Z8KKmbmoPoqzbfaas6mLHu eYfju+6WHvue9eae0Xq6546S7UXYyITM1qP5NtIN+P2hr3odbKyt2BABqR6G+3mIXEOgHR/Fa1MP WyWUFlz2Lv/lD7BVbUVSVGA6nrrkaOncGzWw4vCiX6h/6QBsjVv+oBHJjnCUZiBwCQVUw97cix2L t3+EwugGZRWVq/WkKmpqEQdxKKFXBxTsDEPDb6PSRQskqIapA143Kj90Up+dmNlUL/z9ls4irt50 95kW7cqbOmO9AyZPTyffzPMtzcxK+T++QJbkDf/nS82QRExLR0W4j1j8tTE8uSOm9rKSwdZB6StL e2WF5GoBy7PH5NO+tMOYkD+SvlYRbRYdBGYcY/7rePsuIgb0rQL2akr2Iq/6gA7SQyHTf2s3RN/4 vzZCNuohNiLYUDxZ8jzerC6mU3SWecY5WLr0I6Nv0qS2a0t59mvCltlfa1S9b/fd6vty26iRoe4G AD4+Xvl14En5eu+uqCN3mtxkdcw97xebD3MiginwVgWfp56EINAHlgXSu0rdiqcIjtl+mh3tP2MH Ru4OMc+/NZwKhw8dFu3h+uPVJdIq4XlLXuDoInZwNGtwbWwQnKVOFXYXDVh+G/D2yOaHSwvH4Lxs E4/ngvg7P98oIG0km47kxQ2mPZ98oUyqY7TA6qkAJUs8LUQoUuMa34fxM8YF08O8jFSCqbSCV5Oq vKQjgB9+9+/PEov1sXaplejaC6hwuOukgLNQQTcWoYXd+iNZQwQmJxhAtw9iewEWmmSoF3IJWTiR EsuV1h9mpalrrui1D9ZFpUlwiAmrNSuyHzqISi4OHhBKMdbE8JU2lknSs4qIrjNOxHdlkBzuWs2U e4TVJ0mxVNJWBLE4Nu/WCO/FKPsWO+7wcBUzicWymg94BCS9gpIcmv+xn9bCB6ifQvs+7Bhm6WsW 5dzFXmooIc28aYhEt4ksoieoPhrzZx5OxaaxS9SKfu8P6Wxn4rWG9yQQMQMPP0YBCSyKwio76KzO oYH0jZBMFtn8YuLzExBk0TdaQmLkxljtW+JX99xw/eqLKzajFZkkLazVsgIrDNvNV+KQ9UV4T3bH pZVUrzz3j+YPNVOR1bNrmgKtF1lQDBMnUIj1beQE08mHQsOtn9toQrM2awhzI5X1hg5aBi4ae/ac kQY2thILjKq63U5cs+6bA9Uu8np1mCzPosuG17ZPyxGKje5v8M8TNkwfbNkiVq+uUns1xuw8wiGV W3g2j31+6xod6LXClUvf0QjvGlLnPaaSsLwTlD15OYQPmaAAX0ZHPZZLSgslkkvUxtAbgsLdzvsD aDf1CBHqbXQtmpq4Eg2XZUL72hOaH5ZXnOc81qeoodgn9lm942VvA+SqfFzMyE6qfxqfyhLKF2PZ gmaUp62Q3+I0fGCbsXF3/nxWAe5zGEtzTVlVfOmC/NoPeyZPNzAnsA1VMMIGvlGdbrZV6UDILBFO wJ0Ip3XkwGpqKpxnbkAVa7xv5wIKSrEVa341GZdDYyY5kXLuMoj1MaO+awgdmNiswnv+P1Dc/NzE 6ihYVyUeARpDyU5/qmtEFGmp+BTheoleN+i2y7Tpa3LVeaP8VQMDoxNHkR4eaezhFhLFu6uzFKa3 5frrjZjY47Dn0y7KypbA5msygZgrhXnnZZWXFAuMqg8ezVAh3vwLbkv4M8mR58t8ZWnO6DXiS4JU Ntkt/AVpfCRF5UkJjmMiQe9CBRumhj5VLKqm97PI/Ms7My7X4VWb2S/KlfBAXz9m2+8ebQ05juSs uTUvmh/LL6xmN9rQff/KH0omdBc16HkVLD0fJnxq/IYSV6gGrwBgi41NZpY+lvJ68BpXr3vTUFax T2UwT/of+wKaGp3HFJKMoBboDaoIuWv/bV0bfEv7/RSO7BgoNpgVaTnkFt9aWFw1KuJ0+MODDYae eK8yS3X2QJJGr48Nbnynui1YN5NOpUhankQBXqFT6/lYLqVGo8rY5vBMpEqUQY75hpc3tc8o0XL3 qRix1Jc3aBQtnBgdfhU2Mp8147DVdqHmOa29zwekT0d8lUwD2fQQ3RoSx2G+H0lODRbRg3gjIpzz OVCjB8okmefNw4C0fdkQFrzEA4/En2Xgfp7KxnBZtIdmjKkPkXXySK7cHYDxw9Fy9VLN6mqWC9R9 4v5XvI34sbOpLx/onAvCO1UBoR4vtCpk02izfXl/P9bSJA6uPYe5zZgl0GySzQM38bsaU/Uxs+8T SWyx0wpriZMNaFzXFSby/r6xHc3N47k4zDongEHLuvXJN8mXoab4soyapOL+oLg3eaWCwAY5G5Cx qcqpLArhrmy9N3eCFc70QP9erQT/LacG3iWU/vW+o3H4ebRrXZRiOj0UUv4wGkldc/x/LLjVc+9X RSY+GUodOSDw5g9OJpk+bVgAtKO1+yrZEnC3g9tOM0atTMGxDJBlCiDZiGdXU3DrWUOD+KzEJixM 9iJkyLLbPFsy1Pg1mVAF7NkU7Ip7o40m6HPGHoj+vGMgHUAuFyAPvYE35syB5fITXmFDf7l9fYrC Xp/ogC7ZBd4Vva78tTAm7UJh+IzrBNHqiYUqYDQZ/0BI+A1X/llq19l5HfZPCcReAROgKCH9DVDX T2tgfm/cWLUwFScNn0GgTXHktZ4xOtmDWvdOflifcd+JNUyHJHJgVlxcjc1/oz0KKyAXag2e93vk OWs8jLNv8UzDWJ+JUvcpc0QJtTKTyHDrzB+uUsxBzj/Y7lVPqRevhXSDXphK4lKe7zJJvC/w4EB+ unC9P3M45IJCXImyAOGB8sSPEZ3XYEicDJkPZfNxJ1T1NBtQPMJtkDHUQib0w2WrJJ7NtezrvTxk YICtnHTVMizUmU2cC8zuk3Jm7lhV1wUCao5uefzRQlTAWAhlNPaecpj/Yy5DGJeIuIND71JucV7P wFpiqpvOebNj8PauhysdSs5HfGUXHn+dgj/pVA2xofOKmFsc++J2TlItElwElsgM9EkdoSIPnrbc CfBZzuHF5Vun18SSozvpu7+X6Qz2qrbSwaiPsRLWiMmsJ6C1NwiK8Tn+5ZY/GN0NOWXM0mHKhojG HJFw2EO4KIWGjpic3jUq01Cjox6t0PwmKsQtdwFCtQ46hJWw+RuU3zIJuvi72FJtQomPcP9xSwdT jSP5NkESDkBhaObc7UJaofvpmqFjq1ExXvPHjWJ5+y8TMi/to3XheQ9etnS7fghxFAMVqAGbS8rC Ynlu7yAEkELKbpf9ASTQBT6fUi3fv7/BqblVLasK60KgnTadeSGpLgGOGSEjVAHcfJBAlLcxUBCU jJSkL4jOvhxx1CBctMJpc/ML5sW7kaT7V30J8HH61bQsC0h8bRy8QqfKF1yx1H36yZUq4qnUUOyF PKs6xaYm9tnhtbkLddhBZKtIH/3prqtB659Wcou13Bn0i2X47SLli8G4oHB0kkLcsCSAeTeGG06f jhNbnqLpYLYaQNPrSPBpkcGZjHwaRauVAZAPkl1IbGYET4ghEdo5s5oVLbbocFa48wZMJ1XA9b3/ ej+VQwKIg7jWiWJ5hEcvvnS1E1Cp6gwrkbD9Gn88MY13YcFEFZkiYRHsOgwi8RaQ5M3MLpuTNK7R cyB8R0Hd+hkYVzc2tLdtkwGBWK0aPKTeCHDeMx/13iMWs+Tp5mjQSBN5sC3q570yW4AqYadEYsjp xp+oeuI+YMu35y1l7yWCAg58bq+VLdTZ5l9+9BHKor7ATGhXbDTFontFM8io3zvjgstoisXFdiz7 9MptaKlVT4x2FOOLijNg13yBDgXPLv3vQVwPRTvD6l5v8JD0mzVgdeyhKweDV3kKhESwFYqQVCeX wu7RLdiAziz8hMhzduXFa/0kd+nS3aRzRzJ2+F1uL2T69y4FxtDHSw/jFFJRKf2+JPlRZE6ofeEM KZiZAhBaajj0WHalQ4OgTo56rhuAqFHgVa5oURq21N+p/1hgw+yhBH4Z+DCARMplHWXA8bs13Uqf yNED7/xm/3Yk3mFcL/5H1jCqrIPkXNb3o8G/ZU9zkYoH6SydZqjXOUbtvtCSEAbIfSv38mjLVDhF E1m0PWWEIS3XBga8jxJAA/BL4qcfFRFphIvR69HcBoQFL8EL6ijaFfwZV3qGJCGQ2gFkNlDvJhey mIxaY+9iAAbNpniW53DgYanwvbVErXt9fIDkZmsopRRFIkpsO/gxe8XlaBc/462Yavf46WqAKMnM KbHdrrIu2QTGRa1amX+ks3q0j8kRq464L9a+FHnm1079dkTt7hQNXndYT/rP8tXkzRCrZSh15W/P 7uuC611zHgWXcaXsPBpvbk19xSROTmGTPo5h4/5AYmol9Zb4mW8KNxZeGapDOMOc3A3Azo07uN3G C99EXRK35RntTlxGehdxcu0dwDRC5DEvC6fdMQrGEe5mf7hvrsz1JuAPrabeke/0/6DloACxu4Gq g9QxZtCUtXWdUG94mJ9g0Z38eLPuvZ0hHvETxtpc5lL9srOQnhc6G9xWdwOb6gabPBX9wafDALUk wYrjQvVKpQIsgH6LVrWV6vKI4UjeGQ3d7RW4/sJXZmSicoEEl/IkrjsuUX5LeYFbIpJhUAAWGKm8 XTzuAk0uwqodJ1BS3EKD5zaziFbAdoKrUwlrkX560kmbNuZG3sZbEdoqjwkl+w+8J6O7ICJhtsqG V0J9u8NRSFtTv72thdAHHqBSMDVZlp1f0+/VPNcWsnePijfD1Ulf9JggORvS4BHKHOEHYpo/oD55 drLVuVsRsGQ3OaOz+rCuQ4uCBGnFJWt5xTXS3iYx16k3hIq59ld7fHF0GCn67L+/Z0IwEIZPPgLC fWW8ii7hTs1HT3u2VVL/6kcv+l411WusxMmhb75JwlwUhx5mtnvCViIMAVXpS2t19IimrDAoDFeQ ZxQj2WV1SSafC/Vt6iRB/N3iXiMqon/L5L5VBJZZCJAYXRbxqwTdEnPUHOniR48ewsE7dddwZt1s +kS5o/3rMNRziHjemOdMFE4z0STrHTGAaS9PCV6BW0jeLFmk29sot7VcBFT7K3t1EkiH3pPJ2fTb hgZTdt67USt34Vmh2DcUaNLIe+MLNUTTW1dx7D/qXHdmfgZZgIQqdsxVez8mTdO+uAT1GIZqDPxu xokBjeSurYcLxQH1wKEBj3LC2hxwGQdNhzFZrFEnXeNMKehTqU1dx1TOSmDdEmzG4sLhg4o5BhRA DNMfb0RMYndYuBRtomNTYa8P5DtWPgHS+Kx1IKpsMrg+jv0ck9fFuMoK+n3ERSgUTLLI597wk7gw ojKE5/sc5C8hZFpOlNYNSSvXF2+zFvNhicdTaGCJf3HoXOv2/BndFTJELLwWlplDoG55DUjrPoEj 2aFljtLJ/OPBYAq5iJiUU7R/u2GkSXHgdKx3aOiXhAtoBH6BAtPu+Tc0IY3vUgcz1Qpo5mp2uPqQ JAkiP2ukXJdsuIgSjqyZ27yFgAkfexaQ+ZSnOyiv+gVzMqzG2IMyaN6EdECxbsUGCcRj7sEYsZIC l3V1+XDvVD1c8eSpYmXtK0fIIfFJWw6FnNozBq7xglbJNJGEjYsVsM1PiEdNeNI0680UFwEISJU3 Tn8/riLutHhW30bap4Ti56knYM3PW6v28WS2WFybfblLiXN/eP1bVmVIqljKd+Ath6cb7x5W0HEy 9HjVNezkzW6Je0pjVmH8nM5wmgPC2hRmJ0tnxFKNRhX4phPRonOmjg255HtgSCxx0jN99lNLY824 uRUT2CtjHLAWOn9ns8YXJXnEqqbMEqNj2ZQ1L8gImzrPcMU79FktJP3vwK9cbpRErhYUBtqZYoHr dPdqzFoVIEUch/ch9WiASHeoW63ejXeB7nmNBxw7XYFPSuduS7ECexmhT8zjh8NZs7pvZRqdqe4B xj5T0ScnW9jzMt+cHZfSbR8S1P0J7rsBQNP4eBTFn0Ps8WYeHhL4n9acPAXv4B/JVutyIj55YHnS ovuaPR3oqATm1Fb8DQakI8sCdpCwaBw0+dmufZyv0k5RQCmoWCJp8Tv7tttCLE5HG5FHOK5+X1ou 5XyGr9TQrIFcW1VcyX4MSw4gjclyHs1exHNqoXbhtSb4E4/L5FbfVJrCYeR+GvxD3fswmuJjp7Na j81TFst/k3EvC50JOR4RrfzSLvlhhUEbXz2B//oefRCPJmypjxdZF3KjTWl6wQ7FiGyqDPXmyVUM MyehpF1Kd+5iSWoclgh1P3PVpNjeFPP7/xXHHLGUaOwc1+cOGYDIsDbJgxe/pgTt7WP8D73SO5E0 jlT/1IPvoPuyBRXrkrYLHLv5QzUdQciYh8Q0EZEAnMX54I0xRVwt/Tz3bvjRJu6zUjZaxKY7Qlrc XGmFm1P/IiDjD9mf49GpaSRNBymDTUpZQpp7r8HAKDN7kPvuVgKQoOZlTMtrbmmG6cgKdMn7tpRD wJRWi656GeuVI+AUJOortBqPOyi7bbyC67udWk9YRzW7KCU4ejWt/+X3eXpIjno38z/GF6RqXsMT JcA0BDSkYn7uvuLD636hjQVbWrUpEnZkjIf2xBkRCbwPr3qePDCi5wXsK/cXKBy5cu0xvKznXZG9 T37sUcOR8uE5RpqvKSRHq84K7cdv6sy48a3Y6744KWmE2neJIeiUODju8SUShKvbXt6TcbI977PW nOcBn/zfejGB62/ty//1xm4zNnKg6vTxM//tSzqEjn0MYj4NgE1aAdzdgZS4hRi5NJs0PLtnmA4N 3vJir1B7Y12xTu9fygCRXbraYMIoiil83ONkxyiFWAOMzTkWSGtcqXw7MGdfx29QxoS8axJsSNke nsKiVbFPv6VnQFJUHYPRun/SX1u3NOfMURRChGrKJoP1z9vM/NsrSJYyMLFHtv3w6O2g/l9Ipbyl KCgMHeCgcPMxF5hhNtuvJp64NfElaJy86LTkQBYQWNleWfjrs354tEz/0aowK87Ji6+R18DGauxM HN+e2wot8VBzyIWTVmFyLRqfH3sAui5GBMjs68+I6lx13lNN3aGxDW307bp+VzkexRwvi8Ni+KET KzZiJqYqE8494s4dN+bj3eoDq0o7u8Z+lTi6vGlm+QJqUEWBrmUDdP3FgpJ5jU9jWybNoMyUZglp H9UWb1/3c3PvY/tclI4LXTf64O7tS+Ae25ULXhUGnkJB0gPvcBNDNwkxzXWenog2vlHKtAOnDayO aUEIK3hPgXXV6s/MPzvSjXRS11GPTNiAmxBuMhQq/6NH/4fmj/cFDyYPR33EJXqiYzgo38Y5PkVU pTNQ1kjOAnn7FHPjQCDn4B+Rop5hxuc4Lb7t3asa/BSXHRtGrtYrLxyoCs8M+7c4NlaCpK5BVgEp A2sNNNOnzP67UZNeBngTHBZlZij1HMipqrcwJRo//qUiRzv8cvEGRpV7Md58Wo1PSudHaxMBR5RT 648p7Nth52lfXuI7UC/eWtfGGuNdTZhDCxKN6bhAqdasE5vCXBcuNA8iU5yoKPwOWYJnZIpgMdIz vuUt51+Q9j4MYqphC1XgFmlH+j6aPAceHsvzzcXrECxZRlzBPTsq+dAMBKKDItHpvUVEb5q6vy0s bB73GsZEmgcF+QbYu53rIbgGJDdj/Gkaqzywi74b+qbKSdzvGSmuul8yViB6fmuTfbMlKyGJ/UUP D5o54r4ml3vhYShOJiatOixqo2rsv114XzZJaLNOo2NzqkYLB4ulPXxURfL4iwQi3Rs6hWekHfJx 0l4/NS11KVbZHeNjuXPKqcDtwPX6S9ya/Nrb8mBr4h+SkhbsmFb89sVkGGprc7n8b6Ggvexeasu0 pqV0cwoxTyNXYOr6oziH6jwa1GvwmnmF1Ky4tG/K6AbsSxJ3/I6atcsJywvqSANwARVomKFdpoaG BG5T3d4saWR1J9jrpkpRBi1TgMvxFic2Q7jCT/8bbCCSO7x3W0zUs8qZDDjWX6KjsDXfhV9rNHTK w6fp/OqH6l2W+Hejl+opgoKnaFpP2EI9HTypctYtOBbNR6xaRyMQFSOlzOm6OthsB+ZUX/k4aQ+E m0TAiHGM4OXzqecz4U4masRaDZ+OJ131r+friJAnv4/jG3zaYm0DgVdUeej+VS0IJX5iOoqkADPe jA7DeWr6B+7ONU6nb0iaNiNBY4g7c4dbzNyktHDR4AYRciuto5Sp5kOwrw/j/hX9F97uex5DnkMK Fk7YUA7TiR42iaKK+aTL0dF6RhoyijDaX7YYl4JVNGTNz3jJAzVLga475YqXs84ybZb74Vtj7n7e MgzMQHk4K2owMHHcE+QYS514vcGFZFMV/EVW7RUQjulHd75MOyJ2tgNnU9FXtupVbTIjBOxSD9mu onDG+9LzFcBN6XMO33DB+r55bhl7Xj/pZa3QJx5xErkQ92yQ+gK0lIp3ahlu1azR6VgirjE0ifzC P8D9BpRNSKB+OpkQXcijwF16MYjURQZGoFm0wPy12yJV228LNumRGPVJbRTDXzLGca8rMbLnS+La TaggC234VlCx07HtTOga9X45ANnoYlYyAWFFbaK5RVhCGXs= `protect end_protected
gpl-2.0
9926f29dfa45a5d073a2ebdf61ca92f0
0.928014
1.897986
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ALU/ALU/alu_toplevel.vhd
1
2,789
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:18:02 03/28/2016 -- Design Name: -- Module Name: ALU_Toplevel - Dataflow -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ALU_Toplevel is Port ( RA : in STD_LOGIC_VECTOR (15 downto 0); RB : in STD_LOGIC_VECTOR (15 downto 0); OP : in STD_LOGIC_VECTOR (3 downto 0); ALU_OUT : out STD_LOGIC_VECTOR (15 downto 0); SREG : out STD_LOGIC_VECTOR (3 downto 0); LDST_DAT : out STD_LOGIC_VECTOR (15 downto 0); LDST_ADR : out STD_LOGIC_VECTOR (15 downto 0)); end ALU_Toplevel; architecture Structural of ALU_Toplevel is signal ARITH : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_AR : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal LOGIC : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_LG : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal SHIFT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_SH : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal LD_MEM : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); begin arith_unit: entity work.arith_unit port map( RA => RA, RB => RB, OP => OP(2 downto 0), AR_OUT => ARITH); logical_unit: entity work.logical_unit port map( RA => RA, RB => RB, OP => OP(2 downto 0), LOG_OUT => LOGIC, SREG_OUT => SREG_LG); shift_unit: entity work.shift_unit port map( RA => RA, SHIFT => RB(7 downto 0), OP => OP(3), SHIFT_OUT => SHIFT, SREG_OUT => SREG_SH); with OP select ALU_OUT <= ARITH when "0000", -- ADD (ARITHMETIC) ARITH when "0001", -- SUB (ARITHMETIC) LOGIC when "0010", -- AND (LOGICAL) LOGIC when "0011", -- OR (LOGICAL) LOGIC when "0100", -- MOV (LOGICAL) ARITH when "0101", -- ADDI (ARITHMETIC) LOGIC when "0110",--, -- ANDI (LOGICAL) SHIFT when "0111", -- SL (SHIFT) SHIFT when "1000",--, -- SR (SHIFT) --"" when "1001", -- LW (WORD) --"" when "1010"; -- SW (WORD) RA when OTHERS; end Structural;
gpl-3.0
05f66c84753df7ae23528524b1eca0d5
0.57189
3.187429
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/ipcore_dir/DATAMEM/simulation/DATAMEM_tb.vhd
1
4,316
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: DATAMEM_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY DATAMEM_tb IS END ENTITY; ARCHITECTURE DATAMEM_tb_ARCH OF DATAMEM_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; DATAMEM_synth_inst:ENTITY work.DATAMEM_synth PORT MAP( CLK_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
gpl-3.0
61241ebc77b26a7033744701d2da4100
0.620019
4.645856
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/dsp48e1_wrapper.vhd
3
34,794
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AirNegVakR18cVZJyUoJtvjY/hNLfIgSP7oGQg5fC8Ngox2VCUgqpET8MayCDA/ctnIeWQZPChzt xSVMjErObg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hGe/nARTTHUDR9V/IqMeslHeINWNkb38GDJy+HeZEnl5ZmEYw1z19rXeCG/PgWhNMMc/bPH5xbCA jPhDR4N8v/7/cme9NyHpggXTk6Q+9+lId7mCozke1W1T7eGvKrMunih+0ooNbYBa0sRVxSKwHdCg +a3RfvST7rUodwwXJ2M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Z1cW3udWWNjWVz6LMwEHCuCYbkux4tJEyuhzbzfzs8eJz5oRGSpmI8lkq2RqlJjG60l8dmfDFuBB HnEyhnn31br7/DlkYdEeolg9KPsNXhRXotiJ2bVURQhA06MrOks4o8MzuKOou6oI3ZH1ceqTzGSD U5Xu+4i1pmpvYkb6qrcJiXH9q/M+DR0DeALXZ4GmtS0Ds+RtZz7GAQZ1RIIMgYNrbm0NY2aoIawT oSQIqc+uZTK+nMd1zxIWmlf1kVzZbXNSLr8pSqf3qLFq1aYDrYgAnczBdd369rZVeadfiXtcR5Ds dGnJ+QaLj288jgLYrRmf4lRKOALrZ+kPI8hZ+w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IZgEjj9lrH2ahixiY8NLdiOLzAIQ3eV3q3KbLoKeacZJMRdm8JwRtfJZDtmizSvzQ+zFfMXiIeDf UAXj5dtRgtZosR6lyeWgFo1/Goj/H0hY9+xLbii5apEvCJtEyGO1/L5ACA9YyMUgJgw3mKuIpLuj WkLVd7FJryYmewiWNjY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TTYcZMqCzK/1ZZGPlRo18llKJ+f4WQAug4dRpY0zzqLJ4go6qcDRSJB2cGC7gX+ksATyRea5UWaj 4yL4psRoiFGI3l58mHp05/TDQLCitag5lYich04B2FEX4Sral3+uwYMz3XU1GaNxsG8XIz6pxjf6 j3NpJmG+LGla1n1/Q70AyH47jhfMn90o/WH7YXCwSiW1UgoZSP31j4+BS1NRDUSEP+whi+30S1In kZDP+NqY2FG9DQZAoPMWb0Ch5NgVaHVQcjosLKAiB7xa0O/3C55EUfcTJIpA7rO1Dec1udaOOTva 5RCZNjkAbbD0t4jk33PbKURZilYVPiF67rLHRg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24016) `protect data_block uDZ+ok+/txoaFD5ZgjG7RbANRWf0Xwac0e4DQagySoDbWOOSw/+KlbovSuVyq14YD20vD2PD3CFs +8XvZE+hmSmt0IncWiDn4KXvgb/ENl2zmD2VRvT7brv9Wy3hKrQmYKNwjKZBbbr3imzOxeYOq4QF blYZRxoWAuQtighPibHLBCZM+vBaf9VDz+bOaJ8Cq6Kd9d41WkSByRsdeXl2siSXHAhNsxHXLsmY nIwQ4O/KXjECcuDwV2q9QKa6tYopP7GBMY95actgDF6n/gsWIVaCwae9NEJXSFp97BGnWfdZ3heW uvXTyCIbi9VFBXYMoAU6vhj9HYFtPZ7NAJ9LqKUtxukFwZLL1PNedpjimDbkBGvZDN90HuW7vBjn 0rqnJMhkLuzMvRCdqLE1xwfbM7OgFLXzU8bqmcJO7g9LudjsETvTOERwqAeRUnwmTO0pbQo+jF37 ym4byXY3hH2s3OQWsu3ISAeM0JIxmXecX6FfkSpbg9yF1DRbj8MqVsf+6cjssQQFtOQQth7F8fnQ lT9racNkgdONyk3IB8Y2fPs5af0EqCf21cC1IZ7N62xLfVZXEqfXH+qLOEn7IJQ9ER6LA7yI/POA alcd2KhebxA3dQOm6yrN7LgMZ0Z/nm1HR2t0S0un9hSRXXCRGaxHs4/Rmx6Mby0BNfjGXNru4iuN YisB6cDwp0Ucaoy0SncM8y21TOxeIXIiogGEJ9SScU68vz7MPiNIfsrAZuzpgY43rRM2TC26FKlf DjLX1EgVd0dYig4IgpIaJsJGcb5bScRNUFeIKuzjyRtQTAHoaYNpFcTKteZjSacovdZ2s3BhqqMX SutjqG5d7wBCmW6SSLpsg4A7M/2HYR35P+EKZhyCkmH3FI1JUuC+X5cqGgi5Wzbmb7+UoYCIScUv US7kl9zvG7cLCdHWgRV338bVNIBlEltEgWS/95v3TCc7vxIXDY93A/LX8vApA3f7VGxQu7S+XeS5 j14sFL+hxEMyAa/rOo+dPvCNjsroRWOFiH8M4jncEbHVMm/W0NCsDtszVnGorqwxX+TvWLHLmyj3 NntkW5AJP/FRV2jHc//el6wG2woCCo6aJwcv5iDwG7fb9rBy5pzQ1PeB8FIrTNDg8NvTRhZl8dE/ OtirTm8nxSSAYkZVWl/uh4uGU2hZKmDnfvq57O34ZH9uWlPbD4CuMN1WjtHFBGyvhvOQy3feey4s RsizTPEqmFvvu0xG/SHzEeSAt1/Of/a90YqUW32AE8mM8ZNEGVgN/GkQJq5lWq5tGqCYaMYNHWZd kKoXM+rit6vwOy8BDWTAZ3aKf3F0Lwu4rTBgB7lIBuwRotV87EnRMuDBTGBcb8xKyGGfjJR/BWCh Yd/d6YqaXF7y0Fz2NSS4ekgoe+P3hJLOMWrWiS3oK+x5c0R4F0+9DL2YAPK4BKe8i8bRtedrz7mS zZXKsTQxrYckRdnxz6lba00XOSRMwucY/BaVQMGJeOf+6+am370xfwcep+o6H2L/VU+Ur/hlZ3GP sUkvkKYtQaqTVtrDTO3fziZGSfL/m6j0OQFNZwl5aJOHtJUh4iGdOoXpT1HKZEF8tXGVG4bhMFyM dvgYmuq6Ia3zT4d/NhNuwbY01b9z1OLE5vc42aZ21snaHZW+/O93inP9y47Rq5VIRuCiE+zUrogw ik88N4u8d5Mb1oRsWhmMVfUejwRJWcmMshIgfn79HXNPtXmSfp1A/C4OaB3KhsrpJ/ltkCc+6BZG Cpqm9hloYXj0p5hZXNS3SAwtTYKc2aZCIm9f9dAqUYlQhdQR2XMyLUsIhEHPgnd/TCXf9nHjzlok huG9B+AbqOga0DdW8P6ITgEqwh8+4vyfHHnF66uO904CdqJmktt12TNwtnIzGDvu1rsJ6D427Qbo yB4O2+xhGdBbhid6HlB2Gp6qdfGy28Suj5MYooNFpES1u1ksZR9KrwIy4pxI/RnBY4+NVCCpKDk6 fNiY1nEjiLIzIj8+a2gOzbqkliEcJ1vc3D1JSzb0sWY13DHuPzpZSX1Vjn1wc9wwK1GzuaJRZM/s Pe+TDYJRgcC+yUTUZs2KHiyn1TvuA5DkShqCqFg/c5GxrTYRjAeGqdBslezALy1hzWyU/yPjvKWr JrLoT9NoZezscE92KKCrX8964qvaVjoCxdffhsxiaIGLQIo/0BSpSnwGAJpi2jBJKGKtM5gg6k58 mYH5KRSqzmRW4g9V9xnpt+m9McDSIyswKElxOEGEVJ037lBxsDn56ztwDvdlaGKNU13EjdaM2p3n zY7z+JmLz4yd6/XlY7UhWWvIAs4sAZJ6JitdYTg60L0tAZ6lOWJYhgUzaG5Jnr6XFXItO6sQBKh4 tM/kXSZfJ8cIR2P/4qgqlAkDaTdqqhBnvEKU1rxSziHZ5G+5/zsdzmUy6D/VKsU2p703Fjar0kJ9 D2BVQD85BhcGrTlkPRtEr+ZY/6g0I/9MFpSGJvWgzBhPBL+dRiEaSaZM/qAdm/3Z2i5yn8WPBJlQ XgN0WOdp1PlotWlZaiu8/Tu+BTnVvuXUYajvch+J+tnHweI13G4KfHhS5cofIQxSmqtLJ87uSJXK E2ZaJUB/4y5DQvcu2sCHV0ajD0Xm69SiPad4ea41lNhJo3Z5F9wDt0+w41U/hvTgDwDjQk124Nbm igUunRwno0XTki1YhIHXj7jjfcKIvYYXG7njcyTqm/eJwJ5nTaSebg5Beauvxp0gg5YUhAFlJItt au0f5p0oQrJGW+lp9isqVtBCCAQl42xAgw23Vhh7CRQVwy1mDH6YduWHQeaT5I3evxTSZrYQ0q2y H0k71840RbUl5DxNXBMTZ/Flvhu02V0ZLzaLJmIp6WRBHtVyDZK10TiybrgeO4Arhc3QPx8Lpj3Q YqU6ljuTn2e6kiewbCSzti2phQ3w2kSX/B1ERBlvg8rG565GbGvRlRmbzgj4iRtwxcPlrSf9gNFr 92uc0Nwq9DOMmprO5omOvacKelpkTg7otBjNY/BAiiEH71ldQFoWdhgEHXe93u0JZPEdYz2YA4hm iTTCqGS9SzC+A0PtH0RTIQFaqwDQX987Eluo6fl7Hwzvt2T1u+j9R6caGJNKYJuJB7irTh9Y9L5Y R5VZS0H+bz2GjSShfQDKKlVdlOyvsFsmRh7SYKC/E9fs+9VZYakATUf48nN5zJEPs2jwilD19rRW pVGY9Jzz4IGX31/756OtuoXekHH+Ri+qU3ME4Y8hyuECM9cHwJhUtELwEdlCtF8mTYVFjHeTicNn N2AnS80OKEEbafEcj8Yhrjf779wyT8rKj2QcLa6GOvEyVMo7Un0r3yJqaFJwwnY23Jh4h+r08hYB 9pn9CtyZ12k+eLL+TsF/DNzjRCeOJRmulSzFFNu++IpMjbeov6zzihEduKe8ce+zWq1HUXT8+Qlm 4gMA9824GWs2MWESwzVPrLUoPITG23w4Z5GOfN0OwYKh9Jow66NYxMixkoHYjIJXjaTEvtw7770A j2KZ5Ok5YrX/QnRkT/mqrNwQkvDGSXZOFG8csg99qtR//odacvWaEIdGUoTC/xIx0C86VdIoLWnB Pla7YUXinU5UEpdvtA8+umVbb4HaQMjkbJyB+WIKbtJeUZcpQ50I8bFzD0E88pM35AeFiVPCxcjH H/yipULKkgMaRqOpEto3kH6owASjdgHxRVvyJe144dK+vtf2r+v+o8/r8augD/SVcreIwqKOQJfX BTGZ3jASsJ9HSzoFjpTApPp324MW0xgikmeI/DchgJ2PR0IKKpj261El1n4vmKFrSSUWF/iR71Mf tc6CEiknBfsib0sr1j2pR/OI5ed8uSAfXUw7dcU5wCZAXa0Ne4bWr7t58XBp81YRUrwLCsuGoA3w 5dPj/sKgVT5UiWbuW8RtteLPO6Ws4H6k9pvv6IsZaR/Jq54uEbfNronyIG/lOaXKOipK7OaCYP0w UyWkwayWrw2VyHHvRl4qUVs65LgdWQOYjKJl6VjxUk3zdZ+R1Q4PFUJiImE5fvrn7kfg8rIuFMnb K2MlnIPlKA8OlLTd8MGzR+rxmW2gE1qfntMUzK1lcGn/4CMUfCvToq0Hrbv/w35CAXsCCvTn29/K hWKqWJVE5h9pus2nyt7KEOgCbGPKRsK60mAZsHL14oMTGKf0Mblx50DHmO5UBkzFWUklf7mHzvkd 61GDhftIGLb7ROkAYdFWyhPGeRP+SHJm0O3r+xHmyMFmBuqQZuKdpGZaNV1tkfjLDnu/gl1sqVBY PqMQzT9qYCSScLIASsvhjqKmMfi/VQNuPfbIAObrtPGTRQm/9qGbHegczy+jnx+IhUbfv07FtcWB 3ylZvZIqL70VUe+vK0ZOL6mA0bwMJSaAXymb4rbS+70IlYcoJb+mpbUxLxK8UCVUzAnVAP6fFQls DnWEm6pvfFyfRc89PJJvgXqh3JcFsFzAVULc5+/KkgopWsUDQ1UOwcLoHL0VeVJs1m90wHjI0wEI bUlXlqLwZc4qtmVNLXJpzo3u1BdKun6+7uc5M2ItUn9H2IOhZYNuj/AeNJErTt3KluXU+Ylrzhb5 yijeIP4yfz4Yk0zbIxbdihz3bFtaYrTnuYZXhYv3huprGQi05ewMSD8jpI4iOnk+m3FgniyJ89kp pRTauP2R65AoiX5RqeiAnP8dTeDaQaOcdmXwNAS9wwnb4OI4/LZr5fMR+4EKZfq0GSgxT2qguPle IKHVGzhBiV7Y/TOxdaz61Sd8ojD6eYeyRrWg5wKwQ57wUIEBv5779JSQdVBrNTZ3g67QhIgRT6n0 sjesdUGY3WEWUUNYA7r+NKJv7RoJp+RyuM/GJ6bRusx34QhfpHj3QuBLeDloJbpdyzKiDjst8ddh pRbNPSZ0+sLueYveO9dcgF8ES1tenRt9d2DSxYaPtNx6AinW7NM2KxmkOqZ3bxHIvIun7eSf2qWx rdrV6YmIlaRu9VIxnksR1Jjc0yhE4+5l/PpodBYYIHzM+dnynfBH8ph1S72Ecyuspkxikhx6Ovae NAHHYK50goHPFieQnPn5JCnO9nq/+KS8VW2SpYO9KgkIA8N3b+dJZJC35uDc5edI9YuD9KywJA8r izymB43SfQEmQpef1lPJI18ULDOk4VJ6p1T+o0x2+khv9X7e4p7NODeF6xASXfnVAJU4kyrzyfe/ hXROggc6Fs5YoZSlF64iaNw330mecWZHuhdhKFq7Vb+eRoch0XAbxQZvazd0HQ4oawEcdItrTyGw X6ed8NJKfNeHuv0WCnZzGBv8eUNO2B6hVXBZYW8q26WUJ+6GqSLJtgXt1veqUwmK71ASowVCpIym qsjGzEhdGvFfOLMDidmNLvkXAHTEJyjV99AtnzCIx1CcowckroOBO9MAZ4/rTiBbxmdPL3QwWVCO tV4snb4J0xZHn8xQL6iNBzbaWhhREJNOp65UtHQBM8cCk5ou6pZ07jxBf6DH2kQpCRLve6PVSUP1 91Ohl5TLWY2jY7O3wkWh65xO/5JaoiAspYAKx2qK4gVpndQEwWkwMw64qvKoGq3lIiz0UG/V9F38 tWU8RKQcd0nAQUIYQdd8qLUPRFr8k6Q88eyuvk6KQ4Jm7sVCc15B3VQBXgF0QoBODtAhKOzlf3ih RYWFN/eDxp+bGI3uM6MBBMx+dQmWp6lEKc5EoLH+xQQyr/VvgZfgLZRAltY0Iun2LvhF05VTDF3b dCJQNF6eGB/y+235Avnsp12eVXyC1lnDMkjvadGhqXTu579GmNpYlaTWc0TjfcPAPovXoal1wNDC MIDRxgpq2nncwv6sEYKd6MQ0mU3q/kgKwlOVjNWC78C2r9aejAF3kkAAosulN8brlNXA9WCTKm2e YBKoz7Ni8g+KKbzDcMpIXCsRHdgDePrjPKkYEca3okIpbZtHwMmil9IfivEauodyEwT7fs9cAtdr 0Fk8jnMPQNSqM14dANWHRZ5WM+go8oqCWYEUPxjD6vyjU6LyeWWVfkMdcI+akRAfuwF5LxqdyQ+B bLepNmY5H9b0K6UP+CdFfCfLZiPtLLelrL7m7w9fCeCdrSvcOJFcfkfb1zUC9auSWOwvtm9wTLT1 rEvxY+IJxq4g1agtlxW2B9dm5/rTTRk3baWNPwWvUCirP5e8/lOBxnB5UfjjOqK40XUu0j3Ugs8R Xzk8WZpF2H8/E23Hqt46luHBhiPdLtp6WjO0fc/stPxNOMmiDhSO5lpZ4CndZNl+4e98hHGgHvFo kjwZiFngF7w1mQNw0ttKrt0rW38YpoULS0Jx4wlDXbjP6jKuyT/OrPo9S2YHMdW7kVjwva5p75eX XZrKb8Q/b9X+1BqIKE1sJJ9ozzqzDxUNjocuX5dngNc2D2aCGAiuUYdU6ayAeDbrXHUJWxMKxjdv YoJhZ+GkU6wxu8GfBlu8FSsbs+9d4F6TS29ivRF+J2/x/eEyZH5ZPg44vJr39s4Kjbi/g/3Qoq7S 4Di9cTPBfV6RBObs9dn1UtJaWuwtmFJdGve/dJOOfHBtuqOxlxN36W4Qk5EghZVb6DVKIAoob6LY BaGKiDtyL01BASC2AvhDip8AdOxGL6W18NrZFhJ6PRs+l3DHu7x1n+mi2bQVdMrYPakUvrepxb7u cjJKqmYzFyoT7f4RYgNbG3CZJSidHrhMEnVAgOttVBKJqjot5xQV5AhsOw8InQyuL7KNlvbC/jap TUhCQbT/mCcFVR19cAdNhV2+mynZAOhxHa1YDT2SWL7c6hYW4IIANWj9aSoRhdQ8Maohb6dLJumJ 70/sW9WAMst9aOVVT6RVxhck89yPFPV0uke97RS67v0Wqu1p64FtmEDQIFepx2zMcGgfOSZviFiV daGYtumZRIyKqzDeU0BDzPkeHtvGqRuUnGvI91wpqQm3FQA3wUq7a0MbS8MvVsbvcSbDbGEMgTO2 l/h9JbCyys+UoDWwm6Lr1f3JxzOLrR1lzNZp352hFMtXVpOiCWSv2bO/1yZg0Yh0EpH0MV2UqKrt ln8s5UgvXLCmc87MsUaSRCw8qZUjGOlkOwyclkzTH3XqUYGvnPaAEz3kSKMwBP5eZaYTRKFI6mwt TsN4L/eK/HhmbQkczdoy6QAXCPmlQrTdgNQo+cdDLYhWerz2Ay2q75MapU/iaALaaXVJkN1yWNHU vheEiIZ0tIpwR7CW5vd8dnqVjasL/gC5Z0UNQ1shBlkXucY0nKQkNFVRmhh2nDovyzgfZyjn++jH JzYbnejvrHxZaFD8gvIPxUZTo1HCszlR2frkyPaVwInJ9rwCKpJBrks//lLd0Ke7Upc4ltnwHwZt tdmmscR2cA3xMUezOklu15f7ITnh6NO2oHjI8jHOSKvyVxPKcG198kk+wLFGeZV7QyseTPwOclAj thlijvSCbk6/cXN9Ul4QgYfHXqC5cVgsscd51EzFWoR8uQWiVbr7nHzVILZK19aqgi2tlrsr2KQZ O/vNJ8l93roy5gyJsiDTLByUeF0CQb6uZIMweseWjr0ko8/L3ydyf5aKr+qihzGp7uNp39OQjw+9 +HrkdhDaedi0k6yoK6+m8s/KsN3AP0WFcjvZ4eWJgWHjqU7aA0dm6bDMJAUOwc4Muu9TtSvbcJLO EsnmyzNdwrl75QfCLn0WYlfaVIhUSwCgN8yQFGOi3HUqpUEB1+yvSmfed9mpHZrnV8nq9kJgAAqm EkjQ8VDsMZI++1O0KBP1Ad7v+hzCrRL/GhxAhOmnBzXvYRhmrVSNmUDefzdhInQxn2PjUvWWzyKp EUZEUOEPHNlRkfFcpf+A7wXoX32l9+tGhNTfLPaBLOb4s2djLkJqzpOXRDNXdmfSj2flHOeTJTyR vVJr6o3+KkRquUGmjOjBtz43KIeFVk5tpUdoE3c8C91v5yILoIXsqZYfCN0sVDUrtFts0cM5P3PR NU0Kki3NWDLJXui34I9r0jZ/Tgjkb9bdlZTwRUXN0OjKs1Lycu4bqPl3eccG6fN9/Pj7VbocFyYG R0fq3MDCbAlRE9Qu5iK9FwWgwQKYxZ5QewjH/ssh2m3fSH4x5YOl1J9AMXi6qDlpqO1K4jFEnlfo pv8c4ylS2KZtEBegI8t4uX4qwiJV6aVkPK07Zr1qzDTwNqpFryP0g5akIo4IZmS078xjiJvsP4xh XmY5JWvg93OrKcjC3JRCR0TnA35xAoiD03db7guau8VYpusFmyJnIoH6Fb2c+hd5T8wTfELHUFKs dwOx9EJ1OUyFq81FbSSLhd7lz3fmAQ+PxbxyNoyXIcDpiepJgndFUrV6xvFI/EG06qkXJVxTTGo0 J7BoZiTnoNVjLG/7MVl8g2Akpot8oB4E6yoK3fNzawnuiZvzQcgS7HwpfBP4HHuNh2V/d0nvRRsZ gggY1fjqmY5VIIj5OHUiDZqwEdpJX7wLoY83XBgBNA4bCgAmYg4j7GkHz+LQ2O/pTUSr25jFYgus HzfhqBhALJHVHAP+UPYooT+I9iJlCYAp5w8b7Ob5TZJUk7fYCJwotwckplXVz6RtUnpQ2kMEUQgg UYwn03Aul+KvGSHxqW4OeZs3e+3BQi0CvDiDG7oo2YtGrfBl8tbvQmGu/3MEK7KZEQ7UOvxym64V rfbSTR3Sxh2dNzFjXUVZWeeNA6NbPufz4SuJUTMldxbBbFefDKZAF9xaHbT0MFA2dWsMZFRVA9yH JginiEbXiNfYsUPwc/j3acyPvWSCUZ4K4IrcwLb92BGo9MxTgBw+4G+Gk785m5pPo63byW4QgZqa +H69j/NS1ok+YBjiTWtMVRnixphgcXxwDVGOfQLCt3+MyjilU0nVqNCdrZMD+HlV9IKB9/F0oybC KXRVA4ka5Qy+B2e8hKsxddKY1cATkwwoi5Zp42gkcDMj5v4lBpcyqS7XksRyvmy/jzu4ALM5GaCN lRNW5JPR3dALI/pGmydoEzmQ9uMAXQgYaAqSYKssgKQyQQpsMu73a1fkUAKSiPK+vhUbHga5FrR+ nOx6bvu/Dumc1pTbayrYCdJQ6NYgeERa7S+hLrRqsjNaKOOMFBm1Tnq2BZffKMq0Q0+4oBn4+0xw SitSJYC0EbEKyxHn6LAyjvSYnR/c8xYzHh3FsdbzWNLPp7pIT0F5kEpRhv8p3JWIHAGyWt6lJade lfwDxe1VlHjKCiVZthyN12zRLRuFNrvJYGbjylAOKEVS2eNd/99vUjH1bHW52byZqKekGYKqJuaR XtE6W3kHYukbljJYFYQ/dyig0a/+EaxS2FT+ELyPtNJe8ME8zQrTgrowa431BiFYqFGH6AVjpn2i mL7ZGgG/URns0LAiiPDXXVfEulfWVOaxbhv+F+F4lPw93ICGqz8GRW/VUgDzUzRS49wr5YCI1Amd odjmQ4mwt+jL3IIrtsuFolpnAdbF/LdbW/5zcbMv/cQksWGJCrHOQUVSeuxY/bf3aNKY5NDMGHiZ tpdFS0QD5NYXzOx5cQ1iG122yP1AFSYLtQOilvUnUMd5J8D3GLbMQjC9oyygMMcgSrai1V7mzC7K CPUMB6Nf+xhNFsQPaZKZ9Px1lI/gMbPR9GF1VSq60y+M8j+4HASunYdCCJmOVej3+HrtA9wwkvjf D/cSVKvJm/6bnl9d77vu/kvhgSFReH7I4OAyYFCEsme009zolKgz6LjDyJAQnszBMed4u22nFhXc gect/ASxTmaZTMNIblc2QTzEUnepPlcf8SuTloctVsG9v8hZ5oSYp+CzLEjq9HBYsuMuR2wP0Pi+ pA6YbSDlabzM5TnNAFMsiC9+qUGZ1b2+sA0wvo/ZsJNo2gY1Qo4ezn+1wEijz/EZp/5w+mB8T8J2 TJ4EGCWAQIQ0S5HpBpL4rbJ0B0/wAmvcQEytHL3KBBcWXSTqmcMALEW4kqzoRo+HqfSWzDbxG7uA rapE7JKNSUo9OVrdRI7gTaM6S7v4bMYYLt4BbbMWJmAv13/xcPferbU5FRjR2h+pWWY3vr+keIhK eL90gHW99jLevjJ65a/WCU/arl1a0gqwEr4s2raYKWpuFkZpjWxtbTXhXWLKPAjXi+DG7IFiKlat TZFpP873CGYkuIX/Mh10XKr+He7PR3WRDvSDUGuJaR8trZ3HHWzEOc08ig2QGSKBvvGujjY2NdK6 XrSaC/PMiAI/q2ULQNC9IkX/LplfsAAC8lLf5fpLSJ0dbnODCZVEk8wHzPLdmfyhSmRkbkpDDDhi 8m36r2Gmxw+UttDPLoPaDv0s2z97JnIrWzLJn3b4IpbHFVGVjE90/MMBe9hxUYLyX5wptBLAxX8A 2LKnSWWLkQs0I6fe4JGQUkS4nMDUEvJKVrnpggtjNfrfVQJODjB+CLQHlBYAaO4aqeemthVQZppZ wKJE1mi2CaXAjViSiKqc2+clS5Pvo4yZU4Ml2OQcmAJJ5qqklKduYdzkX6YG2cwGNn4ihLKdeLmy ynh1gn2Mm61YWOau3VDzKo0UjBEuUwqBUkEAiIyFnhCTITgizjwgn6xDRO9/DHrcoRsEfv8e99O2 1DQrXf1/GXHRaA/Px9ufTqNwk6YsUXEEgrBxDwauqeDd3gYJlppkUd0IIj2eWiQrvUTZpeviJm/j qgbU637JWta1n5yow2UOy6UATBnwFpZDxI0Ko/L8VctjDX+w3NqqScrVTpFUSZ86QJZWPSy9o+91 5laZEWsOe6S8b2OPmZuKWlqE/HeXF5JzWpb1x4kUJZtKkZg36o7I2Vp7SkrNZNv9HIsKaq1OTXbr zEcrm/TKj+RC01CPpJaHns9l20Dqy0U9Lz+X8IEo8ywoXZgS7drY6vHd90hqYXYyWHkTT3jrgyXI 9dMsQOXsAGpUYjY/3mITPxp6/vx/H2modtj81CoeMCHfb9sPsnrsJMLlN+W+cdnAU1OHycgN2R8K UZCytrnGBuNTry8GIhtKq2fdjcbGRWv81fK6GmVhRzGYbu22cD5GcQCf8ML+CI4hasPyZ9zh09LD wtoWSccs+PblTlIOY7yDBuQYNT4HpoAJY2v4JTxgIVZmDXwaCI3obEA9HNBFvG6Un2zTp3FbjkZp ckbmvHB+JhhC+pQnPWIcikbg4Kb7yT0N6ew3E1AQ0lxw8xVxi0fy3k1yxf4qPmM0IZS+nasJUC+h mGo05jtptP+MktPysAGP3z2dPpIx9ZHGp8sQ6GWOA6hEQGm8E6ziUzB+LSDyxbUiamMxEPMPA0tE TxcS/mt9h3K0ud4m13045JZyvuVum4Jc2g3Zj/tclYOWF7wbsq45m3TRDi2JHgkHlWvalo/mvuVd N54w/8uevo9QZwxPAByDaNDzC5HnVXnAzusS3RXTVT9dZ8zSm1EVuAvcNTWMlQO54IpoLoXoewF6 5YvicMAIRd2Zn4in/TUe81odDZh4tUpuJzfhpsgrwjaj19/KHso6gOTxOJOn8iJyZzY4CNlzstn9 23KXTfFfEBq1WtobG1n7Td/jik63oFkbAqbpVFp4mzKxqUFhcsi3XtpvRT1ztTPLuwqSvYyc65RZ UMSq7AtA0IbFu20lwNXmRRtWilM+Fxv/T0fJK+m0jMpdNWCxqxc9eV/6MPNxdauyWw9ZHy5G9cnp KrWoNq2pk4nuKm6btXdChJmOQyScOxea8AiPgEgFZVDjjsVztaWf0BWhakhrcWLIXwAjFFtkHEBM W6jcdd3S3HaIo1AFAGv82lP1BwFwUjwTW/IUZz3vfNJmbFIDQllus3Gb2IjB5DUGq4RfHMJskAw3 TKXp84WmAa/Qzjvqe4+X+TF7+UV4YXttu1lA78su40gt2EZcPohwXEyJ9S0MK4hqHsUaeSszGC/0 erhTgTvTUBEsh+3qHW5AxNZl15cUyDRxiRQhl/0GbadjA9/S5tWXeVXKU/C8DjyMNxvX1r9Vrx6L Fs0+HwLOuU7JmZ0iUyz5a3d6HFnwVUkEl3Isp8YwFS/v/r8swGkpbG3YC33eZG93FZPE/asyj2j3 CVqDzO7z4cIqYLY0TgsvNtVWEUmBinAMPZU01hlKozWll1oRyD3xp0Vw++AzM3LvpOfAqGQXieoa Vh0K1FDhyuJ0WpuAVopR4y6Dji1QPW1OF/9+0htgViwnVHRgHlxMjfmfbKui5aqxKp4DfSS2MT4v C5hHy6cPI3QRiFL8+Zpp+0w7wfXbAN2AAgU65NUHV818GtC7TQYbW9TUxPyb2zvzxj/JhjFmjHbT 3j25OZs/eibWZZSMoC3Yz/572yNnlHhDGKqgnSk47mT/ISaZ6r78obmw6dEy488qj7zjL2kWRDZn oK2RAZKUlvcTy9wN3+eSCyKnpeumKQ23+LVYtxs9JyqSjrfn3Zax5rEc/h65EcppJCc3g5dVwe9i YoWBdWLK8SWC6q3fG3eWA1WcDx/u2zByJGOR6jK8VXv350Q6D4RRsVBR3yfChKAb3X2b/DyspsBf P0+iIRHY5MlDDQBT36YHqjEPrEUnAP1PXf7xbHW60L/QZpxOlI+O7sInzW9CaQCgy1v8OTJDr+7a pKePVFt5AEqCgf99S+WcMAf6D1kKRYuPS82B2rvGJ3YAWo72U1AdJbpZqeXiHKWOtAb4SeE34jzf VKAdGxH51wovNGH6yVTvUOBZ1tklg2vVeaqpeKaZN7S1AlkiSaKFRy6d+3ZJrsaSAzbJLV6F6NHE Izy6Ph7kF+6wHiw2AAveu8BriSWomdVfyhCNuUkIZDNW8sdlx7E3+kHHhpD8N5uDfavPahg0Pg++ PthCM7qemojFr+n7nlr21QASf3un1/GzfzpY4SG2PXXUnH39VlzLFFXxHDwBBwH0C7CkRfpFFGOx oiRP09hBOGD9qOORbqQv27KWeKnhMPntt+yuX6RagW+Ova9y2p72yfa47iC02k9at15GHF4uFoJE iPAgUQMnzjYHt6VVpGaf4utYAK/Znz1HicKEHOgyLJ58d4SJPdgN3QA1J21v7o2C3EU5N8LXL1Ri 8Q8ikenHVhnoQVHwX3tiX0xXa8d2P7ufJoASzUUNfYuX4a1ebeL9O1Dqy1usH56gUIlehuADPyad EVkaTURJyAuxjks4fDFrBYl80Lvzxohvs9O6TPty9ibPvPwYwAfhJFeCpWUSOScxGz2bSS54YezH K3K8UKbrF7k+nP6OeGudXFBXZm8Cz1oIbswsZNgqxei1/Ac8aB+f+ZdRNf6XgokmkT+xvcUNQoSb mcRklN28oIrmxvaluExk5Q9Qr7drbaJAOWgn4SyKFIB7qfewTP6VP90lfnqysmxuD7vNTB10svOt 3nKFpJY2ShIedD0/ogU3zG10elEup93teG826D7wtYtNc3Xk3pLN3KziJOVQVocMApESz/GeBd0E 6sEMuQhxrplR+bofZhG6soO4KZzOEmqzggjgfVF/Ldaa5Aywrkh2I81rgo6H8I621hCcdgiNphs9 jOuTyUv0W8ZGh1WdW3vj3WdR61Ez3DGJlcBJRHIvngvLqtxhpWR97Ay84sO6r0vtY8zijmDduZzM lxDvtvMqlCNjSFcFDki7nsWYV0PLSreS9l70NkkNGKKV2MnTRGMdGsJtWPYNb11iksnTa9imSUi/ DRqTcKgV42b8DuQNC4Dq/lsaj24u8DOPy8hDp05tBANxkEDGXdemP2ogit2X4TySEh6G5a0mev96 TNnrPSasyhzKSOpWb6XsBfm7eFh2mtcKlTv9SAoKBRXGL407zFLIW9ONQFA98E+M2YhJ10Dk92J9 2JZiLKzWt9MdJq3ttoxvnmEYML5DMVn8C2UVpYFtl9s+QVSEdDbQ4fA8ux/AWnim8mShcSIxT+qP Fqa+yqSx4Dj8bUS/e9L0mwAqob7T0XWR+QKwWKXz7IaEB73ej2pOXuWYWrxurdKbp8xLEFdaf9XJ EkPm1tc9wYiDDTEff87YbkQTE3k48fBK1l/rZpHEA94NpN/ilsZukTbXOi4OFCn0pUYPRJIag/zy 8fPgz1DdlBjP0jPVJDe05LFTPLNXDQfcoF3kQjrU8e4rKQK2TVLjtfuUvlsDnOjYYYzeX5MLSUqt M/9qEYSySIZwsDHdPtrjR6AD3csxJ/dyz8RZ6lDRJQU1EvM8wfrCISkj6P7kXLid7Q1sKqNMkfzd Vi8CxYilh8JUfdhCKZvPwYSOQfKi1dXTMLvg405JK7DI59sc602h5ujl1cvPTBUSpNyRv27QNUTQ k25uy99HnFayWS0aK/Iiw/Vannzk+NKvoD8cMm3hy5timPzqmEaJ4S3ezwDdYl+ggWbtEl6r69aP 6EiCRl5NnmyHXDt7l9948EyFFYQU2bSPWF4Tots5j5NpMCQ86zzPXyjegmLhPdlQfyWOei1gFhwx pb+K29skFerDXXq4ekpEgvyyIdm2X5Rf+M7qsLXz1ZaYDAKd7z2A2C2w/kDGz8UB1Zlv/WA7IRxk OON/SxjJUePy8tVLDqiC29PbZy0CYFzFfAoJUqAiBgdbcoksT7eRWp/30tVUZmChzR043eyrt+Nx dG6L9GFWvtnRhMctjhlw6RPoqFSpjPuqn81BU7vXGIbdOBXS6qhzSR4m7voUJXrYNFVMy4kox04f fRxXRcdFVVf5PREIIAKWcRu8DC8ewu2/vB5c8HwXWc3L8sJtWSNr3aVVugadVsl1ZIdt5UfC2lfx ooSwqlq6MmevQtHk/7phCE1Izz6szdBWQ4xXADyXwjsByeOLe0BVE+iQCU43T2lg13WrdFAMlfie 6qJKdyjLbwzR424P0P1q+9BjOTQF9K9+ys6FyP6+ifS85MOmY1N1UK651/GSz7yx51LjGMkvVXrX yf2gRWFf++zXpN2ohADQba9JlEhEpEVf8mOCU55c17L6zJlSx8E2YVw8FmBYiqv/8/LqDAVAb1qv KT+UR2v1madcHSJ1N1+NFbneVUzw+SMsd3L4R710vOX1eOZ+qiUCySJ3wCpVbUsp4Qk4xcYNsZ1n rwVBX97YEIgftg0UeSUfOCAvxYV8jCITacZ9WJ5xuWkV1tRLH4PPGlGXSsiJbKMf0PlCGWO/XY81 lHDwyo18/dn6yBd5jDpOFOXOqBovNtBGSXk8xIBxbAAaBnP+G+huUHlrLnuNihdcv1snSjc/9QhB 0x3piv1M9J+hxwY1AACOF3trMPEsBNlwKZVweUTwp14MTTkLNiTNaZlHvcucFwa54koHac8KtOPz S7B46tT6kGi5AoSG1NM/Yuy2j6dVpnoQQnGSGr8SNUuAyu5QrQJj/u0l1ITeswG3eo5wi+XerMsY ku+VlqpXTQPQ8XH7utWsDLwVfiRjDnqDhMAOlioiT87SUsWQ3earxi3HV4tTE8HR8nRgd47Adgcg E/SkQwyXSopEoMqqNJm5EfbZ5CVVBmhN7Kl1nAtc41yU8VUnGe1VGNqtA41bicv1ptWhloMQnek/ Np1dBhipOXhOuZ0XAv26mdRc94zyCqkiQD3B7A6XKmRmiUdtdgA0c9VC8sulh5H8CNohRcvF53l+ Wz/o8yplk6pil9Q/azVUD/R+aDZA6tgCs4lyCGidJecliV/EJULa2mQvx80eXbRoHon4uw7pwknZ oW2p8KmmAhMPFZ+1ldGk/OMYTbPVj48/xeBGQUDyY4rzaOJ+y0tTGNcfNiW8N6toLgPdyHnU30AM I9kFjZC0hSsHRkBM53NpinvJpregX6BxzTCmagQkfaC7ok6mvf/CwBAXuRMB8X/t4HOxt0tU0uve nHnIxulVF7n99pDwAk/tKeCcfYUaIc4lLxbYyJWX3iGcSIJrF1QlWv/b+G1jlG/uZTTOIfoGLlaD Cb608RQnwOSq5Yd5CIjE1UKWzz3ZRSsGHJlUJZ50GrEtVL+yMhkZ6U1ODg8xwXy0gURpdoA4oYjk /2Kclez7qKe3tP5tN9K2CxcQlUlCZnYu7ecE4aofUzhZ4P91ron7SJAdIGpYRBOwI4AoDPIsMujr /4v94pfQXTvQRel298xPOM9ZAJUiBAz+koqfZ6FmJHzt8ox4qdF6s1Sj57sygzDcbmLT3yjqPU7l dZI9fA1mhdiF2lwoXD450m3jOOLXe7zhK/XJseyopgTA0IY8IA/h46n0ANc1kd4NuTPFfyiyZATm veLCu6biCjEVThY6C+Y1UJJlH9vTzlir90pUdKGQrgt+YpBxBZKWtm38voxj/EuzTlJjwu7+nx2z fnV3SeyX75OmpqHE0o72qsqH/XB6kKxQiMpA6m+ofDgMlTjFxmnAFi2F/HURUb0ILmKrbgoSkgcp SK4atCXTVDoy6kU+oLh+Jl+gWRTJPSUvah5hIsP19poZd+btZqKCCViNujyMlRKi02qj0RAFRGU5 Yua2Wc3JoM1V8ecdYglhdvFDrkRmD4bCcPCIKDpZdnzhigISKVrVPMwcI/eRYLSU8SzMdkeWVsPk xcvtAjvN/MXWBctaaHOGJUG0wnAlklBQ/5mEN5uFuL2fgVJjwPhPclNxa2pdf+dpiTcQKruZDhCF m3UJkXXzazew4bb9S1sp3YHAgQCD5P3RbtZOnP4gpmpS91qKKkGNTafw5ka/5F5eFrkMx6NU1YSC 50lKh3uY8mEKyvja9BhTP25y0pNXU7gH2eL1ABO0dzN/QCYlg02f60MVDWEwv7wckalyti9qFHF1 oY12fEGUcPo+tl9JLFyA+2cEqs0gHGJHcP10MKul2ZQTJ0jw27zzbgf4BT8BPEaxPbh7NLh4Lvfc DE0BRqDeDgHPammDxxd5kxPixvipvpN+xi/5BzcCxD2XexVdPV7MUkk/+TgG8ikw613hJ85lnI2s LrRRO79gg8wUKjpYjhUkwP/UtrbdvUEcudWkTqDpyu3xxxc91Bype5W3xTSLdXBnTnwkokFEZMW+ qSJdDWocNx5VE6YHin1npmS+ZXjeIV7NXb8mie9Ksu6vUrPFti/vSaTz7PHQLM7AnYSkvpKw6qhK fWO6j/uBCeUoIIRe/wZCN9SjapPgeRI1wV00J9g324vTZVzF9SOOn9J7m9Ai9H7DISNkl6rDspRi pdFNVboR89H3lVnpf0ujAJ/8/GTjAP5TSq0RUaqGHyVRDcJW0nCjrr6bgx3Ds9lalY0NfGU9BArC P6sTBu5J/n/2qoCTdbrNuMDTrDGdJkvWT/FYG4Fk0jc8/HmeMPl2hxJVpZbhc1nc7LsZhPrI9KAY JdDfv7qI1HK8689rY4XwU5VhLZ+wT/fpjtJZYJp2Ng9gVAjVeYByNyE7insPUWjU2fmk6toC7auW lJORO55HKJePzaxM291S7UoGih3mGD6iIsIOCAOWhph9yKYxZmc5eDwCF9BFus/PW0om9vv+J39J ep8F1ClFqc3MTp3mLtYrgVsbw7yhdPUR7Ba8xoPJohgNGQ7mp29aPYara1nM2V5dzrdbhPfkQMiX jXNW7QzxxQmvj5Axtk7RLGGZO2IPfA3I8f90uXMtQamTvehTovmUzE/yyF84BSo1Ly0nld+ehedb RYhyhu6koNY2am1wlH66Rfbg/La1klipVPEbqnAs1fuQS6dz014sqsqaHFIf0mWRvmwt3lqcFR1D +/mm5VaCKpMi2dwDQ0dD7ihtgHQ/BKy+HiHzH0rekDPq35zVNdwiqxWKhiVU0408L9qU0yVNz7mn WlGQAfCXQJx6ZFd/7+BCTm8xLtgnW8FTaTIFtZ/aRWqe/VbpsHGXO4bqRA2Tgk1/rR9ttQnJwWg4 eMFgZ7/RaVjXuj9vPQUhHch7mkwbD168BcrIFcYEkTjfN6r7kQZol4Z3ZECFiES3b1ggfXR4E0Xo 87sVkaUWySk3vebvWvddcTzhISW0hDRKtGYAy1qjBxddLT0cI3JkxB3pFFhYeteegc0Cu2CNBhpo AHl9dknDJemCHAQd6/cE3x1p++EfFY11CB4M/KTrdDV4JSjdsoLtpx5hsDbag3ve7D57K4glRe+k XYkHQsLiEYICnsTSk8fBW9MN7zHwLcHodxW5Vow6AJvfM3njJbEXrRdiy9xQk8Jgt3v3wTYBNkDU cr2h5ktNF1VsUjN5EkiJhQaRnh+NXmxAsBEZFQ/64FvRuFXBQqR7yvJ5qFDkWrZWksek5NjMJYkX 3tGaMkXbo3MRBVVPmF9cOMvE4wiyeDRNmS5NFANJ64TUWxIdr7HSsgcFiVuWmtvKBDaaHODPq0tn BpPz+y7zlfsJShOagjoSDRgykxyaW/x3FDBwkv7B0WEuW+6XwPJjRqW2iNXwLU24yogBzPu1Sxu2 u2zQO95Bd60/TeRFsZEEj3ohTEm6q9tIpu3TweTi5DziP6I3dbjzqUvRCQ1dyo/Mh8KXIZ+5sd9r ekCM9fGAAq9CamaRxGntxDf+qYT/p5TiRclv/EeAJ66hnPDihQ6u0zdKA6SV5QEvmzY+XdgjDi49 VqOx9FLuL/cPkd25mnQsOWXy2Uzt47TVoXBlAaw5A5VnJCayfKVGR8i1HyGxH2RAOxnWFRKmQaUE zS5GxzkkgMwyEOTAMki+/9f484FRCc5YQLU0jD7pq0PQuDNMZLqDHg5y7Jci+SZFnS14rdJu9GYy yyLfVfWZGetPjZ7HY2OVOIWo7SDT+4Y1/BBRqJB05sdJWozbPPZGVVnEi1fM/IEjuQTKqyBVPgrr tUCLo/DcQaC/wY/z7Un3DblnoW6SHP7iUtAdxPjXgf9SSXu7NHKXpyg9hwmAQT+nOqCF3anRqjpP YCfKjX11UJd1rgrW+MVVy7GB7E6fMp2BEIj52EOjFigWsZ08cV9cQsqpx4mob8+AzSLootQrxxDi 52y2u145qCn+xCCyKaucOOKn+v9YBARC84i6nRowi5DRmF+9fo2KUCJpgcOm6B4+hjRGHDQyfi6O ncNVuqMQRO71JP+DPUYXvP/Nspi0TfYEn94QjhhhkGj4z+MWllSLbhMC2SYX2RTVZKYUZIWLunZg xQPlHJ69qgWCCRD1Jw3A8ApXHEKBg8V+NQX5CWuLtnvAw4iqwHEMORBk2cORkxksWRqa1qnlFX+c kiSJL8eTEje/FDLYpFjzoFI2Ao+pa8zsShazg0mlW1oSMA01DyyuZZyGYkZQTLpfLNrKgyswznbA 33+ol9MKlOmB063u07FELG2vYaZfucU8WJKqUjzZtF3mpXL2/je6Ag1LO1Scn9wKUOYq5TLSdNmd kmHiuZPfRvPeuNY1xsaSl3ljIoN6x1S4UW3gIs1W2e+d5ZDtKWMQCY7HfU+fax/s25vPrJmzAdEz E+gR3b2QnSrB46pG1pkeme2stvNJnE8qK25118pj7FE1mu90ztsDAIyMMnU2FqbmOZFYdGIUc/86 mKBWRusQhjSxq59idzzB66yr6uQfJcmGZ7LBNLLCmFM3Gt3CIi5bcltG6u4qYhw/eV+f4p/Fo4HX 4YvOkYv6LZAViX7HBb6tJpoRYN2xfkC+MpxsKhi5jlrfjckTUMnDRlka5n1ne9TdzbRgZ5U0o+0/ bLPIkFaGQhOM9nwpxxR4HYKIqr2Q3R05dIaZxfIousDj/xwli49zJsyXQmSHfQyYb2f36GKUcQ3x Goq2Z2XlKxrFoPpCNhdmlexJmMh3OwRnQrtsWPH7NodfUF9gHRUoNvqzt7dYTwU9KKS5iKcHxXWd 4t7b69XRqVJHKT+clCMDBDJ31pr7CnpuZG6AiyCU8fUoqltZhxFNcYT4WgxZo3AeLgKHybe8oW8Y cOZzXO35JCg28uRe1wJ6tMb4mpSg2G+b4R4+UUuu/1qgXDX1+KP0JVaqEfUyELBtWDmk+MRSXhHq Fa7XgX4dgF4QhTT5de+sRX9KhPRBdT0sbqlV1l47ZgXqWsUO+WE3CNjtX1MAvVaBrabDnoRcRjnE 2cLg64kke9ay5bkP2l0eZvOfAdSpuY2u+dxCifJE1j3ECZIYYn6hHC21ZRbr7jqvGf0CVvLlfJHY K+L4YliNr4TKu58vnZitpSgnE7bcCNghvCNmHeZs2kjOFhdbTpvz6uTNvJ4tLTWcFtIdlu4DokiO PPYZ9bz1jYhcg2lShpZbdzI+yh547jlmsfkulzl0MQuSknZpWaO1fd9ilktdFUk8hWrbzq1dvhvn G2DfHscfPfLzIR2Mz8Frr+hIEucJmSe6/A4xIWEEN6kA8O7q4muh0HyojnfFV7obJgThGV3Ax4rS wLIMUprK6pMKuIrTlOL9sWouE1iBgSC6x0Vl1SWZ2xhqxFAsflXSm8DY7OohBSZ8KUYODA/019jZ paLqaQSYwB0PyGosVq39rpvs5hM0zU1DnvmkUWZ7CpDwNknUWsXA8uhYd8OaJYU/YqnCiZEcoM+9 DeJp3XAQMXkQ9tg4WyzY9qCX30OmVOlvIVZ1UnQD1x0jV960lLHMzMzvQ8wJumnX5Glf8eBPdbRJ /TILAYD0simZhjzGo6ia/y72t5hdu2SEjh32f1se9z+3CTREIeBjpKBI3d4GPHGja/kYmwP0+at1 JUPKxGj7+XDABIU8SNUKYIMTti8w9enRDfSCVOzrpyrk37vFo6rd6rUCqL5z1LSGVziXN4L1rwn6 cfi2JZ2//iRIkDQHjK4iAFu2YePMIzQo2+TH4PZpe59ySP7dz8FsEPYyzVUftuDQschBAVPdUL82 Kklfs8uHLYGJt9mdJ2vlM0T6JytqSAiGSkajaEJNDLN1mdmmQJFA+Ktc5V5xoOr2j3EQeeMWEBQC uEId/pU1eMuCg2TaC4RUsfkR1PEicjmeMag6CMoJBAwXXDC7X4c4zx0ddUA5OHdh30y/Q6gi+g+M d8uyPBFQvwiE20GeuM+AfgckrfykPbNJfr1yOXQ2lfpCwXCPFsL9CpbSmqh4KmMPMtRBmvK8yB5x P50r6ErKljT3s4PXRBxUF9DWufsarJq/SJ9I6Da2JkZuUKytDu3xASatSXtIKuEFoltwv2idRJ76 MG1f484sr4QF2JEWvmX7/qpOIAKXglYeZU58QCN4d4H7Z0xsIG2KhYBbP2W+BwIjvgW/O0m0V0xQ KIr7j8F/IeFfCvC7XVUy25ttzTAqdBnvwae5vcPgKeDc+dgSI3Q/CVnqbWieOtUJiCMKrMfjWI1y gNUN9z8sO2HBCcFTAqNhJ5oVcydvUZa47tyIAgbv9hWJQhm1UfrcEHKFL2V6qLwc7dUcA6KPrME6 G2m7V8A3j9tDJjxZQOwkbN5Th1wpMxppjSc9p0cEv0FDKzlVEYxHgvA7ZwdVJW0/i1oudrD6oGdL Kv0Qa2cCYb76Eyl7gyDB8aDGTYEr4EExQyiTgTXqrCFA/haq+dFxQKDLexeiRnrg90HFWDQPoRKe Iknvvioy81k90klBtmo0Va+mY0S87enB6lIi+/3NBotIUt8S85VX9G0bKGbWJ25E3vgrGtmN7wc0 xlNWVK5Swt59i9XpHvs1/3wE5yCUzJ61bCpi9OwRLN3xAF1fGs5+WNbo89/KJ9rwPTaYhsMmYisM pE0BoA0PK5qiolTemwKSTgv8LiUjEF282+fZe9jd458eWLMNFaPvKpaI+r4RsU2YIi1934BT188q R4/rHVTm7x/41BiQ8XtCoBum2aBV7NnjxCkCBSOVjpTxGxOlqz0gTLWacvihOgFv+WpDAbSflFdD /qHOX6KIkDop1tYswPuLgxSDFCvl+TNG/vleJV+ngF4Z1JlcSl+htc35X5Kcil+In26SMhhLPBxY bvRRSll2cEKvkculxHLZTi+FSWECoR7WyM7xfbEHQyACD8V87RKHRedrKsnP7bhAWhCIN0SVQuXb +HIlcxgjAVtVwxxyXy9PhycMDC2yPA0DJO9GtIxC/0pGS/G09YKpkGz14Q5iVaSbOAyqk6rcPZ1c JpCHQICaNjwrdfLnVDQ0mjr1+dlIvAEfBVB0jsMtTdIf+rjvdlQiVZ/EV7mFpccQe7Mn9I+Aiv9U jK4MYlnkSjaQG2T6auNcNJRBlR8OLeHXP2N/m03CWUyBE1D+J5/GVDTkTPsn67QbL0jZJuHInX2c hmqTTvRnpzbuwkI7zx4abmUrFgER3fvai9YzNoWNSk4V2L7MU6r83b4GwwlLK7+D65AXnGMcs5ca Cr1MTOcpwPhkbfbjaeBYaEttbSQxu/IPcn8DAl6KPpSJgWgaj1T/vJndKEdDVbDrpK7yD7RGu8YP eXWTcNUpKp/khDNJOpF8DbgLOpiEmhNnjzzc3BeNK/0i03r5qyOV3YmdsfdNoof+BXCqShrMKk5l Jq3Mh8j+qdTs1xctC5/NvRp1v6SKQNsQDTMFPUNm5FgUUDzT/Uw3P9fzAw+uP6WjJXCUznF18gMm Aic7mXP668m/+mg4Vpw8z3RC9NYTqAVvmFP6atZ/1Y1s/CpK7qwUM+zQFla4kVTgPVpPpiBym/pQ fuVw9t+nYhc2jIt7cYA6PyrmjaSq5JQqBPxOAAvNV/6wMryjEeUKqjGDpt0Yxdbi8fGBrNNEHFjl AFGATjp9g1ORdPyPacrcgPYhjbSEYkrs/puAJRbxDwfiJtixSFYWBVuFK2gWXdvdZV+l3P/iMlRQ 4rKqwB0KeJeCzerAwXzBbeBiWq9NmViLbuRSi/jJ3//eiBmbXyoINz3uPlQ1kUhLM72JwBxZnKER D+/I1SzgOQmzmyB5v/YLIcuI6Y4sFDDGC7tuW2sfnpKctJa0Fi/KOF5ZCFz1WGRjprskGmteY9QT z/QPI5pqTxCS3lAQg5cKk3FmB8aqK3nK5dSWpMkKWtYZbw3jeSGK1qDvy2jVfAROJPI91MuNMCdo SpBaWcMnacQlY8l+0oGLX7qrwV+sh8NSAeXcpzlTQwn64GR9CdEdrfzjgOeILKei0l9mUEIOTTkH WV8f+ER2qqqQfTwrS29A0GrSmC+d+qS4UlQi3eWOOU4i+s7KRAkhuJwABqyPykLzw5DU6YRVOygx 2zMiKvfDzo9+eD+MBk8dtkpTw8/sXXzt7ygQN9HTvfYDfmFNAQzxJJRV7KvASsdDbNtWCXOQdSnJ bkwqhuciryprnbc8D3knaILakLFvTDAb6o3jL0tq9o2hwExxdLGaCP+s88J70We9hYW8TuNf212V Ce4og4adpqq5CoZuWrTeKYFYtLE8GJIwowbzkTMzT8GD9cu6EIOaRlAWISJ4I5g8Cv7O1eAiN8ua P7GMoYCXJt4/5adVZ1vGwaAclWgO8Lr98B1nQ37jPWJbDMG194rLdANoyyqNDpLwDMap6qFkNc4J fqsyBppy6msyB09idBkZHMiUDkVvhjaEQBTeuU81MPehjS4GChQ2LafCHKSO/vFruA/ZAUBlZkKa Kk9ufcTme/kWfTSVNLOoA5vIgsVsVL1ptqd9M/vF4HRMRUYEiWpcsg2Gf316IJz4qIwH3kDAp2zz SW6YMi04FMMAwbzY2XmeGrgEDyDLCMrq1I4cx1ci//vKtuWHWbuKu19kxGjBm9qMqj5imNQEtu3n DjLmoCA2QaJbJMdIQGnStrDY2qwjre0f7xzh5lc64/L4qojOtChtAoZRly2bHHNy6ac5O+SEYi85 lxR2BKlGA7mafNpe4dXVCTIPsivXO4wex9f/V47ANalcca2Y6SXUImG/RHJKWynYfjAHarPovRzE nGr5HQ6SDk6k2XmiduF8+PWf/3lNr5kcpPlclLUZaseQfOjUwyIZNmxlotzp1vWouzCBW8qET42f l1tbuDxA7Isp8W5IQTb12k80SE8LgeX9V5r63KxityEJCIbLqCjqN0g0bpwe+cTLEgoFgxvDRYHL 59BAFWW1P8I0pxI/bHTxKOVGdyPlYWCaizZN9DyPAhGmbNag4inhmQ+896S6ySpnwmPOtbIK9zOL bwjVzf3wIaFsAtEjc6yKbRr8erAClYVeIiGH1mR+SSufQKzEqrd7YS6/HkPWu9SzkcOPuT0JIv4A 5OYlH/M3CJOYnNPTYaeY3r9Bz4RSnv+wsxRQHyBRTwcKwGr8O6aWNzQclZnJoCtJEVNwLYoyo7aL dDsRTOi6zEc8czZ6dQDO3kdgGn29yc8HChbY37oNTu3y5tZFf58egy3VXlXMQPj78hqHmzMdWywI DqacTldEj2ttbWONmbBUNs2AOZBRgMDwJI0Wdtj+l1YRQUqwxkSrL02xj9+46E1d+kiIQp39/xCL 2bW9ehWhfr3F2tEEUlFYGcKQbhiVS9SboWHFHaXaFDImnQ6qbvhSSALbD+/NSb1quSX8I/8ATPwc BNwzgg5Bv663HKG913e5Q8I0H9bDIYmz2eWRJldRoqz2iE29bZdhu7N74qjasVdcwTftGkgofONO dGiT4cjYOqXwRp/BCxCeQU0NR+lgWjjOruOdON3KbkzMztSrCprMlJz5oH3TI7zlO31lzANwk9Ny N2KoOjRRu+ypxT9uYjEmPZ/KrTWgOwpFLZipQv1VIsL3EvVO3tSqJ6qL6ahBJs+kMVDGurs6S52f deE5MdZU0BR00H6i5PwHlEmlaJ3Y/czO8hiin8BDkXQ9aJlP663Zcs7HRcqRIG7E42+Gp8vYJw/L iRmery8aWpk0KsFJ3lVwh/sltTVQhRo1/QmhtkSVAXps1bKATIqmUQJHQegGzXXQzCgaoFj3WdCp B5RE7oBGNIOd3o3NSzpjKIwxTRQBwo1SEuDmVLA93QENaeAhWcdrC1KspaqkcLW1gxE2DzSYXakA ABATlsU4X5a7/g86zF0n/OoiScri+tP6Bc9k3RhVFTV4kNscEHahgOtBoPU5MKJnVvhl+lytwccT FejGMGXMYh1eO4A+r8xBOfIuEqCVDyY2Aty6K5+8J4sGM42pPtNobJK1+ToOdsZNQvyKnMyRfOxv PojxvHW8tDyOpIAMyHt5vaOP+nTC2LkdFdY3avtcYgYErgCnhbNcD6TF3dXCM7KIXbTL3wkaFoPJ 8UnC4hNHX6GRuO1CC+SEbAJWOPlObj1W08phFGh71pF2NHxQE6WvS1wyKU7dLGng6ARmN3p645Me CNLfOLCbveRBhCkcmOrQvW2RnaTcbk/uxHyyB8Kf4Wponv4qUFXnXq5ayeP4nq5b54sPjF/LxRJN I92cZew8dmqxolJyL42DAAyDsJkoxiBGh3fntcWaF7jrHGrFppSNsjpWEAUbr3rn91hZ6SBuYcBi fHtHwCx4S/gMdZg98Ol6c47Pln8G+HpltT6qxVei5irrPvDjGFA8XuiqUwo3Y2vqh4x1yfrNZaGy qyly7hhVBr3W50J0xXKOvZb5qS14Nts6Pso3jg6j/YS0A+efJGR8t0C8pu4/KixKhGosaI+5GPQ0 mPQ/R1rg5/70K8eEmlu9CLRZOZPMwllfhwNcY+JeOwzqyZYM8ux2xZnP33/9EkQoFsAmg2lZL4Rk DsjuceuIK0nyAuc1EiJ61K2Nh0dT1u6YzLQxxxPwN23mwPPdhVnLFBfum4EtTezxaPWLDPgWoNz7 sBmGLJK2hMtT0+RkeXd4SltsuwMuQukYtDMKBZ1P0U9lmQv7rn2wRjXOvX/q2iPDC15/lTLpYubm 5kdhlUQITMMFJzBDXxXz/acRsrD0tghBY1qbMzOsbkxriVwEOm1V63FsogrMMmQzRg9HDY0tlBpc Tjel2yFF2rCeGMuMhHsNz/NtmRx7PYRFeK0yaVM0tQDGWttu0h0DNRP8E+OsEOQU0y1Qv1ily7YK IU/EU3lN7UOHfXrJ3/mGfboRAmI5Av0kHvw9nohWJSKHKK0OyPHpxG/5yp2O4KpDDvN+UGEkqTiS aQsXTIX4XZgbixW2IFoBBX15Aj0oZZlDpXKKcOgUDDiG5Z+QvjmfXPmxleLoWb5hq4xZDR4Jt/zF 5aXCeCO1pFirO5f0qPlzcynWqN+nJB+DmKBkmou9tfjCD0i82FOGZiUwbSynxz7rYIn8AwRq1HpE ma1d/TtQfmxOisCB7tVkLERgpXiNwAkkmSyjzGrV7rPn3sWimFoTrjyOcKUO8VvWnl5nPJ0JjT3L EIS8Pt9K7IlxrQe7u7o84h64PBc4I5sEcNVh9Y2/33OTe2rPkf4w2gUf4M305XAilbuCpCHzfcj7 cavxS0qfDH5rc6NWmdZ4D4XJiQ9xg0QoAKVfd7QhCpiJ1XFaMcOOosKnDmwk9PKeSH5xNLYZ8I3K FVeBRU6oBdtnqBAwN8NBaQSxCiDdSKAEkpfoL3iw3ndcewPRo0u26/rsOj9T0fXJTTA7rxcPMAV1 prFnWok8wRAa4czVg4rLvfuecLA3qvtrnF8hEHYxvFlDiDjch6EKhIviBsOAvkyIJtSjPlmaSVmF PWE+zsbOMdRbOM9U99xYqyuAKBcKHSm8ky+/CTuaTVsxv7XQF15FnABjY2uBYZBMjafc4ZBQHfd5 h3XiNvJUTM5HWfr934CHngum/wqqymWjw2qPDfbdw6cZc+4Z5L6D128usPGn+0HpZB4w+bOOrwFy ME8A1pWm7P5m/Et9U31bu0Nn1a6C4+GqbA2DrNEzp3ojhPaYAr9aaeeWTLwY51f681gCKAcX3U5V Xotn3CJjVgHwwFM8mGub+RVpoHWT7XEDcTzC75udo1M40bnSHiy5D+5HHyIUaCV0pApsU542Fp7n R3Vf0zqlSvC8/weto0lEPjfUWvGQqBZFiMsRHLBvxOsHLnUAbTOrPcMJmw0V3Rb18rQfd3Hk0X/f qy34t3Zl7BrzBXJvXXRfC7K3eByBblBj6AR1rVjIBvWTgQn5THbwcqflOLoaer1tyvSGoPmD4vt0 0o8WvIdksy0mBnLEgv5K/5DaYkcqCrF+3vq6PYWk8IKqo7YlqNDb8pQK2WKO8guxGYW63JIboIiG uVFTS9g6mIJs7t91NkZHqfhjHu192hXcdoht5lQUxW4sqIrV3SgF8oh/A+7mkAmA0dgFWcu7hI6R sPebsJgvCQ82/11pbtwi0ktWVsmUX3u/iDlJT7tUobMJm9jYHsqGeoLbFksO2pD3NVfxhfcnmGaW OKeuvNxZzdcOYgE7RAEjMSKqAGYFFn/LMwc5sSfskoVctdTOfHa3XpHP7p0ut65T44tj22cwHnb+ BBZ+lDdnzCQ/oBLjUZY6RZdvF6w6Y7GYpvczRuhAZiE72AFGD9C6jkvbqfOkjzVjdcTHV3NohngY cAO7NvQtb2te453CZ8G+k6XqrxLA1LSKIfDlpdyzCsl+X/258n2xiI45KNjDTcyC+Je5VuA59f5k ghsQNSN+RiTBoK4enCQGZnSWuEoZoIn6XaxpGTwdJpI8ePW6iFcBZeG/nI0wv9BHFKW2Jhokah20 nO1UIw9TyCgMKrfKJHqmYWgtCXuylADXj61BcwqeWxVXCFHHJMintLx5u9KSOphgx3cILjzq66Hm ELjXq+9xuEZCQMv7ncB/hKJk+6Jglx0iSnWolQgJ4n4SYQf2gejDZ/Cmyvcm6W6PHjS0hTvmUmUG ZM7Cm2XHW3HWDGO/Y+bV6TqWWQa3TKoVkVjNzaU7NJlkuEnFRvTJsJ2qJh2h1//V/IfxwdA7C+mG cYhiN1Uv7w5GQDa229CRKTBTYK92DWUHV4zwSLDtbEMPx6ghsOFaYBW11Meq0iIBg9+/Os2r6foN s1JjVw4h7t5PupDXojZWKJ/Y21o+SMLVKTYvehxzYsePPKosmb25dIPPevyrUvzYu8RcHx06oble Mg5XuOPsVhyCmHmxNBvEN0BtMn1rWyRKYeiEvBsqH5meMIGxFrsz3lqn2BQlWc+U32XaeoDUKefL vLrUT+tUsfJ2abXhIUfp2rtz0Vx3EeQYmGC6jDg0DORVgNwgeXMZ7pyVDNjng5j4d3e5KeYBZFRm eNydZqnC5HSvgoxeJb6O4y2rfZN2Ovvf/FVqJ5DxiJSCRiAsRwyAEjzSBqU9QyH/qpLATysjRI46 o5ONfpQvs1UnFzzUN2w3WRlJC7WTHrTWjbinVUSgKu1P6QDQcvS0oa8sGvvm7/2oUrgiWOe1aE+k vPvcqNVuk+MAxb16WVldv0I2dwwq70JUi3tJvpttGlq/iviSCOJuA9iChjt6J24wXkkiROhQADCd gYmRX3kBulqcc0MNlIOqwIDnoWKW56yfhZYeT9Ih9zzrIxYLiPzDGpDhuLcTXM6r9qmx12pwR22e Mgf7BN+5JbRut0x6MLHFqxcDirqiikyMFUiiT7giI+9f6tz89Q5xxjT3cI/l2kWVIDufVaYl+WYw gQIqpL/B+7Nzs5ViF2joP6+9oSidtYK/5Ajq09ilDPkJzHsgUCTqZcq5el4wtv6mZxhnXVCLQaLr S4JQYsuHwA9/Z3abq/qk0th7lRBzqSCh5rMzJqzTEjGbBT1EZHVZ/Eo5CCRA2dHgZkJBBgUvouzQ 9Myn4/u/nl6dQXU41ktA/28RlugL8hn/MT3C2Le6r1NDTEsTTt9nZfczgx6XtXXHUl8NE1E2Spdq 31MkAgYpjqqMVkE8CjAC2pU/KuI70q39oQUJKlcbsnR1pzIrrgWmccjzCJ8pYWTMZ4hogKnDUvf2 xtceHluATxC5NSWi19294HEKhlt+Jt8ihw/svlPjqxQVD3z5sjht36+yAV2nACjXBdJb3S94lVkG 2oFPcgV3e+z7IFI6CkFBiElq9ZGAgcc/maz1urUnkDojCIME1L1NZiKYD8Bz1PNAKjmScIuEQHp1 aZimRoD/To+HFFKuSTm0exNofnNkYfCP2hT+XKsD0FAHpBAzOhXubyP6JW9G6LKiZTcZBCaVaOes XpcyS3UY1pseGuFxmRDMwjt7kfSTQSoxGz9jQZXlHRFo4CIzkTEpI/SC/L12QZ+NkP/VwXPX+3dv Dzxci9ZTxCbtyvr1yInoeQ90F/jy724+bqQRbi1xaFzjVl9Sbpe1irzPcRH4WOTM8vbJSNSgxYCp EFpKGU87QdEGpZw2m8zsO95g+ak8r58gqnyXaMnIhGOKwoCIh5oq7bzw1l9jbc5YjF2AemNWKncR +9IhwWqVQBuKZn+BgCSMDMLibhVfGq5wbyUme8ZPSs8FANOSicHtfBogcl3NXtFdlZVtCP8bRjiJ RNYDRcFtZmUIVD+0/0U82v3DHL1D59nmuvZ5cF/HZaLV8oHgKOnJaFzKOv3Rr1XK5dxa1ElJNmOM xv5trmcSJoUozIW5uC4cN/qQ9/33d6dImM+qXPNsJi9g5tzGZbusxacOWalpp3D6/q6qLRwFR/0Q CWNaPBdFVUvl1OFAj/ij0y96OTh2e6J5YL/3pU0jg0AuQYqgFs1d31uf3CO4k+9CwRIvg7ONb3M1 6/flvKbxzs9KZJWQEYoEGC6OQs+Fm0o5PnAPEWYTt99HFQJZoGDQ5MhJIDDF6LJC+lLPf3evGq69 z0OjzOZwafbpzIQhDb23VQ1yZHH3ez9lGBgpjTJH9UHOO9s4OSFy+phjaydL+CEL6K10CuKDoLnZ 4Bz1m2egrzS/qm6wywMfd3liknM7bv3x6thj2RH+Ea/qryKbF0AapkXbSKjkrNt42Wxx4q4J2lsP l7BhHSKJVNOlN8tONgVmXL8b77ca6YSF9e37KRM7YbUvde7Xt2OTMBOuPBsY3XHT+UpqoA/bX0kN HWTJ8zzlsQwthuMZzaKEWuSFQ+w8MSF/79SnIu1YAyLi8AzpmPzohTtmy9gmD9hb3IRs5vE9opHE 3dZEwQGISo4Qg2tFezDSxsFGtySoFSvk4EHQEZl3DxYDEqNVD5Euy1GI77s2dYAgVpWqxr/ovOCK QpSW89hjrEXyKwDe4ChGyNp5x11im9XgSkW65rNItoXsaYjrTd5XeHYK7ldshHhz33mSWceXWcgr 65/77ML+IHT3Hw/0PIcvWYRvB0L2HGh1ArBYHk6tb3y/lIAZbq31MMaG+vUTLTPs/fI2B66ezotO aN5WBmeP72dOdyo+RiQ28YUCAsW0kTrs8VxUbuWiQBjNr7sBzwJR50svN57IxsJH93RB0ShELu5X Zly3/V4GBM9vBkBBwv88Am5OulWNTKc3d7H1KVbEHLwJh5Y6d0+zP8tPFvZvitud0s4b3d8T4r3R JcVdQxoZcr9BzhVNWAECJwkggWbLJif6/QNRU2NxbzvgCrFWiZ9RfEnMu1cy2pJFB5Ba3v96MdVe F/2AB35BGAVQyRqFelerOojoIg+fzpIV9lPaNynbvvyhYmPYoXvUU5wuVP389dVcJFLh0YmcNWxy xjfZKuCHRQddj4PEN4cCUZgcL5ZtC+dt+LrGzw6YlAzK7cfqSR/IUcnhg/gpEaneBmtclrqfvJof u9HS24munX/GCINsOZJOeP2RpqvmVcZsXi9MEMk4PZWmZcA8g+tFlyCvDNLWpiMUl0qi2amFgT3Q 9P5XCI318NdHJ5mLsutBtTu7IPg7VWdd6HcYI23DXRADgSxoHPvQra9+clhey9xKzX0oyCcfE/BR qp38DT6zHulJlnxu8wXcKNh7l7sQ39vKUuYVSW2sb/jeMFV/pRNJPVqtkNBU+j0pcybOyCpXDUJk 87NnqUFZNeaFycHKICC0TzJeXU4jhLUBrVwHvwh1QOL0QxuJssYc4JENQQ72mka+AdB5CWAXHkgv cZT7bdflXjOq8OkkBZH44YVzMVRZLyNQ96hhWGVFhSDzwMZFIb4UUdsPrKkhg51YblMQOqN7p4rZ S+q8TWjySwszV5ovfHXkpvWNszwA5FmXtOYU4TTaFCD874e+/RRdBwyiUJ5xXQWuY5AZzx30LegP FF3g/CZPu64zlJQinEMca3D2gW+qK29QiaqBCGGF7P36r49UhflMpTXmaOv0AxBIXCccbufv7NP9 dFjLW9nKCgnHRYhXxqstLBu4Xm8CAFHGezVFC5yvrZYZGH+gz4ekbhxfhzVjU4aXWYiLnF6ff5QY huhHNkJfFnfrnwpKRgQvyatACT1J+Wvshe3JiS1ZetY8B0TIswUXlXvLHeXTUTvMzQ4Ek0NDA0Xf QHHCyxAbCw8BEZOngaUBXb6t9/Fy9BFb7lmzm6EbNCm23WbWR+LjAYp7FJP7CEG/FsDkNbvUqUen TrXmc8+wJ9KIW8W+OIqlU1DmTHQLHw55WQVmgFwWT+Ah5vgsYb5g3gSR2aQDYItrMfCCY1KJmtgI uyyn1iROKRJ45UKW3XbGDaTXYhVYHLVLcYHQHkToLfuuKzqjxFMQ3WGYwxGi9AQKdrGybNevh0/Z s2xGW1k05P6jCY0UFAuBx+ywD55LJpCBmx/GluRycauoyn0hCOZce+rjPrBQLhKFuyanW/K7XYQ6 SvoBMtYl2NTQHFdj6Wl7Y+u/gwo1sKfGuMi9UK+s9Di9e1rjm7TuUucxQWNIXa/fGJ0j+ene8bP5 dsdiBkx1hnAeIb+K8Y/aAkBa6B4/6qd2Szi13WVkbtFWrv0Qoz/R/fSm6aBKFTm/yez6LxmyKu2D fKJuOhPNthPtgrq4DwRfJFOxSMnS0ALG0YYCHSVg4alIh8XLvm7c6wAqpKaRGHuetsUgNJ6RdKFw LshFSlZ4T0YAwene6DODZYh2wJMqvXCwDAZ5mL3+FBN21SVPTDOtvSaz8l/op5l8xfxR4IWkR+nB EMh5sxEhGbgmHZ18brxOO2jPfN/YeeGpYMt3dJv/QOPhVrPAhgkRRxZDqCQZcnXsBTWQZsEfrnep 6HhG9NVpG+bVmBk+sW5lMBMuzsYLI03v5haxzZRZOPOJ7PqVi7IkDoz6ZmctpVBW6WOcRmDr6F6N Y9j2Gif4z7e1x2y5FvponeTkds6x1gyINsHMXTLH/Q3MG97l0d5da358BPTLDmeOPT3tKEu557pK XKZnhHj+n1JxBUHV1ttJdr0egAlJhVNG6RnZWZDoqzvSW33EH7F533aGCNwuWf6Ebm0B4+xb7hYf zyKadJoUKp0WqIWaXeYB0bfCnDQnJcoFjZwHnI150P4IJfCD9NlFKP5AizkjgRZveMbmj9o1zpis /BGKDUtrZkYjEhi7dZNfi1acUrB+LBQcWVOWhPqFMg7M8nWA1zMNECy4ohCMs3JitQ0kC0jLp5Xz vpfe1psBRWbKFQa6L/YICryJuvSuC1Vf5xPU42qQ+KyQOA1j5tPyNjxzQtHr4PYbFKBjN8gY5f7m auD+Kx9MJN083W02eFWYH0hYtkNzwHQagO//lf15czYKfKiGDJ7wT+0hZDWZQvXNVVNC/utOMi67 k+yCxQ8SaDJgbAbLfCqi0UNjtA== `protect end_protected
gpl-2.0
8723314a54826e02475f78482821675f
0.948123
1.834449
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_mult/fix_mult/fix_mult_xx.vhd
2
16,658
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eu5rK9I50Q1rU0IDCaNgPqd7ZQ17JFCi8I6DmaD1fSjcfIxPqTsaXbzUl3ZXSNhmVWV7x5vOWpq3 lFR4+2el9A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GnMJ74o+ASpwIbHnlH//lpaqkuX1om3TsPvkjVKseUSwG59nBJanIJG6nOJyv4+D4W5WTCU3PrS4 iPHofzQwKGXpHOraP41SLjqz6ujbC6RjAuUG3IPx3d+oxrF2ZiGJh8e1736BSzUPdTBjPh6rIQ8W BU4iOIkExVsIttXBZEM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pVWW1Dkx6O7+rjznP8TMUXN2XkKi3eJTysfQSNrQsWne263ugrqQRfmyVF/w98CCkhNQgqNwju2a SjzIHa9Q4xXCLLpeuQdf3p7Vo9alEklN4T2Kl3RL3cSAKMKGzir/nz3OCdDwV9wqG1lGco+r4W3E bzF3pB4RUIvTVYuJMoclDhMj/hBlwMbKHPe3gOyy/Tu/7A11YMaLTOmvJAb64TQnetxmjouWWk6r eMLJr9FetRzi3+Uq6gs/RH/SaHxz4DLaP3TjxOy9qGk73b2TfmcVGPC/OrhLEzJYIvWlVqV597wk hOgB7/kz4vb2SUE+cdHqaYjksVZSiVyEqi0Ftg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block essYAeO/ciY5PfCkBjwaZtOmHfHY5z+5Hac/P8f9OP1mX8IPNmAj1pjYv9oaUF6yjXP27+k9f1R0 YaVBoL1FgJ9cRUdP6FsPk0CD/uP7M5s0bVWlJ+VUNOmNH4JvWVD61BoX7zIDSX4HbHD1kAaw2XO5 +VdhvAqBpDpQdMVzMEI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jC9xFr984am7m4Hz2dHZv+wO19WygOsr8+OV461xzK0+mDnpbZ1JYHV8yl6g+NajKU/Ynv8eqa4g igDlrbwUw1qlBAe++fuvde9Vi38kMgYPBt1CmTH6rPQmTo72CUtfv1eLRwv5xQ6GdCO1mjq3wKLR QLnyF5PMuv28RXQXeWKX4jmw788rK5jpkRk0IEHB5GIcVTqbqFLb8nVxw4f2vneWiYKYzNVlPw5r lvThbWduiMXauv8mBuXsQXORKtIU4wG4445e5Qaw0hbLz9WtGIHZA7b5IgL7+qrCN5V3uM7Pz2vH pybigtcAmYRlSUPXe/99dwk3cpZsYbCkQP33pw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10592) `protect data_block 8evNfXD3Om0/Z0dDuI1MD4ASkQC1NbAbmjjxHtQCfZZQm4pq/6rELuwt72hb3dvluvRo5lTEoPXB nkYeA5x0JaPg6gnc6SE28vdEaFw5JoAf63bkSdLV8yePbNsegC7ZFh3fnoW85Gsa7DXVK4vaChIT ULAs4LSw0uR+jyvscFSc5cEY7jMrbnHWLyRGqR0ugFl+if2REMiVbSqCZIBFKsqrryq9DsNd1pv1 2lyzq6SH4b0AGhZVP6a4l1TahOw1JiECJbX6VxkzphMosvbKRnLWaRtt9kF8DkoojOgtktzWq6BO IEMlxjc8t6DRFjwasnbEX2goDjol1ZGZuVnogeOvIMgxgh1XUvckNBQHknuK5o1LKKMqwqrgzhLB vu+lvf8hTaDK7vGyGReghLbSVTJj+do7tD+z93DfIntzRmaVnkioyH1mMLVtSmlLKXvvEj+mNeVR 5vyvnCMdIR38yIu53qDeyOnDgs/Ym/Dzro6FYPei4t13VUg9OgNP7T6VP/0cXctcp8B50lQ9EJjd Ion9D5S5OxJr0m5qw5/2bXryW1WkGQNcGPLh0+xhBsM1pCVGG53TAC8COqF8ylhx4Xpy79lYWt38 wqSjpVnQTXfv8xKq9Y1ggO1UJTIrUhNuq00P6x11F5IIsBMfuWcBUoA4ylTia/vho3szBpOMSSP/ dIFwqVE78PgQ+zDy6V/YpcYGug5SV6fYjEqgbKp+F4qx0ce3zICTxeQTpj5Bvj9ldR6aSzCNnUSo qkqcFJzHSCm+M3U8GNYp0HQ3TsqfM/dP+PR6gVzutFTyPHPyA9EfrZCIpHk/k42Ju8DLWrsHcemd aGMaJDqOTLlDnbdHEqVHbdj//EEqEpcbAwrHRD3Dje/ensheSfML0NTGkPE+r0Zpox3hRERTqNOY krcIfEb0G9a/9hC1nHaDQtS9boVwffRZEAiFXd3/WjC3E6CpwsJoV1oEjHVgAzA7mMCCmw/Xso4R /fqV2JcpO1iwszMOJVeKz+7dymn7D19uPCBm+h3fycI73joJd7x+Zv8Ea9VpjyKiDAdsQscWfdfb H2TkPAUpwqF7StBxW4jOG7Z3douC/EnG2SEtf2vNVIYpQDRV/LNDuT/Exl50KrYN3AvshpoNLFJk JlnQqeoov7eJTS4dB4UEkVaUJtuNbe2kAPmHOO60A+0WonAY4gyadCQRBLVV+q7v4ZNnRJDV71Mi P7WrRzldJu152iYwBZjz2tj/7PhNBmhdSbUKZuqdkQowNX2XXyqFXBfHTdBTj5KWP3mKm/ZVKYGx e/cwY7Q7/C1l2tDdJ7C7To4Vb4VnSrdKauDQPHEFQb8lbwZz3vfwrbT8O4sJ0p/hZIU4gDIkJgWs KSMD6OIFCg+GHClAo/w5b1coOHFCD+cOx9TJGE4R5vcEHN+MLKcJytOT3hQJhJmJn2S4CJ8jPlfR KvkOSl1dt8CtCdERifM45utElgIZGJaZ+RXIzzcaln7K3fRQE3fIx8uCX9wb7tJhJGPqZFwKcXvi E4iTl7P487DRCt1UBV8OmCJyYVwYPx5DUM2I5245LrkeMFmvT6lCn4Wsj77CcCamxH9C5F03ar/H +TGjBVJHF/YtDrvbnFFc2EERvsUr3Icesa6YcFmQWd8jnFGuqzjlC7xyw9ducMuIWPgGWDvqkq76 cF/oKZodD823rQU2SYqz9M7CwbY9+oI4I7i/itcmftI9BRa4e0xhbtJdlgcbzhxpLRkd4RF+YQpy fzMhYwchqhReaXTmNJd/2TNGdVmzqSaV95VN6dBdbtNtfCabfc0BujmBEo+yKseakIyqnW/FoRef gcwoiym/6moxXXr2Fe01RlyToihTXPZuJh878KPJE2GGMAkKRcqMRIwrBC6t8hVbm3szcI7Tif76 FVdBhUUoMJ/gNJq3sW/q7S2cV8J1wio206V7KySpNRea94A84Ppf9dNESFkTGfrEMnTxJBcPJvQh JZkmwTkD786o9JX4XoMcs1KFc8h415OxnQn8IdN0OIOrjeotYX2YKFcyQ/Ko2Vh0lv4YGQv5QZpd yhgntivgfqOs3VJljQlgnieUFtwy/NwTFOq/GZGRV6m4BEIcwD7QkuCxIP67ZKUBLuibu6i0D6qv omDw7l2riieQ5a3W87aQGdDmgcK+CAo5Tm85z68Da93oZsk/yH+J51BSEunxYi4JgYBvDGzfG69q PYbVHeAFBICIMzt1sEmKZjANQUrwnGeKDgPb1TIpZphql2d6QPLL1rokqoJQaa5j/MPyDo8b7xGJ Tj8H38Wza//tUsdk5rrGqUBXx7AuvW4n4dGL9OHGC25rxQ6YmMkkiOuxnRSbGkLwZ6uo4iJaniKx lNfNS+k9eELwX0cvbBtk13Q+U3vNzO3yQIko7g5/CeTUlUpXbmPacp/Wy7yZMx4jM/A3VrQf+VlO +NHRtIm7G9HvKeCw0nnXRvyoYoKnWauMEi7PAtdkcjMulfegT4PZ5e8AEvZpLW08tRRs4HeG3rjY 6cMa1qBx1h/dNiE6G0mpfhVgz1/MQRpEJtW8Eu5ecdcNdkjWFvXzRd0do6+tulNTK+tKFKA+4XGp 1R0a3xkNry/oROtY12CfCwwpijfSiI259DXq5WRecKyTwd8Ecgd20o0yMuab2dNdgMuSQUMVewYL osYnynkHLEHfpXSWpq1m5BQypMpjR1jtpiczIPL8mwyyTo3epR0zoLjNg7Pn78NSIOIeLBM89wCP wQWsXO70BxoR2IX4132/rh55PkKqEyERJ5FGnrMA3fzWsnwDnIRLSscrZDJfCKMOA3jTPaRYz2az D/lw3rKJqApkpAcIM5SyddykXBepZGTEdpYFG7JdY3reAfK3tW5em+C4xnYHbx3hT2HPKKCFo8sK E6sfX40pHbcfJ4nm85BiXL+IG1CmzA4cm4jWgOK5eSYptpbCKeqEDSRM5QgfSZnD4oxFvgvdnx43 q86cFSW3Je/4DDAkzVmH4iV45rx3qi85LYzWXVmcyGTQbw/GNPZgAKjDp6+B/fhjKv8t/Db2JStc Z9wmx+DSXdXV0rnimgz2Vf97DOqUphNdYoG2M83br3XceEDiVfWiwtUI2Nkx/p1Sbb9buSC05xEY nNTjacleS1i/emWmujCYQ3kVaJhrZNC9Ugo1+c/TcmKt+/hodYkmW2oJnFw4HFCTzd/jeAUNH20f Vp2wZl1IuR0ucTnrOJrpHinJKDlGUfn3cgrmlPvl+mi/VxjIjTjbOEOso9Y/eDKUPZuewmgXQ8bo uXrzMWVrhmlgS9sC+tkUS8jJ0/NKjjtlIzeDPxlxk+vTv7eIo4HkA+fpOBKVINUD+dgQCkRRq2WQ mm6vDyl7tIoQNG0GStgZQabtRjjmsHtxUrs8NqPR5ygMWmihCzcUUgFy9Mp11RAjIBhNMZMryUgp sqZJO1M1W8I89i/oheumw2V5EDXF/eKf0qCo0MX5CFWCAgd9254VR4ahBmWNTWoN3FVAdZWywmEm ohay4dseOYbc1oW/6xVjQ6yZFkBIInUU9xNNfXbZhum0LV06JMRmegJ3lbfLTS054o76IlYyY51o ym6WjyrRa6r0F/qiXLCyFnCdnDi5aFOezs3E5uWlDnK8iYMWUnyGM+xhM7454ki6WRtnzAdhFlIP XMWybGpfquS6kcX+nbe2PKu7R6rmphFzwyz7YL88g/R4TuL6r/EGKllhzDsLTBocoJY1FvEdKFrI izBdHj1jm+J4aFo8Ec8lPjp15JlBgLVjXThYpjVyGi4h5yU7N53tOqa7d+LIhjnqWDVNb6sP/EpW mbsLja9t6vfvZk8pVJuatnIxSeJrzwe0GM9Nnz2r3qkurMNlQLlxlQK5qmkW1ixsDYXl2jdhAr2W j6QXa8fW51lg0I6xmH2RCUEbp6scp6kO/RGzWvZwu4eKLggylb/sk+XUNKrREprWFK6rsY6CZxPY o9AH4+VbD0cNK3Q8TnfxkdIQygW70YaJYB/dueZVE/XcoUVAqu8Rm6qQhHAbsybRyKQZbDF1Hig4 qtLQJHFHzhxFKe6R9a16gt5M74I4wCs8w3FLob5vVeodKPf6lmQTwHPnvUWDChu6ZU87u+9XW89k v9x0HntIxnzN8q0nDqk5LSsFYIFis3IHqbjt+PmcuFCVoXhBCeiTFBRYg7XS927IFfa3OyI9Wf0+ A5c9ZnYNE7qsgQE7TBA3JN80NZLUkuEv+F6W0y/UfKXqkrIeREXyPuwJq4sObR7nbdWgFTNkEqrZ Fcqs6zGPtXb+JXJGbgGpzG+TG0tFBB4F9CoDu2lwO+esgXJtozRIjTXDZ3AWrRTPOPmW4MPUrypu iihGGHFdxzlgWzHk/YQwAb3+uyxasjDBA5MrHNXaDSQpzu/Hw581mVmKhwg9A3VzrfGeNSgdpCn9 OnxWxjWRTuDLFBGP1GXlO8DR3C5zOvLDg0UkSN7vhgGak12vmWUkWTPyvsCG+Rc4CyZPtZL1IDJs x9Z/QptkCR2Kv5Wq3LhdXf/BFdLF+tgUXVRClg1O8ZS91BRI5mh+V2inQtHB3ClTb5Evni5hd1ui jSEDY6R0S4JI+kc6Yc0qBeZ5JfImPHUTQ5QVvE7h+IxBumjC2nWGFIAIHs1y5PooiR1mxQ6TyiDv XGZFiHOXQW8OLbsbMgDQMGSgJ5ct/jLGf3yGHDAkJziEcqBI8uK1/3dngX9MHASipynkUklwemZu v8EdSsr/vmL3Mcc+361gO9FQ1qUm3lCNM6c8xktrhIoZznchepxWMIATAVo6ApFsriIHXxuIfbql vdYS4e9uqhUCmTYQMNGdEEguicefM8MBu/Eb7bBNT3AaO7G/sX+SKfW3DWXiISiLT7A0vdXyW5Gv EHgtdsTDIxfVwXcdw4up+ILvZtBTvAkckD7mpSbFSDLjBYhRwT+RoyDtyHLgepL+kXCGbJF9vTik yiMQzpAfFCjiYZ8U8XntshH37J9jEal5/3p+vbSxTfRIjTTag/gjE+FzB9erjlPXEtdUKfk5eeGj nS/KL/qUWp8ITPSnyFN7MLYNLPe70vW4j4/QXozhaN3x8Z+OOjMzXgq6R4lJRQ6Sfs+u67qUOLb2 Lem7ujMlPnrXoMjratsb1z2PtFzBLcJlYo14TN4tNKLg5pkjZ6NfBD57KL5BYl4H/HphUHp/lZqJ eUBJYltdwKpcYe3gmIf9WqZLLQ81XE2B2vBXg2CAHWqWEZ8ShxZiylVOj5tmwwJlX6wunpwiqB46 2G1By4bFAqAzEWrl1koGIqkSj02ImGuHCtBqAzYLrrJrmb8S4WP2TrOWIsH0k0fdrRe6m8kUaLat nL7/uCAILNRFF3oN0I/3qBGemnPzWVyyHyQrC2LNEd3EtUKAbrS1uZb/FeMY0W0EPsvh4hVoELiE 0fkU9Y6UWtxpn9OOPIS/faXIGulf9ZQozRoJmxwbdyPFji5CxhAIrD7gFdLRpdVVHEMtS7opTLk4 XZlRjlwniK+5XPnofQ6dGeHCVLWNZdALzgEcW66jC+IVIbiVk3k30fZTYNeQOf1Vg0IVvZ7lmRV1 WuiTDZiWPwEaRYhaAkvhe0oyhrs3TN8my3PsrKcoml3vqZ+bidwk8xW0AWOwOPK9L4lO65XBgXwH 6vQxGDEu/8dodjbXC0W1c7GGcvccN4lRlEwwsHvZ8TKFQVJt1o/MoGKGE8Q3YcOo9APOmRoSxSRO PQgo8a6Wduo4nZEOLCxLnBb+rNVuiMChG6jk3tqQwj0Pa+Zhre7pUGGqPINYZSutLpaIYuWdkEik lcEAGM8PWh8ewtkb9R4gwF3XCC+XFz21qIs06Z//jwWKSKBMFBLgAhE83bmXcwV5CHJMJJ7MgvQ5 TWZ4VWOR468we0LnGlqOTl1/zSJMwLnqH5H6k99tN0qWFoCoTXeH7zpgowG80hWpn24w8IwXImnE O3H/FT6LuIZi6CmBPj7JcAmuiSQkp0BQ37FgDl7ahVMrN4SMrUhyhx0btZfjuyB8jABEqkrKTzrH z87hix0O8Vg4xvj1JQPUiOxeCwWgBqBNtyrVZh+NBMG7V4ban7o5JoDOWBX5Q3IwpvNpMhC1M5Sl XkRjPqh5X+236pLmtBGaJZT5X8L2MK9bLO+aQ7SDMU1hoZ6WwvaskhbT+m1Jgd+iJ/0q5wws2VxA zx+bVO1GnJzchsQmRv05dAdRNoVMypVSlhbfAAMQCuimbfrc72FMge3BII9jVUfzs1bQMUrvGAxL KwkTWEqdn/i06ZBU2i1xSviftp6gFzKoi94qhsytBmTgfImiqr2dsiIG5dwh6zFbMPMyZka9rhni YGWIatAtf/a/XFIIVjJzjdF3X9KKSwBEc/gwmo62xZTftJus59XP9990gnKK7PHSgLhVcjZNd0Ri 5pMrQ8f3BR57f0wufTAXLlXlya+KE9j4aODalQxtkiWBidrLakq82REMALOxxwhUiCxP7t6O5vpS M4AGT8XUZf33vP+Xlkbe5oJdg9BfcSOhDFRrMTYQdQ8tdNd02zL2VqSfP+CU9oxrjVU0ea4RxKqv 1Ubow2soq0a2TRR02y/mlsV//Yo0pVwHsu25HJLNmQnlqGufItKnCV8sGfvA6qigg2Duf2Ebyrvh E/rIl7wduZxzvjir4tjZWaEUqpuiE3EyduXQtOZZs7PqzmBKYnX75+ucCLqePSxIdmN436E15GfT +wDOrTN0d0JdCY8/Tj0PZdQBN5CQST1cReeMOP79xWZNIvjzUDCXdwMqCUK0CZE8bIAgCEDkt5cI O/lRW4TxQg/KJN59RoUwHZP4VT29AV6HCDoF7QwBHfy++eOLs55U5mEIyMXAi94G2qGJhnSCzZA8 9B5UzsJD4s4gA3XNm+ySdsL9HmNwOSVlMgyfmHbVKg4RD8eRYu4YS50jZCc8SMsz+hjjN1TpNyhd nVP8Pdj7JR5L4d0MCoAR4m24J4du2c7yTq8q/ZsBVIE8WJ+9oj+kE2Dhi01kSFnRWbCZ9NipEbTv MxP11IXKRoTj2K0MqlgP2r0KpuslCyGfXXlVfLrJtwJSC+wFZ1CYUom6O2Gvmjl2QVJ+2zLOFKri Vo/5rua6SH0wQxfuWZBe3eZwwC53DJPnjexoNe9uNlOHH6FDf8KVQxqC+y8yKVPS6inaIr0lNL9k sy34jg/OnCcDF3avgtCI0Al+lW9Q8W49QkkXsYbQ3bH2/I2amSQdqnfO6aNkiTYAnYw+5OQZ/ILr RiEHjne/qgdtKBFoBroL5zeu9uFOi2yysXqYpXO9hpO1a5f8Sz/bkseAhK/VpPNzmA7c/xqUbBNf Tx2FjRPDPqx1Xcd2jJHN3jd8Q+T2/hDzASMK4tdOirv1ylzubaNOlhHiVLyzUo5Ohqy7Zpp4j1El VVQfiswVooNsPmUuIkikvwg+pN4Jx8JVr4T+wwQlKYhCOPFAlMHX2rTbj4w58nXGzOlBSzjPZf3S nnz/bKLvcBECdAfSQXyInMgW7YEMBV+h3e9Vt3Z961OFpKA20uOL37OmfkcmD1QPZ5SawrDkgJHh Z31eGUhCuM3oWkzbpsaZYBPSPENMz6n7Z93xMS+IaIAf9PF7TTvkUFDLfoM44hIigH9c0bZ3PyyS LCpcR05C4MwDb3OnYvVROYqhn2grC/nawwReWLXuPq9rSZboKPwcE5UltseAAJjc5iGy7ZhVTigv h1XLnykaAUFNgSaRyXIL4wXNekXWw5hAT+ltZBs8nbNGICfbA1WpEyfERQvRqlA0o2+DdvFYfLkp Vbo1L8lgZu9nXmBkGXK8a2MiXrbL5m0auRNKO07PYY8r5p8isw6emJhHoyDEWf/hVDZ+GT5O/1ew PbEhbWeF9+XWSIjnUct7+Z5OuNb9M89uYwJctFq/PwDnHG4u+TmGueIGJjV0xt99BYGV7pDebEKL 9XicAjF2l6XxtV5pENHhqMIzDXhhvTLD6AHF/8Tt3Z8mYJ741vwoEg213fkpa1Id7z70qez7k8il OUfPSM5XPBntjP2wunsNojbYp8q1sXFNaoRRI2SQRPacUWQ9jPIR2LdFV/5Dvt7EWt2H3AXwts9C CSkDeUATK/OUePwu4zJiclhaMxrGtX2Y1ukS6okKp3Xf0cQqHQxJSYtClwtSfQHGW/K3vIc/wkN9 3o+O3DsAMJ+tIYajIHR6y44KjMRZF5EGkR7MsA63Sm/CyVWQ4d/I7HK69qYYIaxospac0iSxfAxy 3apYppRo4535BXGwSi2EvWAeBWzndCMY1GMG2L8vin0tg6dkcKPpyA+I3bPS7diEkW1oM0DXnPmD MwfoM3sg1tOcdJDzv6D6GCvvnEPZbIB+xHqku2Yncc63cuvtji190ysUCIsxZbmldeZzZJe3Gre/ KJKA1eMM/wMwBJ9kQ4pNgv23ZOGGcYcDGZKUzhYQs+BCxKRncq/ZyVrSDR/WtFXzvPFu1wlhjJXW pIMoB80HcVC9TTVXxz+LEJN9K5i6mWzGLeE4wy7e7/wZZ9YYmo6pMQNk5DLGvkT99E47PUWE3aH4 tLcRwd1UlakH5coqB+vY4vbWxjSqcbR2Yo7S2WfmXKkg5rsgfECPMV95SWuVbJ7l+tPy0SO5vaY7 qjKAUr+lpZmout3opROgoYStP72owamEBsZefVXjSbM49yGK5ku8Wy6H5XfSC73SQOevcF0ngS7i 2xdrXSSC1Ae5z05meD6h2tnqp0Q+SdJeeBjpGi8XwASzG3S22oslDadmKHaAiwAl1/4f+5Lf3Z2L 9HeTesV+lgpI2clJZIf9L87iioJZWUN2HFbAAC42PLXX6GspNrgy7jRjVbzYMRPjG8nRfK0nluvB rMhvqbzutJB1i6B/qObsXVUynL90dcxQ1WzecsQyEgI5YfvrIekwo9TutDcGZaxJKZGh7ui/PAQR 8FO7cBIfIlwy6TQ2l5EQfpksw9bzWjgu3hZQdzRJ3FZkGtSOC1gP18SOxcMCIqz4hS2JW4cbhhe3 efQ/IrJsrJ/1dPcbUCE1Vz2Ejr4fx/WFNtch1StzuorUUROKzjEsZOaaVyKbH3BcD458yjUnkiME 7aeRc5RiCJmtcIw+XN5/b66/YjOm421GJfdO/2w5OtAWpApgdqhSpDlk/AKv4OZ0kiGR6pL9Wi38 JwFvDdqII4tBhpRWCDqhHJSuPTJHhKlhfLBd5oPcKvcLwt+ZOhXqJ7C0UtGwravRJDnqjj3EmqZQ H1Wkrrae6pxLZP15UI4qNYUJKyVLYBIEG02muQbWv46StEox1N5tCaDofOW1N3fKsCNxLonNnh7x xp/WpedTWjYvuRzMILSE4YJADEFvTgMS6Ax/mxKyDFDeqDv098TdmpDlj8Hi2eFcO4BFeZM16GMr fb6dyjX6E8OIQFJcfrBCwdliu2/3ZCXB+QzkgZrooswwnMnSWcAzlSRgeuPj9y96tO3cIICu3h24 mwdJWwwpV2pVzOYSieZ7yN8p9y5Jkk5Uo2oIpWJW8PgKDuSQwiMLxrWpO2/5grI+hwKjOPRUO4p6 0ebx3TNNFrt7LBOM2qDF5cOaLC+dZKByYuDre3EI6bVyXdwk0omAkytiC6uTB6UFipPxABf9zIes 01UP/cxlGBB3cpO6wcKlXv7marRHsiG/Fomqolsi5mUw4f58gaDsg7dJjyDiKWFYn/bFKm8g2ApZ oTTbMA1LBoSRk9/8ZGK9No0OSZhNV84tlThl22JjdlshESST4M5f3DTdd7u6u/9IRGUTRglzMi0I x4UWQLOndLZf5df9mBsY8Hvp4DxtqLu2plj7rgk5dYe8pZ6GgY4+SbxBACydbBoLcLUAlXn4RBpp 5rUZQ/MWaBogZKMpsOFdN9liuQCZVKgBkk305eG9oaS/HeARthpVs1x67vqD3cxvEu/43GoGa3rw Q9M85gaT9vNJanT89+W3m69Re7MueFQ9Fbp3jag7zoCFGzZUIwmmva3YHJSn6Hun4QIho+5kejVr k4TXHtoKks2DKtvic7Mg1yFDJeEKTYe+Ed0jHT4WMcpKqBJ6WTWk1SKfist0kFKevDg6D1NT+Nsl YumsJSUUXfEopUF65v959qUUazmWluGn9fp1dhxB1KRfRG9jDxv4LYtm3tTGDBHERtbofJxMLHMS 2qSz2PtvD/+uFIk3OoiwyLCmr81Q3Ch2TS4KnbVCWuV6J7K/Mm0p9EikjeAcu48rbi7sRfN+IThQ VGRDeeMEzEbItb52rr94stgCr+OyuOb3ywDtZTCAObvqBL0B2i0TPE3T9lREGxpuiFLZbhq4S8v9 8sK9rcvlfRbbsnmbjvIgCi8v/IOuGwrIWXX4CpGu6Dj6MfMholl/sK0tb4oPqsdh4xEzlsiTu3nI coanIrJ4WDYfwAOxYrbxzRRsHJh1tyemegbRmFLk87qj6qWgIra7Fzle6qhKlosKwb8+QnMg+6a1 +7rMTgaA/8NtLRBuPePUNkl7ytSBRZyvSib46ELcxaxNr+xUZ2j8K0MmJN4j1tPEZKIzrF+nHcbp Q6WbZf4nwC53UAxbkN7mrnEYUzi/8gYdJu3+8/tCqv8Q+gk1KcmNyZb7VDgBCqIE9TbC0BJWBy5H zmvDblKDc46kBvdGaKbT+bWHHYdQfZWOs1ESoKKS2Ng5JiIAQ69dgwHofEc40jY3OmnyAn69cL5H PDvsDvX06BlnH9f/KG+gYsRzM35V9AtQJQYTfRvHby/PB0Vr0cK8HyOf4EGPqdrypNftfLXBb7Eq 3yayb4GePkJsUobvLFqsZ1MCCZPHwsdAXJURFXULedLdtiQ+dF3sJOWH86TJ/fgM5DLTP8b9bkpl rKAomcUpPUiTQovQ4ZaviXUU9GXK2/r+vdkadkPsOO0phyto36RUmWHnGDOcZXjkGX/3fn/ir04X wi5sl7gimSxzoyQp30R0g9oI17lsnlURcM7krI/YdFDum+MHRz3vTQcoM+NPTfR7iIXc8qlvV9Mv XMKKmcQeB7F9CSZZeGLYq9Nz2WwmEA7HL29qNDfsKwd/U6aEPRj2ganEcUefjlbW1jEfs5LxwUHg r7PlczZIoEtGuhVtSNDfn9SR7OLyMgkH2AscTrHAkW3waejtFj91C4i9eTcN6DrHz7Cc3Siy7/8g l4IbVXHE3X8Fbcp+SDUN9800XVHKmv+0eBed5KD/Aq1F4Bh/UAv84f3DybxUj5JthPx+9jQZrgK/ TBoT5VpmDZ5eCo9LmcMs/hQDwCZzjiVKTirEPjpE9EgOzAdiEVW60DcvxWl75sKxRQQD8nN/X0wg eaIv3xVipr7/DHq4eIG6gU7LWHPi41mFNgZX/Cpv3ECQhWIZtVgQdEK6SbQA99i8w5t4y/RTx6/1 NRXDkQGZWLR6wWhiXUUcHgBruy1aNONkWNAl5AiPIUERNTWu7oczmQZLlsynbRB/FV/0qlFktDVB RtCvEAe9le1hVIU96vjfWPQ9bqWASQU0W+Z5bAih/lVlCYgXoNH/M1AkkAuLghAZq81U+zBinpOu RGeieDicsNTWL2G4o7DhI1/gAmW/HsyroUdMmwoZ6FzS1FQinnvsWwWGr7S6M5BNSD/CpDoQ2wan KxnsHz94ZhK8Pu3PnyQecWTSyb0/32jHmKoBQtmM8VYppXsm9FgGSQyhQvkOZIHrV60sEllF51pR 1ZhohYLqnZWEfW33XONSsmo3tyuscNhEVaa9tLbDNMzRrNrxEDRBXDEWBKCo8gICbutJ8oHP32zM BCptPXP9zHrcVQrxtRHDmOOsPvsY9yuImzjJyco7FXmYZssPBi2H1rUkM6l7zTzr6S74NpUkPW61 /7r0ZSh8jdnkwrh2yeyrj+0k7Gb+ktMwuk/RXu/Y8qrnicgesUQiIDMU2RPOEe7iZS7/WaBivaf5 II9qWZjZd5X0nDH5EHhXyXOAmPIPmQastLVBFA0VZhOM9lYUgfdWbr1w3KfEXwi+DQE2hHjVLhlq rchKg+p1g3uGCTEVmA3WHsDmuJWiKuBbxlJzKvD1tx1mDI3/FcT1bW9FUKE9ppVszAk686gdY1Ah pLJLytMcysrby6l0IGV3XDIvlybQx08XLrz1JFdIeW+ptrg19x3EMkJQ/X0kDu6FPPMDDVqCEvZX N7FGlb+9jvFrHs+N1N1rKC6RK9mk8sk9QEv575f6sBWmTn0Y5EPqowqU4SXLkCcGrX/ZY18BSABn /nsdJ9Y3OHnj+fKtzVDlamYTPbKpUU8RrX04FVG0bwHR4+CckFbIPdT0m61hisxLYeq5+f48Cd8w InlIrjznhh8RkBzZ1aRP0CgeWIWKoWfjz23i3AaoiXHzz8Jn5zw/gxXBNk+IKxnAATt6uy+bMwgy ctfZF/pKJKJ0o2I2Sj51Mc7xJg62cu0pZXc4cldFNZP93MzTLmiDOuqMAHGF5sYVwlSemz8SpriN B9GBGh4nDfv3Gtd58VICbHIG7CiAi+6/fYzgH/waQkIYXMOthfmdKZ2doasMBG9M8LJJKZyYSxqe PBuOtUJEVE6lLkdCEoQDyw9WkwKRocLHuK/mIRQrEZMJU820V4Oi1+grVbnsBLsgpI5zf/qaP5GD LZKfulOWziBcr0x31Guzl41VxZjL6hj0SIrUyiEI/XFg11MbSH9c6XuGji3K6GocXYOUrSU5tQoh oW91q+aigTAeGJOo5wNiMJNMUkpKSdE1gWWDGWPRxfExvoqP1neKODZIvY02TwLfmVbcDs1QDuc6 JUf1I3xMC9HsVEC0N3/U4GJtQlKDv9QYNHTD7PFrtRnlxa06N+ZR1LXqSRrQVFwLiU5GeVJFPPLr crBRbEs2KDRVsFDiWx+TxOqxXnr7w0pDCWgsVba8Vn9+0aeuv1yu1wCWjxP2na1imQdOyPa6+n+f xFvHMQ8FfQNOoXCFONAnIQveazq2niJY1pjjIV7BuDCUQm3rdBxdlyRS0FzyVulCjFDlDwLMRy1V IRNFM4/snJ0L4rp7AUEfY/Cv6wMfoZO+VNif3dcVfgCfO8FTwjNTtFuxAM+JifEV6XWIKRDOxcu6 IhEHTZjBTRpUKUp6MBds9OQKDX8TV9GgUXqk6UzIw5It+f0a9LmCWCnIOtrz+FoJqmezjmX5CZai 3xnVQUEcbOM5P321buL6t7arU6OauXclOMA16RZUtITTB4+pTK7wdMYosN9J2Z09P6wXJhZQk9Lc dfrjFFFTvlYW2gDKc8Ia3OTAeFK0bfaD1uQeESH1ZQ7acuzo6pdLonDeJQYMGrhDJXEVLz6/WlUt 36dMLG+8COPop6hm5KHzG1+zlm3WN4iPzliFc+T+ntznhb9T50OevXZIeykIRI67gK59Wq3Ie8y5 8BYfat6oZ70EMfEX7pkzTvZm0eL/3KSXXtxIWCgiqwPXwccYCsWYWyQUMu56Pm5KiQK64xk9fe5P OAbqrit/j/ostdsZUQh5zhxKjrCYsptquwJNI4rKWwsCYQYDifPOQa9hlFyrJF00n6nMH4kI6R2w TgbMfWC72emcidOS4zdo7yf06ywSogvmGphgCJYeZw08biPAq5lm4MYimyyQpM/OyLZEYbJTXC2I 7UA970abFjBcMfD57GPRYYDg9f7+ua4AjDPH7Rqtvx6jh4C0UkvhlmeflnOisXfYSKQTpkDfgPMe cKTDhyEkriAZB7AQrCiAo7pcnQPTNPdOO5xpsoIElZpB29MkFoT+A7afB/QOsiCSDEtu8KI110Y+ ctJcJ59Ok30S5qE6KWPmjvFrg0lMogvt3PBOOK51EjEmJlr6pSKZ7gJT8XsGT9IijuEEENW1BSZT NpJti5Cs28e+PymCXqwpAJE57bQnf7YZiywffvCK8P443Zllu/cW1IciQ6KX/jv0Uv0/eaksrs8O e7xc9pCH+skINzGvUMpGexaJrCvzIUVFpaYMXMrkbDC2qa3MrDuTNkiIdAL4h+PolC9fOZRlk9HL CqRx8NCvN6TfGRFizP3jMAKcN593R+CmMAGst1Z5c77OqBrguIvIJMRY3IwRW66ULh7Dfyc33jQe g76AfoLHqVqYCojGCg2vs6qONf+wDtT24fJ0kX3cDkAqqw89Nd5leVl5Wpkupa0Pvj/XAf0+VIR+ ZVherYcwOXKO6Y8Q4/7H73J8Hmz+nCcTi7HCMTBKx37wAERXQAwoGSYvuF5CmYg= `protect end_protected
gpl-2.0
407c99b4e9bd1d7197606c2a5d979034
0.938588
1.855424
false
false
false
false
keith-epidev/VHDL-lib
top/mono_radio/ip/fir_lp_15kHz_0/synth/fir_lp_15kHz.vhd
1
12,231
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.1 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_1; USE fir_compiler_v7_1.fir_compiler_v7_1; ENTITY fir_lp_15kHz IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(47 DOWNTO 0) ); END fir_lp_15kHz; ARCHITECTURE fir_lp_15kHz_arch OF fir_lp_15kHz IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF fir_lp_15kHz_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_1 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(47 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_1; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF fir_lp_15kHz_arch: ARCHITECTURE IS "fir_compiler_v7_1,Vivado 2014.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF fir_lp_15kHz_arch : ARCHITECTURE IS "fir_lp_15kHz,fir_compiler_v7_1,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF fir_lp_15kHz_arch: ARCHITECTURE IS "fir_lp_15kHz,fir_compiler_v7_1,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fir_compiler,x_ipVersion=7.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_COMPONENT_NAME=fir_lp_15kHz,C_COEF_FILE=fir_lp_15kHz.mif,C_COEF_FILE_LINES=1024,C_FILTER_TYPE=1,C_INTERP_RATE=1,C_DECIM_RATE=4,C_ZERO_PACKING_FACTOR=1,C_SYMMETRY=1,C_NUM_FILTS=1,C_NUM_TAPS=2048,C_NUM_CHANNELS=1,C_CHANNEL_PATTERN=fixed,C_ROUND_MODE=0,C_COEF_RELOAD=0,C_NUM_RELOAD_SLOTS=1,C_COL_MODE=1,C_COL_PIPE_LEN=4,C_COL_CONFIG=16,C_OPTIMIZATION=2046,C_DATA_PATH_WIDTHS=16,C_DATA_IP_PATH_WIDTHS=16,C_DATA_PX_PATH_WIDTHS=16,C_DATA_WIDTH=16,C_COEF_PATH_WIDTHS=24,C_COEF_WIDTH=24,C_DATA_PATH_SRC=0,C_COEF_PATH_SRC=0,C_DATA_PATH_SIGN=0,C_COEF_PATH_SIGN=0,C_ACCUM_PATH_WIDTHS=44,C_OUTPUT_WIDTH=44,C_OUTPUT_PATH_WIDTHS=44,C_ACCUM_OP_PATH_WIDTHS=44,C_EXT_MULT_CNFG=none,C_DATA_PATH_PSAMP_SRC=0,C_OP_PATH_PSAMP_SRC=0,C_NUM_MADDS=16,C_OPT_MADDS=none,C_OVERSAMPLING_RATE=16,C_INPUT_RATE=16,C_OUTPUT_RATE=64,C_DATA_MEMTYPE=0,C_COEF_MEMTYPE=2,C_IPBUFF_MEMTYPE=0,C_OPBUFF_MEMTYPE=0,C_DATAPATH_MEMTYPE=2,C_MEM_ARRANGEMENT=1,C_DATA_MEM_PACKING=0,C_COEF_MEM_PACKING=0,C_FILTS_PACKED=0,C_LATENCY=40,C_HAS_ARESETn=0,C_HAS_ACLKEN=0,C_DATA_HAS_TLAST=0,C_S_DATA_HAS_FIFO=1,C_S_DATA_HAS_TUSER=0,C_S_DATA_TDATA_WIDTH=16,C_S_DATA_TUSER_WIDTH=1,C_M_DATA_HAS_TREADY=0,C_M_DATA_HAS_TUSER=0,C_M_DATA_TDATA_WIDTH=48,C_M_DATA_TUSER_WIDTH=1,C_HAS_CONFIG_CHANNEL=0,C_CONFIG_SYNC_MODE=0,C_CONFIG_PACKET_SIZE=0,C_CONFIG_TDATA_WIDTH=1,C_RELOAD_TDATA_WIDTH=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_1 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "fir_lp_15kHz", C_COEF_FILE => "fir_lp_15kHz.mif", C_COEF_FILE_LINES => 1024, C_FILTER_TYPE => 1, C_INTERP_RATE => 1, C_DECIM_RATE => 4, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 2048, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 0, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "16", C_OPTIMIZATION => 2046, C_DATA_PATH_WIDTHS => "16", C_DATA_IP_PATH_WIDTHS => "16", C_DATA_PX_PATH_WIDTHS => "16", C_DATA_WIDTH => 16, C_COEF_PATH_WIDTHS => "24", C_COEF_WIDTH => 24, C_DATA_PATH_SRC => "0", C_COEF_PATH_SRC => "0", C_DATA_PATH_SIGN => "0", C_COEF_PATH_SIGN => "0", C_ACCUM_PATH_WIDTHS => "44", C_OUTPUT_WIDTH => 44, C_OUTPUT_PATH_WIDTHS => "44", C_ACCUM_OP_PATH_WIDTHS => "44", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 16, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 16, C_INPUT_RATE => 16, C_OUTPUT_RATE => 64, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 0, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 40, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 16, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 48, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END fir_lp_15kHz_arch;
gpl-2.0
ee9a42fc96b62a81af677975275f6157
0.654076
3.080856
false
true
false
false
UVVM/UVVM_All
uvvm_util/src/generic_queue_pkg.vhd
1
50,840
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.types_pkg.all; use work.adaptations_pkg.all; use work.methods_pkg.all; use work.string_methods_pkg.all; package generic_queue_pkg is generic (type t_generic_element; scope : string := C_SCOPE; GC_QUEUE_COUNT_MAX : natural := 1000; GC_QUEUE_COUNT_THRESHOLD : natural := 950); -- When find_* doesn't find a match, they return C_NO_MATCH. constant C_NO_MATCH : integer := -1; -- A generic queue for verification type t_generic_queue is protected procedure add( constant instance : in integer; constant element : in t_generic_element); procedure add( constant element : in t_generic_element); procedure put( constant instance : in integer; constant element : in t_generic_element); procedure put( constant element : in t_generic_element); impure function get( constant instance : in integer) return t_generic_element; impure function get( constant dummy : in t_void) return t_generic_element; impure function is_empty( constant instance : in integer) return boolean; impure function is_empty( constant dummy : in t_void) return boolean; procedure set_scope( constant instance : in integer; constant scope : in string); procedure set_scope( constant scope : in string); procedure set_name( constant name : in string); impure function get_scope( constant instance : in integer) return string; impure function get_scope( constant dummy : in t_void) return string; impure function get_count( constant instance : in integer) return natural; impure function get_count( constant dummy : in t_void) return natural; procedure set_queue_count_threshold( constant instance : in integer; constant queue_count_alert_level : in natural); procedure set_queue_count_threshold( constant queue_count_alert_level : in natural); impure function get_queue_count_threshold( constant instance : in integer) return natural; impure function get_queue_count_threshold( constant dummy : in t_void) return natural; impure function get_queue_count_threshold_severity( constant dummy : in t_void) return t_alert_level; procedure set_queue_count_threshold_severity( constant alert_level : in t_alert_level); impure function get_queue_count_max( constant instance : in integer) return natural; impure function get_queue_count_max( constant dummy : in t_void) return natural; procedure set_queue_count_max( constant instance : in integer; constant queue_count_max : in natural); procedure set_queue_count_max( constant queue_count_max : in natural); procedure flush( constant instance : in integer); procedure flush( constant dummy : in t_void); procedure reset( constant instance : in integer); procedure reset( constant dummy : in t_void); procedure insert( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant element : in t_generic_element); procedure insert( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant element : in t_generic_element); procedure delete( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive); procedure delete( constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive); procedure delete( constant instance : in integer; constant element : in t_generic_element ); procedure delete( constant element : in t_generic_element ); procedure delete( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option ); procedure delete( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option ); impure function peek( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element; impure function peek( constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element; impure function peek( constant instance : in integer ) return t_generic_element; impure function peek( constant dummy : in t_void ) return t_generic_element; impure function fetch( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element; impure function fetch( constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element; impure function fetch( constant instance : in integer ) return t_generic_element; impure function fetch( constant dummy : in t_void ) return t_generic_element; impure function find_position( constant element : in t_generic_element) return integer; impure function find_position( constant instance : in integer; constant element : in t_generic_element) return integer; impure function find_entry_num( constant element : in t_generic_element) return integer; impure function find_entry_num( constant instance : in integer; constant element : in t_generic_element) return integer; impure function exists( constant instance : in integer; constant element : in t_generic_element ) return boolean; impure function exists( constant element : in t_generic_element ) return boolean; impure function get_entry_num( constant instance : in integer; constant position_val : in positive) return integer; impure function get_entry_num( constant position_val : in positive) return integer; procedure print_queue( constant instance : in integer); procedure print_queue( constant dummy : in t_void); end protected; end package generic_queue_pkg; package body generic_queue_pkg is type t_generic_queue is protected body -- Types and control variables for the linked list implementation type t_element; type t_element_ptr is access t_element; type t_element is record entry_num : natural; next_element : t_element_ptr; element_data : t_generic_element; end record; type t_element_ptr_array is array(integer range 0 to C_MAX_QUEUE_INSTANCE_NUM) of t_element_ptr; type t_string_array is array(integer range 0 to C_MAX_QUEUE_INSTANCE_NUM) of string(1 to C_LOG_SCOPE_WIDTH); variable vr_last_element : t_element_ptr_array := (others => null); -- Back entry variable vr_first_element : t_element_ptr_array := (others => null); -- Front entry variable vr_num_elements_in_queue : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => 0); -- Scope variables variable vr_scope : t_string_array := (others => (others => NUL)); variable vr_scope_is_defined : boolean_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => false); -- Name variables variable vr_name : string(1 to C_LOG_SCOPE_WIDTH) := (others => NUL); variable vr_name_is_defined : boolean := false; variable vr_queue_count_max : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => GC_QUEUE_COUNT_MAX); variable vr_queue_count_threshold : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => GC_QUEUE_COUNT_THRESHOLD); variable vr_queue_count_threshold_severity : t_alert_level := TB_WARNING; variable vr_entry_num : integer_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => 0); -- Incremented before first insert -- Fill level alert type t_queue_count_threshold_alert_frequency is (ALWAYS, FIRST_TIME_ONLY); constant C_ALERT_FREQUENCY : t_queue_count_threshold_alert_frequency := FIRST_TIME_ONLY; variable vr_queue_count_threshold_triggered : boolean_vector(0 to C_MAX_QUEUE_INSTANCE_NUM) := (others => false); ------------------------------------------------------------------------------------------------------ -- -- Helper methods (not visible from outside) -- ------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------ -- Helper method: Check if an Alert shall be triggered (to be called before adding another entry) ------------------------------------------------------------------------------------------------------ procedure perform_pre_add_checks ( constant instance : in integer ) is begin if((vr_queue_count_threshold(instance) /= 0) and (vr_num_elements_in_queue(instance) >= vr_queue_count_threshold(instance))) then if((C_ALERT_FREQUENCY = ALWAYS) or (C_ALERT_FREQUENCY = FIRST_TIME_ONLY and not vr_queue_count_threshold_triggered(instance))) then alert(vr_queue_count_threshold_severity, "Queue is now at " & to_string(vr_queue_count_threshold(instance)) & " of " & to_string(vr_queue_count_max(instance)) & " elements.", vr_scope(instance)); vr_queue_count_threshold_triggered(instance) := true; end if; end if; end procedure; ------------------------------------------------------------------------------------------------------ -- Helper method: Iterate through all entries, and match the one with element_data = element -- This also works if the element is a record or array, whereas all entries/indexes must match ------------------------------------------------------------------------------------------------------ procedure match_element_data ( instance : in integer; -- Queue instance element : in t_generic_element; -- Element to search for found_match : out boolean; -- True if a match was found. matched_position : out integer; -- valid if found_match=true matched_element_ptr : out t_element_ptr -- valid if found_match=true ) is variable v_position_ctr : integer := 1; -- Keep track of POSITION when traversing the linked list variable v_element_ptr : t_element_ptr; -- Entry currently being checked for match begin -- Default found_match := false; matched_position := C_NO_MATCH; matched_element_ptr := null; if vr_num_elements_in_queue(instance) > 0 then -- Search from front to back element v_element_ptr := vr_first_element(instance); loop if v_element_ptr.element_data = element then -- Element matched entry found_match := true; matched_position := v_position_ctr; matched_element_ptr := v_element_ptr; exit; else -- No match. if v_element_ptr.next_element = null then exit; -- Last entry. All queue entries have been searched through. end if; v_element_ptr := v_element_ptr.next_element; -- next queue entry v_position_ctr := v_position_ctr + 1; end if; end loop; end if; end procedure; -- Find and return entry that matches the identifier procedure match_identifier ( instance : in integer; -- Queue instance identifier_option : in t_identifier_option; -- Determines what 'identifier' means identifier : in positive; -- Identifier value to search for found_match : out boolean; -- True if a match was found. matched_position : out integer; -- valid if found_match=true matched_element_ptr : out t_element_ptr; -- valid if found_match=true preceding_element_ptr : out t_element_ptr -- valid if found_match=true. Element at position-1, pointing to elemnt_ptr ) is -- Search from front to back element. Init pointers/counters to the first entry: variable v_element_ptr : t_element_ptr := vr_first_element(instance); -- Entry currently being checked for match variable v_position_ctr : integer := 1; -- Keep track of POSITION when traversing the linked list begin -- Default found_match := false; matched_position := C_NO_MATCH; matched_element_ptr := null; preceding_element_ptr := null; -- If queue is not empty and indentifier in valid range if (vr_num_elements_in_queue(instance) > 0) and ((identifier_option = POSITION and identifier <= vr_num_elements_in_queue(instance)) or (identifier_option = ENTRY_NUM and identifier <= vr_entry_num(instance))) then loop -- For each element in queue: -- Check if POSITION or ENTRY_NUM matches v_element_ptr if (identifier_option = POSITION) and (v_position_ctr = identifier) then found_match := true; end if; if (identifier_option = ENTRY_NUM) and (v_element_ptr.entry_num = identifier) then found_match := true; end if; if found_match then -- This element matched. Done searching. matched_position := v_position_ctr; matched_element_ptr := v_element_ptr; exit; else -- No match. if v_element_ptr.next_element = null then -- report "last v_position_ctr = " & to_string(v_position_ctr); exit; -- Last entry. All queue entries have been searched through. end if; preceding_element_ptr := v_element_ptr; -- the entry at the postition before element_ptr v_element_ptr := v_element_ptr.next_element; -- next queue entry v_position_ctr := v_position_ctr + 1; end if; end loop; -- for each element in queue end if; -- Not empty end procedure; ------------------------------------------------------------------------------------------------------ -- -- Public methods, visible from outside -- ------------------------------------------------------------------------------------------------------ -- add : Insert element in the back of queue, i.e. at the highest position procedure add( constant instance : in integer; constant element : in t_generic_element ) is constant proc_name : string := "add"; variable v_previous_ptr : t_element_ptr; begin check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); perform_pre_add_checks(instance); check_value(vr_num_elements_in_queue(instance) < vr_queue_count_max(instance), TB_ERROR, proc_name & "() into generic queue (of size " & to_string(vr_queue_count_max(instance)) & ") when full", vr_scope(instance), ID_NEVER); -- Increment vr_entry_num vr_entry_num(instance) := vr_entry_num(instance)+1; -- Set read and write pointers when appending element to existing list if vr_num_elements_in_queue(instance) > 0 then v_previous_ptr := vr_last_element(instance); vr_last_element(instance) := new t_element'(entry_num => vr_entry_num(instance), next_element => null, element_data => element); v_previous_ptr.next_element := vr_last_element(instance); -- Insert the new element into the linked list else -- List is empty vr_last_element(instance) := new t_element'(entry_num => vr_entry_num(instance), next_element => null, element_data => element); vr_first_element(instance) := vr_last_element(instance); -- Update read pointer, since this is the first and only element in the list. end if; -- Increment number of elements vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) + 1; end procedure; procedure add( constant element : in t_generic_element ) is begin add(1, element); end procedure; procedure put( constant instance : in integer; constant element : in t_generic_element ) is begin add(instance, element); end procedure; procedure put( constant element : in t_generic_element ) is begin put(1, element); end procedure; impure function get( constant instance : in integer ) return t_generic_element is begin return fetch(instance); end function; impure function get( constant dummy : in t_void ) return t_generic_element is begin return get(1); end function; procedure flush( constant instance : in integer ) is variable v_to_be_deallocated_ptr : t_element_ptr; begin check_value(vr_scope_is_defined(instance), TB_WARNING, "Scope name must be defined for this generic queue " &to_string(instance), "???", ID_NEVER); -- Deallocate all entries in the list -- Setting the last element to null and iterating over the queue until finding the null element vr_last_element(instance) := null; while vr_first_element(instance) /= null loop v_to_be_deallocated_ptr := vr_first_element(instance); vr_first_element(instance) := vr_first_element(instance).next_element; DEALLOCATE(v_to_be_deallocated_ptr); end loop; -- Reset the queue counter vr_num_elements_in_queue(instance) := 0; vr_queue_count_threshold_triggered(instance) := false; end procedure; procedure flush( constant dummy : in t_void ) is begin flush(1); end procedure; procedure reset( constant instance : in integer) is begin flush(instance); vr_entry_num(instance) := 0; -- Incremented before first insert end procedure; procedure reset( constant dummy : in t_void) is begin reset(1); end procedure; impure function is_empty( constant instance : in integer ) return boolean is begin if vr_num_elements_in_queue(instance) = 0 then return true; else return false; end if; end function; impure function is_empty( constant dummy : in t_void ) return boolean is begin return is_empty(1); end function; procedure set_scope( constant instance : in integer; constant scope : in string) is begin if instance = ALL_INSTANCES then if scope'length > C_LOG_SCOPE_WIDTH then vr_scope := (others => scope(1 to C_LOG_SCOPE_WIDTH)); else for idx in vr_scope'range loop vr_scope(idx) := (others => NUL); vr_scope(idx)(1 to scope'length) := scope; end loop; end if; vr_scope_is_defined := (others => true); else if scope'length > C_LOG_SCOPE_WIDTH then vr_scope(instance) := scope(1 to C_LOG_SCOPE_WIDTH); else vr_scope(instance) := (others => NUL); vr_scope(instance)(1 to scope'length) := scope; end if; vr_scope_is_defined(instance) := true; end if; end procedure; procedure set_scope( constant scope : in string) is begin set_scope(1, scope); end procedure; procedure set_name( constant name : in string) is begin vr_name(1 to name'length) := name; vr_name_is_defined := true; end procedure; impure function get_scope( constant instance : in integer ) return string is begin return to_string(vr_scope(instance)); end function; impure function get_scope( constant dummy : in t_void ) return string is begin return get_scope(1); end function; impure function get_count( constant instance : in integer ) return natural is begin return vr_num_elements_in_queue(instance); end function; impure function get_count( constant dummy : in t_void ) return natural is begin return get_count(1); end function; impure function get_queue_count_max( constant instance : in integer ) return natural is begin return vr_queue_count_max(instance); end function; impure function get_queue_count_max( constant dummy : in t_void ) return natural is begin return get_queue_count_max(1); end function; procedure set_queue_count_max( constant instance : in integer; constant queue_count_max : in natural ) is begin vr_queue_count_max(instance) := queue_count_max; check_value(vr_num_elements_in_queue(instance) < vr_queue_count_max(instance), TB_ERROR, "set_queue_count_max() new queue max count (" & to_string(vr_queue_count_max(instance)) & ") is less than current queue count(" & to_string(vr_num_elements_in_queue(instance)) & ").", vr_scope(instance), ID_NEVER); end procedure; procedure set_queue_count_max( constant queue_count_max : in natural ) is begin set_queue_count_max(1, queue_count_max); end procedure; procedure set_queue_count_threshold( constant instance : in integer; constant queue_count_alert_level : in natural ) is begin vr_queue_count_threshold(instance) := queue_count_alert_level; end procedure; procedure set_queue_count_threshold( constant queue_count_alert_level : in natural ) is begin set_queue_count_threshold(1, queue_count_alert_level); end procedure; impure function get_queue_count_threshold( constant instance : in integer ) return natural is begin return vr_queue_count_threshold(instance); end function; impure function get_queue_count_threshold( constant dummy : in t_void ) return natural is begin return get_queue_count_threshold(1); end function; impure function get_queue_count_threshold_severity( constant dummy : in t_void ) return t_alert_level is begin return vr_queue_count_threshold_severity; end function; procedure set_queue_count_threshold_severity( constant alert_level : in t_alert_level) is begin vr_queue_count_threshold_severity := alert_level; end procedure; ---------------------------------------------------- -- Insert: ---------------------------------------------------- -- Inserts element into the queue after the matching entry with specified identifier: -- -- When identifier_option = POSITION: -- identifier = position in queue, counting from 1 -- -- When identifier_option = ENTRY_NUM: -- identifier = entry number, counting from 1 procedure insert( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant element : in t_generic_element) is constant proc_name : string := "insert"; variable v_element_ptr : t_element_ptr; -- The element currently being processed variable v_new_element_ptr : t_element_ptr; -- Used when creating a new element variable v_preceding_element_ptr : t_element_ptr; -- Used when creating a new element variable v_found_match : boolean; variable v_matched_position : integer; begin -- pre insert checks check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); perform_pre_add_checks(instance); check_value(vr_num_elements_in_queue(instance) < vr_queue_count_max(instance), TB_ERROR, proc_name & "() into generic queue (of size " & to_string(vr_queue_count_max(instance)) & ") when full", vr_scope(instance), ID_NEVER); if (identifier /= 1) then if (identifier_option = POSITION) then check_value(vr_num_elements_in_queue(instance) >= identifier, TB_ERROR, proc_name & "() into position larger than number of elements in queue. Use add() instead when inserting at the back of the queue", vr_scope(instance), ID_NEVER); else -- identifier_option /= POSITION check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, proc_name & "() into empty queue isn't supported. Use add() instead", vr_scope(instance), ID_NEVER); end if; end if; -- Search from front to back element. match_identifier( instance => instance , identifier_option => identifier_option , identifier => identifier , found_match => v_found_match , matched_position => v_matched_position , matched_element_ptr => v_element_ptr , preceding_element_ptr => v_preceding_element_ptr ); if v_found_match then -- Make new element vr_entry_num(instance) := vr_entry_num(instance)+1; -- Increment vr_entry_num -- POSITION: insert at matched position if identifier_option = POSITION then v_new_element_ptr := new t_element'(entry_num => vr_entry_num(instance), next_element => v_element_ptr, element_data => element); -- if match is first element if v_preceding_element_ptr = null then vr_first_element(instance) := v_new_element_ptr; -- Insert the new element into the front of the linked list else v_preceding_element_ptr.next_element := v_new_element_ptr; -- Insert the new element into the linked list end if; --ENTRY_NUM: insert at position after match else v_new_element_ptr := new t_element'(entry_num => vr_entry_num(instance), next_element => v_element_ptr.next_element, element_data => element); v_element_ptr.next_element := v_new_element_ptr; -- Insert the new element into the linked list end if; vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) + 1; -- Increment number of elements elsif identifier_option = POSITION then -- v_found_match = false if identifier = 1 then add(instance, element); end if; elsif identifier_option = ENTRY_NUM then if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " & "instance=" & to_string(instance) & ", identifier_option=" & t_identifier_option'image(identifier_option) & ", identifier=" & to_string(identifier) & ", element...", scope); end if; end if; end procedure; procedure insert( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant element : in t_generic_element) is begin insert(1, identifier_option, identifier, element); end procedure; ---------------------------------------------------- -- delete: ---------------------------------------------------- -- Read and remove the entry matching the identifier -- -- When identifier_option = POSITION: -- identifier = position in queue, counting from 1 -- -- When identifier_option = ENTRY_NUM: -- identifier = entry number, counting from 1 procedure delete( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive ) is constant proc_name : string := "delete"; variable v_matched_element_ptr : t_element_ptr; -- The element being deleted variable v_element_to_delete_ptr : t_element_ptr; -- The element being deleted variable v_matched_element_data : t_generic_element; -- Return value variable v_preceding_element_ptr : t_element_ptr; variable v_matched_position : integer; variable v_found_match : boolean; variable v_deletes_remaining : integer; begin check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); if(vr_num_elements_in_queue(instance) < vr_queue_count_threshold(instance)) then -- reset alert trigger if set vr_queue_count_threshold_triggered(instance) := false; end if; -- delete based on POSITION : -- Note that when deleting the first position, all above positions are decremented by one. -- Find the identifier_min, delete it, and following next_element until we reach number of positions to delete if (identifier_option = POSITION) then check_value(vr_num_elements_in_queue(instance) >= identifier_max, TB_ERROR, proc_name & " where identifier_max > generic queue size", vr_scope(instance), ID_NEVER); check_value(identifier_max >= identifier_min, TB_ERROR, "Check that identifier_max >= identifier_min", vr_scope(instance), ID_NEVER); v_deletes_remaining := 1 + identifier_max - identifier_min; -- Find min position match_identifier( instance => instance , identifier_option => identifier_option , identifier => identifier_min, found_match => v_found_match , matched_position => v_matched_position , matched_element_ptr => v_matched_element_ptr , preceding_element_ptr => v_preceding_element_ptr ); if v_found_match then v_element_to_delete_ptr := v_matched_element_ptr; -- Delete element at identifier_min first while v_deletes_remaining > 0 loop -- Update pointer to the element about to be removed. if (v_preceding_element_ptr = null) then -- Removing the first entry, vr_first_element(instance) := vr_first_element(instance).next_element; else -- Removing an intermediate or last entry v_preceding_element_ptr.next_element := v_element_to_delete_ptr.next_element; -- If the element is the last entry, update vr_last_element if v_element_to_delete_ptr.next_element = null then vr_last_element(instance) := v_preceding_element_ptr; end if; end if; -- Decrement number of elements vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) - 1; -- Memory management DEALLOCATE(v_element_to_delete_ptr); v_deletes_remaining := v_deletes_remaining - 1; -- Prepare next iteration: -- Next element to delete: if v_deletes_remaining > 0 then if (v_preceding_element_ptr = null) then -- We just removed the first entry, so there's no pointer from a preceding entry. Next to delete is the first entry. v_element_to_delete_ptr := vr_first_element(instance); else -- Removed an intermediate or last entry. Next to delete is the pointer from the preceding element v_element_to_delete_ptr := v_preceding_element_ptr.next_element; end if; end if; end loop; else -- v_found_match if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " & "instance=" & to_string(instance) & ", identifier_option=" & t_identifier_option'image(identifier_option) & ", identifier_min=" & to_string(identifier_min) & ", identifier_max=" & to_string(identifier_max) & ", non-matching identifier=" & to_string(identifier_min), scope); end if; end if; -- v_found_match -- delete based on ENTRY_NUM : -- Unlike position, an entry's Entry_num is stable when deleting other entries -- Entry_num is not necessarily increasing as we follow next_element pointers. -- This means that we must do a complete search for each entry we want to delete elsif (identifier_option = ENTRY_NUM) then check_value(vr_entry_num(instance) >= identifier_max, TB_ERROR, proc_name & " where identifier_max > highest entry number", vr_scope(instance), ID_NEVER); check_value(identifier_max >= identifier_min, TB_ERROR, "Check that identifier_max >= identifier_min", vr_scope(instance), ID_NEVER); v_deletes_remaining := 1 + identifier_max - identifier_min; -- For each entry to delete, find it based on entry_num , then delete it for identifier in identifier_min to identifier_max loop match_identifier( instance => instance , identifier_option => identifier_option , identifier => identifier, found_match => v_found_match , matched_position => v_matched_position , matched_element_ptr => v_matched_element_ptr , preceding_element_ptr => v_preceding_element_ptr ); if v_found_match then v_element_to_delete_ptr := v_matched_element_ptr; -- Update pointer to the element about to be removed. if (v_preceding_element_ptr = null) then -- Removing the first entry, vr_first_element(instance) := vr_first_element(instance).next_element; else -- Removing an intermediate or last entry v_preceding_element_ptr.next_element := v_element_to_delete_ptr.next_element; -- If the element is the last entry, update vr_last_element if v_element_to_delete_ptr.next_element = null then vr_last_element(instance) := v_preceding_element_ptr; end if; end if; -- Decrement number of elements vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) - 1; -- Memory management DEALLOCATE(v_element_to_delete_ptr); else -- v_found_match if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " & "instance=" & to_string(instance) & ", identifier_option=" & t_identifier_option'image(identifier_option) & ", identifier_min=" & to_string(identifier_min) & ", identifier_max=" & to_string(identifier_max) & ", non-matching identifier=" & to_string(identifier), scope); end if; end if; -- v_found_match end loop; end if; -- identifier_option end procedure; procedure delete( constant identifier_option : in t_identifier_option; constant identifier_min : in positive; constant identifier_max : in positive ) is begin delete(1, identifier_option, identifier_min, identifier_max); end procedure; procedure delete( constant instance : in integer; constant element : in t_generic_element ) is variable v_entry_num : integer:= find_entry_num(element); begin delete(instance, ENTRY_NUM, v_entry_num, v_entry_num); end procedure; procedure delete( constant element : in t_generic_element ) is begin delete(1, element); end procedure; procedure delete( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option ) is begin case range_option is when SINGLE => delete(instance, identifier_option, identifier, identifier); when AND_LOWER => delete(instance, identifier_option, 1, identifier); when AND_HIGHER => if identifier_option = POSITION then delete(instance, identifier_option, identifier, vr_num_elements_in_queue(instance)); elsif identifier_option = ENTRY_NUM then delete(instance, identifier_option, identifier, vr_entry_num(instance)); end if; end case; end procedure; procedure delete( constant identifier_option : in t_identifier_option; constant identifier : in positive; constant range_option : in t_range_option ) is begin delete(1, identifier_option, identifier, range_option); end procedure; ---------------------------------------------------- -- peek: ---------------------------------------------------- -- Read the entry matching the identifier, but don't remove it. -- -- When identifier_option = POSITION: -- identifier = position in queue, counting from 1 -- -- When identifier_option = ENTRY_NUM: -- identifier = entry number, counting from 1 impure function peek( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element is constant proc_name : string := "peek"; variable v_matched_element_data : t_generic_element; -- Return value variable v_matched_element_ptr : t_element_ptr; -- The element currently being processed variable v_preceding_element_ptr : t_element_ptr; variable v_matched_position : integer; -- Keep track of POSITION when traversing the linked list variable v_found_match : boolean := false; begin check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, proc_name & "() from generic queue when empty", vr_scope(instance), ID_NEVER); match_identifier( instance => instance , identifier_option => identifier_option , identifier => identifier , found_match => v_found_match , matched_position => v_matched_position , matched_element_ptr => v_matched_element_ptr , preceding_element_ptr => v_preceding_element_ptr ); if v_found_match then v_matched_element_data := v_matched_element_ptr.element_data; else if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " & "instance=" & to_string(instance) & ", identifier_option=" & t_identifier_option'image(identifier_option) & ", identifier=" & to_string(identifier), scope); end if; end if; return v_matched_element_data; end function; impure function peek( constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element is begin return peek(1, identifier_option, identifier); end function; -- If no identifier is specified, return the oldest entry (first position) impure function peek( constant instance : in integer ) return t_generic_element is begin return peek(instance, POSITION, 1); end function; impure function peek( constant dummy : in t_void ) return t_generic_element is begin return peek(1); end function; ---------------------------------------------------- -- Fetch: ---------------------------------------------------- -- Read and remove the entry matching the identifier -- -- When identifier_option = POSITION: -- identifier = position in queue, counting from 1 -- -- When identifier_option = ENTRY_NUM: -- identifier = entry number, counting from 1 impure function fetch( constant instance : in integer; constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element is constant proc_name : string := "fetch"; variable v_matched_element_ptr : t_element_ptr; -- The element being fetched variable v_matched_element_data : t_generic_element; -- Return value variable v_preceding_element_ptr : t_element_ptr; variable v_matched_position : integer; variable v_found_match : boolean; begin check_value(vr_scope_is_defined(instance), TB_WARNING, proc_name & ": Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, proc_name & "() from generic queue when empty", vr_scope(instance), ID_NEVER); if(vr_num_elements_in_queue(instance) < vr_queue_count_threshold(instance)) then -- reset alert trigger if set vr_queue_count_threshold_triggered(instance) := false; end if; match_identifier( instance => instance , identifier_option => identifier_option , identifier => identifier , found_match => v_found_match , matched_position => v_matched_position , matched_element_ptr => v_matched_element_ptr , preceding_element_ptr => v_preceding_element_ptr ); if v_found_match then -- Keep info about element before removing it from queue v_matched_element_data := v_matched_element_ptr.element_data; -- Update pointer to the element about to be removed. if (v_preceding_element_ptr = null) then -- Removing the first entry, vr_first_element(instance) := vr_first_element(instance).next_element; else -- Removing an intermediate or last entry v_preceding_element_ptr.next_element := v_matched_element_ptr.next_element; -- If the element is the last entry, update vr_last_element if v_matched_element_ptr.next_element = null then vr_last_element(instance) := v_preceding_element_ptr; end if; end if; -- Decrement number of elements vr_num_elements_in_queue(instance) := vr_num_elements_in_queue(instance) - 1; -- Memory management DEALLOCATE(v_matched_element_ptr); else if (vr_num_elements_in_queue(instance) > 0) then -- if not already reported tb_error due to empty tb_error(proc_name & "() did not match an element in queue. It was called with the following parameters: " & "instance=" & to_string(instance) & ", identifier_option=" & t_identifier_option'image(identifier_option) & ", identifier=" & to_string(identifier), scope); end if; end if; return v_matched_element_data; end function; impure function fetch( constant identifier_option : in t_identifier_option; constant identifier : in positive ) return t_generic_element is begin return fetch(1, identifier_option, identifier); end function; -- If no identifier is specified, return the oldest entry (first position) impure function fetch( constant instance : in integer ) return t_generic_element is begin return fetch(instance, POSITION, 1); end function; impure function fetch( constant dummy : in t_void ) return t_generic_element is begin return fetch(1); end function; -- Returns position of entry if found, else C_NO_MATCH. impure function find_position( constant instance : in integer; constant element : in t_generic_element -- ) return integer is variable v_element_ptr : t_element_ptr; variable v_matched_position : integer; variable v_found_match : boolean; begin check_value(vr_scope_is_defined(instance), TB_WARNING, "find_position: Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); -- Don't include this check, because we may want to use exists() on an empty queue. -- check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, "find_position() from generic queue when empty", vr_scope(instance), ID_NEVER); match_element_data( instance => instance, element => element, found_match => v_found_match, matched_position => v_matched_position, matched_element_ptr => v_element_ptr ); if v_found_match then return v_matched_position; else return C_NO_MATCH; end if; end function; impure function find_position( constant element : in t_generic_element ) return integer is begin return find_position(1, element); end function; impure function exists( constant instance : in integer; constant element : in t_generic_element ) return boolean is begin return (find_position(instance, element) /= C_NO_MATCH); end function; impure function exists( constant element : in t_generic_element ) return boolean is begin return exists(1, element); end function; -- Returns entry number or position to entry if found, else C_NO_MATCH. impure function find_entry_num( constant instance : in integer; constant element : in t_generic_element ) return integer is variable v_element_ptr : t_element_ptr; variable v_matched_position : integer; variable v_found_match : boolean; begin check_value(vr_scope_is_defined(instance), TB_WARNING, "find_entry_num(): Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, "find_entry_num() from generic queue when empty", vr_scope(instance), ID_NEVER); match_element_data( instance => instance, element => element, found_match => v_found_match, matched_position => v_matched_position, matched_element_ptr => v_element_ptr ); if v_found_match then return v_element_ptr.entry_num; else return C_NO_MATCH; end if; end function; impure function find_entry_num( constant element : in t_generic_element ) return integer is begin return find_entry_num(1, element); end function; impure function get_entry_num( constant instance : in integer; constant position_val : in positive ) return integer is variable v_found_match : boolean; variable v_matched_position : integer; variable v_matched_element_ptr : t_element_ptr; variable v_preceding_element_ptr : t_element_ptr; begin check_value(vr_scope_is_defined(instance), TB_WARNING, "get_entry_num(): Scope name must be defined for this generic queue", vr_scope(instance), ID_NEVER); check_value(vr_num_elements_in_queue(instance) > 0, TB_ERROR, "get_entry_num() from generic queue when empty", vr_scope(instance), ID_NEVER); match_identifier( instance => instance , identifier_option => POSITION , identifier => position_val, found_match => v_found_match , matched_position => v_matched_position , matched_element_ptr => v_matched_element_ptr , preceding_element_ptr => v_preceding_element_ptr ); if v_found_match then return v_matched_element_ptr.entry_num; else return -1; end if; end function get_entry_num; impure function get_entry_num( constant position_val : in positive ) return integer is begin return get_entry_num(1, position_val); end function get_entry_num; -- for debugging: -- print each entry's position and entry_num procedure print_queue( constant instance : in integer ) is variable v_element_ptr : t_element_ptr; -- The element currently being processed variable v_new_element_ptr : t_element_ptr; -- Used when creating a new element variable v_position_ctr : natural := 1; -- Keep track of POSITION when traversing the linked list variable v_found_match : boolean := false; begin -- Search from front to back element. Initalise pointers/counters to the first entry: v_element_ptr := vr_first_element(instance); if v_element_ptr = NULL then return; -- Return if queue is empty end if; loop log(ID_UVVM_DATA_QUEUE, "Pos=" & to_string(v_position_ctr) & ", entry_num=" & to_string(v_element_ptr.entry_num) , scope); if v_element_ptr.next_element = null then exit; -- Last entry. All queue entries have been searched through. end if; v_element_ptr := v_element_ptr.next_element; -- next queue entry v_position_ctr := v_position_ctr + 1; end loop; end procedure; procedure print_queue( constant dummy : in t_void) is begin print_queue(1); end procedure; end protected body; end package body generic_queue_pkg;
mit
dfc59561abbbb0dfacfa0d1165b6ef21
0.620791
4.198183
false
false
false
false
notti/dis_se
testbench/tb_shift.vhd
1
1,640
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library std; use std.textio.all; library work; use work.all; use work.procedures.all; entity tb_shift is end tb_shift; architecture behav of tb_shift is signal a : t_data := (others => '0'); signal b : t_data := (others => '0'); signal logic : t_data := (others => '0'); signal arith : t_data := (others => '0'); begin process variable l : line; begin wait for 20 ns; a <= X"00"; for i in 0 to 10 loop b <= std_logic_vector(to_unsigned(i, t_data'length)); wait for 20 ns; end loop; a <= X"AA"; for i in 0 to 10 loop b <= std_logic_vector(to_unsigned(i, t_data'length)); wait for 20 ns; end loop; a <= X"55"; for i in 0 to 10 loop b <= std_logic_vector(to_unsigned(i, t_data'length)); wait for 20 ns; end loop; a <= X"FF"; for i in 0 to 10 loop b <= std_logic_vector(to_unsigned(i, t_data'length)); wait for 20 ns; end loop; a <= X"7F"; for i in 0 to 10 loop b <= std_logic_vector(to_unsigned(i, t_data'length)); wait for 20 ns; end loop; assert false report "stop" severity failure; end process; ashift: entity work.shift_ra port map( a => a, b => b, c => arith ); lshift: entity work.shift_rl port map( a => a, b => b, c => logic ); end behav;
bsd-2-clause
75e2cb150f9359d2c0cbc6c51d541c96
0.488415
3.534483
false
false
false
false
UVVM/UVVM_All
bitvis_vip_spi/src/vvc_cmd_pkg.vhd
1
7,612
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; use work.transaction_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_cmd_pkg is alias t_operation is work.transaction_pkg.t_operation; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- VVC dedicated fields data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); num_words : natural; word_length : natural; when_to_start_transfer : t_when_to_start_transfer; action_when_transfer_is_done : t_action_when_transfer_is_done; action_between_words : t_action_between_words; -- Common VVC fields (Used by td_vvc_framework_common_methods_pkg procedures, and thus mandatory) operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); data_routing : t_data_routing; cmd_idx : natural; command_type : t_immediate_or_queued; -- QUEUED/IMMEDIATE msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; parent_msg_id_panel : t_msg_id_panel; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( data => (others => (others => '0')), data_exp => (others => (others => '0')), num_words => 0, word_length => 0, when_to_start_transfer => START_TRANSFER_IMMEDIATE, action_when_transfer_is_done => RELEASE_LINE_AFTER_TRANSFER, action_between_words => HOLD_LINE_BETWEEN_WORDS, -- Common VVC fields operation => NO_OPERATION, proc_call => (others => NUL), msg => (others => NUL), data_routing => NA, cmd_idx => 0, command_type => NO_COMMAND_TYPE, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, alert_level => failure, delay => 0 ns, quietness => NON_QUIET, parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - Used for storing the result of a BFM procedure called by the VVC, -- so that the result can be transported from the VVC to for example a sequencer via -- fetch_result() as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result includes the return value of the procedure in the BFM. -- It can also be defined as a record if multiple values shall be transported from the BFM --=============================================================================================== subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>, natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => -1)); end package vvc_cmd_pkg; --================================================================================================= --================================================================================================= package body vvc_cmd_pkg is end package body vvc_cmd_pkg;
mit
fc49140ea28b7284a058e55992354860
0.436416
5.188821
false
false
false
false
amerryfellow/dlx
basics/mux4to1.vhd
1
899
library IEEE; use IEEE.std_logic_1164.all; use WORK.alu_types.all; -- -- Generic n-bit mux with two input vectors and one output vector -- entity MUX4TO1 is generic ( N: integer := NSUMG -- Number of bits ); port ( A: in std_logic_vector(N-1 downto 0); B: in std_logic_vector(N-1 downto 0); C: in std_logic_vector(N-1 downto 0); D: in std_logic_vector(N-1 downto 0); SEL: in std_logic_vector(1 downto 0); Y: out std_logic_vector(N-1 downto 0) ); end MUX4TO1; -- Architecture architecture behavioral of MUX4TO1 is signal Y_int: std_logic_vector(N-1 downto 0); begin MUX : process (SEL,A,B,C,D) begin case SEL is when "00" => Y_int <= A; when "01" => Y_int <= B; when "10" => Y_int <= C; when "11" => Y_int <= D; when others => Y_int <= (others => 'Z'); end case; end process; Y <= Y_int; end behavioral; -- Configurations deleted
gpl-3.0
6357b352580cff5b78528c7ab27cfd21
0.618465
2.483425
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/dither_wrap.vhd
4
23,856
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block J0li43YDh/RBua3uMZ+oTfgBjpMchVUIBT10JCXI4loar8P0smkDA5keMyVr+JGy3m0s5vHKXycc H8d8v5YLfA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lOd/9qPr9d6RBQm1MWmUb0p4m6lkxKJiFjFE8BKbNfJobSQo1+IrCQ7KeO3LhFXImqvTLiYFAkKz YazH9ivwSEkjgW+jBHtdzLFH5DAHUiifGnRalGtgvqrol/0mi6o/uu09R0IxDRzJCrsCMYKyE4k8 KevZG7LqGfjIgVrYrw0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gh1V0SFn1MJbbErXEbQRoApryahe/HOqe/qq6aJYgJBnxOllP4eH4nH6g3kUANvrsRHD0zgL9fDk kis7XVG0Vy9LXaIuwOSqCfuiPhoGbSFJH44U52G/82SVcQI2Jspc32EfL67L5kcO/f8deGjRKChz MVoBzsMbGTA0m4j8tdkS44TYegMZFSXKipE+k9hCE0J1C8AnZJBBV0JLdjt3snLMCE61e01rYNar Wi3vlGlQ81+cHJRilZbkqwVBJoUGyPQlKXdT0NnMaI9RWC+PcmvQpH/Y49WegjKu210A8iq6oBBl yLXTRNnq83tH2RMP8DzT+2wE+LuncR+cfhmiCQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VPFVXZimciNUj0afT3Rf/AOI0sJxViPpeIJVYVa7T7JCox/kPp04lzbEu9gxuW2iiWrQaTtZiL3b odSO8AEgHMFY3KkCVREpqLjczPO3H03bpOt1ZBb+aCneDcUnBQStyVW/DTJpEDnuvrMivtHll1Eb PGJsRZhtuuzPPuWU7Wg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rCqGnmIGeypzRBxofjLpLeJXH+Zzx/35RjomyL7XLFUBXZwU8mgzofxQoXfiTS7q3OnmtagHf9xZ CS6B2+dr5W1bX2389KNBMiSW0sgk88IfHESKsofmiNzy4/bl+vv83aOmofJOw/QVewqRjmmNxC7o dzu8sasfACTu7M4h+z1sDYSTgQZvrMddKhyk389QAE3jISviJ7bYGXMJLdbqw3UB1F/z5+AgQSjW rXWN6aLGaRHEojqnNMr2HnDHlreYhF2MMHEElt3uzQ+Xz4vf61KAqwkR6VKlXzKNgKA5lM7Xr9zm TIYXfyc6568m5/bRIL2w4hEEG/pKN+3C7kRAhQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15920) `protect data_block cSIm5o8+OGTxfq912iZxhTJXRFl2su7ZFpCxh4/8RkkZ35NNiUhGsr076PQ1REGghfFM1rMtNE6V kkLmuhie01SdaJrFflmmNz48mvJLkcJdUtT8s2WUNkNZ59c8O69BjXEgpkOqZI8oN4TQ04DVAfVO FpMpSRWU+41bHOw9PfUR+7fJdsPxEkKhRfqARd98Mk2360Lp0EXUrYCBJPi6wD0EPQRn8ZltEsKe BwLfaiKhMntsbrLmqFCjCY1YvvUR9HKF5Zk59TYbm4er4VobYtVhWDYkfBZYC3fbzPnk6DAv95Qw b0JJ6pZdqlfaL37nztV6ZgDgMEMYx9Imr+emToAV2ZdV6YBrxcwyi6Z9Gvbxd6+m/ARsY/gkOF3t LCQ9N8DkDnD4S2m6g2pVlqqoKFF7rgfF0UWMUng+DEgYsffwJ/qzKwhZjQ498lxN/6BZkQ1t4DRw FRmfobOK7jBIkF+lJpvadakV0JBNmlW6CCe1f4g8X1NSCTXBSFrMxVhk+SgIvMVzWLqm3JZzBsgL lXx1TJGlTxy4O9XJCifKLLYOQ7BsLy0ABdED8BkrnxH77mweCCioobOqR8QDw0aC701ZzxBJIVYS sbHGR4aPzamk3Is66TYbshCYUarG1fU4GdwoAdAYaT8Vkf106trJXiPay6U4/c8PYQ9p8neJhYJh uqEECDDFj5pnq33YgZOQwkQeMVPz4u/VjB94CeiMKteL9NTXrqMeRdEBNn7lfqL1dSssISTBXxOB U+goSS/rRUrRXYqP1r+MV/XtnH5nTATjBIlEtLlV4os3nDwvaZost2wOmZBPaNVfbCZy5zNs4+b2 Dml9xIEc2s3mdhAyDo30pQU6/tOIr2hNOr0+YDmzbkulUqd1ny1iZh5zVnQdFAqyO4Zlx+GXUPmk JxxM3zKKr0uNSmkK6jiYLc27EV63bWqNLUsPbYmfuubewatvkzcNn9GLrjr4fEoWQJ3nNR6tsY8V 29+k1R67pKU6nnvmPibss/UEEOdydw6dyYkROzQ1LPFw77WY/Sqi+LF3C3+nnPfTQq+l1yaw3Ha6 DGJlXNjSDyMn/UWfvGZ4jEpS9KZA3hbCHbJhY4JUFe6AITtA/hhTJtUaRzTyFl7BeBljBjahV8Fs wOWnYDFXQ0UgpS/6KwgdOqEbPAW5NU3Dl+xAFxvTUZopub9DVOEPKHqiczHWXRN8i12/LS68STdB N1sVnbRWyaJF6VQHwPCgahJ1mHhKxJpwumLu/Jsx3wFGu/cPRE5+bijTsMDHV8cltTEXK3KX9lU8 GqLg7s1rw5dU57CfxYmCxrh71RoV1qXaFyu80rmdduceuxameY/t6a6lr3vw9foN/d9cz2SXDagG +0WB9Cinsy/NKnkqCqEqQiHCc1jhKXDMqkY96z1PepDV8iaHgwCa1M6L7sK4SWXhwBurzLMO0pGg UzmSVwbq4EX4j2W0xLPbKLB6jfsT1qFBdJxGnI5XJWuPW6EfmbpiVmMSTAYHmCeJ5ebRUsvQc6em zQDhGfXKvZlEycyZ4+swp25s16L6fuTL9sKPrY2hFlkbWel7pptvo2u00SLa61NOm2HjNea9Gdmb kCtggsyMUbHRUfmykVo+4Lbz7cObSvKNO/0VdnoI7YvX/Rhd5cMg6oxVz6TN0zqC/9dWMEy3iYf2 vrcuaXSY/wP29h1/VGGl0i1KqLvd+oTo2rEgccdGC8lQWmMXt2nFZVf0E3XKfQPTZqnncr9wjchW TZhnrQEEd4MzCJIkEsBtkAwc/sLR+oClV6JW+M3t7N/GdUlrk3tROs2jt6P4NI3ThFXNYewlgSb9 93yJT5s2L+jviMF29AfYPkDvUFo4U+Nh5XbGbYMuTybDysYIl8dGptm33yBXz4ufmmeuHnGFsefP lE12jFTHpnTZAJN8OSeI8RySSs4ghAbN1yp0lOxqJRtEAodfNRwOuGNIEq7SDpPbLU4JkTvzVZnc V22h61iDHWK8u3LDR81pI9Z0Nl/ojQc/BQdjm/1FdHC17o+6x2hjPIpK32jiy6qg7g/W3qLkUL+u 0EHhwCVJv7DBdUba2AZSoEO+4sau2/8g4yCrEi6zXIij8we5zMitmJl/LJtb0izwNYYgugKwgt5D 6pVovhsuNQzEliwR2lK/V4DtFnEW26Q4kP75y+AyeObYH7K1WRmHJ9uX7F6W4krtWMMvHPBlSd9f 5JA3VjUXrsZaTGeyfrP7Yx8yqdIovuFLTM2ljkOWM5HsCCbXaJRMtIG4jSfLFxXtw433zt3iLgho Tk3qY66DAOSfUYhxaDMk/+x8zl0jFyDKhqq3caNb9bgqkEdyKgQDI+0tQBy87jaoyplh2ysvbj/F C0l/419lAmBxaLuc7Kz4jQIj5bJ1PjYFfE0zSVqhov4xsGjYzSAB3zThNKt5OwIYR7bTkYhHifPB Jka2YqA9xG/iOkGmxE/Yt8HC4Uq8l+GXGVWVyi49PUixTfWGodpzgwZTOIt3Nx+AdqnkbPx/acdH zJKmZ1BgHGY04d4KRczrlot4Wt0CmH31uvUfYvif/oIGamsiiFVRwlKDB2+88G8xY/iTa27hiUR6 Rt+J8QsQx0E+Yy/YB/hpWiWc7UWb1kRBD7nXS7YrHdQMOUdC2XlFyL2L6xRJzYi33S1ps4/Ii2Md KJU43Mmg6godGGGBR8AJ70YT0plffPT+pWZ3rkdz6jOQrFgtJv+3+sOY7AdZt57Eq/DTb83flRUm PSY6dLrVFYAOIGPk+GlOcaA3S4gWftAbVzE5EqJRyIBgXh7qYPEwcNtymzsEV83bKZxlHG44K3Ox qNdPnKnpMfpwH1l5XuHW5ktQXVWlT5xHKYhMEfuV3/9D30d8Xl7YLXCv5qhv7/SeG8aJOGyxxB7p ujYC4QSpZ/VsnU0HZhNkGJbzMRYteQz4ghZkqqEhNzySw962DDj4CffgaCq9kjD6Vl0ikjRWRWdL AfydcfmCHTgAAUFk8MkziK3x6+MJ/XVO7JZIuZNJ05ygCtY2JhUoBDbQ11r5jf2peV2BkZz0QG/k J6qlbf3xe6B5fDfVa59EeSVMdTrFX9UZaKzjiffmB3waLajlAitd+lJVZtUZ22ryMqkQvX4wY1sx clvAMnwY5U8wKfSeDFa7qp2Rq5+bTLTBCBl3GFXCzaz5iYWE4MvqdlJ4h3HkFk1MaXPsahImc+eK OtXcbTu7xRlahnWhuOLcxj+HSiV2v0gmSUVMpq/oBUvFo/gHTOUa1g3IZ8BsPlofRyIfojBPlzuP J7NUxFW6p4hJfo85iY8JEuzrwiSA3+UobCpNQigOONyDi7N814gIiisYD3Qbz0+EQLDcWc3wP5ux EfeyyPct/XEpa6KPX/mYlR4/I9PHLs8RuEkFxpIDlJlJQS0ZvD7GuDiE8Snbged1OTcKaPbGhIMs CcINb6i6Fz0boGFlZ1CYhz2WbadZkLVxg3MoFfiDAuNLFmUVtQPqf1/MrXafH/JHsOOe5/zuMGDM AM2QM2ppVVh7Knr07BLgE4HbToWgPOrM9yupo+GLeuyUAMN3OHMhYJzQol4CXJC2BwCHNx8NuJG+ /rVLnbJxiyBB/bNeQY+skcX5XJnPxU3fCraKryp/+OATvHDdveew53SEUpGD6pgLECMdY1cG63NL K5OX+5pv5S6rFdGjbdzGqXpwRV4BA7REqgb0RYmJ0FA8fjRCJ4YhHAGcAjqC2HL+Yg61IIinGcNa 65r1ymoUNm1EqCHqKAHpufinAVWY79MznwL/2kIqfavlUR6wcLnTF1E6cyc/J1PQE2gGUN7uOa4D lgCfqW6uI1WsTkLypgNcNrRROe1J8CieNAZWgyRdmUtPoNt1A7dgTDoxfIMOEAwmMoq/ANFNTsDh s8Q3ZW/C7que/3nIN8O8pWAFEKq9rbxBlRgBY0bMtbf1aQVwCzxu9zP7RIoSF3UR8PyUVorHHePJ /oYjOY1DttOu2TRu+rddwq8x2bhnFD9rFGaHCJ6ZIvoiuOgtMp8LpF99JnEoV0FTSEVJic3tXb9y lpIZLWAOxgwHLtpe68REsd3cl4ttjnCmL41ZYZfVQBF97CNOGBXfxzE+p2/CyUYHltlx9+b9U8yF B/9TGGwPITGsxI4v4zXPv4kmcX8C42pZQlU+w/l8A0dbmulMPPdAEenHSnVG/2L+9Wf2dLDmYNLr tHfn2jirU7omGUoqrsHHG4ub15XJi9GIQB4ISe6A7MiwBUViXg5YNiiR34kTfe/X8Xi52udSvua/ 8YHqlRbFliyAhBt1UURiNuTbL7yNWgxO+9SuNMsc2fOYlgfDbnUpR5Tyvr7zagOKzgzc01q2Cezx XAjB+HRIv/93S65doQ7ReMURszRjCl//9b82ZrQ+oUU6mjj5iggbcmOwCYptwH3lte88I0QFgfAk GwxNysQv0KBWowugUMhrsGGdsS0cXnflgoO/VFylMUPFrrFAB79B9ROI1HgYD2LD71fPozEAmbBb wvYdX/6bwiDbbndl1FXxFpflbtXR9DjVMWvUVyj2iXNjFt8pGSexRcx+3npm4VMT3ayuD+yP3TBx IJRFvObcU6lKwMjGlqH/ccxcLRiPazhpeCMrt+qEoH0G6LCFRxUGqd20cXX0A4sGWZRH5nQ6VrWm NH8/HnPMVC6nZsMzPd369OifbqvkFO+2F2+hrV2lGSGE3tw3Qf5LDw9J+u2yinMKDyhZ3YHqBsRe AUUWVv87LtaI1A5GjfA6rsLBm40eFnZrK2kPkIbaauOIRB8lC1fWNFeaUbQhuc7rYNX4CH7XXv7J MxHDR5gUiGvejWtylI6vzOqr8PvhiU6iP23pHXOC+ZfHmAQXwvIF2asoVlYntW+D4IWRrXNOAJmr /ohGEnizsT8sQk3n/oswo865241TmfngCb8uRWu4FOr9ChCaKjZUEGlXN988o5wEq2Fn+7JWSxwd cGAuPA1/iih0NSjCyuCktikUOtOz2IzBJXfo04DF2rTXBKdj2ekA6gfr8hzBfP44UEhdch9waHtj advNBQ+Jc7qO0aRZ7bi37xSvS5cyIVZTqE1wu/wwTP+6YsXFQzXrMfp6Yh73ORvKjmAM+EiEI8tK gY5iq1YWnEI/hL7NnVhooIUgh2TZox0AvfvdFJ8e6TXLp4D2t4LoNm4tv2qCsOUAepQR8HbAMuoU Ga0i9fCs8Ia3e+wP7EDFlrSZwaqwyr9nCYQTLsbS7UsRo+om0SrPFAJ3s+zJ+OX6Chu1z1eg00zq QVWOB6HUZyKSvGnMHt4/wJ+VTRQs9eeJUdGenHWIGIOQidltbPnMlOx/K3bTxo8/G8APFfixamJ9 lrt2eD5GazTaCOruPitApUBx/MOAPzROe+KpX6YGQF5bZ1HJ6nkX1qKwqWkHNER2LPGgWzVe8akm I88xqZkmS2uQvdO3sBBHBLNxXoXZLXHvxaT7IINy7W3dXIIxelIiksxqcqVlpgQWM0h2Vd/l8NAf aZnC1NVoU1zd2SF7y8IdGz2c+N2guG0fOHyjzmnsQ0uTSyoLzxL9uiLZqut6ZhXnYm2DagrTCsXF w1Ev+ijtNzFteVdxajMcWcWNk+3OeGIFpjPA7S3oTewbb0x4OcOcc56g46fBZaJLHLC33DkAyMMd a4rze2KS6Dz27WfdL0buiarRCZGoq7W766DRzDPkv7LWQ5o3cX+T3lJvStoqAnRupVCHPBZoCwT/ sqeL6F4GXST7Z7VRevKUV1yygQvVAQynFOxlXRESC+vBwe6pEOhc1Y8zoVTFzs2dh6KZxNSocVtb U/IcQglTx/wyiaOU+OySFvYe/XkgIIuM+4KrHsjkUIqjaxC77njl0rwcIo90cv0d1U6cxjJ0BL+O 9z8CPN8lO1nVl551/cMzIGRDvoZ0QtbmCFwD42XS2NKLiEiOjMXViBNCBh+QUextwWuTg2kYj/fm EyQ1DrX1gtlfOXXqbgM6ldYI0YXrSS+YJtE9PVVw94eG7jt5nbD9/YCH2YAEkPA8N8UpvNRCDb9A 3LaY+Dw6MWnVoqTnM6MntaonQNsGQf8DkS+xEh/W1gaWxIENZLWuUnKRXso9fmpP0w+OqcIibmLw prjErhXnmEED9rQzHMOVUg7hKH9tYg8PJRkDYKHJs8OndN/gQ7nEN2NpZGHdFbo6TtsTHJbJiV8u eXB1rcILQXxhvxFE7Z2Q5AbsOW9ypOieMCYN5YkXomyrDtWINnV8Tt8SConEnQG3zBOA2hysVp8m UXlDEPOomTQgwvJJLlms3mz/EwhYK+UzQtPYXpPAxTUvgyn8J7uUx1o6eDRsNl2OgCsrc+OvqiCP zCOBiHXzbYwoL9WW96VhjYFoVLIDLNBZJIviEbzUQ8Zz4nq8fnO7asX8fs4Xa3Lo56ZUqq+1JB0l dbmzy1W6IKqtEs0UhZo8kmJzf2Q1QeFX05Gw14ng7cB3RUg+4ABdPTk7bAt9AC1Bo807jjXCj/Ov AJtq8ZjjU58yHFjF1K/dJ3ZS7ZPHZMAubm0NaqpEe01M2YNprxPAWHrlEmiHc7Yy8XNqpYz1FHDW +GeWZUl/3bQTJ7XyxZClwFGrtFD/e6tO+YCI+keiLYkwti+UjURlZWCbgoyghQCDPq2pBQb1Rkao vbkH/ZmZ9au0gbJp4bNkEAS/4TchIh39lnKHAmxWGmKaKiR+rLHQASdCELiSJSUqSipA15RVioL9 MYCWt6H9T/iadQDhSu9RNXE7sOn+fiTpQ/MG9iirN2fPCCf0+X/8whx151Hsn78gnZtcngWFOv5h AqRDvDh7DYwsG8cDCcu1M55UvDGCOPc7m26gpqFKAhTq1KTZOyj4fv6wpoNMtjrv0YKd50Ztskyr 2myl8pxUNChpClDPpLsWy5Oa7b4KjX2mnJj/gLe15tWxdgSbZmRkdlntNuk3C2OJibIHQ2rIazJ3 aywLUrBwRIsGLqXWkVlMQ1mg6xII757Kaol1iKZNTY7fS4XuCvantp/Amso5g7UyfC6vXgcJZWnT 3K+OArRHpVThqy2shooMIrifwfj1jalg8PWVDMOCi0viR9vDOFYV3MXPLGYBnL5q5oewZVw94mMQ NrupRxLvUKoIvfDFB7iI1fab9wVfefhcLRa1Zfmkn8Zrnode8Ln1KteEUampDPHPql/g30SPBVa1 GgWDvyvy71qaN6IHYYK8dU8KO3gIw+lfnTcTsyoVXbdNUdK9ajpvyyn3BWkZ24uGSn4mF0lMcQze qxsFrsT+7aq52xjMJnYqhZ5nGBiBQ7TAQ2ToWx549w7MQyzvAXY85lUJzGuLcXhHlMhymFD3vVe8 JiMqWcemUrOL/XzHyFpygkuJul2J6Ggx5gjUghxzCAyOzEdnqI6AYaUrTx493ThWzBHqzHEfkdq7 g6rKiGYDbZoDOkmptzg7yAtEZRyEDHzsPpUjcljPHxomwjzYjYSV2k+1LcQ/ArettD3sqe0B+b8X pPRN479WPxz5EIwTyZPBcZc8g4xuj1iWrOj+K044P6FMkSPpyxH5CIwOL8xEXFYK8OHO+Vsr8GvN eql0T7Nu9hekHm+Bn04YA/lFQp2RqaG2GtwGKpGIVU6K1pgh5jOBIGSGdNtq3TSAmds9ahcDyR2r kiCjTCHiyaSNwUMgvXmJyyKkH9LpBwMj+q8VKsvk1dqhZTbykwN53zLC30YyGkEAvLvuvDUl8g3+ XRDZuRqj4etyQbvRWt71yUsP3x2nfgwYO0ODmoLyHqQBZCBl3kdFD59G59t1Mu+eBLTgh4/DB46W GF4trgL2axK87AjVZ4DPYPOwmyQ4fljKVw0fSfH7bvOCfputxumK8o7XzfzUoCCdbrimbv5sNMRs 2tfSH7hkAyTEDZyweSJCDohM73Z2kjueXFHhKk5P9EUn9UZT79GL9qz4vHfgdlxbsVquoycCSWLy LLRwhPagI3nG5VHgQu2FdcPDjaic37W7PbEXA0jqfYvWBcn3JVcre3BFd5ud08rxAFcJW/S2duvL V/09AhtE/bmFu3+B2TklDKFfjgRo2XQaztvftpctd73urUVddJG1J+XDzi8Ch4Gt/dlEP6oL7tNu MRkuKuYJnF/phdHtSvh+vfHNWXdKVlWAez5D5bsIKhQrijUeYV1+KhscHbq19l+hkhtavoV9qLe7 Hq8Gt+9x6FQz0XBu2nloRNaImhpX9L9Mm0n+KblPRsat42IQiyd1bXHno5WcPCeEjPuCwDGE7Ofh pDg73iyDcN1yFIOlbJTR5qXHDMbHGDgl4BZNlOZ2873C73BFcBEa0nYiCDRr3b97Idri+VFU8Gr+ kq0UtMOrPqwOD/hgvG4mKp04SkSZeCNYhgZXLzCvG42WslMUc+n6d5Qy3YKb4NlWYfr+ZajUkaky Pqx++52fw++xAKk7oCohiltu14sgDZf63nUE8MaOARAwk8G5IMJNl0+LKFa71OgZPLGWDGndRX73 Xkv1klEFdh/J3AoSSOsopaCJYvSqqy19Jaj2ik7/vEOiWikMpA7ggbO6DXy3TQhU9HNM2gVxQxLH 5+HvWa9eC2A/ok6EQlBfDHa7yOT6gfAQvlF2SoquJrfIQtruvOlxlgFtTUzfFaRG9WG3E3utr8Ls mk+vhGxJfUA8LMA3KEv8Uxv8TlcSsc8kThT3r+OsKx0e3/CUGYstGQ/fxHr+HW4Zb0I2NNnBIPSD mxrIDRhLjc4ahGr6DuuWmA9iI57CrTDwK0g2xfuLosj60KOAGC3p+7Nr56aEIxrHB1XQdgxh+1XY /Slk3M2VpXCBQ0MtrUXk+PI1OG6GYs0Jre+9aen1YBm/zFBkT0dH+rKkJJgaCeqtVjcgaoYKGgZZ uNl1nFXNWCtKjqNxPNflazrFcOyyoQIJrv52ag/aKptaDNmJfxOfzPr195xJOZOowZ0KainlSa7U 0pGpUyjFwFZYpqQ/y29ZigCYRGLyFAVxKXhvHjzGRLl39IeXbiOPkkYM3tdZAf6CQNl6U5eOoUof Obb/5gBJ4Visg2Bk8hUhWXq8xA+xqDkv64GP5uNKvSB6O8izv+5e0eHeQNakLQCyxHnEQ5roWc05 iPZIY0mBcGaetR0ANHltN8t9+2eABz7sYgJ6aQgAuq0hGSDK+JAsK0gJD75FrOyriNXW9YL+3El1 Y4Rs1evhl3hXJLPTnwPmFfivHu5x+Gmyn2n0S+MUaPwDxyDL4zeHG8A3YliTLu4Tn1I1Gdq+AHEy ooNRhEzK8pPTDMaLSpjdx0rPsQuC/LGrD21UUZZhKrsZGkmumDxUq24r0VulwJngewh2UzJgpjSR 0qS2I5iy/ETZWJq3bPAcuXsQM/BzYCWqrf2x9ywg9CwWpm6O+i2M8j6xr1YUqRef0MU9o5l9MbaN aLhtdbOuaV+gwYxt20NzzzdJUWt64RqTcN7jXuVBBy0GnMionQqMZNkZ/skCcT3lSXbzruv4/8Ln AOQ6GdhwfTT9/xiM4QtCgwXuVnPJYtWSY7G8DaJy4klq3QkPGybPMB7uP/URnRZZDRvaJ74SD/76 XRCAEdvBI3lt0LG9gntc4RU031CvVUSfdy8ZPvyz0J8cnHD2EayzQg/AhbsjYeKNCdcdAvaHgdjT XNQK/KFzdJhNk76TUcHEp1JCLICV485A/KKzsDiC3iCgiCJpoIhxtQkAlaCQBldDQ1k5ryWYLAVL cQMefDT0nKy7ow5UkEoihOHBWnhavrMuAgknu/+67N4OvwDwt68l7Rl6K1pBxATy3NRoe7QKnE+f 34W7J4TmrulN8B8grQws1UoHI1jII2cXzzLEvxSdXBCLNtuTMjEZpBGn3QLJKVZalAfY03mLAr5v gzye/kY0NGyTdWsJF8dnx97QsAcT/bfPGcHhNP4STHAJTnLrz/cbbllJ6UtTkf1TzW8l/DFJ9ieT 4JIP1vMh2lhTPKx1zGKjX9yKYOGYjuJR4DDrZdCX80Zao8EtzfTERe5bbntCXGFgwc9N9ZjBud2f UG2oAApFoCr4BxDMXtut1H3SOw82Zf2KXyK1t7C3E8bKOo1XO/AHhisW16vX0BWzpYBUKXtdMeXP ZH/Bs5wT0zXL8IrzI5G0c6HVLs5QoGrY/D/Gyi1Vwfm8OYKurc4HYdEOWvzAO8p3EkzM+8LKT7zv zQvAr95f02A4BTlNpvWHhY+ob3VA/UOEMg70w3d4MjrdV2OU+IHbFkFeCljXYl/m+hEgjl34l6N+ nVT8j/t9sWJ1Cg1WySeVfcCW0G6cJkuy83E71FZmNYHE0hL+nAhJACtNMqQoqQNrwXGW6QQ/8cpw 2gJZIFK3jDqtO2LdmvLSZEZ5f1UgHacqBN+gjpS45mHSCiZQVxBi4ikUg/fgFKIUYwXh7GZ1gGGA Bu6OAkHoYUWYOVQM2pQaUd3NtMMVRNCjtFe+TmqhDUANJnjVtnZfi9HRu4+DZVnNCthS3Cbx0+iy sbBL8LyzX/YCpuL4Rptq2h8xPMNDAZMsRGVATbP/Y4rsRIu3qfxTkY3iOQc3NVwDNWk1ukGvFPsK 0nPafS947Kp1xmrAvDE7M8f8Bk3Dk/hSyGzRJ4S0oh2tr0i7mCWDONkaX95pQ452KOkV5nVpcpbf c5FjueZsZwLCzUhzDJEo3C7CszAH0vz8kKS5Q1b7iN27E35EbehDqI0IcwD3UrjoA1tI2Y/gSTBh IXQGyiDmnSxDo5wYtFZRUpPAKR/oUO/VgAxGrqhSIOFPWaki/C/9Hz2yzuC7BYLQIN8lu+PM6BGV zUkT2+2IzjnOSmSoAn697kxZTOd9+Ui/GeZQyNWTzwQ2qq0zDHBXTibu9IyK4S7vNaPvzvHbTnr3 c43KRaZHhezNiDFaoi9QGNIl9Ow8sDDNYKemMaj6UcBfkj+jnSiADGd5P14MiDIAxGjdKzs00tli ZqvRiL5yn6wbixcQ+Pc8kl3EAJNzztziQNhGmmypQf7cULVWQ0lIu5xkBGogxxFem2JJqN3IYmP0 i1DFjZYQT7buJb8wPxplu3zzZDs0E6XZIPnw7+g0lFcNQG+F/FMoS/50L8i6lSOu0u05l5NjyPTu DLjSGaNt7zAy/LPN1K3QNCg5+vnxj0JPY079ntcDu74gbFdu/VKFPwXCyQMULvsTlYndndrbmY6N ocmESC4PVMz95MKZn5YSGFQFKYNZ7m/W56XW3wH2h+1tsueW/7RI0DR9pyPd83pekh8pOlM0jwH9 ZJggi5phQDUuzUUn9P+gqzzbDUVxtej04cGPflMtlVItIKysDPxbbKqfBzdVpp0VNJZU7P3Py/yt I7DHHvVSZ0ctUWoYBNRDXGGts9Y7zPETCb1PkpnhfHs1G7OuTIK/T6G8undPmKQht9x3M9g8UR1l UKGvKsoUnDthxG8ytcBa/NgvFPSgzLEoQ065BCbeMy0odbtZSuJ37pLxKTHvhkQjtlI/dUx2toCL 25y34p+CYPigjS/qklKJ17GA6uX/fNqIBK+TbGi32XP/pJVnRzd2nUzV7XlNq5rbzVjqr1UxoczT yMY4+HsT1fau8DhInzu/sUqVgAVWJxWDLE9h2yPLvLW7kYgzvNZ37cKvCKbuhUnT4Q/klA3r0Mqq OUY3BvS/FGZtSwCmBrzoMti8kGIsoTkmhNItau4pOhlJOd56Jo3/8er0MmVrZCNufeThSS/JjUBF RX95CbI2GltKCd5/oswnDw1/iJExODzpOdPVNQL7j/ROPDyhu7JEd7x171G6Zk5DC/mEryW0MW93 n7QzODWY+ADFtjCDgU6gWFllZ9uLrokd4OGU8987WulTn3ltH+5LmJbEVv1rTyIECbuE7X9Yw5Sc waNOxrwCJk7URcPvC7HKcsP+oMQABfcIV1m1xPZJ3b2jEeiKT3a6yUdvlfqGPa/X+gQE2QMKLE72 wc3qWnywYwVW7nQIj0IM6tsmbQts01YLy4XOZv8AwyCoucLGABGBx1J3Mw3eb19jxP9wKTmHG1Pn BPUdnTPGKaCR/snuuGaLRJZUEi+SmKa/1YrzgqUmn36Ph1NucI57son464YWOrObw4shGMBaD2wV E+Hn4B9sRbt3T3LF+vzyD/onONEPrBOhVO6eiMnSRt3xQuxPZgAS4TQA99bC+vHHCLLAYVYJNpDo yTKm4yAGSDUW+Q4QtmWA+UP5d78NC9xmpd/fbgTM5tXJ2/RClNeduhCdY9/2PDZiusiW7L7cDCMZ eH8b/K9iTEOLLqOnmijl0y6zHvwhF11SXatnNFULrQghhkn19c4IkhVJv54SLAJxHcoyw3yzUNWA +DnSivBAViGc/KV7gMAY+v0qnSAJdpU874XlvBDtJxMTdvQjBbaBzpC9BJkRdbKQc3FlJsNppDPJ qUPjVjS+gy7QTIOe+vShzQ8kR7W9sp8ukA5CFfLvCMgdEiWk8sYICrA2qujXjnRx4+MU3bxPNPLJ 0BDn8XLP7YNoqr4im1asNMBUFCahGGok4uIuqwQOGJqDUq7q/pH6sdHMUAZruYxzlYLDvSW8cm8J /2HbSiygs3bmMnXocAFuxcIxK1nxKGa84f/tCthh5ebUGLNqmtkNWd3l2815vIZpp/ck+ItkMK6A qvzTyh0zS/02Xeiyd5y8oGXNWQAuZmjpEiZdszJPRG5yAndOr8GRKX5d+vhariOrpwxITItbfNzC TW0eBKR+s0htqXFGRqCMYoURnOyW7kPnZ/cqIu5cGkWp6oMBBX9JfkgckzDusPuy/GZRH9QcmQDX EjJfRocNGUf81Y5mbMjKohpZxwvUuIbmSroi+MX24Rt+lzaSkWj8MGVUqD0kSiGF1xNIppLYOvJR ybDuwDpis5YB1Wg+3T0jk6yGqQW5RG/szuEZ9oYvoWUyTxr5p/YVXPtvD3EmdXig7jjGVesWxVue ug6/csD5Jc2y7XXh5TVgzU7jTZMeNi1wMVP52s9n7kyZSy/s/RiUP1D1lO7KVkwhw7XxHKApg75h JQiF3NrmnbJ5MXQvLGAgPuDzT9z8fd7siSPIhTcy2SHRUKEShGgMqD7e2DT2p1ncUIv9kYfd9hGr TjjeCzmiGcAI9ZkTBsYevqV+i969meqRRyhWUwpqBIV1aLzWvHhDjP2zbUA4ldEC9Qz7EBSrOb36 l9qddYM7ADJfmYFk7gaXRPQ5NbiD4plP/70GXkQViGBGWgq5U+l9+yDfMFLIFk4ZHd9/wmY6tsYZ b5kG/nUMAIS5/vtdbtAnqOBpfnCmiBHHIGvxM111OTnGY4dEsJq10g7dYXy+abmlnJa4FC3gAswS uelQ05qoNpkZSh7w+NZttqy6RUpjZzn5TqJviZqaXL70uSUGi1gmQw+KUWyyQW+0ZKSFNuxKF/E4 FO87DeLu4oymTVR6/+UnKhjtSYBf6kGBregpFaEQr5NC9/qFbIgv+c2GSxnl/MzB/lgyoRgRH0x9 mzhF+AwvK4wvq9bs4VTaTdLBiDDuvXxeV52WXEnBzO6tF6V7jndy1dmqeqm3kZzcFViqURUHm1zg SJOs/61LlnYdA58nce5qoZnN1zy62m0UmNoSFtozJJNYAngsNpQb9x6FdJDwGyuo7RdQf9lhJSL0 JbKk6FxKfBcSPD69n+HUEPGX/Wh76/s+woJKrUXJrUJ3RjBVnzXrGX+H/FpGaCRjxWFu/b/WKHKZ 0po74QjklqJYzmVpJ32u9IMM5zzNOzTpPED8m3IJc2aE9dbiLsNIpgFkAt8w4nh6a8mfgn4Qgz7L en2SYUvVSdG38j7ZhTjhiDimVHr5QY/iiWd/0v8AKxwELmmhLrozmNtV2ydsQ1NfyHg4qnsqHNkp OPTKpuj5oNXFl1VOzyw+dz9GFylP6ceValXjWbBtfUACCSyTtRKyNdbvpFaGByFzqmjcomYjF3Mo 5+WXcWwMB+iolv1yQ9dnTmoGCA+tha15Q3QOBVZVnLB4bujEBiX0y5WHL/fMvHOItfdOZ/0AtHHT eUxLq5pu6uYUUFCNEAzl55MOMlqZ2FpGm7ytGXq3CAxUyYihWy/tIb4mEJPsuZ5cnVYsr2U2p6aq eAGz23TCiPhV6uX1f/IRngUb8ipd2f6aL3NUX0j2OLUlrfFojk0iQpTyCcX0gJQpAXs2M3AruQz9 bzjdN2qLFsfC0MToCE1uVs9uizloyxwCN2Y9MdlBoKMcZgfLNu5Wl12mv4THia73QiIXIbqk56D5 /tDvk8A/22Nj/anV5Pft0jIvbQQ4T6a4n/+hVVS6RTW5G753M/wwg5fiSq+3vtyWUNiJ6S/mXMis a71ZyUNFRzF186m038A2O08ERRxXyq7Zcp58LAxVy7H/yLFwE/WiJ8hZ9FOnd+SpDBzOhmKcCGY5 lumER0DOWW+EJVR+jLUWq8dkonVK09Jjzlvq5lQpidWbs7B/x2lRTwE65wxwCyRh2bOuGyIHGI3d g+IfMyOQRqaXTDD5rIjLI4JSWUESOfee0MbgEeUWOW4Br0ZB2OITCuYkvtF0TXuTs2uFrg1Wg2o7 Dt4aMYVyqeBj3vMFnqKg3effOw/cM/fWf5/fIEyD1JE4yJbNsGBW/ayWJJmKiu/MIIaNuP9/FFdV rls+RWOb/CZ7Rs+dJOPEkErHkNx1n1odM5kGEHFNaTiZtJgX629WT/K4mPtAHPjDzp0917rBF/Jr bstNxMpZy3P22MMSZ9EwhCoyH5aOG8vzp2MqFnXe4pg3qYjUZRvPgLpv/8zOkDnidefVGsTXOxzp gNndMepqdvnUPh6v96HPDnM3oE6N7G+tjRU0C3Zq4LkmFa5S3B+AAbVoaE7oJPt1+SaT0xKWa2Mj OdrAOK+ONvj3ZKW2L3tgetKEdxxA6OqYXkr0TJjtc2LsnqkyUBWN+BBnERCXM0BuwVHoDnWw98Om K+T/XFhOxJiw4j0rGStlYzhA1dYtmLVpGALBgq46X7Wu2tT3rksPZH+pX6wqGRCGEPIXqY9RRV06 NCGANVECrNYGymOq4a0YvMxr4dTSR5ThhYs9anQfj1DVZH8RaRVHUvXcB3tpXI9TLBqwS8m/9Nhs iwF2yhTsIpWBn3lCUPxw5opEX+K+E3anh2G2tHhOJ8PqwtUMAWdrHhZAn/XaxdXpSROo2A++Jk2z bM4CTQR7b9/rKctDx99oMhUiccTDDp5+6HF4KWh8fUAT43mbiLB2wmfyE6VtH0LUeUYzbw8mZTLt Q2mrZFqec4LLPQ/o34iMj1LEkTqw7vpCKuqOtPuzu9+mn/PMwYl1cKKmQ23ZUni56vu8T0o6y2he I7REA0zwe+zoa38FUGkNcDsCP1xFGUHaaDcD8XtUX5AyUmThvd7TEbJesQhynkr+vH3GsiT9aoX2 z/TAlXFolDUvtAk1xUNdJNAra49PxKQmBvBwRem+IsspuN/SBt90KrMvcJCvXuShGhWTJgXhaEWc InGMuFlR/rhDMfPgp9ochSRgOJ0XATVvxn1biE3oo3ejGdnIbIfZnyD3EqVLEq8oB4JP0HPplV+A md3v65N8SX13r6hrTssJINjN6Gp8lX+Zp64zvYKSn447xpXJ32oUlaks232D2uFYyYJm4Qgw56KA UZlYntJThipOjXIt7fF++uLb43XeekA8Yv8z9WJFeG78cE8Gm7FQU+zTVsArtwT4fMveA4XEEPBQ EMR9bB6EcghtrSg39/vwrA3x2GzcZhzAXys0BgiA7fTmw8JICuu2N3BIeUtlRI2Bx51yewv/TQg8 QskUW2/tBO14BPFGGAHv+BfoxuJRgvbV0a3RlroWl7St/Qycv+OSb2mHkLQJ2gLaT9rXaQi+Lepq kwQgLrbm7EV5/GtUS0ZAr1DRFeqN3cufr8a3MBxyzXN2IVDTc1lq7cNEUVkl9NxA6pxIPKfuzqO/ 7OnrhAli9K9YGMt6HE6t/W7sDB51vfKdXC+wJq6i+timiJE2ohZ7IqPqsUTJoHoAdwOiJ16NCs/0 0B/Btu4bfGbQKbtI8k+0fi+/WgMFNlEdoseiqEJZbiihy4jupHxS0G/FCbDi7VNjWtqtmSnZVCOP 0MoCu+tmJqrjY7z5R8WuuKBXP8pyYMLqLU5Xo665J+O+mQJyhYjyWVzkR6yB2AoctmISNN75DOXJ DIpHBcJC+Q4uGwgOlqEcrg5OtG/AonXbKTr/v0FCCYuhibeZTCO8ncrHJc+bsJD1UX2XlF9k+Z4J uZOcTtk/Mw0oQfzNP+vB1jLKIReuqu3E178ApA1hTvT1qj0Zrl0jvoxAuOOd69cUWLFGKwE6sM5w JH0QzwBFrwWF2efPgXiM5qyTjgFzu7hiuKCUfNLRSboLYZyZVSQJaXSSyxLY4rV44IZD7uQOrLlU loxr2EagXVXGy9rr1/6fEhmgXV6FfXiHuzDahdajyYf6xsmmra0Ke5nbtsVeDQrOKOJDFyyMR6qx XRYqMW8MEn2azHaTx97IWHvSvZkE7pZBmA+b0CoZfVGPJOwhvpaV7hHrpn6ryJZuTYOIvwUgdND6 SRxHuEP64LLszwAIZfczOYY4ilyJswEXGN6C2xl8lyEugMDtoiQ0eAM2QzVIxl7TRXAN+tlpbrPZ PsVfaLWU93B6W2ZayZI8yV5rkbylQ6uWqT0nVPhx4U1lS8fWhoQjg6vLa29aXefXozvp7KftzjQ3 IPYfxGgkAcknvVWhcShmLXF4R8X9QZpLM4YwDi8Eerwxf/zDcYhGZ26NUjlKE4vfIip51+02sf8y EHIVgZGi7KjXNOAPbIOsDCZffBQTYfChPT93ndUH+BT6yGzjVU64hMalhDhJvW4S6Bftp1I+kAMz +QJtqsAG5FP2gvqIk/t5DNYaVOyb1mY+HTVT1X8NqkhiHw+nvm9RqVtv0BJkUQOQUyRhTtGdABhF zdMdU40EXtNoiM9W+0w51HGMd2qMoNLI++//Jqk4jdMjgF+z0SD9Q9ladIn90XwrgS5qqWSI4V2H oUMfMRiQXeDrXjc0w6i7XzpWYgDdZXPsdPqqRRpLqcEN7X6To545pQLK2Su8T11BODc7ZRwDash+ ox0gW0yeAdZmLp+oUA8acjtIHM/oc4Oaot+w/VG5sYFWLn7MhIoTUcR6ch1wi09gGw5biRlgynxB uZb+OelkqjJt0h0IkNvJtrOLpkyB8tEROdCBntU6I11f/N/pvX6d61CG6kF/GOX3ilOAmzFf7ZYB +pzwYHWLvjXs5bCEV1bCpW8FHnEnS0qE6ATkbeLs6lqJQmu4vzy8XgTRUxEYzM+TjaGQVmXqDYVU 0H5Ueiv0wWkTBvFs92Fh1Y8fv1yj4uYA+hgXQPq3dzwGkccJKGMXAeWUTYz2V9LYAoMVVgvDY9Fy XRo6TQ0GXt8MK33cqOU9AhQXLp4rnxwLI7mSZxeD5SdwRzAOaWmxx/0nSbJkluDw1Iu6puhP7Qmw v8fQF/R9WbSiVJubCRASQblxsSqge3F/gXUfC/iIoUZpvX7rkg6sh0BOt9UwXUtNNCxBWD4aVOtN xMJJXxkJDbLo+iS19WYaoiY/8GQ2XrDxfKzbM0Wg9WbwL8IiNG/e35SPpN7NSbm/9CFjw8kJaxQs uLqvdrY1sboT8O3SYYXrakTdrmO4ZLqujRpl7PBnPWsMCruV//NR3h1fHOn6yIyOE2OzRw9X1CJL BT2RSDR61ivnR6tPbeWFN9jaW4jZ3BPNhxUPSNqhzlHvduzzrOrFLdHX/Pk22Gexi7L4lctdd8HN GGRrQbbx72yhcKeGVUVXfaIxTti/8ikBwRckoYEye9A988Z5l5/1v1AJmXNW6gX+rgysc8ZNOxX4 v6kq9cKJ1a0mSFO6gtdv6CDyuNW3iJllCUP3vfflaLhYzBfFJlqe2Jy32E5+rZUbtWwfmHY2k8lR UNe8Rc5PpYOtk0k/GQbQKB6+RvwzEedXxmnryRMcOwf/hx0mov5Sc1sP+ehk9bkg4B3EqiOzBlLd Fyjs9JdrdCjKbBjTAB89HWXqkHWQfqH+A5+vx3gnl0T+hersgnwu8Aavvx8Jplc6iSkheTWvtkts yOxhkVzJDtYVMvDBFp+PTE1TvQCKfzuPyf4E4FpAeUTr3lAQYczu1o3K2X9l451k5e6svQtHENvi IE5elcopxfQk0DtC7NCJ9YPHTCbqS6hg+Jp4Fv4XFTdahIY2UsJP9BgVczPzOX64TReS2435BLpT GmuuB+gSBPg8iKI8xzTUwfXtnMrpy4iE3iF/LdhR9gXAAOUfCYVlEF3vjh0I945cO5eT5uLlTfKj Phjk+uBl/g8LSW+38EOip4O4wLmIYaxhYH/DriFHUV0n7guRaqLiLuravTbkXOLwgI5sD9lXIJjF Ozo9lpe7n2H2TMuKgZgqvyurccsVbooA9LvqdmIzuWhhf5KIi8un42y7AQ6BEcKQqIDwZ1QZQ+sh n3/hoiGaWv2kphOP/NDD0ZWtNOnOrrUUVeQE+Glx5RFha6YpT4uslIO26bQP/uPLCO3wEiCLWsfT sxCrkY4vqn/7FIg+vUJHVegRSwwarXGj/HPKT+KCmYeDbWZJVoOvchkYoPPfFMOL9xWd2ROAbnYO IU1OS4pd8x4JvZZDlpdfF70rR2Nw4DTARkxUF8i7tVwQtdjyuRvMUmj4ld8E7FlJRib00VaniEjM tWaQQ6rmfKCfnForLrUOu7nkeGhH7SOxhDLOT6txHyXRT4ec4S9dUaTxSVp9rTZRyZcX4QuyZnEe L4ggS+igXpTCIRbCb84W/ZcOI8dKFMmLfzDsJdGvUV2oK08r1/8tvAmKicCikn+LcijPahaL9DzT i5kCXUztbayihfEZz1ZYZ1YV665ydf0Dofmsyx7+qCkWsKwaytaRFZE2dE/nn/6tb31mnkr7SjTl 2CfplGfWT+BAMtUrXA95Q/o/BpEcjOwsdKcYMJ5BfgoNHBRsw9h9Rvyboqmlbl2vsESfySGzNlsC ydkZRDPw7cCSoPmXab05y2jozd61sgifMKJgcwbP8e6sLCClMaUq0QW2LbJ4EL1hKyRIRbuzrGb2 vpIGDDfAFafWK98ql5ETtBzuX0n6idIiygdt805qo9O5B2/31ws4q9825RQ1Ou7DS6phs12Yqtrl L/DfmaIbsGv8Dxeo3sKTZ7mi2YNxG6THhUe/M4kv+T0cOgiZhFti/kKa9++LF01Ao4q5i3/tQSGG 0QYmDXTgIen4gxj0QI/F6G7T7EpnOmlbIvfIqycsgZ34KJESCMTEzb+xqo/VzSqfV9CuI4Qo2ZA8 O1BgvnfTvJzX3m8qyfdKZGpfdoVzzWCg+F/0WFKGj9KgEjH6U5b4H4/4I6sE0Clnomc4momppvO7 onDTvBmI+hl6iX2qElTgtpzUEhu1/GRtSNycd8HtoMhN3EHugzg0cJsahi053+c0vpvb6eULXftu VrslI+gfO3BqnmrJCsTNaU5aNZe8CzB4eiwPi1fz+sLULuFel1dUG1FeGD8GhDdf4y40vkxUf9t0 8zi5rygXWun5K3zgIN2LQfoA3beDuMhBjpkYBqhr1UM9k8hBN1I0KhUiI5ELGfTvpghXMH3WumW6 isPsc/tZxuxnXfPTNuK8n2wU1grUiEBpqCPEEZHrERKHRXmOdOpf3wtrPhdzl/pI6qnUKi4a75zR L1i/WurR8KOTK1fBUEuHuvZnQcyLbyjSFbddh1UIk9LGYxjg+zb4SqSMuxaUhKGnnAQvUO+/6dCy PyqsXNaui+w2KbUeEFaNsMC3KvQpVaPtfY14bT49NGtrv5mWd+SokpWGfEM6Y0KVaKFns/2DFV5O e9USxkIE4ZwMfaZRW2pjErvUTtlDuFQnVmqKUUeSl0hLWsrC52n6LfV8hDlqiZJMi2OCG3El8u6h Aj0xKKS6/SmhD996oAQsPy+q52q6B5G9cVx/wI/8LcCbAKupkD3KsdLtafUwa4KC74Z5Hw4XZ4LQ BstH5xF6VVjxC+DVR+Uh07Su63fxtlIoQadysC33krn02/rP0nhdZ9+mpqHLVo8WmesZCCEpPmel XISXqf4UMJCuyUZActQLNGirFONDpBwYcoDPFM6j3yL6vC2EkVpN1csqVehKH1fVjYxboCqxVfLv xPgUWyjEGslV/PJ2xnPmz5Z2+0iRttJH6W//XQJWpzPO9VfmfMjpoTuoAKWTPL+JIlqcZSROuGqc /6uDN8mlPgMSPRg/xTdcycK5bXo09Xg5SFPch8GRnhzFHuh4+41xNoyxN15+UGP1QxCRVlGXYzIU dnparRHvrH5AzlIGnfwx20qEJF91bgi7nrVisGkOjXgMby2sYWZfRJQLQ47fXOV449fDVRSgJ7KH /cp7U/zUdriZ5g8ALaw6ps5h7tYr1orNOb2OXSbnIpINYfv5T/lLYkZpNY2ai4mZhRMKbbf6L/Z3 xQehczzPZsjtZW8/5IE8/0d3MIZexBwyX6Wkwnq43FkMmsMmgGh+suhlww1EdhJ67gXp0RpXqUys 9G9ENBp082O3JRNk+kazTif8favADT88FlC0FnlYwkRm3vphksZqSXVZRLILRRhIIdxazJK763G3 XOu6lExeF6r4Qk/fEq30h4DuiH/aU1tJ9dLEGWf94b+tpYcr4Y8+TuBsLrh542DT2io5h26We4RW N2BH6hSFEfSEo9cGV/3FB9lhr0nuggKIIOSkMbGww3/vrpWVyGOiZE4/KkOD07HemCpbvky+Vkay NoBKd4IMzh4GDOGDV+Mttm2gAOzfI7CRjmLL7iWrgjQlcy3QSqsHx1xft8fxiKvvu/HS3ssEKt77 DQR7MGSYg59JD+Le969+Aybt9sA4O6Z7qScGL7mnHPymq6pHNpTmfO54lII1I3Ad52DHkCiSKHWC rlRc8rMIDiFMxULpwln4RknKAcQHneYiZ/mVJ86RQt7EwB+2jGb3nweyrXfxtuYsSFrHzaEBTDLw p2BNx2EEWLJTxllfrg7AzY7lej3EVxIzt3aJIhoyW6TqZTydyiGg9egqUi3YlAUz+RbIQutc3Y2R sWNL5Xu1bCYvQGuvwm08G5KwGUpEdDnVX97F7Oq6jRMTjh6laZ/9maWXHaw31d2+0AUu2CpJ//uX OFaubcZRNXKuK89x7YwI4cyi9sLoEbKBoTZc2yFMjnC9Ni+0UNWaaAFR2/F/nCQkfSX4AVQJyknk BIn3v/uOFL9EeR8upqgp83JxIULNxOY2u9xFKKGCjsfuG0EkJ5pmZCy8JSFSbrXDAg/+EbEZOT61 ntRjDZrszTZfWpkv0TJwQOK74WADTYYnXM9hdjCE38TsspYY61ZzY1PEL8GQ6lFJu0PVT1Zcu+ST aAPWlD2QwY6YsmE146O9B2A= `protect end_protected
gpl-2.0
d98f923a6dfab43be41b192c95a6b81d
0.941859
1.84202
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r22_twos_comp_mux.vhd
2
10,108
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bd6Gf1daoxPIeRSOsv+knDy8v2jiAai29YBqSCJCtTi2Z6jg0rJONwBKS6W82DXwGJ5b4XxtXnJ4 yQ70IThgfw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Erdc++YIXfzJ79BdAiJzreS4JgeRab2GR1NxX3iQUfHwjw2xMBAzQ2zLD4O7LqYuzmMYUCI57YBY cWqRwZNCXF6sLljYwBMSUN+G4sowveMEKrAQ1NqnzOnRuacDbYnJcl4OltYt3n1/hJFVN1cOFoGk AM2Q77uoeG85Nz38tZA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block x0XGaak8F2jdQIvuLY6HeGCQQbnfIarehN3J+0rBB1r6WEgI1yWeQqEPKMUBDxSQIcvsWw00IVWH usiHdA9AtcjlC/tCszOze56k/0oi3JsAqCFtVb0qvDUF8RYb/hk6e8zWUCvrx9tg+qhMSBLhzXGE qC+3HKAbZPvZpA88HjBciDLNG5eHdQaDXZbWmsG59IPNzS6uqMgwwruDz6tbws9NQ8H0htrqYiNh 0V5XhQFTbksvgwkwlqbj4YEM9Vz2W47QVcY0qSsFLAS/CZSVyxbRJCUeUIAxqxzWLDyKfmQ6EPGQ FOGVwzwV/udjeAc0bykNtM4/RaJt8/oon4D9Sg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CfM86doTNDU+0WTeS6H4l1jZ6JrVTB2dMz0SJs3O22PA/LYxlEBHDAi0kWpUBZ4rYiWY76mBYTpX /VliLrDhQpq/OL6EfvWHrd4mMri+ZwbZsOci9QTY2M8YXJhjyEKSdbY1d42WdW/OBySQ6AORZXP4 UinQwMyjqbqQZGr8mMs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rxngpHV6S7Ya2xfAiWFShoQd+axXiM1C4dMZH+zNdBnlK/EXu34RzEUq739NPk+uQx+pWP4Fw+zH I6CMuJC+RaN56UadsQG78akE5YGA6yHLcZfwCR3+VLtSiS1z/FWeynFWaoN0/iaaEylXQEnC3XO+ PJBiKDORC0GKhqEmtOllR9fDt7tBNI9mixVxDP0tL2gRzEL6BDEzWjqfUbmHV/kp/oZkmzXviZ09 6Fy2473Diz5sSQio85lGNDpsHIlT1xnS7UJLDJjmv+RoSQXpMmURg2dkhDSjP/u7SG7ljuXC37AW G631VKSCDwC73sAOytR6bhKZOk9X6Fi31nyPKQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5744) `protect data_block 0pPIFcSu+RhbUcl5/UEVIm6+oONZg3c8+m6BmggPzwoUMvI+SAP0EIzE8ODsONiZkPJpL0PwDM3F 6LEFSlneHlzKXgt3f6i3rdbDAXdLFQtEg0KIj92bkN63P9ofgMcKUwUzQu6Z9IvYE0hUdds80Ovz jd4W7GirGS8Mx2Y4ufNWFjqQu1p7oxktM/OmtKkxSSRXmqn+cxAU36GB8dFi38Vl4EKmdN1XGwt3 hRjog2m6DMOEN0pb1HiQg6XvuKT+2CU4rUhDeLNWICWDcFpv+5Wt/ubHNhfUbVZzGNX9oYkI0jZj IyTv+LMJPghtSZF3HQu/cBa4iy1ls1kwEwV8uyJZCFpmefHCLzv6blUe2/KKlBJVIl+Gl1vT4xPL b+0SDbP4KHUPys7Oqls+iIMJeANVWVcG/k+n3M7LZYz29xc6NI/ym93OV15HLNN1KKxq0SZG9jp8 k7N1ZTIF+mPZl0vr8YP5+dUdHNTfy7VDYMKaE9079piW61fPhJcBgTY5tAp5Yw2ojimdk/w6Yttw 4XD9u2cWykdyITD+aAAToFrPccw4L77fJknSdG5cBcsdczHhoSNxHU15Bx1O9QqFZgLqz7tEXkzV byKhzaks05HU9wrX6ZKgWEMxAUZfKBjyiQRaAQ+UUAkTGzh/ScZQOZ903ios745RETbp4s3ZTrQg +F3LRrZn9BG6rCcsiZuVGHuQmJKEVXaYDBra8kq5OM3MBmaNSpLEXPjQXZzg8etggZR5m3ATTBBK SH8WF2O6KgxNIYMe+3p1SKZTkPZji3EEydv1XReqqRHLXW9hVSLuduZsvqNQmGQWOFTd1HoDN//D jz3WZlz/Va/uhgkzSxzkPRXExrkIPCbYg0JtsNBFSPQTpjBbZIe73VnqCfrDCpIa17+vKkny9msN WVoKiSVVdvkK29KR0N73767foXOf40DpUpCzZ51+YNyGEF1zMbR1228DCt+aXo+q4PQbLsiWCF0I GZ7s94Nb6KS2XAScXzzvsA11bgXQZRLCj8DNMqFEPwKAka0UsriOGoPSY9ZNupQeP7S1ydf2xPSd sjhCrtmO1K0yuS6WY3dQXfcbMeCUkSImMR71vmroUkHtkWMuBdsV3QJRNRSuBRk1ReJ9juneqXSd Aizswmahdia8YBlrUyKtPbNN+11llBtIwNRFoCwJWApNOQp9EbrqelECWvizmNki5+NtCXacuOfb X6zID7fyicu5QUpidGxWkMPP8gKk+iLH63mKkTpG9YYuWQk7FORCL//7GKI+ldXIU5Gud7oumCwk YoaMxic6pw1tdPxh/jxRaacdkZO2yU7Y65z5EGKQfE0WCzSN2ZtFTCvCwG3g5fskCWJQnKcE1vQ3 Gfr8zJnu0F0m1BDeFxhDcCzy/ZbbwlY83lVVe1tZtiXimHFWp1gSGlyYYYXcBD46BZEueyeetGzT wHsLXFs0EExnyR1dD2Qdpyy22m7sspSji6vuSw08zNar9VQlS81kWOdG4PxwBy7A7ZxdYtV1unxs /bTMzdXfxq91RQjI5FcN3rEvgmH3EixZMlXmZTUiefwL/84ectiKhBpSGq/71hDhsjKWQS7tmu57 b8BZUsUV0X6aksMs6h/hV5X7YIRqOGz0IRn4Rjex6kWR9ivs9in8DZB++74hcPtqVLNftx9Y8UB8 SJxyRkhIdIi48xFVgbZf8hHedcF/ALZe7idYBws+60K/lCW6/lg45dYJhZyyze6ruUSIfERYmi3y 2dp4E4nFiS3z/w4M9TEBNDUw4PuTHfOdfiiMVAKejAhJ9iXaQ0OCgqqsyiS+SPETOKAPjNFGxT2Y nkh9iP8W9hrhbClohSVp17i1KPz8rQmB47x/l0vG/sxn6pVVMs+7LOM4DYMgIoaMjsuix4zTSwY1 67uEk8BG+xOeslMW7ep2XkIO0ISL1Ol58w7StJp6khSQq3k0UflLOjv8XKchi5S594tbLD7j1FhE X59plfGSrIpjAitgswEmiUHBCoJqvgWv2zANSnpQmO8l+fnXPGFJspvSezcrwP9Fsr2dquVK5oQ/ K+tGzlEy1jkRkiyUAaipqTxmnKpUP67bPtAaiAycEdFkU8HMKA6IEX90GnJ66hkt3BdnGD+VG0eJ qQV/EPOSOxeaT9CcYlnPv01/dj0v6xKC892KnTrVitLqPt3vtlqaPv/ZeDUE96+qg0dOITD7OELa mKYepbCfS7G1iJ0SSNAnO81j2so6QiZqhl8NBeYeIy1fH6HD1R5mxU1r/gH16BVj/GqHJF69vR4v ZlHKlTz4y+gJ07c+isawfD/kjXXnI0j5msYrAaSuVIXdnlQABDV2Gh1Zn2s4Cj3oVkx+/h5Z4ESM epGvnWAga4R6taZOHBFProjNb4ENbsZMQP7k+Ro3LCOZ4VioqU39hywMbUFABXzDUnHSW6RGTrzk sEOWb666UFSg9anir6rc7L+hQ88x/1iXEag+u2RK+UtQhQnvjzgRzGWDghTeLl6+zX9pwaosBnbQ kWlnUV24UzLv11+Ss3JeNbBhmwxRgeWaVpImeXStqoRbE/8MAzJYWkzYb4nersi0Kz0hXHoZoXgd K0sKwfX4zcY70/O7jcntHGCZfCekvhWVxqEMRGs0nkWEOB6Uw1gXpQdupv4Fc+kOLZ1wY0iYyz7B iIv+4kN8pkFunEuNGOtrQ48dr29HNAqwsMPAZ6H25ZFlVPAQfxa0tzDppYb6usNb7lkcQuGYfeH+ wLAP+tJShnrqr5xyCdtrP93ZNvceck1vx8iNkkeIOYpL4JzVl0zG2jhBLFztMWOyXEZsA7u/K8OT OZU0vm2iDrAzYb5vccrI39OwOMpEJyu7TPH0qa0NkIOA+N+LtVSIPKnirz1/x3QCd1cn4n4HzMIS 0cPuvi8MVDvQG6jwPZLDnburztRZxFYufW9N9UXJvGZujqInUKAtR4pgr3W0ieWqFXgORs6mF1wB Fmh0ZeEyuF0kSfy6igRKPPxx5Mv6KfeKhOvWtJ2uALrin46o0rAK7oHVe3i87sicPLvDsD4P+ObH T9R9CtcTN1W8I4p+CV7n74TvtlxluBLyoS/C0u50o2KEE7v+F0LhwYVB7PVei+qO6bjXM3DoQR/F wDdlpgAImEca6AXpCJhuBPnIweOQbWrUtRtmNXmbe9fDSzVBocBViErArcpEEJm/5HYEGuvQFObv dFH0cX9vmJTanSdDawour/wJWk+v6PrMfIyYG2gtJIlronmzOVst3UOGIaXxOyDjwpyzoIXxclOL LykyqUcjWbh85N5KTs+qU6UmHB0viu9I0ZZOdHrIodbIL8uFSiWrrt3QEgsc164yWb5VfRb+2/YF X5MFysIKf4oJV2XNd/2mEusieKqiQQ2613TgOd/SKT+1AXQQ2DOxBVelbbcIp0kTX4qcIxEbeN7w dseaHouPqU/htyuhXxti3KAafM5iSOESV8ZS0GPU2CmqZDN5gVkh/UlS21jdSB61z3pkpUniLnOe 4yHLdHEE4Hvga1tahQ56SvDn2BV1wuPKbvz6fAx/MUJCC+y/sFJ1QusnoR04C562SW4ht5xiFQbR JYkuJ2E2/EchusqOssWfffvo8oeBTLUB07X5ORX+uUvtlrGZqy9lpe2RjqF61qRJGu8xtG/Gb7x/ Y3LJksnHTc4wb907oiXSj0TKS0PRWjN3ewrnBaUrDJqOfmG9ijUCaRv0HSegmfqwX7f7CznRUxIt sff/z5EgqxsosObrg5tnB0smcx1lmWBwaZlVLPvgHZ/kwyt593MkICTKRJBteUQqYl7dnYO06koW QwSyytYDqh+TWoW6bUnI6DDc2re1aU6rMfKLrtsXPbqGTLACxynVz+Yi/1O21c9VTwSuLqNHBfww ttc0ZLzWfN17f+wpW0VQEo0t87lWyV1iCm/MXmFLn/XxiOV4y7mt83anvumyYvywTSonxWTONdVX +T49JqcdFLtKGelKrS7+vxohMMEzk0yNZev1Tq28QG8JKWxDFgAWdhIVM7gnX//HC7ouOqd/gokH NblF2ylTJH2BTwrBAaVNY6Thm3X9SAAt0F+9EtHNo+aT3MKhZlaCd2nthzGQ/ASM71bqI1/0h5Gd 5QxhmkXPWiW/sH1vdgF3Z8OdIGdqmMAyEO3ZeTm1X0GQVtlPV+cyVsVq/1MTyGD/9+6A4xxp6C8Q QwNjrDqPHgGi4if/J0+mK5tEsdI6jMGpdA9r/qGZf3SaLhBGZ7Yy+hZoUkxx07wzROUzcriIdfQ8 lN75GiykL9pXl5foP7soqxU4ReK3XBgE6snvButC6uokrkklrY/yDgusLNO0Fni9zcbc+g8ZJXFi c2FIeR3H+K7fbhRU2mOkUwsH/++REvGKgVoelmugjnlQqxkgBXUKUuwZlYISF8SGVmRyytBOXvOo D8OjJ267H6R8U7vxntcE1Eu1hx517wj1eOUv+7XfAnzm6MACmp3Io+/8szapvsLogpqcVCDdJnpP g7bjErEAATRk7uY5ZzWy6K0/85JY/jLGM8ZQLjmI5TXNktbg6y01PCtetDhhTXdnS3YPMoBByZ3j wTjpIEkYnCrqBoEN5SPoUnlDVQ2olpvTSnGf+pyUtEP1e8asH7F2RO0hyJzOUPgcWgcxrGrxhJtL R+AFaGtuG+/ryhA0MnU4Vf/EDEyPDAsa7dx8UonefPH/OzsAvhwTiTJoH+30oq9vm2bPZInt2NuJ +xLdkgRN2iXYVmzgkqRl6X4htltQS7G715gSu0Fkxigxh6NcFYYXnCLoDKCqkmHNS106ZI4cZ06L lvvdBYmMct0owE0/KYf+U7eZ6WsnaTmokuxEW+HmT8NpI58ZH1/tTkd8WhyL/vevwrBTLPC5vmcw SQy85mGNinF6wl3Ow/YDQBMHKdPH4R1mqBwVSipW5rat48SbVYuS91gTeEyScc7FJTyAHiT13+ck 1fsP5a5pfLkLQZagfJizDpOld8OpMZcO7wFlyKv0KUQc7IL55/q/U2Nu/WuAM4cn5wpFHKwcp3BT ZvJFe9uT1d2ttVFPwRKP60hNH/WcFOu7nvVhp75roqApS1XRtjn5dBMoyzo7mAmLKluF43s5HCzn eYnQczUxiU83wOnv53p+9in/yb+V86RqTsIEQcZd6zGwhaaIqsqpSmx3s6B45dY/DhHRAaVB6KhJ hoM9pZzFbhaH0BWZ9C1qhINLW2tMpJ4ByW77MyVjJmjRD74gvWSJMJfGHvGRI3RzgFiBzEhBk0nI hEyg8rWqP38GqL2ufXFm6mJfdn8uTuJFZ+EKDxPwaY3DH3AKfNpRR1PcD7M2CZpFP/5/xYiRJYz2 oUttjtMgAMbsrWRJl+JutnieplCqaJWj1Y6+D/52wOpS5rV5te+vYlchkyabWZQsGF1dnd5t64J5 AsLVHW8zchB2J3N30QyBURPMqAOJdGLtFv7Z235jd2cKVToARfVbUi0OoxTmKvGI5deFGiK1eVhX d5BLDbExpRsNkUMAMPcFfSrvUqsTSVSlCoayM4qpnPGw7bZ0YZnLVCzubTmYkCW4jpl6yVzf/FLd jqa233ayN+I4OIJ/lLKcNxq9frYYZZkgxRjmUJhvMpHo6t9gLwIDj6IDbAjf4AmGgxGlb1YAlmzO zwFWWP9Vgy5jQdSAuwVF7JgiIsgGPRVkCv+7jQXHsYpWsPRSNPyUvi2m70XiGmgVDM5fcEEEieIb avMs/BhCinnM7TT6KdZRp9ogSTyuqPVymxZb2yc9yT4BODOzMb+PoyoVf1aCL//72rTGK0uTlV3y Ym3eE0zwVb0JR/2KySPQn8YNFg/zhtnsxsHoCmkicMyyk+oTTt4tOcjV/bYTdUzS7X3lxSyVw63y kE0jQtmSieSQtEC/AJDajlCcbx24ZwGbByt7c8aaPm2VRuvUM3c0jAs8D+9Dp7yArSwnD3HF1AJY baPUcwY3xEGCwPnsOK/UZMsR4yBh7m2hHiugYLrht7jFHNcA2l6772rByO0jCA02G61rOPMY3X+v oK/25f6feRQzcVl2vzi/5ccXnVax50txs4wSz2SZ9QOntetGiaG8CbjEdFavXM4Yj7jhFPT4rKjP KjzNiPGazebFhr7Ugf18crLKAmXgkwY2MtMXPZSL+gyQ7iprZIAkF3lCSNU8J/chAhonCvl7ksst f8h0OWD7zo8wrcuhS1xZQ/l4X13yIxdW6sQQRc38SyL6XeXurbHZvXrSebopVQRLCf7dCfVn0rMu FXWbi5Tr2bnBNaurCcukXeXLHNkYjuwyt0bB6gm3MDSO6O0sR2Ey1ADPbmSkAQUV7+feb9yqph94 V/whg2QCB2YKg6XhMly5+2kuWY6EKSePf2fV/5VZMvEVsY5WtEYi+KW29kF/i2ZaaGlOvpv5xWFC vLxU1XfMwnYEf3tKmww5VLREBqe06AvALL3ahJzkDAqudm/Gjj1p1+z/eg/ymbIn+afiKGSjx5wI 5nZ9qu/1ALDrQAKipJjSunH2MmECm0dcl7hH5e5uULtAyn/+cHsWsctO/QmMjdOVwtjVeYhVid8U clatr8PuXkLS7mplzuifsVXJOwfg2PzkhQEURPHzoBpUeoheiz5cJy2lS6LaHwUn0Yqqt2fgBvd4 UAhn53KrnzYMk0vrt9xO2rXWkpi6xl/gHnPjNHtbJaArKqK7Ok1hYlqD3MCuh+Uc0AvZ6Okqb9/P f2crWJdFVEAiJhv9U/GL8rgkkkEnFQCLhnFPsg7GDjfCWVxU2usGYRUUuAiBJ8CKO8RdFOurojH2 9IZN9MxI41t8KiHz8r/aKwn/tjRAEK05bo5ZihQAXR7O03fA6WRLG1lu7iGQe2y28E9718qF8svv lc3TCGO3tSmNnII2SiFkmrbXXw1I8paXJvhXq4lDRIVodz06xAHfg5jizfAqVFoH+g+N9j6+XPg6 ZsfqyY2Rs/X8Bynua0YoYMwNsC8wmRMoY3VxGsfEhwU1HwxWXneCdc8bMpUqYD7mJ8VXJ2D524eM HvEQX4/4F8mR51MQFlb5qFE3dsE6tKRvgTcXWZn72k6kSQt2TF4E/bX0e6QoBkwRKDnk+za5oTmt pMqnkkAWNLN7Kmjc/P+cGcgxE24snFSe1ZmMqFGO3h963QtV1SRF6wV/HZ6/w9mbzfdIykfwGG3C +f/ANy9Fcz7RSc/1KDI0qTJEAJhui8Qydnn62CvEVcvpuVW+KfKwj1wm2GACwyKP4lUaLa0ytswW NHFP1fCEXcnoYbL70QTsawBDKkp871PSV/aysKwJp7/O3W2zTiNtxDMEZJt1KjsxZTYUcrrxEia6 GAguJ0l38b7954n81VFjjkv9sTvbMC2SB0va7+44822Ya/lLk7ufH0tx8GP4A7mvPBav21nGsMgB kEBdlWprdfPRuOxUeGi4CDDGP9QbiJWOxeEMN+YBixjVkSaPn0kNmQr4oYmW6k7aMUlAfdnlGpbu Oap+axX8Br7afxw4lN5JyNwbn6uHfHENTeY6j/arJzQz3EyB4RL8mQ5w0fOXYJ7ZRiZ26dVKjszo yb6wUq93KIVQAAbwLemG+dXwMO+NIxbkY79AAItc966OSpThjrDEXt19a/uZKRTowWmpGEDBJSuw gX3w0T7HyNNwFENSw07ilH40o0JEiiNLW7dXBf+cmnBLXV65uJ3DF2T5FUA= `protect end_protected
gpl-2.0
c5ae2639b4ed59087900bf617b712ce3
0.923823
1.902503
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_mult/flt_mult_round/flt_round_dsp_opt_full.vhd
3
23,446
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block F8rxxO4Ah0RXSVnAt9hRSGyY/zr+qnkyDl+TyYhUgsuQIVZKd7D6tzvDiNOGguh37ZiCMdzlS+iY OHsAQlQrGQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Yc5xuxaUVExZXDua7pFR2tUz32UhxtpbUWJTIbJfPhB4En0QqCUcvvYv1KooPlrNX6JgsLwdqsX7 bEZhoOjusaup1X0FHY/TyHvEOtnrmxkvUbgz/AADykySrHfeBuy9o4w6mTwNtwt3pA89GcwboOkr JkpQAt7nw4fkkhAyTfc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ofxo2qvOuM8WtK/fiB2hTWuapndArqRTfRLTYeUG9PQ6U+r1/hKQxDkrTBkrXE4Iq/bw6xF98BNP ZMAPlt79bEWI6jP7RhW57CIJRm2Vl+B9CZn7BlHiT8PzV6t4uMiEY8sAHO6s52XvRSr9kI0uEl9f /o2iVpj9dTv8qsWo8oohhX56VYLLgBCsDRL0lNsxfRHX1Dc+fKpBB/IzSWTaS/72QSauDUqv0CVD bkGmJqFwbgRdYWpu2zqIKcszVI3pPfiuxD74nI6hplTyIsg215fUx5UrVNliwv7k1TYEgGq+L+aP AM1ots6l23wy6+TedhXNPMZT3shd8Aqdd72tiQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block laGbLjwbjeJ1P3UPtdUe8LiEuGpWKHEVwa544tFk/WzaSeDZUP8esXw2yz3buTctc7mh1fzEY9+o N97yXaK4J9AcDNwdHHYH2S4sBrwcfNTya/74ZgAv6uCuxGdE+mVkA3GrxAqqhpZNNxKraDRWyANg Oy//2H3bg1BanfGhiKY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YA3+3LIscFTVnZVQYgOsy0z/8EI1v6llbJTY4+K+4uMKcgjoOQChRSbjSaPlytPIarA91jLeXkjn AhS4WEvP9h3hba7q2LF0VFWgsq2r9NlTd2j0SVKTI5lBk+xTppM+K8Ho5OoKU3pPf2Bhk/g5T/bj BNLUsdwgbL9r0PnP0Y3Pb5/oxFyemadbaY3YwhvmakPGWkBJ7ihQiJcRxjuNTNNBZmW/MI4htwSE zzCcUSlxD2eLc240cQvjdoKa6FAji9AiT5jAr2EWdoJClUAVTFn9Mo+8UdJXjixOy0TOn0mK8dKs opuVyTiKR9iScBaZqVCCWRvZHlAO49kzJot0eA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15616) `protect data_block tVJN0mqsaJQtcSi7HRQ8RaXJRAtd7f0c130GhPXO1Ps4u6E91TXIOQc/9uWMG2LeHtxZ63mbXRE7 Uxwllwh6kqnm4UOlY8SbT+W+Pgc2NfcnfilcTlCTYF0LNqTuZlGEWyGSU045RCBuNewELOdSjWQE fU/b7XNpQMg7klxj+LsHQNEgsxp9l3ZEpgZAVOFA2G0tWd7R3dGQjCmUoET/XqvGiz60ikYxV0vy w9rtJtMPr8d7mKQNBiR8Li3fj9WC3OPMd1PJV+me5braGYyv8RCTHL5L7ttWBdgw7n22z5iJ66GB CZXgNHE8Ei9HSxye5K3Qy/OJjhQyP8l0BoYSmIwgu5P7geUS24yUWYpymePiYnSD/ZJYclvy1ULo 1Lp2xJHbrZLL7kiiQVSDCjpF39UGvYSi5c4Xmyh4IKviwLKDZTID+DJY6WzT19UU4l/7OP7t6n6m GGf0nUmCUu6/qgfHFWf6NktG91Be+LfpQTlJgKecQW5P0ORkpPa3YXERuxd5RW/nT8yB9lD4spn8 ND+frRHi/wovwE4NBaEuFUuGdLSd+YXCCaVqS8Cg79K4kCofAF5c7w1AnRfDUyJqttcGTp+UUdFe +5vBCTJlTOkktcW2aFm7/eKYqBeuPBRie5sxh1Mu2VIQa0hJBewXI9tOl7RMmLNXDjaLBcffHy4L TjorH7sPHJYjg6eyHOI7Mak5HT6ZHaX6/Ssb4Lxo59KrzDjgXF/hqk/UVTzTqYJe8qZVvNRvX4yj NF1oCixr5RgLRHRs9EMoNc01CdcZHSaIyVAoeppv0kHhNWYHVWduTP6mLBwI+AVZCicctUStD/wn oIsvzKjnQ0kx6iQ9osWqeXBqcvsn5/85hzfz/d5nDkAdLj//kWfK6WMd3liO6hnIfVp7oJdVpzvb 4nRfLjdp+ynhFxGodtTeJWqTY0xwnu+rn+x8dI7lNX2LiEmslpExBsXutkY6Pb/4ksSmtyO01cZP sOmTmAj65QR+fh/I7WpZRfhvsYJgpaB03VWMSb85VW9UdcCnAZfjH++EwyqBRcnDUNRts0mvWgrX s3GvZHeLDjCUXTMyVipnULG10ucppqKE5j150r/Y3x4UZtD8BEHiC8rboOtFiu2ltdxhnujjUrwM 4u383FfHjk7aym4dgyoRDE/A8vM89KPer8ApBMbE2xZzJC4vpcfW1jyMWrf50CZrzhb+oWXNAe2f ucOOgc1ZdGjFwyjW/pUVoJuUTyCZ9Dj/8VCt04ArjvqSBRVErVdL8WAnOEiJHiVbD1hYcKcz320l 9EDKkgTyojlFrUnk7XKZnFZcMW1bqrJusUP91/2UmEpwHmYC7IaeJ2hZvGWgxEOdtJdCgBK8KOS9 lwq4k0BaU8VsXnP2TEbZuzRh8ZFPQiCMdFcGPg7HVCbQEirShIVM+mcgS+go/aGbuvuARV8F5kss 4gl4Sr5wnD5WNvzXjS6r9Xw76Oo7lxcwMrDrUTEt52vhebhy427LibRh5QKu5ioFJfjA8SmD6xNQ MdShq38M9aYqdSVE60qLzi6l0xD5xG085IVMehZKu3wYtBWFJ0Ot6OuL0gq5gFsQvIVWRCErF5/a YHOctw1fwjzPdTPO899kPIo/TvSr580/oZfAW1tz7ELxQup2elqCaSYtjRjpO4aU9qMlKFgnM+k8 FJkUlE/tKnhaiXHxEOzk5OVLp1betQY28Ga8iIGKCMu/HmSxZJksZKFsbMGNC4n8Imp0UU51grrK TTNi+z9QoU+d4wIwVgOqjgX2tBs3qSu8C3gk9skXYo8woR+wo9REowkIVzRH1jDdgRx/mTW9dRR3 XIWLZM7HuU8ux4odARLOAFlhoOHArCDnrVST+fNfchGW3kPIUGLeiFB4yhwgwX2qPfwIlSdwN0ms oLBmOfC7AjuaIcA0247Wn2rutFAg7lJMRIVUzCQK2zuqrY2gDJrmYufJFIiii3IV3hLnwGTVUHmU +IYrlTr6ESO/Uqllygk9DEhuzSaqVyx10bTzhCtubhNcuIu/43icMnq4Su0ZZrgoBVpeUQTtLjmt V+rHVR0XxFXTaHwu0cJqloqdBnR3xHoiVaPI/gQR1HsSlERokDHTwzPsM/6P1zRPFnhOT1/P/Zu+ O+EhhyqPaTjUgHsZwk3tixdYI4dXlXgXGRzv4rTeHtaxsKZ75SrXqcxVRI+YMSKOAmnUakMW7lce Vvg6CUD2nH8LR+xvzucB6JzYfS0PmYQxnPU1VCwPi8zt0q3uA1SLGI593tcmuzvKEsM3IxpSiDrL T5JkvTA6oUh6VoFj5M315EAzI9S3ojpoDr7JxnupJg9n7XeMuNspPbLrpxoXiL6mhZTO/ummzEuQ sIsJy4ZIeJD7gEj46uBorU7vgBlmgWpIqZF9r/v0yW46MHg0ulFQfAgAIVkbs/IRoiEpMTMUhAez xVBVmR1vaWk+wFkuqlQ+EDCQuXu3qr2v9KgYzVyzfAfeIMf/PJ2D7K0h2GSnII/PxR9BqmW3k5GT M/T+Q/i/qGyEzXX90GalluuZ+VgqZ98BirlfFdje5Kt/+JM6l02TyJk4/B6NTjEP2Za1q3taKq5i d58gRCQ8NgNMRO+DQ5bvWk7a7uhdlxBoM2r2RFtQRVz2df9SIOLUN4VWUwLx3TmI3k99wY6jt0Jt 8nxrsM7rwWLUgQOgkca+gvDHwdsXPg5U8wFLjbLMlqvukAogIU28FQO32q/EGF8WqqkK/naLu69g /KJ3xMb2YHJjR34EDAjZwqLonGTwEzP02dHEQJAadfKp1RF4dkuPpEUsyLU6MwFNOCSqQA8IXVzu 2QMBTYDJVfc04vaMkXGTR9CIsVCJOW4qHKJ3ROYEUIK5P1ES21taPMfku1yQpxTsw440ddwY24YW p2Ft4t1CLYl/OCETGdqmu7rGKJUnzOokt90lrI1BIaaS41IBr9uTH7vFaN428ChmUDNfN3SLcweT 5j1UtiDu4LvcGf4Qd0qr38uqgRVMVEEDxHvGKuZhu3hUCB+Vu3pUjz9sGPEEvMctFjapH3x/SbI7 il0HUN/+lN2jFDIwSx3RtmnG6fzrzc5OjqW/nEec2S3qlq2TIljLXcr3YjzoXw6GQn6hoEB9D89G uZoWEGiKgJCtp+7a/AeQSmVVS+CsAO7+5nl5CHMHEE4wJ1F5GCTPL5W5NakkeOUHDkchYkWGUyOL 8Z6l9d55VTY5mBwbZqg3GkF91xgbeYM++r/SRXTJ666GmhLmJKMUITSlwYfMRqnL4ESyqLhPq2fx 1dlTMUv6DXGEG5upClNgRYYIaCYS8A0GaI5XiJbiKDPhwbJXokfEJrhMiGnOlhyTCVZMPupWQRoP wJVGVYFo03GmnWVrU0VH1hybYAOli2377+I5BOHfa2viyLRnxgZaEhe3LHGqWN25qmcQHIO7UKPY /UHfeGFZViKxM6REe8e0kq9acIR6MuaGYCLhyZhiQBYlO8DjiMaFYCvkU7WYtE6wp0Ez1gusE4Fw /ZZxrh0IXjTAgbRxmtqZfJBa/S0s471HPisObRI5YQoQKz2RojWme0/HqvO9piEWNkq1WPnvpt25 se4WISptGJkwDuoOQDRXWdWXSWo0hwJIq0yF73JO6MXjv92kewj0OZfJejpkd7+g3sfs+sa1R/ot E26HvzKh5J2066rVInXyZOFNYSaL/ATMiJxRc+4/MsVw95ZW8qWtEfM1R2TmcngN4sS5PE8dXcrF M12E3SLqbl16Donw9Lzzk2tlMWy98gvvNZrvMkF5Eodc0Xhi/XnS05SB6ZFZ9/36GSwiCYoxabeF PukgABAIURd4I5fi809VdgoKBQ7sORD4J6MnyOTyqmKhKZD07WRCg/oZ0bUHMoa0S/McLnRtFFcn y2HFSPJ2/fHeAJIc9q5FrjiBZ+h1Ij+i1HeRLoyzNjgslmvg1b+5n9kbYGOLb4GnGwX1ZYBbxqu4 LnQaQyx5g4IO/f8xmpN3cCfHQgHFV2zuIDgZjdyMNgFoHXbtpWjbw2yFuXcwIq10f3rdkA/02WXC n4OzhXAvxo9WmILWB9XElaTHy/SPzs/E3A+JYPlZwO9Kc2iiJs/YhDAcybMuuOex5u+uJ4BpciXt 1BMn/fiG7PrJ6CuRXx0rDV9JYl3wXWQ19Unh2b+y3yKwtRKrEH/hXvTMUyyrXxVjCwhdtN/zXgv/ asFrsJrhty4iuOJf6GdH+TBWiSumav6e6NEpmXrFOzQ0PsvahWK4ZnVH8dit/n+3RGnkaVMroB8q a/tcmWSEj/ROx2EMwBdrb+05zIUrWycs+U2yahNH5MJ6IkYmYHyP1pPG/wZf69vHFx7+0l+DRw+e IOLNbMN/oyoceRHT3ymrgE4tuw7WwqJwTMzvnLfVpGvFrntDjFX60F8eKOlABn/Kvc8+V2lQOQ7P 8u87z10lcmqZYhkh5/qX6YxedUmAg1sMfPUlZ3aze3dd0JyB8xVaZiUJQYGnlufTmpsHJhFhUTwr jKJxWZXUiawdeOUp7TbYOZAvMVGHIhYMxJ4Ep96t0bfnyKN0zyXIfoz23hr0pCiFESxI6jAjICSd 1L3UN715zuokGlXONfMbFohSZxQ8QAaUYSamqY9Jz2ledSpcW2kAiKwdyJ0Fo9tuf7WrwcBLXsZN L8cayUR0r6A0LiIOHvPZE9IXnJE0XT03bgrQf4D2mIpa2r6ytGvX/nu0HHwNO8kEFdABQbiLWHu6 WSm5n1YDgpX2E9xBxd+u/pXUsz7KHhIKFmch04SlfCXBevLePGG7rLsWJtOhDrPRkDjG69W/9dlb dvQmqbZQq3W7eShDsFFrwQW/2Qp0yauC9k9ALBnONi+s4iQiclriP/OnD/+ceK96+CUqQdhDM52F OC0XMWgszwon76LFKxp4Vv4x316hrCjPsuE8AEjGVlLW0xDXI3M3T2tb8eT+hDCHwv5W/+HsHRH3 QRLmkUEU3v90chlbbgql8q39Oeldi6Dapa0DBFtxICI0KTMoyZGnXCBQLCqhTnFRGJU/YZyLHb77 1qxQG92/oyULiIDxJ9xfrZTq2Cp0+VR/opC7IjCPQ75IEArEhYioF3yW9rWJ2rApYNShEMCYC5sR dXIxeT8TsOw7zRB30kpuk4eIjznKA0UmaxtssXDVFyBh0zKPkHW+OUsX10vnceX+rbIObFeIuYep lUZPqAibj+LASG6VlqFGt0rl1FkvxTjLodTX/+33kcqDB5wJPYJnGhwxnMgiuZ8QYC77icFoQH0s vRlMFmGT7na8RfYDWXSyBEj2US2P/bRexbOCy/REczb0LMTTJe18F9mKaUOsX46TZe2Yy9NgwwXv +utcrOEQrpsshqs4kOELAUo510Awru9zOT1moF1E0MYw3MwqDz8AbLEAhFnZjLQg2mEzRmtVMTG+ xFKc1vU5s6JSkvl1jxNKBoRqZM9qM89BdlC/5kmazfqyDu5sJpVRGkzKt6P+Ely1EdtjRVGK/CdY v4zK0TlVrFr1K7eFzsoP4aBT7cg/XCjv+Br0xA2trX2uBx7jXJOAS6Q99lvNIIhNNxtR/r8oyfGo 6f7NJaYKHT7o3JFCrfSVHBEcwz7tE0ESOna2R+3EtkBS3K0wl7wUu7VxDfsX/4GyXUTHVzv8s4MF ytHLvvD4rt0P4fyci6bETg6GhI379rJ67MrwaIICv7iB2H9ghXssEKPEWiXjhnQyZXOxbslLrW8E Y1JwuX/jefOcMrPcL+G75hBK6rI1efQRSpr65oxqyhpryQv3z89QVhxrj4W27R48vg2s0wjN4eTt 34EQElh2M3pLi5+B1Aih4frbFGqQet24DQ281Cp+7jZ9jVIOXPP2XvUO7zS4sq50jDXmHoG8wNI8 04V99br1R9dLhgEOhJN1Zis3Tig0XkSXn3DE/DhD9bKuSSSK2jfyJmRNhYkB8Qt7/F0FQOtVasv1 SRN6Cz3yuQZzC2MIMK6sxaJNbPVWfnz5RKN/Ocu1VCT5mtNhDQB/iyI2F2A//rMsBo9GoqUhXp9I P4XJSchrmY45B36tV65N09xjGcGnJ44nez6YMhZ36qbmG1HOOCypA4/MxqGAZvcAVdviac83fszs UqM2WRt7pYtrNebbwGRHIdIofW2bAA04vVW+bjli6i+Fd1LXmWfdOc7WMSXy3fb1K8ykztLkFmfB uMDCZF+rO1RLlT4Zn2v+2MyD19r+FGFZuDU2ZNLtj5ZB6ydiAc6dsr/T0tD5FQ/erotvFrArpo7r 7CDv+5j6dwOoQh2j9yPQjPPA+yagk5ZCkp1YqnQPrv7DAuOYBCRt7jJbxB5XIubSTpGSUIH0ZLKa DJUjNTuFrepKvSYd5UMJQxjapwKmihNqJhMmSQ+kAph+/A1KkWGcraIGFR2dhfPkEQ+M2Ibt/b2I 1kkYlHHPcbuKaj3mQFVZiAH85NPZN+ZR9ELz5rQQ3cDN+ZvsnBYIHlACGfofoN4pLq2K6dVeIvKD i5yeE2iW5TzHF3bQo4EQqP6PCNLNDoFrMykHqHDGFHeDs/lPkgeat07bC9bLCeGB8aEGoGy3SmCO 968dS2xKCVjhrjYGRkwlGOsSAy4bE3iAk+UjEmIbGT7JPn5kVz9d90cxiP5YCOeGwy8ufAxJ/0Gx GDQNLjZRLyrJBLn37E4b0flHci34x57/ikSchtSpvgDF3goBitkff0t0xGfX2ZaTOAAx/g8cjhld 7yKBbdJUpeT7oX97mtTDmExvDteHCwm1zwarJTB4TuNx8BUDD308OVay5c4UECkfdRnpT/JHZO49 84io1opcaqRJ/0X1JV1AkxTLFiyonOiRLTOMCxaIA6eq4Uaq55htgrPHbApUxhKNeDBdTTUpC2DX qRbZoEBN5QvaQ/v3721/JjzK0rAlYYHabp6oZ+xLeJQSTBxJcdhyQh3g+HQMcVjIffAiJAEJqLZe j2l84ARlJ+FhamjMKn2HuMNS+pOEpxqUTXBI3jnDTM7YRpXCWRgZ3b7bkFLMm77CgRpl75NRwB0p UZ2DZu1BVa1dCiwe7rF40jGwRrelBSkDM9Bd/NVdo875C9U1lV7kKpKlci66povxLk3bTbKURYp4 mVfiKphBU1qFWuu4FkCuLzBzTbw8+7Wrs7HqWShw5WViv3xzyN2EAAr2Zo5ftsjRUo+WspERzGUz 8o8Lr9uajEmFjyneH/3n1huyHva3piYcMAbkEypeH5yzPD456HBJ/mqTaMjnt0bLZxwgMhXGICsf QVLz0BL0x/jxIR85gOiwdC6u+ttfT83agBPeNE4Yk/Rb/S/ZSGHl26Q2sspjEibZcT/v1YjQxHjw nkMDzJMRsjX4QOZwspZUvr2IcDXKu0TGkqBIGqrKu9MA4hluz+glpkCGEafk9UPyJEJuwcZQQ8El 3IIOIXIuOVnhQ0X4vEK3RwEwKG1kyo9fvqQkFrPob/uV2hs8nvmCOEFsJ+Et2eTgBN7jNee9Fh61 RXgISpGYx+YhF2aRWaeB6qEZXrS3JUFPtdZv/cF+uae0R7VTdAiP+RsB8oXP5Ffd9szQ/U27ZKas iSkVs8M9L4ieEtO1roXO3CcR7KQleDvqLJ9QvPRQsk8hkRDd+ObC+1OL1BLVb0IyxqOLtjWKfqNH LcKCFt011iNYvtDsRKrSbio42G19ut/vBzCKVa6l4lNXW09JZR8MDxCFsg4BkWht0mzVHN6EyFzC z0Xty/5P8sGzBdw4zMGT3cKD0j0Tszb+YfZvQehHejyGsYIKAGuQVBu4ErowdxFkC+tKuWIP5Zvl MwahbIPgQXkUwwbrSGZsJVQXzxqPzUqs7b/py7AzN0L9UtsdHXbaoMBonPkWfnhFmKBCrUCqVEYY zmbGxjbHaWouZKoWqCe/mwe2yksful0knaN87tzyc9ARoJBuSbAUt1kh7eKZCDYXMaXamVpdQUMI OEQxGBDIiLUbJXj0ocp/H+G+HNalwhWdoeNY+4wmAg9bpTWk4Xqqk6FbI15derZEwKwY1tdJ/Ngi fRdsgQCS69Gyg7Ulqpd0Wt41g5arUW+JOnl+Dk48++aF96TQJVjBrzgU5uQkajwms79+If0s4HiU P4D3eBukRnwGamtriuBh0d59F3sXHBBLxjNrCaruWeD0gKImBmAUTnBTMj0InPf9CeAWmab8EzB8 P3jKb3HFqAyH4oTJ8Lv24bmZ2p6Z8b7LqGqrp3VgZwAEIUNH/BNhvXBRT+Gn2ZYqQc8D/TATj04D e+Y29PPfAUMbm9w0O1ofh0PV12aJMojcb84LaS+bKR2ObUBXYtLAYi//P2jV1Q6jYNjkG7Q4BSaZ T77Ii8p69FPCx6B/GLVTayQhPcbwe1QvcHJVstM2Q/JQTSS8yQvkHevSHoMSonle6XHYokURg+y7 PcEutDeuf7lGXo4IynYrl5MB5/vWUpdJ3R+Acv9faSL4Ocy1LnSBLh2bdP0yoQ8p5d5kpCOksq1m /IBIE1Srp9+fbxRi6oB8CEKnR2RWzIR6i5eDUa6TAUefFC6OB9/IiZcotdLcDtQzUVfKeRIbsCRn M9sPReHMj0XEF0+LCRTvROh0lHj3g7XBGCOI7Y62lzvzfmlR5M0RzbrdSasessMKxdrV/X1un5pQ eUuK6fx1fKq/4fR/7ZvllBcmIiv/sW7k9QgeipaamnlFJ6szf9OG6xt4WjQ4/a3FIeILK8gUWK4A HBpgFtEVZ/ufg4B1sZ9TCZn0bhT4KMo95R7x36El2BimxPuiEPK1gw0wuEHQZ8E9K7HzHUSkmZrl Jh4WXlZRBbSqQxy3oc9PYeGXJJX/4MgQCyIqkldiXWzNfak0TehHbRaRkvPzhYPrqp4RP02aL76U 9IwxNg61lJqljP04yzw1FKokcj7lapx2gFwyxJmrtd2Bgr7RCCQvEP+JA8kWBJaOzJlKUyvaYCp3 M8fKu+w5YphpBuQTdIMY/fBJ1x16nN0Jf2IiR1GU2z1/RJaK6igZRi001fDCYwe4qkhc+LFavGjX U7TAW9Gs5Sm9WCKhtI8R7vbxWvPSpzcD/H5j75YBSM7RPu5Zg1hkvDTMxeCECuCRV8qBd17+X+m1 9ftUU18IMBPFckhwjNV+PkBwjlUN0hXO0o7CwkO1nBA8J0UlRNFgFgrbGs7hTLe/s0NXzlbWBggA VVAI6RCjF1XgVIPZcMODZPGer3KoGKVdSE+kVMofCtRMHIjdkKBJ9ed+RIyyS/y5To4E5zdaGth4 LKdUO91NzLWpk0jHTqnEtBrGyVr6o1KMg6ggqOZQ/0Y+LYcPs4kQ6dMOf5rhk0aEzif7eBtbWuzb e/6u4jayiXgYFFEEnVzaZ80oWkSWuYc1A2WwreZpJ5GSNeUvMrNZBsM5kBPCKfi4HrxnpJBiQiYo +IANzPqUUJmPf1dNOfCDa/i2Phkw41cWYv5tXXX3jDmwNM+4cM1qxhjFgafvrjN2oHMHXq51dTGP s37VZvC40NJC8vbbzKdBN5c8r8FJbe48hr0/Ze36lMnij92EoKQCRKCaIXmeGXK794ksZhLT5tfb P/BRVAQD6BZmI1Gvo5wrX3qROdFcAbF55rP9EFqTBaZIClBUn99AF5V/S3kaazEwow8EPPRkmi4y Nn7UiUIOodxozDy/7IGC38HALRtxqfnzEkMaVHpiGWrh2dDLmSkrohrkDxxhKdL0ySCU003UGVc9 vy1j6VgfHN3A5SN9Oi35xLpWu9Y4GL4JK2g+AOGfDoT7ticqTN0ds+IVWcOCwa7z6o7WtIFLUw5o HjHSzdj+sqerqweAVg8IO2dwvtHAoHJILILAJ88R7Nr9DhCF7sQBKuH+G8eH+F7v4xq/YyFKdrJ2 zwH4SbCtE8eWSqfV7OC3iBg6VzROymYC+hdZMkNvYDZnD9FXzLB71SzfT5Xj1kDXBkAqk4RWbq9Z VNW0V2wtwdV+4Qiv5OJqKMzBGXsGD3+Edn+Ex9hNXZPtvYT7xZC+0GOmQVbJIoP3E1FvxDCSrsPy T6JOLmcUrnRVFPdK5OoKl/AuNxu0EZEaopVH8KZcU5i/undkRE4aTdVUBN+cYgo9aTx9LSL6VnuY TnR+uxVd4bYaPOXdewuLulLHLimUYHOuauGsZDwBXvYAEk9P7hdqIraB0fzacD/L2gLMrCB84lzp Hut1bO2RlOJ+K+94QWdsZITm1rOLQvgkO4kptW92OKho4ReqpaXdrHtQhyzUD08h+m4KpHPTwE9/ CJQiuVjwmbtt4UlTLraMHtyofD2OCzRXh5w9ld/bNi8cteMy1njpyEZlgmzCiH2Yc+VeHBaNCCPx yGs4NoTH5OcA7PecEKR/fTJFBgpsepGLdPwozPYRNfGNzrkjcMSbJEYPDV2OeMcLOhfJ/eee7h7x FlB9QHGtrcWYAd1KRj6b5ZiFPXKxwsIHDA/5imXjaHG/ohRF0vqBfW6qTypD5oPcokMDuiq+/2E/ CMmhzU0nj0AKAbLifENuwZwvFM8RneBGoseqi+3rhzj8oPbOvT59091/p0nTEO7PjL/zYU8n3Eys rHolBnZ7QacORJPHiVYGkwvFvtxMPKzS2aUzmYeEdiYxU+pM8gAbftvqVs+9i/wryrBo2agD2bdM XxHhEr5kl/psVfstf/jLSDLyjifiXP0pFxId+BIwAoj5Y5vP3dtQZSH6jwK+NGRVaXfYr0C9XP1M CDTm5ZStXFFKI49ehpbEotz+r6AZosOzuUYKmnSfzdQfrY1ZW1LdAVGvxj9POgclatsbIKp5XDzM kKA6i+KQoYAs2BMM9yk4g9tPhQWOd2ggLJkpiBrp0WjcPD12wksu0hMSjsFhaYpzZsRUDuxtzoNw wHDl87ZAjjHlKSsGojPEWH2jP9OnE3fbQdfz6tjhxGdt7pCYp1MwjmdzXev7dVa7PSN607ySK17D KLpdJg+AeCrAjvGvNnUnScwmVMU5r6FgmrSursdKamH9Ttsjzf98Jb0uvmRpQUKBjp5zOUd926zy 9faLJZMxn6KBQbf71x2XT+mich/XsI8njnCiQmRJmFm8cx0bp03KICkL2w3TQxG6EHzfSZJy364Y 4n5QwJ72ZxFpgwUMhCXaYTx0sc2RL8wrcq+rPHbyfHUmgtdiewhWqvrjyMU8fF8s2VZioexX05EA 0+kiqcFAnaptcKFPzEi/RXan8mQ/AId1DoQN+qDkDkACH5RzSZX+slpm0v+0w7SwRHMOeee5V5a/ zQZbCb4+xRbOajHRyOYBJMvSXyzpYghlh2lyqQw6ahAeKSXIWTsIC8NwvfgCkltAhVxDLN+cn6av ahw2B70rjYPFhevtwh1mbFYmTmTGf6xby+aWlD06aENowCAXAThGDCdYyt1jKNzmsLAtB/TzP6HD WVVFC/YyUwJB9o+8TVduJznVv3sfKng/SEK/tc2RfSqjF4NGlbey897IfIvzaTGYUG2ajRqdMDNX xqpGFVwxQ0JOmfkgxhHqDSEvNj8XcUwRRA11soGzxyYnft1McHt7iPidS9dQ0cSfkEknKslRKrgr hGuqoLMX29uGW7YzMf1YUJF9qigy9rtAL3bNtLtE7ioxP9VMS9bNLAbMQO/yMaEkfxz3BnlE3rfE EC+MHMmTFJF8jpUMPJMPQcsTs3zVdWlAwR65JD3JysTw/8kqChNkbXuY+21cg0C6aebuJhSupDO9 coeMPd5ZqeaFXjkmg/WDJUdOGMI/QX/IJ0f20k6FIh4cQ9dDXH/JoZz46benNjEO06QJ6Buut0mx 9XXT9PfqBrJKHwauujtJg87Pyquyw2dI/vjSCyX7yKrfgeCvu/dtltJcP4AlUACnmyKP+Yz8JV83 v1gHXxGJaWTcO5476juPwR3qztsJz6uDfFqfqyp8+ZWv8yNGb23/nUiGkDwEVVsn9WF414PoZ/7h LiC2QjEbOqRe/tVfsCx4/1Iu6SH/6pOhwJB+k8GXDtta2ZaQ287F8xBlAkp6gorUC1glXu9biu7u OVHUrP2efHuatpbI3cmwEJr6abHzP3F33JVKqIWZIFoRkfnZkaS2FxEkCrRTLZfabMfRXbXut8P7 Yl25D6baWnAgrbksmHZt9C8wTdBaksD98611ORrhWMSEnfc38ykWOHlCLuMOP7XSkNQion/b8bAS FGzec10mbW6x/TMnQUsrDyijn0LTBFLIHK2pI4OU3nJnuwqMGilvl0dYH9l4iKe1sqsQtIhuL+sm DXH3DuCrD0pNz8dbF5VKy5lJQ/Ivne8ZivSynhmDvUwu0tBrDPG2Q64rbveZQFK2GgtFzTosoqTk rtu80xlaVlN8BhC26qeIfBSrfZvINnhbGxZfXu9ZtwjIcIDjz11TQ8ta4slEYIHAcOQMi0XK99kv kjm2wk4tCqKXVZWEu28RHxGny0hqcVJgucN1YzUq58mfojQTXNdFT9DOtj698eiDAB3lduz7bVb5 ihaUGCjEQcMA8LrH0i65/NyApT1eZVu6rFphbOZRPi1iUoW9PDbwT9XjREzaaNZ7+hbePxhhc0y+ TZWQ58TbmnR5WCfAIWlAc5B4DddDflOmvSGXAKlqu4Qa843i5825fxeOhUGZCQmH7DHtg5dwxwf7 pamsjIU/GZQN0c7yrfs5gO0EAZgX1xcaPydCLZ3islAR4dXqr2jzPo1eOdWrv75AZjFaY7GOglYY FUrVHJNN6a8Or6vkmwMGoH2lu/rF5EbDjU0mOPZsZS1sX5TVod9t5J/p43y3eeC+Dq0r0Db/61kc mmnMTkokSEe/bzmWNIf+gtSj+HYs4pJUwhJ0HAddkFCdRPp+T7mL/d4QA1Xe4A0DEg0gxUhf5CoX QTu+ZZj+g5d5wwFUgMG60q1MLgeXchA1m8goSHWUNQzpekECxR6h+FnjHXBKwaPzcrSA2+/PhIz+ ICdlLMePyKy2UppxO3NG7/3nQdKOzkWY6IGo+xnDECgsZ94ildu5Q/gr0zgGLK62YGX2cJOsCtwg JQD3SUNfGObtgn4RMixNQEiJUH7hk1bUFzK8qwDTT1h4ECHIB6y40rt1U78mCo67YLnZS2w74Z4J eSCITbgXAJ19n0e7kr+a8pwl1KT2k5XqerTCcXyV9Az+uEiSBzaZ62LogN2m7GWtgdBtbE4FMpOZ c3ZbnpatEoz6UTvoyUd1C/DLoTDShujxCiHPv2NGwv7MHB/YBjMia+DX/admulW9lqpdFgOAjpXr GSDLzXkNQgaMQYlMA0VB77G8GmPvcO0V1WPvPCx7Fdtqv4TFdME2QxsvXRyDagb3TE0TWl9VgV84 RJ1vtwvmbqqJuIwQBOLkw2RKoHR93k0y7NFsc/ZMqYjJoaQTiZTWLqy7Z5uGZxKOWUNje6QUg6jM 7SiKkVuEkhYx9yvpr2molSoKv6Vh8I/ezR811p7/9fyhyigWpcW6mQ4VEHsr0ATgbmkqAGvisaFy CLk//S9WTYqIcgMQ2snHfHRQ2gf0OV7mW2gjR1AyPYSDOr2STUh8pTh7kfEuRAaTyN2kX8HCak0x eoyR8KloZudA6DFQ9QiZtkdX/GV3CFR2apupFmmxhKkT4PtmZyVD7p3Aq2rSTOEhR/hsGvlSfKH3 KUb17Xl0rCa0Ev1KaNEKHIX11RdS5ga3F0mO+x8Y9ZPHYx6dDlYiIAGZMb7iMdkgOXvVpcZ0MbgL rngoNnweE9eiUrbXqf/8UO9E5PKMrBkzbRpb6e0/cUXyHP5QgQ8vjTCiiX7pQT5vSLknm5m/vbMa hX65x/yclu1yh7IAlfMTaGApm3LD5j5Maoz6wLcD0t8+R9k3kiLR8CDIQr/mRsZ9mvOZhmm6S2Ok awTB9Gy8k/ZxpcqaaijIH/xIVwj3K+o3HM3Cmn3zo9LQa10ukU1lyH806AmTdwuayJVFQG3Z82JV uXNjQRz6+xqxXc1bkQ2W0Hs9Tk+rvznqEohJjfKGlyia+W6T6aWplt3Rl0gmmpWk+tqZHtmeHgji aVBn+FC9iF13X1fpCJ8/7B7+eiPsFRcamGvoolyrUhzqf7XQZk91dqvNHhA+S/5C1730tpR8lbJi 5KmxbrRG5FJmuLNWo9ry1GO3RLPaRJuMdA+n3iwBo1OrKz4B0yWX6034fOfoc4suokDlZEwuhYP2 W+6GX7i+qqwJ6Uak2hMGPWbQzIa7lUf6gJTdw6DDH3fg3j80B0wrejV5AcMKzTEHj1cpX1sY+tuV XXWSklw0PCIznQGOuRbDgS4CE6w/WigmJOP4v5HQc+pj+5tPMN4aheScHFOlz7i2O1b7dqsV3lQK lnGmVJDjuqXcxBLuTmymcz5JHp0+4yWKfmFxfxKX9OsLY+3/3LwQnL8QfCZ2EaVuqeUESbIzKTMd nEcjyvgQqtpeUfpjssEST7TIU4s1XdF074mjYQ+pYQTJMPvxytAd4lh9+xO1k9YPJOT0LOzhJDw2 2MYZr4FZrqX0oQRK+JyDZ3xXh1JzvzzwK4ftpNWs7cMwjAeDkcPZnde6XGkP8tR8sML52d3wV/T5 HdRlBkiajBssaMF0EyV6LkEt6RHfml6LA7mD+UXfnP27/IMDSkX8Nhnqxe7tTLXzPf3EXlIT1sh6 lfA9CE06tUVCW0NbptZA92CRzLvrtk2y6+iAEomgRxh0RtpGi/QaeHGRYEL8VywGN98Fos8vrhW4 Xicuml65i4cTirKIAp6zfYCUArVTT57ZYUlmy4YxLQmi2sTdIhFlYkhvTIhpgajb6IYqrJM2EXOM xVZ2Kdc8WnnUxfSmmmFGj/RTjjGjkwZcSOJFWHPq1vjJRpmz/EJJS0OetGgdTDt68J7N0lleFzuk uba2VitL4YaiGqtBuAR9gL+lHmXXcfmwjtgSryBINpD68hi41SZoKO0R3Nejh8WhQjHLrk7RJotP IPa7WePregHrV1PoTqnZEZv5JtusTyPNaM+FcYS+p2vQZNDgsI736gisbNP4SEcuvWb1kGkAgWKj k3dFYV7O01UQoPynF/GnEk8JyXLlpaP+k5UyFxda36nNQUmsRfX1abPc2QbX3fN3cfNhcsuWlGuT WuJepCavFYoySB0G0jWwTey1ujn1XpHROcuVn14MbZ5vkHjKjrCokI3lB2hOEJBwiKuKBIqD2TJB yTxzGbJDd3P8gPZRoxegHjUPcobBraJGg9W189ANYZmyYch2kZ0ryECHaZjjZAr/0dJ6yPljNGmR 80Tzbs/C+Rtyqdwf1y43EwG3P99baAijVdX9n71j7Aud4uOkWdPvxr0++YYlgWv6DDBdvBiZW9wb 8Z8fyUANxaufVeHi/eGUfFxA1H/T6GGHJ7evU/yUELIQVM/mGWJUA+zev086qf+VZwQ0iQRTRnSh v4sw83XpDJ63G7SrnkL+kZvnfuZNUeIXH54/S4G/p+JbmEIXLP7n3P97e7Xqnmy+8YZCBSIBo3MU 0E1qKVqrxCRrWskGgpWvnjZUcKI/mG6BEct8mUHFuFe6V6t3molEGG5GQx8Gn8uVEn3rkbqVCBQq GYwany4Q51nBEk2br/5SvDknP7CnpISF6vFEX8BMioWcq9aHLftg+uG8xUBi2PXuq++BTEdymvpZ DNA4wtOei6Q1WCPNc1RU8OQLVF2jkBPe2l2MjfBD0eIewG5PCWoJJQw9ia+K5oAA4UPjKGM5LGyR qaSmH6sSOHjjbzTBVlLktNGcpzT5+msEbtbRvinP1l2C2uW+vzfashSdRf6qCvZnyq2qBF9xc4ET JB2x5IhrZ4WGqPeqS/gGJQnGEmIsaZVZSFeU3WyOuu/x1QhbwA8QMZ4X/NFw3rL21JRb7EoWiziz 7kRgO2PYk+t9PysVFKIZjgcGlvIzUVRklKIABWfmehtInbP2IfMK0WANWaHuHzjrdh3qv5gFY11o VAj8yv6H07AJE2nidEqZHspoLUbvl6OwVklLhgdpzo8INCgqjh16Sx4AQDb5ZNZK98PCeSyHUQ/y xyRtg426KoF+Wh586F9+y+WDNkP1NY6RyexOMhY+WcSC0EOf4L2MfPu4QYPztMguJfix5AugPva/ tM8eVKEr7aAiCK3eEF0jiVauEVSoJgO/XfN3uRPizjzruC2HOqPt785U/bw/ZBm5dFzGY7JZdui2 EXVc+eOjYtse1ZM30wW4V8puWYnGUXMOxxVjgwUpz7k1tiApbpcY1MFPV1CE5E2GenG+PfI1wlFA UcqiBPPvZnM3pGYFe+PL55f2zwT5BVIhiLI+rTClxQiJK858fTLYP504AfTAdoH2KAyPHp2A2jz9 tDSoBhuxbPQrxce+8GKPRZ4VM/2aqLLv2Ci+GITGnwZSXAiE15lxuRHNuiEMfcFJaACydFDQS/E9 vHphc5FPOTYzkczwkrdlO2AogAv5svdvqMk9o7jBKmY/unbkNRBADK6WZzEoqPau1Af9+hWitOkM d4KmWg0ERoSuBz54GGVHCHLa6Z3z66AxWx8l1QPGddphHyExDaDow3TBhwjBFlzR+3qazjecHfxS VSIV9mStOJ1cP/y23egxUkZ4FgjlLof+g3NWiUR1wppsnGSGlNoXQ9HiVFsg2ibr6C+cOh+E26YM ONzt7Sbalc76w++ZXE1SmcJD/lZZTBGwUeg0scbm6Up94iTvLVI0gTC+Me3elZ5fRotvNvInHCPh Ru4B5q+qarVBCFO4QR9uwPfls9VCPY+jqU6D1Y16xVh95rVtP62F8ZIsKwK4gPJjuLqb9PdZXBrw K03zxoT/fh8BY9sghdqq0taYNwBm1RAYFNWuKi+6cD2DNICDZBL4z2p66v1J+9i6d8s5L2Dhb+Em DJPaUy68YrGvjzxlP7OBUm4MdPywwNPyjQWapxTnM4Td84UphG0qSf29+0NwPv3px1eTtqQoLu8c MWct9DEHoQUFtdNR4SOUR9FaUrovKxED4WmAv6+kg5L1qoh+37DH4xrLdH3+M+aoHTXSH0cf6rWA 739ZDaRCONB/YB5vsMumMfMxbP2jRkIsE2WXbA8br/+kt7+1CzOMeH9qDPP/bBgSSvul/QwwB46i VDm4307NqoPvcaX2GHenGRvVtsf249Sl5zlS915WFlW1oeW+B4sO2pm5I0GZWjbfV3MrNy6cllVZ G5WntPTSpa2X0Rl+lULoAxD4ioEpiAFaATSrgetcJ6S9xswcDsxr71ilXaP1YhNFmOS1SMqDKJkx 9tMIkgHNJN64lnM6qHRUY0R+vAy8InMDVnhhK8KLdaYohzPpGV0yc7z6SBsq3i4RUtYAx541cvic P48wobQmpk+l2P5bE1y1nnCAEgSaGV8fUHDxDC9yZMB4KWAMxH+5InNecjIW732oECGQdFtij1dN XpJuAOTqUIC3vTYqoylOifOvJRA7OjCXVZ0yORGcsRKuLYkpuWSena1lEnj1ab9uuekkc0aAEzSt kPx1GQQBJ1P/zQJWoSDe+vzA/zwcBdSzUz2VvbeNc8PUdtb68JqC51/RcTGIY3iqORBh0NBHKT4W cmLzaThOPiDusx9+k1OTxgYgtVLDdl4Aq8+L2nFUiN9TwiV6dnGbkt1auK6+c3vAFXmfLC8UoExa v4p1q1JA0tG7WKm+CJLxZlf6tyCw73oqUQjgBWPh0Z1pAPY6/583GoFpN6K0IeVkLTC5yTkoBbdA ZJlqnAZ12f9U2iCGps0MsHN9wmXs/QEFJ1BMEup4IggYDg1MoQ/+yLAxa+i+2X9lkXsNWL7irY7F 2jOnBF0I60jysDNQZ4hgssGf/8neGW8W6bu+HOEC42RvhzC2/MAObDDm898Oc1FoJVFgfXbZ86yI g1/Gtik2jAor9kYetFCNJ7tJP63jDFaqh1xiCe5yg8a07w/3yTEP+Xa5kFEewSXETH8mFuE1lUa8 awZzXKXjF05UdTicFi5rNvdiotSywWmp70QPulbiAvQMsjBP2n+LiNy1qTi00qHQBfDCCq5sxGSL 1c3IyZBFXWBU/D6oKPPJkBeuT6o3leqYasVZBKGKEXMWOsI7ky+5pNZGhLV65dd68csquES8D3z9 MNrwO9qvy/FiwoT4RxbiCSh9qVzuXMFAzgKPjfxx0mhowi9p/KODPNpyjJCCKF9bCOG/K06Kh6aS XOpC0dTtBMha+hZnpTIS+a6OnWQS6cqig3Basi8s/0/94PvBb7VURYokfw6ws3ITNfKmjiNBem3T jhY8zDVo3jO3H/H4/tj8jT37E3BQswQnT/AOAKxR0/MdEwhfh0HqvK4DO6D4u+yTTyjKW9wSvy25 aHNXZUP+oMZuatZVHtDVcy+pcIspCj51SUZZgP2VHjmx8OQ4l8eaBNXSBJ/9jRd8mbhEvdYoH72E UOHJha4yh5E/aWvMQdifpH+JLZHVunqvLaODZeZIxR/ZhGC8vH3C3J6AP3IryrEGrl8InLdlTTpg zwmgM7PkXfluPJcLuxqiSI2HZ9BJ+k//GIs57bijwoP6INPaNeLV8awPGXP+vZbc8nqqzHyDQ6a3 WilWk3SC2VyqW3VWmGvvnBnF5ksZWmrN3SXmod6WWhD86fC+08iWNVn3fQk8wQiqnDA9PhUzZ+9+ 9Q0OuC7N3/ihD/SoQ4hzlVHgH6wXb9uGA3WR4KFrONq5yDU/Ly8UandsPR08QGbJACxoPIicrp9L xRKyDMz1y4yCUXJD4RCMig4nNDbsEvpTTLioU1doMJNmA5mZgZcXYU2hvIXLSFs02j8GHeMhxc66 PMo4WrEM6cNs/up5TgalHWABITjRKU50N4MRV+nTosWHAA8NBBR5YLkKTFZPwP9/flsMfRTttcoX 0R1ewl2EFGrJEqLprDeZbYG9zfNmgWZ/LuRG3GMISpmqBXTQzfzZ1oNvuYQx5dktWuZDZuHd7WcB 70o0K9va8bNGM4bDb/uWxJ+wBtytR1JKsBjCRMOp5WE/pHNGLggvppXFfNMm8rsJDx1/8yCuJO5F 5mzX/RKfo5muFZsMLd4CyciU9PQsn4h2dWIMFjEUS3/QU+TbNn/I8+pH9T6sLkyiwU0taa93vsAi XWxKFjidpNt52mJpeSI7oxIog7ozu0KErpaf9Oc1/aKemTETdawPorGprudUINxllkoIObYIPdMQ EWeAXa/lRkaDes+ZNuT/M7s6qhEz1Q7Cr8e08coqNyH049ZI2ynAWDRsH+mQ3Grt4dckEs2/qJ+s 84fTHIScw2Rjhtvfq4UFYR2Cw82mMUe6Ogzz9el6DvS1LFyfMX69mxXm8eKtY8+gR28h5jbWnCzR TjMRu7mKdjSvcgxJsfz8OWINzurUawpISvDfp+ZwTgpEA3SRhM6iVM9PSTbptuRhiHvYrL+POif5 ehui2ATnqmxSv9oHNQMS9bNrRBn2N9PjQklV9IYaBB1IaNo03tnNhFi264ezpfW+QWlY6kd+fx3P LmmPECEnmUc0j9ESS2+/mJkwoo/7Huqbi9V5ILH/xDSPbxZFfT030N0n5roQwlAcktZ8oWTydCWl mCU67OoVeQ6+QPpm3K5ui6Cwe2aSf+W66ds7unJhWpUL3+A8AJ0W2zSD3S25sK/92HttfBGwm4+D brlDje2WaCRPnW/y80BeS7OFUuaeEhzQWVH4Pa7WbVCGvqFHnMz+1O/9v/ZTTuz2womhDM1kEE44 3pK2JOQr5OKpAhepZy2maG0MEcM0mJq/rChXGdJXLbegZ93bIYr5/4IZwNj4aVV8vbWraFiFYDok Kc197Xg36DxwHrQfZWG24V4CYs05qR0v56bNixir435/+dBMx3ezpUGjJqiPWDr/+yq0z26AbScp JCiErM/xCafnb6EozSnlXZydS6eMfj19HtAStczr+1cturHxFiquGe6qXOB1F8NwGLuqJ4ipeohG i+zq5bfRF2pkYH2TbUy4BevvYYM/+e3d5aKQi/hpIkUvu4pG1S9vTcaAe8X5GFknnWNVf98gr6ir P76UquVC8rkesEi52XQ4DvltvVs7W/6o9/VCaw9agWV1ncV9iNGPyjhKpkVikPGJEB8evwOCCeRl d7x4llxi8QWpEsUS9z0/Qli5KRbH2OR0FZQgUHSkK/OrNCADzyB33FGlswqlTjG8nySChhTl/ibv yWlIvmcm7+gis/dklUA2iujwy47+5jKd5ZSmFk+uE1wOYoneHkIiULZAYoF5ZFMoucQiQulqQYwO T/Bhc2lx6WHcQ2i+swWPEKRuk/Loh/IzISWVl8NfOV/Kb4o6fNo7nF3gkccGF9WmK0GLHynj3zHy NHMjrgJqx0v08s36/8qrDyMhVnFoyq5vVUkW2ROiq5JppiSvXIGl1f2loaZnMpxXRhVx6YDWoMZp LYlf1wET2oBDVRLZ1BthG50T6sxNjHUJsdQZV4eXQT8zRb9Z+8WWvkBy9cZiU3wmSfO4stKpOOtK 8x7Ohur4e7Kpxo8tNCIrLhh49khFkoRWdnB9eHVoSaQE2TMPln5Zsl89L7Jo3Hr1NCaOBkez0jMw As4Ow3X1/j/NELHv2VX9BmQ5kUYT6uG3ty0dmYKDKXMFkJFWMIIIYs3z6Fl8njSgvW8UshAMXMgd szJ+jhiDGLtfEuH0e95vpsHWzpKU4AJIuzw1gjGjLyoIJOC7S7q1I2F+/XU1sBEOxSTJ4ze+leiq f9SuDeeVP5y2nUY0Wu7+GqOO6iPz8/DSJZ7VGeR8YPUicdV5BdWMLhP8jD4BZGjpVuIbdmcfQl6a 8MpvdBlYYQhViXAzb7LQkTyx1vq5XMyI5eNx+hGMeVlnQE147w6B6H834WxFoLvIX/hfwDeuhpBJ JyyI+jG5sux/O24U4oPRen9tdETDtJ4EzkLWwtCnOUjZjOZNmBuJWCG17uVLnx8N6vnEIdkQgNRb PSMfD+WtW50tJ0ly11OzWkC2SEMfodmYwohcSi7BurV3cFpDQO9pHk6ZmxzpocQVgAvq2O+tpQ== `protect end_protected
gpl-2.0
2a1930a5b85aecdf3e65192d765d81c6
0.941653
1.841357
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/mux_bus2.vhd
3
10,757
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JJbTY/FLcWvFG4qgAoNcpDhRwWPWVIcrdtaenypUXGLd3oTJ/JQb2qOK7MhEJn9BIXYTqB7VuZqx e6DtbJOKOA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pkuc65r8e4R3tDFvu6DzbbJcV9tkzKLyPKKlNc65L0768LzwNnpo2u6urWESUnoi9BL1+672QFhe 09HpyRE2HkjzJd1z1kaLv9hyhnpCA9GwqIBhjYdIURpu0ubdQR1UBOHtZ3qQJhtopEW8hfZl7dvJ Y8ZIhtD89bkLtifYcuo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Vbv7nZIA7BpS+vnUBhHjIBriv57jxA12oW/i+XeuxCefIWgUPjKwfT/jgTuk9x4kTDnFYG9VQgJA VtZoz66/xCsdqRQDoQ10qRAoO8/bmYjo5EFj8HLZCaMHwnNycGiRqLzuqEpP8hc9/7GtNoYDio5m nM3lZ9W+cruj/J1hSpa+RJrLzeP1aeCTCLwmO89oKq/O1BYM3VLdeOalavdHsU0pUGcgu8HzWLCR SdQ9pWkfAsp7Xnb3DEfWbn7cbKq4vrloHtXtRL3H02ehrsta1zMWUmHUfZWHR/zYfH1511de3x2t jWYmYjqwAzRhX1yazPjaksvtLLF8y0HVNSvv/g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Gx+MOr4EV4k2yU99PxwAq2OTo44OHCHTACh+KbLxozta18EIEYOm2aizcy8q9vNvTL12Dt3xoIow fSgPeMSp5cpLLLfL4wj50qgEh96d0vuP1gicF7owPtTTDzmmaoQOyIUrRcu5H83SV1PGRzx91OCM ebTdB4aNsgvW+Ha+ijM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IV8iYcOvJgxHLoDBVMEKBuLzvur6e0kUMX78pvdR3suIc2NXa6qopmoQxw6EhQbDzn3Lgr28RHS6 F/4sOaM/udVMaESTiiOo53fb3tcfucg98na/aTs2wJoWzFwFCoosNKzKFDj9ZOBKeNEgjO7cH+bc LpDBEu93gBfteu7+ib7BYwxshNw/zEolst1iEv30DWKOWjb1H3GpyWziNH46Nx9GuNunynQSnnn6 uoCJkSbXvPCb7SInOjxdbTOZq9cv/tUV7UxkNwOaGFWd3K0bY02rIBsR/FjAO5OWU43QRyYq1MTe A68N51229WUZVQiKp+fbj67HDpUnk4geJwAKzA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6224) `protect data_block oiwKMgI4JUZws9tNOvrRyukMIt7a4KLtDF3AjGAKRBdCG8QZ7+wbINzG3t2VzRz3qBSBX3Zi8H7e HNodVfqPx/Dbt9+ri3bBCCG0uw2CSRChQRrl92MULyOnl4l7oArJsW+loEfgIK6Uvxyx/4kJiniP nwFMgJPaWEZhVWDIstPOEdSACIOpWAHqh/B+/G6epDjVAWUpDvfuJXF0a4lqSDSAd8Tz3c3RpfJf LQQtZo5tnAEcPzzg3rV5qtYcIgCj1xe2Cs3ipNRubJUv84B+nIXVon6vyKRqnA5KWvjBqaYZi3cB lso5Z/cljAuiel8a8CEUaS1a5hEFQdKvb9PwJILDuDsEvolDr+SGe2hsy5xkD5XKZQao0KtMhc0e C/tCWpjUmxV2oso7Tp+MWOFFsW9Bpgguj+NJTZ5H9gax5LAKJpBNWu3L06XSXqv8TGSzyYOiYq6y LBoeIM+lKTvuz1iZscUIqIeMuZoAKe5lja3iKv97eDq0cs27CJWbKGjMXmkmLW6hvVw37aU+J47z WiuXkiTqsSBHiS7AeeaBntsxjbA3DmwOSnoYAcJQ/6rOdtBoTNXyIHmTVS5ktg2q47bVS9qz1+ei JZ+EiGs5ruq6rjyoMBMd8RAcVUmrZP3qZqP19jeKMNO8FtfEC3NInIwDkCxxF0cwx+dlQqrDC4KE 15b3kt2pO25rAIvbnC7wC+1gN8fjbmS9ClpmkymB9AV9qcrsiOEZtzs9RrJ4AmTv1Nri0VNjt8bu T9iL2nkuXoeTiLcIM779Woq3lvhnR5wlT7JmlbuodWxw+LGHemM0SsNg5QZBBUKCeq+1AWLJS5Kn 27QQ0JiiwiorRcHTebIciFqgF4o2WRC8IqWn3LVE1CDQ0ZsBbmBgLY+moKzmZYZrIo2Q/+uwwlVD 8NsaCRoANFjYL00nE/YsGD5Cv2HYOG/xpevPoQVVDmvUwqZ/LnW3oPqcvbUhouwST8jnRLlhcJQS AP0L1ZTu9XH7T4OoVfVUSP3rZi3rU/aq0ibky7y9gtDVzonFUXW0ZCMWo6xgxGa26db0YT3iYsri vQ3MiLc7or2W6Jduf3dJZMx4OEvHf2kqKkN7c5wDQ7OsMllI3pJ6QTrx+w/n4V4uIUQk3KnjtnZi 1wwzyv+RA0ffuBJ+kpE40wSsZ6khPaRuxRSn2fwLQtYCEb91/JJF7lvGPT1k9v2z+du02ZljgYXq Uhcw9UiD5QJiCGqGprZfh4Y/XX4c2sbuX+NqQL575uztdB++zXgXYB+ep72GXSALzQcki2Wa8dzf 7IJRcVFJlFd/ulariBr52YLFNO+gvTemuHE9gfNBWm2VH4x0wFbzClKRVWgBUcP40ZFDIDH2Sm2T Wf6cYrGRr3iDWyd8clz4TtcCzMFgD6UsbN6gT6eZP3FrbKgSfq/Xzoyoa7BQEcA8yHvlifJN0In7 pzu2SQL0IKkDrec13uaOv9D8d4L35z3bXw27sBMjfkriC193cITv7CsDMDYgYnVjwEj8LLHI8d6i 6y1vKexvQPupqQyo/NKGiwEsQj9Mj8jidm+bEhAm4ZXcXKwHpesT2igPmu9O/GMtzZZ+PdgYyjyQ 1ADfp15o0qQ7EqJkB+yRaqbmgrK+n/OjpKn3+nGSUFpCtK6ad7GdsewVA2s3pY/ROY8EGcA46azB F3DUnpBXeRaB2/gyTPsV6gj95v/BwhJPvRUQBsuRbZVteV+xXoun+jbyx9DRO5sa5kLXegTgBAuf sUUXrdbqzD/tuJBI8DtC9opEQXcBUjXqjpRA6AcSZN8+2R6TkL9n6vI5iVfvUboDL52sZKa+AuM6 K62bE0TTy+Ik5XldyjYBWZmUn+bA0NrKomcYQGbBUfckbLPGqAvCeTxmI5e34vwNBvMLLrXjjidT YTIcMiC+uP/NYwshflq1tp70nhCtFNLt2fb8I850BW+rVHfrfxTmVdW76Z7hDvmuhQWAbypOpsU1 H17dwNDkGjQeH71lBB9yaWE420NFzXfwQaFIyOZGj2F9QQksoTeEV1aT6/C/c/2wmwsevYk3Rkio 9I0zRZjhsWcGkkNiOLKE7tLYKfqQlddyF6zGyPZ5L4cEwtipMvvoUpgS2NcbIsyv3jX7doE4eQ/e tmYfqR0gpNH6mDCOiNxOi5881eFNC1UkgcqscNr97iwA7B2KRddKojBWUyFGWDlO4k0grzA+9Aal WvlAFuGhMM4+SDv9gc0Vqo6kCzEElgcgYd6wV9BdO4JV+qyaQtiVWidKpy2dAty0dYHEDUHcgHE+ /cIkzw6QJThSh/paGWXKq9fxEq+xQbp06GGmtTxrmt0T5YYRfF3juQERPyQzqUY5WhDivmlUmghL 7VI0ShjTNx5x5tcgQo1oSwp6qSfgOWHQPAxTMYjRvH32xM+hzkogfQvMxOsRWQjONyiQJDvEV5CW IzgzAQwPN9K78gfJr6CtCh4ZQFk3PSO+f+cPVLv6NrAItrG8kIc6cADsgCnzJofwznmIjR/rFulp kWuJD39rZQF/or1LiyIJtRjkxDYMn0fiF7LZfRR8qBgodDrJLOvTGzlYH+hIVWwOozP5YtDiwHa+ +zKVbjsv9uy1mhMk+WII0WDWPlCeuG1i90qg0CTDlgwyEOQ/Z0FUmiv6fdgSODXjwv3OhyI7i0WO ZYS6thEI70q5AMjLHspaq+EhUS3oB1j7vZ0m0H8dQskx4kvuRzo1ziMeYOjsCJiZYXHw9kI2Ykyh ZKru9dN8bx8Vkxt7k7aDKJBuOqLXCJWZlRt52vcY3cj/3S15krnCXkPouoiLN+dBQNouEpO/PACJ NIx4mzCyKVKxE/9/B2i0nIbbQzveNbRgPr/6JUrPXf5eoGfpVVvARQd0vEDzKxX+n39jzr6OQ5ec Z3aH7pM+LVbF9qoxZJJwdK3BaHHrm3KKnDkpA2XAzEDz1qhO/L9DmFVjFKOQptpdrJRhB/YEcvAI 4SIcyZzr/oV2uSCPOyVMmPJZ0rNNOOA9W+SVzvIENzdwwPMT4fPIl7H/2Be1fRQc5LWrwwewRG5m FVFIWo30WSS7p0wG6rlja9Vq1Nq5dPMdlT/QN0rm915wvisiutkLRc2nbAHLEGPIRUMnij6h3JaX G15W5YVQeK8Lcm6//+3W9qlHrS7Q4/rOsrgw9rXowGGB+xV95Gan2TdE9Pgocktz0kqh8OnD79mP aQZb8Um8sQWiRPdFYu7yETNQX6bbcIrGnTeJlQGeP+3U9x0Q5JlrPdzIk9hShRLMwCkHgYEf8tG/ 8aWnIhKhHg48GGfzd6bUKQEsR/dadIAGnPc96N2gVyhqQ5/mB9xXbS/E/iHZzUrT65hoQ1fy4a1U 0RgBzbTTs9ViVWnTOgGZg/jzL+o/rLmMRrZzEg1w8XqvBzTNTt0ZC14H+Fk3hjyOGChappZYaEP6 c+4+9lQgx/4dgKekYDfkSBYH4InDUNExhdtOsRzto935MXoEgN+LzFjfQLk+8tQrNbW6OjrwZ/7R 7Dk2eYeU11jV1q5qPAD8gDkvzcjQUs3h7h9oLLrXWnQwDN8iElOviATzU1FLQqyXa+PJCTOrYXJG nX+9mqKhYIOc/kSNUDnSR+0u+bNWUAPqOTdb4DjUh9lutrnqmrJEcG1ezyUlmkM11rSAaM4/3e32 MN5F1JlxSNk2fsRfaN23qHAihdD9OEAO0D/LRjMvJI7U9Wop/0BpPlwxeF9llT5Zb4jdtH1DL7Zt Yj4+kCAaXrMWObBuPyLyuxFGFulNwWIP+31BRjFE5FzTK+9ijDAU4myXGaTza6tFVHD/6EGPE32M 379H5c8LliRRdfWUCmyWEVvp+OgbV8t3R9UnHDiYf8WBqwmiqZdoiVBpdKX1JpwUYOPzPjdEmZkY y08QlfPcctPjiQba8vfRO+vN45xeGgY2uOLn5o+IVEqAbD62Ug+KZBzcOSN0gW2Qwt53vMuORw8H fw3T1859JRvU2Iw1ivWYZFLz/Qbu/QrAFfCSKs7QfVaB8FqQa53NXBjrOHwkZ3E55bYFshCuvBR+ d046DTblGOcB8tqE949J6EIf4Z0K3sDWx+JhE7FBFv0S438OZEJZ+b06PL2/fh7z7JVieB99UxYh oLdp7VcsqmQ/QdxfJWXxOvr6LZ3MozRvXDYvNiMeRvFof779JbM5ORVTseCPwrjzYNVJoT3d/NIH JpaqQMTRbzYXBp7M53Ho4q3kMjdoHVaoqZfCAuRhn7cvfZVcQcv+Amqd2uPX87CH/jdZ+CGLhGNc J6lGweBrbyqC8WhQEhOqy62GJVczg63n+lxMq/Y6WmbsPGS4+atceZpfwa81ZqcwMlFiQBGKSIqi L31zaTP6Y/c2tkSvsKTD2JQXQ0X5Ih9gIpr+QsX1e0tzbILwFS9x47lRnNAbpt2YezqUaKx2jaLS Q66DZJr3a/6SIKLowN7/neQRaTj5Pf9uiOwKE5i3yTcwZSHo2QrQA0lq/HN6PboBt0IY5iQUIXf3 5h3HAwJkgbKJ+S6rWnFJHp0WwXpicTMKVKT6m1waxoyr3fBrPm9OD0fX2Fh/DUt3ByA8jW/bHwui Ed4cU21bCDZqG287eWyKUChYK2kQsOk/zuRUe3gAHt7tbHSAiGrZpwAdUA4Yeo4NueyZUo2TlmVP jIBPWWlsFKocycZ+VAUvNGJt4+CdRSFJ9MaF2sokSCMTjbWmeXK7XHW2x75TpvF3Aq+hHnHkUZly rsSDEHclpxynT9ycOTO24WVDNn5X4U/FWS9nz2ZSuR2r7IWylpXzV6uoBVjaCs4Dcz7f6gYIYyCG f2s2dDyHtcl+5QrdkmPpemaLhKgQ9IkAofSW7jrj0bWuEweHT4iv66amLGz97W5GcZsorqYDy4Sw 9aAR1iRoolBfcA2jE22I/P6emCFHteA0eXPC10w5SysX6ZSAIuOXfJAZR8PMs0qkN5I3HZsjYZ6e UUaHFN/8NHZxx5vC3DLCfnYFmOzp9E9HviGrpUrKsBomXHnIlp0q801N9w77IZHq1qMjWwC/JJvU e0NhkQcriSFW07Ab2fWk4DV0v0EF+1kfRQGUuAY93LC8ZtsTC9NUYDgx8uVDj0+gGE5xeFXzKj2A 8+BtDx+6d0I56Npf6+F6hTA5U7+aCqaWXNFlMrHJ3rn0zKu+YsL8vNt4osY8QS1NvIe5snnFUr1Z Jr4whcM1Zd4jnDFqppek3m6QFEGygIZX+hoeQ9X0N/rbUzfzlf2xNp/H9MIeK+yWhWz9v5lti4UB rWnB0X8LX7YZlLNyVPS9YDAVs7iuPHO4F9TbUX5djjclepCluoLWO1fuVKGk4frQLe2JZOy9i9/C BUroWtxv8MA65BStZp3AVIV0W/e29sEV5DFcdpo0oN+QiK6Gj7TX0tbkvpaHed+F8/AurkNJXtj6 R21qukJtZ4nJZVL6/7kbLK7LKrwSCf0KuVHUwCFEBIB51jKm/oOUcvP2gHYyxkhCup0AeDmF1ht/ seDjEO3xFBmMLvpTnNBNsIF+miLAmd2EXByk05bN47hIazzOB0sDds1EHfNGVY8rUA6aywt5agW7 /obBe+thpjAArIc/eu88WDA15Qe38HFIzuubmE/fxIpB4fjvRDJDVRPyLdOYXG8r7IdEaQA8/rhs bvUI9jwIH2Anft5NBj11A0ScnPwVLepLj0n06mHt+sM62qtjiR46QJc3beJjUnQsjmAYI2O1LWYx Vv6WXX8LrkECx321pbWJ48StwFQ3TtC8Hu5uoEqilcg3k6Gdd4+rc8V5HjfdA+2teFgxJSw3+z8H JBZPeIByQHOJ/x5uhrfkeOi/owC18gQThWT+aljLBg/HmkwZa5GnAFEXDGq6VWwlpe6HWCu8/HVb GXO60w5NdDmBm27QelOk4pBRRgGLZsgUQBJq62RSM8nw8qhJ+cWWRab2+tSp03h5Rp3/hYFVbaBP awTL7wSHR9gWfTt/PiWI61C7Q87xeSbmLx/FsKR5WNXoa0xpgDYGWof/LcSLqm5J2NuhULc+AXOe osMlxGQ7KBR8BMu/BME42yhInU0T5WcgyXGNvzX+QdHYOlFdDQbjftVNJnOFbqO3MOHer41C9oh/ mlIlFoWVw+K5m8vIE1zhudqqi5rNjX0DPyYFd0jGfpiuZGOQ/O497HKjk24f6vLuvPTZzyY3Kb5J vk5l/Pn8B50DNNumwVj4JQQ2vuQXwAHmJGpEGNxhHdZae4DClnFgK3RQ0ScjlBDU5Djhetg6HC4+ TrsGiB06Cd5GqQsYbIJh7CSKVqAtR/A641FNNWWL2/MnJubDv3ufBQPhaA6Dp4WBWU0wThuRecNq 1BOuDKLemZIpy8RvXf5GQQO9wOIE0kkQLjwg0xqtKCuwcjFHCcK5H0KYOUpe+wJQtTqh37nvInKm jO0EfVCjA51MnI+AecqnTRp83GEaTDejO2OAPXUgIROhDGyHjP+Tbx91sDbDM114oaShRkMc3jSN sc6OYw99xfV4ojUedeo8FLp7DzaVaMg5mKS9rOkNz1FzS6d2zcjmgzLiDHMjHSr7DPfBqRYGZszn rd1U439Ivg7+CjCjbIms58VLUK4cC3lxO9VMVe+dngx/zREIGUAqS40/qygTC84pPB/pIvQsQ+jl 3HqY1QFGnE8B9dSWpe6OgBBsgb+jZIaTNJVEPgveKtZxuUPWl4aem/zIySkKAOT9/sqDwbWa8oel 3pssHjFYCJgtuPsdv67v9CbTJNFU4OywC8TnNyx3SEHT1yRNMX5RkyDxoj46hEH4tflDbR1+0Qjx ofwgIbv/2EbgUHgAoCB0cTNH4P6yxAvU53MzzAaTJBx0PSJFZYSTfdoE26z+lWXy7lQ3gRmDPcna eJziXoPBnTRpPamWsU9ttGLIQAsJ/3j/+MmnL/tyrRbhzI5VccPKTgPvFMPZj/A2+xcfx2sODZyX c1uL4n0QOp86pqY0s+loQiLuIeu9YU8yOtACIqcAfMshs6O60v3bKfzKvFHHGWMe4C3RvHZNMKk4 ijq0qMvOXWqO4dwvZLWV2DuQtn7OmQuMSdAeYjubXnO9pOistU4WyO3Lz7zZlW4A4utkUTUMoCwu wmxhc2DW3/GuU+ctnhzKvy/3skFJhxF9XACcXbXffyT4peA36awvYX1hA0CJlLEQC5ytWF21MWLm iLR3r2V2w5o8uPxgyyA/NFyabqriqPDptFAvt+bjX3/wKamASk9KaEB0oTZIAWlKivBsOTCQhmqh vEx46z6b87c1k99OyeZ1UHc//0L1xjPYVggJqVgaSDhaKLyS0tInkLjdqsiubt+o1mqsUXdRhusa Q7oYFRVEGmt6HugIwYuS3+jX66mEwX5CZom9ZCYVfzon2mXaaNSlK1AqP7mA3kaZhnxQk0F+P+Fk CRJiYgKT/g1ig3YnBhkKYW454tTKzYrzzmfN3hUTyljRL45YfbMwHxJZ7ZtlfSDSzzashDN3LoT2 8nWDy98GX3LhBsvA2WUo0kD4oplB1zxvwx7J3hF+6GtE6CPsCq19lroE4FqCy2zEk/MVDTDp1Yca 08B8l6JkNpis/cXYINLPeCVNCGOT71veIaNdebTZ9En6syBSh+J2olLPLvSRWEm9+a4L0rI0Kd3s 8WIK6oD7WEOag4hMTI36Yz5COL/I5AKCI3Lx7qTw6UYfjcBTlsx/YoXwGbD6gXm121XuLT5OeIBv YaBQgc8WeIvmsGC4sHQr6XdkLaSDOn8HgYLmQQ+2NkM2g1DqvDGJTk1TuSAMGtBGYy5K4FUOUBbO 9HCdaOuL6buzlhrkl0gA1GbGRowCIImfbvOPoZ+OEXGFOjZ3flNJ7Fk4TsrMqwAK/VU7nsMbkUpo 8i4w5YjQcC9n+MHF3PodVvha0PAEOdbR1CR2Kq4BwAFPQr0FC38SZ3DrXqFffh4nRv9VvcNTnutq TM0YKaBN8nbtewJ/x2hdX9se7v8aaJ0S8ZBtGzvetfuJjl5QtaeoVh5811u+aRF9cVMiKpO4oNUL XGkvlGUy4nCEU4XQvf5VXe1W+vQFNNEWRC5QmzGpA7VHpnDvTkZZCtf/uHx5EBL6OB5xWJLjt1VH /pefHlGCn5Z5yPqyQ4yOE7DLC5TpiOAAh3UEhIc506jAeP6WJqknXt1B8x9Alc9BR3hVqOKXHN+C ilhClDWo8i4GS6hJWOH18EL492By6b6diFHWyfgD6X+iykaUaBFlZoyP0A4INCoqQ60JDM0T3LtL mUoCd3Ar2dAZ1DFB889x4YmPLP1g1YyjrkV7wj5065lKasetZ06ta2O1OFc+YTYbfTCT6GdN8QKp H51QOLWVX9mImZ0= `protect end_protected
gpl-2.0
0da5eec17df61a164da2fdd5867a4309
0.926095
1.893838
false
false
false
false
UVVM/uvvm_vvc_framework
bitvis_vip_spi/src/vvc_cmd_pkg.vhd
2
8,079
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_cmd_pkg is --=============================================================================================== -- t_operation -- - Bitvis defined BFM operations --=============================================================================================== type t_operation is ( -- UVVM common NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, -- VVC local MASTER_TRANSMIT_AND_RECEIVE, MASTER_TRANSMIT_AND_CHECK, MASTER_TRANSMIT_ONLY, MASTER_RECEIVE_ONLY, MASTER_CHECK_ONLY, SLAVE_TRANSMIT_AND_RECEIVE, SLAVE_TRANSMIT_AND_CHECK, SLAVE_TRANSMIT_ONLY, SLAVE_RECEIVE_ONLY, SLAVE_CHECK_ONLY); constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; constant C_VVC_CMD_DATA_MAX_LENGTH : natural := 32; constant C_VVC_CMD_MAX_WORDS : natural := 8; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- VVC dedicated fields data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); num_words : natural; word_length : natural; when_to_start_transfer : t_when_to_start_transfer; action_when_transfer_is_done : t_action_when_transfer_is_done; action_between_words : t_action_between_words; -- Common VVC fields (Used by td_vvc_framework_common_methods_pkg procedures, and thus mandatory) operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : natural; command_type : t_immediate_or_queued; -- QUEUED/IMMEDIATE msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( data => (others => (others => '0')), data_exp => (others => (others => '0')), num_words => 0, word_length => 0, when_to_start_transfer => START_TRANSFER_IMMEDIATE, action_when_transfer_is_done => RELEASE_LINE_AFTER_TRANSFER, action_between_words => HOLD_LINE_BETWEEN_WORDS, -- Common VVC fields operation => NO_OPERATION, proc_call => (others => NUL), msg => (others => NUL), cmd_idx => 0, command_type => NO_COMMAND_TYPE, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, alert_level => failure, delay => 0 ns, quietness => NON_QUIET ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - Used for storing the result of a BFM procedure called by the VVC, -- so that the result can be transported from the VVC to for example a sequencer via -- fetch_result() as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result includes the return value of the procedure in the BFM. -- It can also be defined as a record if multiple values shall be transported from the BFM --=============================================================================================== subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>, natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM) := (others => (others => -1)); end package vvc_cmd_pkg; --================================================================================================= --================================================================================================= package body vvc_cmd_pkg is end package body vvc_cmd_pkg;
mit
79335de8065077cba07f76f8bc215aad
0.449065
5.087531
false
false
false
false
amerryfellow/dlx
dlx.vhd
1
18,005
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.std_logic_misc.all; use work.CONSTANTS.all; use work.ROCACHE_PKG.all; use work.RWCACHE_PKG.all; use work.alu_types.all; use work.cu.all; entity DLX is port ( -- Inputs CLK : in std_logic; -- Clock RST : in std_logic; -- Reset:Active-High IRAM_ADDRESS : out std_logic_vector(Instr_size - 1 downto 0); IRAM_ISSUE : out std_logic; IRAM_READY : in std_logic; IRAM_DATA : in std_logic_vector(2*Data_size-1 downto 0); DRAM_ADDRESS : out std_logic_vector(Instr_size-1 downto 0); DRAM_ISSUE : out std_logic; DRAM_READNOTWRITE : out std_logic; DRAM_READY : in std_logic; DRAM_DATA : inout std_logic_vector(2*Data_size-1 downto 0) ); end DLX; architecture structural of DLX is component CU_UP is port ( -- Inputs CLK : in std_logic; -- Clock RST : in std_logic; -- Reset:Active-High IR : in std_logic_vector(31 downto 0); JMP_PREDICT : in std_logic; -- Jump Prediction ICACHE_STALL: in std_logic; -- The instruction cache is in stall DCACHE_STALL: in std_logic; -- The rwcache is busy ISZERO : in std_logic; -- Needed for condizional jumps JMP_ADDRESS : in std_logic_vector(31 downto 0); NPC_ADDRESS : in std_logic_vector(31 downto 0); PC : out std_logic_vector(31 downto 0); -- Outputs JUMP: out std_logic; LATCHER: out std_logic; MUXIMMEDIATE_CTR: out std_logic; MUXJMPADDRESS_CTR: out std_logic; MUXRD0_CTR: out std_logic; MUXRD_CTR: out std_logic; WRF_ENABLE: out std_logic; WRF_CALL: out std_logic; WRF_RET: out std_logic; WRF_RS1_ENABLE: out std_logic; WRF_RS2_ENABLE: out std_logic; MUXALUOUT_CTR: out std_logic; MUXALU_CTR: out std_logic; ALU_FUNC: out std_logic_vector(4 downto 0); MEMORY_ENABLE: out std_logic; MEMORY_RNOTW: out std_logic; WRF_RD_ENABLE: out std_logic; ID_STALL: out std_logic; EXE_STALL: out std_logic; MEM_STALL: out std_logic; WB_STALL: out std_logic ); end component; component ROCACHE is port ( CLK : in std_logic; RST : in std_logic; -- active high ENABLE : in std_logic; ADDRESS : in std_logic_vector(Instr_size - 1 downto 0); OUT_DATA : out std_logic_vector(Instr_size - 1 downto 0); STALL : out std_logic; RAM_ISSUE : out std_logic; RAM_ADDRESS : out std_logic_vector(Instr_size - 1 downto 0); RAM_DATA : in std_logic_vector(2*Instr_size - 1 downto 0); RAM_READY : in std_logic ); end component; component INCREMENTER is generic ( N: integer := 32 ); port ( A: in std_logic_vector (N-1 downto 0); Y: out std_logic_vector(N-1 downto 0) ); end component; component RCA_GENERIC is generic ( NBIT : integer := 32 ); port ( A : in std_logic_vector(NBIT-1 downto 0); B : in std_logic_vector(NBIT-1 downto 0); Ci : in std_logic; S : out std_logic_vector(NBIT-1 downto 0); Co : out std_logic ); end component; component SGNEXT is generic ( INBITS: integer; OUTBITS: integer ); port( DIN : in std_logic_vector (INBITS-1 downto 0); DOUT : out std_logic_vector (OUTBITS-1 downto 0) ); end component; component LATCH is generic ( N: integer := 1 ); port ( DIN: in std_logic_vector(N-1 downto 0); -- Data in EN: in std_logic; RESET: in std_logic; DOUT: out std_logic_vector(N-1 downto 0) -- Data out ); end component; component REGISTER_FDL is generic ( N: integer := 32 ); port ( DIN: in std_logic_vector(N-1 downto 0); -- Data in ENABLE: in std_logic; -- Enable CLK: in std_logic; -- Clock RESET: in std_logic; -- Reset DOUT: out std_logic_vector(N-1 downto 0) -- Data out ); end component; component MUX is generic ( N: integer := 1 -- Number of bits ); port ( A: in std_logic_vector(N-1 downto 0); B: in std_logic_vector(N-1 downto 0); SEL: in std_logic; Y: out std_logic_vector(N-1 downto 0) ); end component; component MUX4TO1 is generic ( N: integer := NSUMG -- Number of bits ); port ( A: in std_logic_vector(N-1 downto 0); B: in std_logic_vector(N-1 downto 0); C: in std_logic_vector(N-1 downto 0); D: in std_logic_vector(N-1 downto 0); SEL: in std_logic_vector(1 downto 0); Y: out std_logic_vector(N-1 downto 0) ); end component; component WRF is generic ( NBIT: integer; numWindows: integer; numRegsPerWin: integer; logNumWindows: integer; logNumRegsPerWin: integer ); port ( CLK: IN std_logic; RESET: IN std_logic; ENABLE: IN std_logic; CALL: IN std_logic; -- Call -> Next context RET: IN std_logic; -- Return -> Previous context RD1: IN std_logic; -- Read 1 RD2: IN std_logic; -- Read 2 WR: IN std_logic; -- Write ADDR_RD1: IN std_logic_vector(logNumRegsPerWin+1 downto 0); -- Read Address 1 ADDR_RD2: IN std_logic_vector(logNumRegsPerWin+1 downto 0); -- Read Address 2 ADDR_WRC: IN std_logic_vector(logNumRegsPerWin+1 downto 0); -- Write Address ADDR_WR: IN std_logic_vector(logNumWindows+logNumRegsPerWin+1 downto 0); -- Write Address REAL_ADDR_RD1: OUT std_logic_vector(logNumWindows+logNumRegsPerWin+1 downto 0); -- Read Address 1 REAL_ADDR_RD2: OUT std_logic_vector(logNumWindows+logNumRegsPerWin+1 downto 0); -- Read Address 2 REAL_ADDR_WR: OUT std_logic_vector(logNumWindows+logNumRegsPerWin+1 downto 0); -- Write Address OUT1: OUT std_logic_vector(NBIT-1 downto 0); -- Read data 1 OUT2: OUT std_logic_vector(NBIT-1 downto 0); -- Read data 2 DATAIN: IN std_logic_vector(NBIT-1 downto 0) -- Write data ); end component; component ALU generic ( N : integer := NSUMG ); port ( FUNC: in TYPE_OP; A, B: in std_logic_vector(N-1 downto 0); CLK: in std_logic; RESET: in std_logic; OUTALU: out std_logic_vector(N-1 downto 0) ); end component; component RWCACHE is generic ( regaddrsize : integer ); port ( CLK : in std_logic; RST : in std_logic; -- active high ENABLE_EX : in std_logic; READNOTWRITE_EX : in std_logic; ALU_OUT_REAL : in std_logic_vector(DATA_SIZE - 1 downto 0); RS2_DATA_EX : in std_logic_vector(DATA_SIZE - 1 downto 0); RS2_EX : in std_logic_vector(regaddrsize-1 downto 0); RD_MEM : in std_logic_vector(regaddrsize-1 downto 0); MEM_STALL : in std_logic; LATCHER : in std_logic; MEM_DATA : out std_logic_vector(DATA_SIZE - 1 downto 0); STALL : out std_logic; RAM_ISSUE : out std_logic; RAM_READNOTWRITE : out std_logic; RAM_ADDRESS : out std_logic_vector(DATA_SIZE - 1 downto 0); RAM_DATA : inout std_logic_vector(2*DATA_SIZE - 1 downto 0); RAM_READY : in std_logic ); end component; signal IPC, PC, NPC : std_logic_vector(Instr_size-1 downto 0) := (others => '0'); signal IR, IR_RF, ICACHE_IR : std_logic_vector(Instr_size-1 downto 0) := (others => '0'); signal ICACHE_STALL, ICACHE_STALL_NOT : std_logic := '1'; signal JMP_PREDICT : std_logic; -- Jump Prediction signal DCACHE_STALL : std_logic; -- The WRF is busy signal DCACHE_STALL_NOT : std_logic; -- The WRF is busy signal ICACHE_ENABLE : std_logic; signal MUXRD_CTR : std_logic; signal WRF_ENABLE : std_logic; signal WRF_CALL : std_logic; signal WRF_CALL_NS : std_logic; signal WRF_RET : std_logic; signal WRF_RET_R31 : std_logic; signal WRF_RS1_ENABLE : std_logic; signal WRF_RS2_ENABLE : std_logic; signal WRF_RD_ENABLE : std_logic; signal MUXALU_CTR : std_logic; signal ALU_FUNC : std_logic_vector(4 downto 0); signal MEMORY_ENABLE : std_logic; signal MEMORY_RNOTW : std_logic; signal JUMP : std_logic; signal LATCHER : std_logic; signal ID_STALL : std_logic; signal EXE_STALL : std_logic; signal MEM_STALL : std_logic; signal WB_STALL : std_logic; -- STAGE TWO signal MUXIMMEDIATE_CTR : std_logic; signal MUXJMPADDRESS_CTR : std_logic; signal MUXRD0_CTR : std_logic; signal IMMEDIATE : std_logic_vector(31 downto 0) := (others => '0'); signal IMMEDIATE_IR : std_logic_vector(31 downto 0) := (others => '0'); signal JMP_ADDRESS : std_logic_vector(31 downto 0) := (others => '0'); signal JMP_RELATIVE_ADDRESS : std_logic_vector(31 downto 0) := (others => '0'); signal JMP_REGISTER_ADDRESS : std_logic_vector(31 downto 0) := (others => '0'); signal JMP_CARRYOUT : std_logic; signal RD_TEMP : std_logic_vector(wrfLogNumRegsPerWin+1 downto 0); -- Write Address signal RD : std_logic_vector(wrfLogNumRegsPerWin+1 downto 0); -- Write Address signal RD0 : std_logic_vector(wrfLogNumRegsPerWin+1 downto 0); signal RS1 : std_logic_vector(wrfLogNumRegsPerWin+1 downto 0); -- Read Address 1 signal RS2 : std_logic_vector(wrfLogNumRegsPerWin+1 downto 0); -- Read Address 2 signal RS1_DATA : std_logic_vector(wrfNumBit-1 downto 0); -- Read data 1 signal RS1_DATA_ISZERO : std_logic; signal RS2_DATA : std_logic_vector(wrfNumBit-1 downto 0); -- Read data 2 signal RS1_EX : std_logic_vector(wrfLogNumWindows+wrfLogNumRegsPerWin+1 downto 0); -- Read Address 1 signal RS2_EX : std_logic_vector(wrfLogNumWindows+wrfLogNumRegsPerWin+1 downto 0); -- Read Address 1 signal RS1_DATA_EX : std_logic_vector(wrfNumBit-1 downto 0); signal RS2_DATA_EX : std_logic_vector(wrfNumBit-1 downto 0); signal RD_EX : std_logic_vector(wrfLogNumWindows+wrfLogNumRegsPerWin+1 downto 0); signal IMMEDIATE_EX : std_logic_vector(INSTR_SIZE-1 downto 0); -- STAGE THREE signal MUXALUOUT_CTR : std_logic; signal FWDJ0 : std_logic_vector(WORD_SIZE-1 downto 0); signal FWDJ : std_logic_vector(WORD_SIZE-1 downto 0); signal FWDA0 : std_logic_vector(WORD_SIZE-1 downto 0); signal FWDA1 : std_logic_vector(WORD_SIZE-1 downto 0); signal FWDB0 : std_logic_vector(WORD_SIZE-1 downto 0); signal FWDB1 : std_logic_vector(WORD_SIZE-1 downto 0); signal ALU_IN1 : std_logic_vector(WORD_SIZE-1 downto 0); signal ALU_IN2 : std_logic_vector(WORD_SIZE-1 downto 0); signal ALU_OUT : std_logic_vector(WORD_SIZE-1 downto 0); signal ALU_OUT_REAL : std_logic_vector(DATA_SIZE-1 downto 0); signal RS2_MEM : std_logic_vector(wrfLogNumWindows+wrfLogNumRegsPerWin+1 downto 0); -- Read Address 1 signal RS2_DATA_MEM : std_logic_vector(wrfNumBit-1 downto 0); signal ALU_OUT_MEM : std_logic_vector(WORD_SIZE-1 downto 0); signal RD_MEM : std_logic_vector(wrfLogNumWindows+wrfLogNumRegsPerWin+1 downto 0); signal IMMEDIATE_MEM : std_logic_vector(wrfNumBit-1 downto 0); -- STAGE FOUR signal MEM_ADDRESS : std_logic_vector(WORD_SIZE-1 downto 0); signal RS2_DATA_MEM1 : std_logic_vector(WORD_SIZE-1 downto 0); signal MEM_DATA : std_logic_vector(WORD_SIZE-1 downto 0); signal RD_WB : std_logic_vector(wrfLogNumWindows+wrfLogNumRegsPerWin+1 downto 0); signal MEM_DATA_WB : std_logic_vector(WORD_SIZE-1 downto 0); signal RD_DATA_WB : std_logic_vector(wrfNumBit-1 downto 0); signal REAL_ADDR_RS1 : std_logic_vector(wrfLogNumWindows+wrfLogNumRegsPerWin+1 downto 0); signal REAL_ADDR_RS2 : std_logic_vector(wrfLogNumWindows+wrfLogNumRegsPerWin+1 downto 0); signal REAL_ADDR_WR : std_logic_vector(wrfLogNumWindows+wrfLogNumRegsPerWin+1 downto 0); signal RS1_EQ_RD_EX : std_logic; signal RS1_EQ_RD_MEM : std_logic; signal RS1_EQ_RD_WB : std_logic; signal RS1_EX_EQ_RD_MEM : std_logic; signal RS1_EX_EQ_RD_WB : std_logic; signal RS2_EX_EQ_RD_MEM : std_logic; signal RS2_EX_EQ_RD_WB : std_logic; signal RS2_MEM_EQ_RD_WB : std_logic; begin ICACHE_ENABLE <= not JUMP; ICACHE_STALL_NOT <= not ICACHE_STALL; JMP_PREDICT <= '0'; -- Always predict not taken DCACHE_STALL_NOT <= not DCACHE_STALL; -- Control Unit CONTROL_UNIT : CU_UP port map (CLK, RST, IR, JMP_PREDICT, ICACHE_STALL, DCACHE_STALL, RS1_DATA_ISZERO, JMP_ADDRESS, IPC, PC, JUMP, LATCHER, MUXIMMEDIATE_CTR, MUXJMPADDRESS_CTR, MUXRD0_CTR, MUXRD_CTR, WRF_ENABLE, WRF_CALL, WRF_RET, WRF_RS1_ENABLE, WRF_RS2_ENABLE, MUXALUOUT_CTR, MUXALU_CTR, ALU_FUNC, MEMORY_ENABLE, MEMORY_RNOTW, WRF_RD_ENABLE, ID_STALL, EXE_STALL, MEM_STALL, WB_STALL); ICACHE : ROCACHE port map (CLK, RST, '1', PC, ICACHE_IR, ICACHE_STALL, IRAM_ISSUE, IRAM_ADDRESS, IRAM_DATA, IRAM_READY); MUX_IR : MUX generic map ( 32 ) -- port map( (others => '0'), ICACHE_IR, LATCHER, IR ); port map( (others => '0'), ICACHE_IR, ICACHE_STALL_NOT, IR ); -- __ INCREMENTER NPCEVAL: INCREMENTER generic map (32) port map (PC, IPC); PROPAGATE_NPC: REGISTER_FDL generic map (32) port map(IPC, LATCHER, CLK, RST, NPC); PROPAGATE_PC_IF_RF: REGISTER_FDL generic map (32) port map (IR, LATCHER, CLK, RST, IR_RF); -- -- STAGE TWO -- EXTENDER: SGNEXT generic map (16, 32) port map (IR_RF(15 downto 0), IMMEDIATE_IR); MUX_IMMEDIATE : MUX generic map ( DATA_SIZE ) port map ( IMMEDIATE_IR, NPC, MUXIMMEDIATE_CTR, IMMEDIATE ); JMP_ADDER: RCA_GENERIC generic map (32) port map(NPC, IMMEDIATE_IR, '0', JMP_RELATIVE_ADDRESS, JMP_CARRYOUT); JMP_REGISTER_ADDRESS <= FWDJ; MUX_JMP : MUX generic map ( DATA_SIZE ) port map ( JMP_RELATIVE_ADDRESS, JMP_REGISTER_ADDRESS, MUXJMPADDRESS_CTR, JMP_ADDRESS ); -- WRF RS1 <= IR_RF(25 downto 21); RS2 <= IR_RF(20 downto 16); RD_TEMP <= IR_RF(15 downto 11); WRF_RET_R31 <= WRF_RET and ( not or_reduce( RS1 xor "11111" ) ) and ( not ID_STALL ); WRF_CALL_NS <= WRF_CALL and ( not ID_STALL ); REGISTERFILE: WRF generic map (wrfNumBit, wrfNumWindows, wrfNumRegsPerWin, wrfLogNumWindows, wrfLogNumRegsPerWin) port map (CLK, RST, WRF_ENABLE, WRF_CALL_NS, WRF_RET_R31, WRF_RS1_ENABLE, WRF_RS2_ENABLE, WRF_RD_ENABLE, RS1, RS2, RD, RD_WB, REAL_ADDR_RS1, REAL_ADDR_RS2, REAL_ADDR_WR, RS1_DATA, RS2_DATA, RD_DATA_WB); MUX_RD: MUX generic map (5) port map (RD0, RD_TEMP, MUXRD_CTR, RD); MUX_RD0: MUX generic map (5) port map (RS2, "11111", MUXRD0_CTR, RD0); RS1_EQ_RD_EX <= not or_reduce( REAL_ADDR_RS1 xor RD_EX ); RS1_EQ_RD_MEM <= not or_reduce( REAL_ADDR_RS1 xor RD_MEM ); RS1_EQ_RD_WB <= not or_reduce( REAL_ADDR_RS1 xor RD_WB ); -- JUMPER forward logic MUX_FWDJ1 : MUX generic map ( WORD_SIZE ) port map ( FWDJ0, MEM_DATA, RS1_EQ_RD_MEM, FWDJ ); MUX_FWDJ0 : MUX generic map ( WORD_SIZE ) port map ( RS1_DATA, RD_DATA_WB, RS1_EQ_RD_WB, FWDJ0 ); -- Comparator RS1_DATA_ISZERO <= not or_reduce(FWDJ); -- PIPES PIPEREG_RD: REGISTER_FDL generic map (wrfLogNumWindows+wrfLogNumRegsPerWin+2) port map(REAL_ADDR_WR, LATCHER, CLK, RST, RD_EX); PROPAGATE_RS1_ID_EX: REGISTER_FDL generic map (wrfLogNumWindows+wrfLogNumRegsPerWin+2) port map (REAL_ADDR_RS1, LATCHER, CLK, RST, RS1_EX); PROPAGATE_RS2_ID_EX: REGISTER_FDL generic map (wrfLogNumWindows+wrfLogNumRegsPerWin+2) port map (REAL_ADDR_RS2, LATCHER, CLK, RST, RS2_EX); PIPEREG_RS1_DATA: REGISTER_FDL generic map (32) port map(RS1_DATA, LATCHER, CLK, RST, RS1_DATA_EX); PIPEREG_RS2_DATA: REGISTER_FDL generic map (32) port map(RS2_DATA, LATCHER, CLK, RST, RS2_DATA_EX); PIPEREG_IMMEDIATE: REGISTER_FDL generic map (32) port map(IMMEDIATE, LATCHER, CLK, RST, IMMEDIATE_EX); -- STAGE 3 RS1_EX_EQ_RD_MEM <= ( not or_reduce( RS1_EX xor RD_MEM )) and ( not MEM_STALL ); RS1_EX_EQ_RD_WB <= ( not or_reduce( RS1_EX xor RD_WB ) ) and ( not WB_STALL ); RS2_EX_EQ_RD_MEM <= ( not or_reduce( RS2_EX xor RD_MEM )) and ( not MEM_STALL ); RS2_EX_EQ_RD_WB <= ( not or_reduce( RS2_EX xor RD_WB ) ) and ( not WB_STALL ); -- ALU forward logic MUX_FWDA1 : MUX generic map ( WORD_SIZE ) port map ( FWDA0, MEM_DATA, RS1_EX_EQ_RD_MEM, FWDA1 ); MUX_FWDA0 : MUX generic map ( WORD_SIZE ) port map ( RS1_DATA_EX, MEM_DATA_WB, RS1_EX_EQ_RD_WB, FWDA0 ); MUX_FWDB1 : MUX generic map ( WORD_SIZE ) port map ( FWDB0, MEM_DATA, RS2_EX_EQ_RD_MEM, FWDB1 ); MUX_FWDB0 : MUX generic map ( WORD_SIZE ) port map ( RS2_DATA_EX, MEM_DATA_WB, RS2_EX_EQ_RD_WB, FWDB0 ); -- ALU input muxes MUX_ALU2 : MUX generic map ( WORD_SIZE ) port map ( IMMEDIATE_EX, FWDB1, MUXALU_CTR, ALU_IN2 ); ALU_IN1 <= FWDA1; -- ALU EXECUTER : ALU generic map ( WORD_SIZE ) port map ( ALU_FUNC, ALU_IN1, ALU_IN2, CLK, RST, ALU_OUT ); MUX_ALU_OUT : MUX generic map ( DATA_SIZE ) port map ( ALU_OUT, IMMEDIATE_EX, MUXALUOUT_CTR, ALU_OUT_REAL ); -- PIPEREG_ALU_OUT: REGISTER_FDL -- generic map (32) -- port map(ALU_OUT_REAL, LATCHER, CLK, RST, ALU_OUT_MEM); PIPEREG_IMMEDIATE_EX: REGISTER_FDL generic map (32) port map(IMMEDIATE_EX, LATCHER, CLK, RST, IMMEDIATE_MEM); PIPEREG_RD_EX: REGISTER_FDL generic map (wrfLogNumWindows+wrfLogNumRegsPerWin+2) port map(RD_EX, LATCHER, CLK, RST, RD_MEM); PIPEREG_RS2_DATA_EX: REGISTER_FDL generic map (32) port map(RS2_DATA_EX, LATCHER, CLK, RST, RS2_DATA_MEM); PIPEREG_RS2_EX: REGISTER_FDL generic map (wrfLogNumWindows+wrfLogNumRegsPerWin+2) port map(RS2_EX, LATCHER, CLK, RST, RS2_MEM); -- STAGE FOUR DCACHE : RWCACHE generic map ( wrfLogNumWindows+wrfLogNumRegsPerWin+2 ) port map ( CLK, RST, MEMORY_ENABLE, MEMORY_RNOTW, ALU_OUT_REAL, RS2_DATA_EX, RS2_EX, RD_MEM, MEM_STALL, LATCHER, MEM_DATA, DCACHE_STALL, DRAM_ISSUE, DRAM_READNOTWRITE, DRAM_ADDRESS, DRAM_DATA, DRAM_READY ); PIPEREG_RD_MEM: REGISTER_FDL generic map (wrfLogNumWindows+wrfLogNumRegsPerWin+2) port map(RD_MEM, '1', CLK, RST, RD_WB); PIPEREG_MEM_DATA: REGISTER_FDL generic map (32) port map(MEM_DATA, '1', CLK, RST, MEM_DATA_WB); -- STAGE FIVE RD_DATA_WB <= MEM_DATA_WB; -- Nothing -- GO! end structural;
gpl-3.0
3126e1441cd0ee342edaaede6b96b731
0.653207
2.585068
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/ipcore_dir/Instr_Mem1/simulation/Instr_Mem1_synth.vhd
2
7,895
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: Instr_Mem1_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY Instr_Mem1_synth IS PORT( CLK_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE Instr_Mem1_synth_ARCH OF Instr_Mem1_synth IS COMPONENT Instr_Mem1_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 16, READ_WIDTH => 16 ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => CHECKER_EN_R, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RSTA='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLK => clk_in_i, RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: Instr_Mem1_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, DOUTA => DOUTA, CLKA => CLKA ); END ARCHITECTURE;
gpl-3.0
be57b0eaaaba40be54e7517f0a873ee5
0.565548
3.766698
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_recip/flt_recip_postprocess.vhd
3
18,648
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eo7ztsvbgSYd1KGoylhOwWqcRC93ADsrF+RmXvAplO96rIXnjhzPYctzz+XAywaHSS1JGbblhi+C CG/Xmr+Viw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VlDPvUjcTGR2bEjy3deiDqszXoduUt60+OFMFcjqa1auPc/2MBM/VWcMZU5YwD+sO6r7Cd2u8kzB 4QzmPkP7uO2zWeFpoq3C/41rplxKCcFvwXJzvHREIG1OnzYb/dPblCiS/+mn2VjAfB8xizQ6Nln/ 0idL8DQKgMDcgl63gN8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Z5qUsXx9HJ3+prwOOiNYDTXnWkUAAahQNFkO/c02x1krdZ8WgOiYfdeKqnKAkYdW38T4bPzkQYXm F9HRN7bup10M5O1nlm6pdyO+0sV6xeX4w+FYkNPaAEVwqHpj07WIEw/ue/EVXX5K2OIBRGh7/H4Q P/1YmzkwpjoeaA309HPiLuhPj85iLqCcbqI65h/qN7AP0Cw8sPurVTI8asvfzZDURNFtxWWTqSWs YDduGBX794GzpN6yKoOLlO9m+TqdchGrFtksq+MOHi3FXTk2bB8DuQ1tOwwGC7UV86QeCPa73FUc osm7ZSxOiAN+kOHPLWKEtbJpRWeajTSUJalVHg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JcY1431frN/YbCQwZBS/ob/VuFxPcfZ9uCReqISX0nCqqpT4EXoXqqwJIy7mLfBpRuS8cBqIBklC tHbwET69cUCSrkNm3K2/VMAOETKn/w+gzpzjBa3bSHor6jb9uEop3HqOLwiHUEycKwPcaK4IwTM1 mhUqYCQiEK4l0ddH7X4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block U3FcEEjLh+ZVARgnFFqe0XZvyPgHip1ElX0vFmo09sq5rJT8+Qdr6xmVCCdsO7nwNQJfKuguSXwX /iIz3TqU6Tn6yp5h5s9bP804Hk7RRSIidG4CeLzAMXaQ1e5OKAvfM6Zml/A25FdPg/TILkDmwRzv W7RcVNcdHyRxomufsKZpSr+gVGgB3pmhiINQkpdVB8mx+GhfIqJiGsWlih0hZgR/9shgCikO1MO3 gpbzl6FJmydQlmczjFxBz+oTkQysHKMv8vTZuzxpp1/VDL/Ulr3zYq0oXVLMvwfYA7tAI2khu1nS uTO//C2snIFFgujZl1JszXkeiMUpQns6O/rXUg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12064) `protect data_block pjbi/xQCQxX7daUuB4RG2FXtx+lQjp4/6p0yL2fYmN9pvPur+5XNjtIVjfvScGV2f336OVsgjNWz j0ql5sY4U3xJRBjTnUuOVVMGIh1a+fXN1Ddh4pamOJd2bC5pEAhq98q1r9LqDZYggrWyDd/kHs+3 1ghs0oHAld1TYip9gk0AJgjfpianf7bA3C2YQOOc0hfr9wJgbqxgZ1ce0G0EjOnC06u34C0UrfRI eGG5408R5HLykJKTRZikQherv6QL0X1fSrwz0AE3wBvX0AkCKybuoWt/lTRmZx1Ht62Q6HfQkzJL 019avqfnB4YVyUyJhQDd/HiKzM5DHYJtpVSVDj5U2wBqcuzgU4l7S80+x/ejnTkB4IEtCcRc3sKI bPSScw25RVnK7VjLj53FUT9l/IUE8o2y+lJ/N/JCrDQI3kiMllUxUgh3mKoqkLQQNh0b0u3I4cgl MHYC0LWu4SwYVlJT9akWmI/Y10n9hoqDOOT6jpMVQ6RjqVjaBOiTWv3M0iG8W6u4r58Jv3P/gJlL MUxyTy1woIRnCg3weq4kx9uzHX2ZkBHXIT2ngQV5HQax1lLHGfrbipdGZVTQH6n1nrnvw1PwLUEu XNDDNOAOKwBdPjsMChs9XQJlk7aSAT1nHR+x0rWpsGAM5Eld5Cm9wwv1HKm8Ac6vvdDciTi2I/bQ zu+ph918RIGMlvixVe6/dZx9HNjBWoUOoUDN0BgAxGxeKPU9I2wfhRKmguCASnk7oR1k7QIGJ6JN 467dxoAWOSSAEmnIlAoBF7CkVTNRX2JVz3jPlEDUEUSrsczYZ/XgXWX9S26LreXIIGIixCBSpdLo 3a2y2VVcfQgD6s2u0Yl//fXwvtQ9Sxl6OYpYv0hIVIiconGn5KVCczTVUxG17wUWigel/431CXeE yXnn1p+G17kP/1wQaD9mchKiOF3FNQlVHhmDqzA9Y4cnS1CmC8JKmOOPTcHLdysbxDunrDDkqf00 F04wnokYPbeCQDb0OcyNdJV+GIe5hRsRwH7gQWCMbhPsGS4ilJIyfJ7jSrGkp/GiHPiUhA3TiN6P pojorK5aiLS8g5kvwepUXaijaKRFFxxxOv5R3MRHE0EbRAhqCf+Ndz6KnRnGd2iJTb+Mq0mC7c9U ca92CnyFZ+FtAvGlEMzlRrt7WxukDMLtbYbKmEpNNoa/KnQrfJTawuaDaFM4X0RE4kwQPfSnVMKH 3VSQFDVA4hCbtx7IV4dZasQdApsZEtx6jk8wWk4JKpsUy5kblcBhpx6tmTlbDtwICYka6e/yVOCa FbKJiz5SMR/A007kFbCxW8RhxdfgY+0e9Q8TBbT1DcY6CZEIFt2WRfQ1NSvBVbQ8KeMwQgl61+Sg 9LXY3le+lli0rlQc76+Rn7ZFIxDdTEP4CYlEpxDAm+Ux2xM7gTuVmcI/H+okaT420BT52gPNqdNH XUbKD8X4FnZ18o/teMkkVZ/w909IaEbbo3kudfNQQmVgU9MPvFJ5cNBkpMcO8t/UsAUhH70sxRg3 p2IjBnWI17KDAgW0OLLuYcL3vEmOjJvl4qfVW9AkO51FHx7pFQFQLCglRB14R1ETTs4mA0px8w4J TCswJPh5Ja9ynMZjlt3VJCRPlRJXRZl4Erzk80znCE27J2lyaXQhFBOJNT+uhYmUx4Ke2JLc7jc2 fehvqjbFhFb9aQTyaV7VJDmmx0I/KInFhHHIuXRbzjjNEk0LwC2fMex7GGxERuUn5La3lTj1Z29S Pb2vyOeYkVcb+OPJ0bobxh3HA/MGJlIisCKBxD31Z011aaVClUJ6h3mRB0iSW1Z2dqWNjv2Uon/G UYRdkngxuRahkZ0mJUZsE/7xqr6C3sJ+78fzSRi7bxzp5MLomD3WmiUPTvH+n4/dWffEfZdhrz9Q tEeLHMogILdlX4oZspgmC/bVvV9BYvgL0Na7gji+9iBOaZGFGKG42iulzKPtdas6kzGSXebbSVGs +Yw+aM+ziMv3F+0heTHnoxBJ7RziLZpSD1jIjOk6sz5seg5o1+/07oAyJWiFPX2lc+nKbgyFpunf bZjmHetvSGZ+bdfVDapRNEx1uZCgPIdCtp2U0cIliu6+1mBDpiT/FuF3CBr2CoAqdqLiJ9PBI3/M w7VtZAGIuBOuhF164rsmJBq2V/ybti+Ewekt0x1pn50X9GurGXshYuRsAmm0Xk3law/QkDtbS9KX VkkVXcS34K62n7n2GGx2xnLJe7Jt5+0dZvnGdDoifjYvv+uKfdP2n81eAB6431xBtkK7ALGueWDy OEPeDfpBeYiPyfFR6qOcXk+rMx8gn4HGEE+eopuB7Lb0kxwHhGP1cqLrZd/G/gbpVMpUCzYVcT2B zcAklT+XAxdhKEIG2lSkVOO3v1qD0enSwyiz5JHlkTVB7Q9CLliE/7PZOlbtEkIZdPFM6y5JAZoN 3M7/IeajRZmkCPMluoQICkE+sJ1+INFmyW0mE/7Tc1FsILwJXEEsmiT2vWtI+ek8TtDJ/z1DTy/S HziduGggEDk0VTozDIS7BEkyyiSDh4yal7mIwF2GecrUrX0Rg9VZOBQZ6nQohB+zR04Y2yb565o8 E2l4g6asBhaLqNyaaP2QfRqicC+Y7/kyf6zawHJ3AYLR0IGVSY19L0xA5vDTB1rN+9pzCRFNfvAS dzWpRHn+Ys8YT0T5wVQ6iCqB1DgissHLt9zJjreutIizjXzqQuin61sStVrn3ur0wJwJTfYvxEb+ oZ+wMiVBJ5FhKQEc1tWrkZ6H6eHMK+PxMwN1pzSRIqHMuD0qJefiO0iuqJyGYztP7r/EnpkwILKS lHu4VNVULPblOtVxIJlzd1pj3KIa7/3JVxGFknZ26i8pmIH5HDKfLA9v9gJMgLhPpXSuzXEPrJOv m/jw3EYCYwc65Upn79Q5FCcvNkPEinuidVaEQs+aW6QpdC2VJOP3zeOCOBlY5qasPvphz3ACsbtX LD66h3QjXhEZXI7JA+dQxlKwDiog0B2LvhQfSFh81sXdM7+H8jOlYjY9nWwoHvKvucaOdV02GJL4 Q8lO3yqO0EOTz+oaqbLlWvJftM6aFc4A4zIcoWlSyHDZcop+30XcdZCftAfqf9bnOWIn1ohQk6xZ eFSMHIezs2sbnEs+8rrSTmldJzZiZ/i9UgC81Fr0dwALnErai2HoegnMSIREvb4+ys9YAhUO/4e1 DXanaeqlw0akC3VT2XQ4BIvmdNdHtDGc9k6VTG4VlgKkVVh4pU303Em2bh23w5DKISMX9Iigq4Bm 4dqnfDaaXV9yVHdFzkoHosXevYWxsZz+NALCM7CVU2JcgV8uINJq0XLRSjNT6mXilya4aGsZxn8i jRG7di+fC2AZlhcVdzRP5v5ZP1kVVlDF1NIKIN+PxlBK3stGMZJCtaKKJ5I66YCMrqVjB/ZSDKxU g8c+Ua3+cf89d2XGwpwukFjDt5xMKZmzr9xLsKhj99boLmIU812mTVVlNXALbtEjCFwC0UXRiiE6 yL9P4MeIRwIod05P+4YZR6SVrcIf1E++FdE28Y9xYrngfuFxJ3IZGYIGya0U/AGSZyUm0bq1U8C7 qGUXaM81dPWNUBn6wtQsh5igoyJbGDNE+Ja0P+nFd3jvu5kEexEYJgV0xmsPxgOreYUViPdgXnta M/d7Y17lhS3SorhKx0X/cj11i7ee2Ersu/bbjlGlOT+LzL2IfaddvpVDFP5DxIlfhngqMeh49C7C 97sWhgEWL32b8qvFMSIiZGVKOvPKRn2nm3VvWE+ofeFXXNak1oYa8L1chBKTKL8kdh9Qr/d98vcT Ibo0440U7hTT1CLXAMXWpNLRFx/TP0z0NBXT0ZOB58w8Z/wlwT4d6S/FsRY2JDrc6C2D5n6XuIRq 7BS4uw9S4av0Mq9yo856gVlqOd7JAZzAGXJHaRIta5t4t/53z/1h1GLQJQ+3ft3m5X7pA2/Mzxgw oeGNazpUgnD0qtci5FX7tNPzI76v4o6RqszY/tN7vzbpGti8uts8WSnHoEmmHZ2vfHqpYiURpAGW +vxp94l1lUYxVgz4fBPWwRPZQ8r1RddZTFXJ2H0eVHAm5qjiqUQA0NTRhtMllFuf5PY+/fvT9WOC x6fSr/3bMYMz5LGpu7vGXhgFZfi/BD6DzZ/Wmi0vLGfbQCZiZBAlZfsvfHME/eXgdgHlTTs0qO43 kEjlHf/0sWzIXWEmpI0x/WqnfnLGatgiDQTbmcDxgIng2s7vI7FUhTqequ5vllmUssrbJa1kpMeY D0mW8xtEAvWuDIVrcPjVHHuUTwwy8pcsuMUb9XIPzk/6agwwcmzpcWTw2XxQu/7fknb2RdLMffIV ZThyI9AgdPiViHeiWHa5j8HLdU+ovZYIUengWLQTBLtUASPljXKHxOu2ZlDbyeHpzMrIYJFErCxJ TUSBGp9wmTcf9kIdf3s1P6yf0x/U3t6wz6gIe3JWFxUbn0OJ+iG+4NokvAcGW9nczhnkTRm+86zT ktZX7WG0IOvJ2y9qsrmvTn1/2LZ+Pi64RxJBlt5byfctA+gjd98455igwuuLW50axXWfHLywA7Wx JYup90umZpiEazNbulMYkQHijTwzzwypL3UG2olW9Tz/P5ecGyEBRIYN+hpZCAQkjHImBtcNmjds K0/oqKblQhYihXXymwhYwigATajOi4zfrqF8zGj0BAo1Pl5/SKWDUjkDPT7kvAOzFbySYXhMFgBW /NzZpz+1XqzX3tjWF0CDtXCP+NulbxIN53I456WMzqPWO08xfGxVcm9X1GMvE5r91xneCqmZk/3s 5EL1emxKT4zeSft7ZCwCPZF5mZVRpL4Ev5QFKU7Q3sqqHYWF3YAUh+kDjfO16oGL9Mvq2Y7Rv3lE EDY17JEtJvqdIdEpzi8rL5g+oPMAPocoF9KgnasoIkEfqPFeZcBQjTQYg2dg7Dol7KBdea5CW000 D2Ra2sq+ob69YMjhwGAFEFKmwlzxq/9lyjzykwVi+ZhChsZvIpF+13nTyBC+rUAJe0+lpoXwtB4B OuWhQtghdJ0u4BVoBSb2rbJI9YknTwMSogFPWw4KzfcW1mPeMTpCaUD5aIcnyOOgNHRxgheX3lC4 r2Gjx1sZoc9sZvgAkRs/0CbsOSqrmpthIBbOfbdCYDp+imaQqU4/DKwWQJ3AuL1w41QDjP8S6DG/ xwccHuUhC1a4HLVMafGyfA3jepWUaID9wRFF1o3roLCyzT9u6vWJEd3+EVbK7lGxlAiWlwR35IRz rIM+4l83i4N/HEtxvPCN9iPmrmXyWvOlGKx04nUyTNQsX7A+QpGddN4dgenH6g7dNfzjTGjqUyXC KINx6MJjDaqbQMo0XU0uznYBn8woQXswfxCXuIjscrbnf+6PMKM4R6z77txzGHNFNdud+A87gnwy BqNcUhnpdCcxyVPp+mTQVMyPznneOBfOZPcpT9wbQBu2gFf5psp9CGWp9EGNcMyTzLZ5rjFJL/iD Phus6N6rLZeM/agKQeCM/b+iw51KMLbpUZZO/yCkINFNVb6/PjRrqcjJPWBWXlygCSt2RkMCXy2z XnXA/pXtol1zQNBaAG60Q1zFNdnxSUNaIWpuTBvJNlCp9I6NmhVUcmf/np+JZp5GzEq0sI51MzGe Xi3RHQ23+6zEbSNiDqZN0BktupaVZqauRkVWnSQQKzihKpiIEyrjOTGNgRDCFHhVILPI2qWP8GT4 agoGTeBxCnuyOuqF3+eVao0KHdTfi6QaT6i2MqkzNOlcMrOiFZ0SkF/vJvEj5XraLjz/Dig0wSlx k6YHcEg8kawk4M2VYK4xm9+MEt+MaSxtZRAj6iiqDn2tNNq3f4HUManEWmfa1uxKBjR3fLebPYC4 PO0OEPczILvlbmjuywJwpavSmAi2GXksTYUq8JbM4Gv4uWYNrorBvUZe3zHhd3tmlvOnG0ehfmDX UJlipWPvOUw10T7M3H1pc2hTwZyZoVT1iR8L+qmE6wjBZ8VvpX1ySQbc3liQq2I3psB6fF5hwlMq 7Vmz8cAphOx2ylE260y7DJngwAp6bIaNhFEDx3YY5XgrYs/BsVBi3STmmtLhpMTDr3c1bCPSoJGU LEEFmpIYJZAhlNJlp+psb2pEcHum+SlfVkKQJQl5SDjfwE0wD4J3XXoTMitF3jn5Op/ZMcCJGaYS ZolnaBMlvLOAJKBBabRBx0qLteO7wpMiRPkxoCR7IsX1Dx4tZAwVm8qq88lr+ifLlf7/T6V72ahX SvZU8nuzSwdEcszi1mU1rHQ5EmMZPYp2/MuqlHlcpkiRps3PLs5XHP7NFgURiDTpXddwDpYrebxI LqxITOgumDkGmgpCZuE+EtjeW6qnOL6b/nCzUou6IA/9rxmI3A4WMdQQMmjwpqAv+ODTPS0GPmvq tPs2DE//02PzeHG4Ihi9Hhf93dspotbOqKOqI2xhquH+MrCtbBTnDMz8JwidHtNOKCtAYozSIcD2 nonCSjaTiN6pkxcTAtmOyJEb1OHmf+dXplKlzeuDXQubi52Tzjsv/qhrpUvV+22rfslbfwnD3PYL sMrOSDRUNJOpQyajkdBpamjjQrErSnn9RGJaWTSZfQNDBTeyBaDAL+ysl8Y5pBsr4zUDL42cXMqD 31XBQ0fbQvhkCcTX3zjkdSg0Q4+1dTw3SXxptWm4LLbkOSxu5vqDHCQa8lkmwUjb/gHD1AZLnz51 kiIwFacQP3nCw/CzpZ9F+DA/sMF0KHCxLM9qyQnZKxeOrZyC7cbfM9nTwqhvix7iu5/iX0Hx9SLc 31I+e52KEUfnIRnTEk7heNZxSixrLF+Dth7crAn8fAyQVG1c93hUVk537WjulKhyEC7Dxn1QIdtg Jn4ufESMehogvIEDzsP6xeCbb06nHShtze2C266EgBMvwXpbPWLuRtwSKXFHGbxyf3avnba/2jvz H7OzcBFEEdH7tmp0Jt5LPMxZ6CiBfvkw5nald7ovW/XCaGaucYE1KsX6k6eHBu2Mfdp4kOLoAoCC qHlbJgy2BCy7JPILNRL+kSwetc/gSL0mw14xGLxAQzUH7OI5jNOP1wtQVm6I4jR22IOmhh4mR/wT daWabITu/4jCpVRVoP6tvCYqfAGFMLEEDUxNZnOrsqQ7HB3BoWdQSE0EbrVqkwRdEzWRAgrAN9bD 2UJkQUk1K9eKyH0mMF0+jUU0GUHGhSqDVvhjeTB591/CehGinNij+w2uW1XRiGE7W0MY5jBp46pj 95C+VkCtx6Y4gwrMxSzc4brzdAnG5Weo8js/HEu9lTc+ezPRL0SGFgYtrS7uqkf4GLLsa1YvBAy/ mC44Pc9ZvKpPEe/k0dKCRZplObHACL5KGi0jDfT+PnMvSDN8yCWqHOKJtg/vxBtLB/p9c1MJ3RIy tTQH2QbHsOqiVemgZUaBgW+fTw+bS7qxMVz92ujdZUKqI+THhMud76uvcHLJIj5tfiuppa9ewWmQ wPiq1EckuPSxrXophTmtOdIFQhsyf+bzND4QQyqxSllb8fpd4hMlCmbcz01Idc36HlDIj3G57OiJ G+gS4NneiAxoLxaoIUl3AkI6d7qCj7ujfJiKdyQB3RMyODJovLN/Zz6Wbv/x7Cx5u4ARvuYcHgwb uumm7pzTFOOxv3fqmwxj5U3199QB6lpRB9wBs/3NWGoftBFYWi6cDIc5cG2UEa2ET4HdqaKfWYUu YBzaZoK+grgoxlTyznT17/5NZ/aaJZhGTM32bMpFcQO9h+4bmAUcLTCeCT6UBnNirR1X4uJvcKAv fRxFFsaJYnnlRFqq0jU5gQaTb1s847c3M93CYWshdGJDEu5XvBVIQGwAq/xe3imuXOFdUl003UF8 49o/8P4M+bwIhyLQlQPqm0D1LwCrd49sLGROc+XBqwFkOZ6Fp4vMh8UwyyYsqqvbp3NOo50zszp+ HlxjMrvuMejDrwCw0306enbLRFt6WrXws27I+1wVzRVxkAuPuJ4+8d9tdmhSef3OdVmcm+PGdqPc bWGwT8CaZey+bxdmLLHyhA8hdTuGmH7dXxvI7ajinCQzwEuEfc8Mg/R9JA73oPxJs5HLijZweKN4 i/C3EfGmbMPSZ1RMQgz2x31t2N+gxqQhLvKfS6obQGA8zTLZ49ZXtp9PX3Qlx22+zopa01nozVak XLQvNuj9SuB+GF/H9ZXEanXLnHkgeJqjoEt9CqEOUGT6ZbF2imDbuAVmo3ilp0TNXP2cc6IlVFB1 TesByGLtJbzdt2X2Wmuw3DEJJjgqQVMu4DZGrJAhJ8X7INBEDq6mFaXo01sAVoAbcBFs5nDDSKBt +xvqSbZZaD90E09Do1T0uZBPrS16ElIR4kRoqplA0pe9lMKIMeVo9FEjx5M85k7bklC3RPADaATU ynSTStGCdCI1kU6Mdg8FPlzn6GT5THQhCEePhOf1RTtHBX8w1+HVY1iAtqqVDFcQvb4RRtbwxszF 5h9BVNOCVZAUPj8ZxyUKWMrd4Mb/Gq/6564Ojc4RQV6Ony9888Du7Ue2VwXSPb+NQtTbvAYh5ceE 8Xpedq/nJs4k+KT88qnXYT/UCL1Kz9n/6Ys6MNqwV3fD5H+sq7uT7wBWrnAZglQboskjMH2JXeXq NreurugYF1kh4WYqg/8vCYr8rgeAy28ctWxLXwfnp0L0dGjR0TycCBHlLNK+tTexRw43L127/VHE d+SkwSoNvALUcRTIzRabanJ8UwuZZxwmSGMt2On3DKgpA6T4tZ2qrcn38mZyX1+IcqhEDX1CNgAX fKtgwxbGXCfIcK2MsNF4aOcH7lbDuc8eV45GJReTac+zgpfZ0s4d2ABp7HL4FHs6uJxSC8AFDisv qTInW3QSDmc9olG1FDwdsTfyuPUFT3A73XznlFJdDbUK5LzGbwGg6o5Izw/I8auLULGvqF+sKohj IdKubDOQuXAgu4SzkDFqbMkYwGfRdrMvusjwdI3r6iDROsXHptzRUYGqVYIxh4QnWu96w2naubAe fK78aNCQz0YhNPXuaiUeSgbDgi9cEg/bWBEzOe7BdAzSbgDF7uazZRXC9xN0/EEnETXjzwhcZicf Z6TCrngpIrORw502nb91t0k/9JEiJY+XHwawhtSf/Bx8a492Hmn76vlWi5vn/XiaZ6v73oFb3GYh vUs70AgyXbro8yyKti1b8Om8kbibGxsGx6l7byg0bg4FEVJZ4AHv3+M2mfcOorZRDhJTZBSm9XZu BBqqYRW/ctPab963iO/jIWY5G+rpi/EjxrnKGOsTOaTh2P9/9N5jc/zwdH98TftBnctZ5ox6eN6t c0+I4K0rGMaImPx7Y37HTHq+eYUbIsIRki9t2W+sxRR9nTBQtd7FS4Zhz/cAOA++8y6/lGiEOiEc Yd2a4BHvFYLhtX3SL3v+D4rTgv9yVtCnZHiR44QdyzlcF5A0yzPN3JWx2OnF5282akPzY+X/yhTp NLP00hVYYurVwz57aP9W/F72XmuDbdBGPvcfKNUZCbrR2jVaZK1urCDfrnMZLkoqkv6Akq4bXJ9s 4LeGxVaRS3Qz4R4FWHD2/FEm+eK4+eHE/Xm6Aas8ypaqxqzC35cNrEDs6FqfYEPAl8NFpxtnKZOs 3mT6L/BJWqjJdz+ZA0OBGTQu76k2puvLlaTU7y7ldE0qk21kfSmzUdAtSnqwDZbwuSmfaVn7bS+6 tpsqb40LUNLaZl7SaIEUhYqUO7fixNH/dao3buP+NxnWBS3p9gCXEatzD0OTRJkvCgi8Pi1q+Sxe JUyJkPfYlLHoyO5u2B5P4Espg036DiLFcYPxZS+uuA55YG/dIvIr3e06m5Qkb5njCttDA4bSnMyL w/pEGdd1WYEQtX7EyD0zaiCwd+WSwRvbtmdy7v5USsXN3GTNSOfNTtozjNTJaqfhVbkN1tOV5tCk wHDAI+mdbI5Q7bUipXX7r7tpNkiu221gGjFg8cBmaWS6cQw74zEs9Pn5czmjYU5OK6cnRvs+zGNA aS5YRADde5Lw16RC8+20i5rnBc25mHO3hrXqvtuGVECy+Y+1/JvQu2l81YowjM2UA9Hmjq3ugPsy 33yj7Jw4LG8Tw3/lczBH8XMIoTXMdh299mvUAGyZqJwDlcrIem39MX6uPvRhTEIBGidMkJdmJY5f 2I7ugooAHMrJRRvW5OgZ3IpD8HrjR9qZPYaEcXQgi5Rz7n4h8FrtSg4FP5yF7baEjPAuGI/iF0Q9 ye0jlEnvFxvU7prDZBg7bed4yqg2W1SbnFrRd+7vrmjIauUYykfxVsV2h/DfYyczbB2zRagKvKU2 4JF/z8lm1XnUkANqQWhTJEd/U1EjNTTMRtiWPnUQr9jn0XMJxRq25fNKmrL03ZSIJS6Q3xH1e0C7 Ndes2uJTS3cIeX0m/6AeFrWPdm+fF4F641UR0GF8JsX87bIJFbwuzlKbdwiEQ94MaSmTN+Zs3xjE TIdt5D2+KpPImW73E1Zx9djeamZbW5+LuvPiLdcNgPLb/n0xjO8pKyWWXWnfRB1Ina/tpSfK/JyH woeoTVdBeKvUQl88XRFhsdb83VLflb0RGCqljUUYx4OK1dxbK0oycpL48B73XgVeJhzbRCFvu0xr cfxbBd6dbOsdw6q2OcENv7IhD2juzpaUSZ9qUHNO0OGB3K6SEcwKuucF3Nkt+98JgBFvhtGKI1Sf z8UYN4HedO+274fHQQGQJ6rs0nPg1UArE1UgP/sLW5l7hA37zIXSNw5auBR1g3bIER38tVgOlROz N+N2BB/1/FX6jfxqHSplRRMMMUXS0vwwerjQiqteWCP3wLB1dZU4YlEY0EzxclcW7P4uMrTfWNTW FYG2Hp6TFnbLcCcgXFiKW1krROfM4QyqN+iVF43TgGFDsF92gg62nL6P6pqTRmWRQzU57f5izONI 2ejulFapn4TjX0cylV6ILP81czGnMrHTVvMVyOSCK3gBQTtQ4b1bVOC6RBDbGBUmEc+gYpkqxXFZ YWJedq3Zu3OaVLr8A3ZYko9QVm+kLvOeRAvULWEWoXmoyh+Tvn/P/U5yL05nZ2NPFjiiUtS36DxP xWvXxBDa2bc8vRMh+Mg+9+nvwqg/2T92dB8YXyJ0FMLnmzIJxU3iybURGKycQvpMqhDDr9C9u99b 14IJPy2PofOwtiozb4FaLGFEbBS1IsVT6p9951eFQTfZR1wagKxsrOPbViMom24ywLjWv0Q/lB2r eBxZtBaFSZi5zZWODNeiouO8TCUdVSStJxDE8+JxesCIQJvrW1HjrLyZdSdmq3PnlrNWCR3Z6UHG LhUjKlFlyXJGyZykyY/YZcLyPHVYgHvPR5eOsoR0t52ftRzfZZtiJNuI7+8aCpT8SiuTXKFXwMxW 1TtfRgaalivz4gOKQXNIzyFg7MUziEsSIHvEuYqie4Il962Z+EhmlP+6RUbahOSAFQuQzg087eqv A3wv+SizE+pJvS2UCoSPYOV7iE4Yzd6uXqK7QwLLeEvp4DMEvm21+brl5dLd/7GxJ9I/tfWKgsL1 RS2alwvidVvw0htDCoZIumObAroc7Kp3hYqD5/hBj8eHAWoTwY25tmvNfgA2yCnyBrgbyckKblV6 eWjH2AJjGoz4E6nOlFgUpNfsfy86aygJB7lhxOA093Y+onUFSm+VEu0BdLkGfwpRtJJl6gOJFg+p X36/sEZlPgWQY4s0PP8YvU3lF8M+/FXmkMtQOgnLlLCR27hPns1ecYfhd9iwni1hG45/K1Gm6dkg ffYU2Ej0b2LAaE8d3kB1gNKEypixePTlNGe8sMsK1sHOkbgF4Rfwmb5wU5ClG3jAo/uas5jm2D9y zbqTB2i0QDFybsXJAK1OJefVghQ12vKH+S5Eew6kC7OPFqqNnM0+9byiADj7UEPuMAocZWF8LcLh yqwG884vuSCPdoQCmQbhsTSAjxHdDhfFfByfdF0dccMewUq9RUqrkMUTGzdoGew81rKRk91JFQyi X+8D3LcCzIQG89OR3moTRXuYVqlTAML7bcII4PeBCCwW4kom7nXcGE/mCNp1xbDPkn8lCZdjQR0P 4J9eEjwfvTe1U2MOVme7jQkDddwaWUW/WJyINWD3UBtjhq32jbSNoMkAosEC5NC3StARzqaC1fXt tX8j3bOpELHDUEOTHLDkkeu0eVne0CG9iYU4SP0zLXS8IcBMdIN3iF8ECHReD845zAv5aunVOomj eIT+L62ajJzX/4H1l1tiK3Ae4bDZQd9rWde2I7vIuJWYS9g19XAMe6oOmO6AzWAIOr/huw9QXJqX Olte6VYYQnnsOvrHhJrYNCySs9Mis3Ub3FbotQaf02BWVOQXyTb2IKkA+yyCdQ1NB4RoJ+IDmxEe t5Im3EMI6xjumpNNr6Ut6VAXhW0NAKToH+iq3SmKCQhWpym+vYIZOQAVcCEYtzdxqRGWwmUI/DsA jnlOOGjCXB4VvoSPStKRd5atlro3tsmGdW4q+7jjhb5qWN0IShUG/6QoEQ+PRKh4EztEkSkuMqpD kQYhZas1VA4dUkU/uxYtaUo9waOJn2jSjHdsbl/qvzDm3dtAeckMY3oB+WKMLka1Bpy0blstZqYP sZE8puLgcAN9WnL6oBnP/agB9RCIsybJO9IMRUnbW0bRHyUBDFeExSWxu1mefP12wBUWcC1oq37f u/QCYsUnxA8Wm8d5CnktIrpU/UpR6q4jFZ7scCRxtGN7x0QYVnqRdtgXmyAHiNNMdHKSF9Mh2xeD wYTSoU2v+we7dPV+QgxyHo2np5140WuUB74W1xh7L0IvHZHyjdPOuLQSJr39ZnVqYol5UmJAxNun Mrp3nzSxwtz6KyqoDupRWIeTXj+fwMNjK9Y+cuoNOm8bqH1ol+POPt+IYjpctd/5/Kp41vfUZN/Y h6a05AVuezDpRWzeAYavNKY3LXlbplqhicoeUIV/sDTd516YN0F8iZA6EE0A6U/JJuhMPYuYA6GN pB2ftXt+DwIjmNd726k5fNmxyjuMl/EhQgKEdIxZetcbE2TCFImVgsTQpSazV83OS+RiDQnqxtiX DL4yyRzBYmZkqh3QBnYuzo8cNASgGBOEDHRWGYU7fSLCFDXxX3xtWis2w8pgrWTGjVh2lVuGS7lC UbGqJagnwoI/8z+UZzmKx9BfaKtN1V9/0lIuoAU5CMtMMfJ1A9q3Fn0wqaCsqU+izBHgdTa1mDaa 68N4dHhTvw2CwP3bz2s/oGbtU3Sbsmqb3/ci6EFzfvmop5l3XhKEa0IPDsDJg74OqPW0dMMhV69u OKwdSNHSH0PkeI0csIoa2MIgTtxxn5rwDFHju80Qfjj8lvY4PzzFeXWIKk4fBvEh1yCJqZj9GOXp kwPIVoJ2sCkG460mtJvHKYd4jQmgocQpElFJWbvWaPH0tWUcjYPRTC87XjZs9wXTlKOgfQxKuAy2 +zuzAgPZrqKrpSlEolbcvs5n8cA5Iz0+Vzgly7NBaN1GmSk1EMo56hJGNAxBB12YQFyfca6OcFPN gIfpwDKuARvtZs/n/bYuoy4UxVhsCi4FuwWZzivi+MbfF4qRiQgyBTNxNQShfCNmj3MqPgyWmazy SXRTN2RLloD9D9KewneoXfsvGsxaQ42N6W7CedPAQLtCGHMw5kXdYF8xCTd+yLNrXJa9iracjLrR ZO/FKrb/6pzV919N6+UWNvM4P9dl/FmSm3RYrahqR6zWWINwG8cSyAWQLzgMNEqHO/8IhjiHV1nG 9YFFBJKLi1Ed2f1y0P0dx47f+VTZrEqlAKYc5XWEB+T8Edy8SxzMifmqOk11fyYK4OUU3/MgaGv5 Pf6xpfKj+3ZYv/JorsW4HAEb3W937Gm2WEumFfVaKsn3F3bXAjT9rn7mUl5OfUyj/ZTZeXG0rjsp 6dmGnEGwsmakwlg8AtdUIRBltk+okiO1Fs5ResGHHsL0YuFM/gt7RcZ/G6UjhAzsdaUMhJte0qBR AORr3NjsuPC4fpi41LGFXTCNcTtOUcFd8Yir3Dbeh3uZxcXeb/xM6gDyaPaFy4ISDUDXFxVr5Mzb kNkJUbaEOHwJhByo6U+9XilL4fsudPZNaR9zJTxWhU9voblPYvLkJPuA3UXeEw69i0Mgd1NDOMg7 7DxZvO60S+t8ZtZLJ5T/wyBz57beXTZU6OC3DNOaWdwy03HrMyvWg21LPRa6UeMZ0tSmU7DW7qZ0 fuJ6GVpXx5bqK7tXpOQJi6RHO7hQN3wO4PUSLS3DUeu4X+lSlaQD9Bpb9yqfb5o+aqOsxsvsltZZ 5KVErm0vmS/eKezuR/JiGhb3Wf+JbJY82ODwcet1tD4Gle501OS6l+VI9FqPo018QOjZxjM7lfsE XfP3cbvMSJqNOeVBPJnG+mM7Kwf3F6BAeGpXztrwCQ2IIBAArdArP2BH23KZEEIvqLI8T4UWdCE4 M9CBO7MJdDneqDYuw0ywZWNu2Xm849Lvpwcu0lDJebYjEi3yS7bG4GBX4JDoH2HuX0pbVhoNqHxb xowkj6v14Vo/s5WctO5wukFnkz0EUXqrcsBBLUjAVQudIUCoqElRWNxGktB6rvJPncwtHcKBGoAu Ea3NbYzr99OyKmcXGz4laj4dE6qW7lexcXt6977GobI3j0ef7ZK0yDfsKPFyNmw/b24az2Jphkgb S5KLO+LPzB1ufjDdndx6xEHE375iPxiNtElQRI9e/GVeUomLxE+fGzUSAULCJoY9vPhmS5jz55Wu Vb6LVVNuNB+KotHX7Upvpmxn9ADTe/xXKQ4gR2C4UIOT+d7gbb2zk6zUd34pepelgXBKhKxs10Rq AlFSk4Pl4qTZT7Tx0N/zL1MUPp/V3l/Q2NtfZV8Lzors5g1/6w674IsNdIo4bHUq/NU6lWSSQ+mX K84IFl8fO2p6q8UPpmRPuX+uvNa4LWB1P4tbksMi5FC+PtJZo+hzBnIQjePkHZysZ6MiqCyjpS1B ufTHAe78JI65SHzVBNIIGgUfOjV2nwdkitoO1APEwYzVejTRaXJcRn2VnazfeGIg0e6rrmftLlF8 zEzZlNB88n8CPjZrq1MPlBveFn4y1hF7OeLTajucWEwhi6GzitvoG4/CnGeVEyVOmhfwepMaEf1w ObdjzgwEH7Ydt+6/y8U3Ts8zX8MPrE80OrYf3y/wclUEYKrHBpF7czRLUYdnmeGYiO1kl8ypV5cr OMpg7fSsx7LenfVpW+PqGAl1yQ3VD5HliVFxIbRhdRQMa+QgSEBvwnlsOsTEnL1RA2U2nF6QNql0 6h7lTZSGmMBANJDdRrcywQ3eUMhRfjLKBIwkFr8Y+ddYIGMUgwVnEjIzw4bjQwP4zcR6R3fTyiee qDibs1YMcROke9VCSITC5IkHtWPIpifbkkQhby0N5qYz0bNOeRO8XziRoD0KrrLAqgiohcDBMtyz ydneT6Ij/JInZty0WW2HZUQ2FlUDLzRx2IaNSt+TugawvINCwk9AuxWtiDVr5bQ9N6kt2E5fhTY7 TKawrn/+nXGAHsWoQsOQLKxWfJUJrCS9SzQhoJnsq4592W5/dahbEKv6/Vin4K2Y0owK66Cd0Grg VHD8SIwUXTyr1fieE3XgdGcopVuhVfRVl00sc/0qTUYdUUUJudwaNCmY931aG0OntWcRNWW46Pha 02kUt21AziBSC1XHqDV3ITWkSbxHGFRnp0XuTu1CsHoTt82IZJIujrmxZ38zYwLgDReZnO/7EUjO x4jBgr0vxNg1+xcHJeC4R5/CPeEFxrOBydkl3qnpAmwcLMtAoipfVvsUt05G1zXg3s3vuLjJBXuG 1eLCfjcV5ZDj+SyXvNBC9CgFmDesqBm841HRtTMhuD17pSU8PU/5/v3x2xYHuO4ZatfrNtE67HbH ud/oUumjb9nqYxdv2MxznSo0FOgrBmKeqnazLS9uc3cGEiiiuJGPjTF3twU2OeaOi3kZ/PFBbBs/ ElN/U5ZV2+76a2XVRyYBU5XQ8ua6wD7gEy5tuD67quB9RnWXZ+2Ndmn565ELMjh1pWiKSNcvwwpT m0lc/4mCQ70tSGggM5bIpoSmsBsym8ohRPp7vaOTCohJMpE/j9E4SY69InekWGP27QHigBbqNd/1 bAorjavV/8JUtCl4e3hON4rd393r8rs6wxFyXD/WLq88hQ7QNg== `protect end_protected
gpl-2.0
de48ccbe5e61ccd4cac4f7cedaf2e355
0.938975
1.854047
false
false
false
false
mcoughli/root_of_trust
operational_os/hls/contact_discovery_axi/solution1/syn/vhdl/contact_discovery_AXILiteS_s_axi.vhd
3
34,912
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity contact_discovery_AXILiteS_s_axi is generic ( C_S_AXI_ADDR_WIDTH : INTEGER := 11; C_S_AXI_DATA_WIDTH : INTEGER := 32); port ( -- axi4 lite slave signals ACLK :in STD_LOGIC; ARESET :in STD_LOGIC; ACLK_EN :in STD_LOGIC; AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); AWVALID :in STD_LOGIC; AWREADY :out STD_LOGIC; WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0); WVALID :in STD_LOGIC; WREADY :out STD_LOGIC; BRESP :out STD_LOGIC_VECTOR(1 downto 0); BVALID :out STD_LOGIC; BREADY :in STD_LOGIC; ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); ARVALID :in STD_LOGIC; ARREADY :out STD_LOGIC; RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); RRESP :out STD_LOGIC_VECTOR(1 downto 0); RVALID :out STD_LOGIC; RREADY :in STD_LOGIC; interrupt :out STD_LOGIC; -- user signals ap_start :out STD_LOGIC; ap_done :in STD_LOGIC; ap_ready :in STD_LOGIC; ap_idle :in STD_LOGIC; operation :out STD_LOGIC_VECTOR(31 downto 0); operation_ap_vld :out STD_LOGIC; contact_in_address0 :in STD_LOGIC_VECTOR(5 downto 0); contact_in_ce0 :in STD_LOGIC; contact_in_q0 :out STD_LOGIC_VECTOR(7 downto 0); database_in_address0 :in STD_LOGIC_VECTOR(5 downto 0); database_in_ce0 :in STD_LOGIC; database_in_q0 :out STD_LOGIC_VECTOR(7 downto 0); matched_out_address0 :in STD_LOGIC_VECTOR(8 downto 0); matched_out_ce0 :in STD_LOGIC; matched_out_we0 :in STD_LOGIC; matched_out_d0 :in STD_LOGIC_VECTOR(0 downto 0); matched_finished :in STD_LOGIC_VECTOR(31 downto 0); error_out :in STD_LOGIC_VECTOR(31 downto 0); database_size_out :in STD_LOGIC_VECTOR(31 downto 0); contacts_size_out :in STD_LOGIC_VECTOR(31 downto 0) ); end entity contact_discovery_AXILiteS_s_axi; -- ------------------------Address Info------------------- -- 0x000 : Control signals -- bit 0 - ap_start (Read/Write/COH) -- bit 1 - ap_done (Read/COR) -- bit 2 - ap_idle (Read) -- bit 3 - ap_ready (Read) -- bit 7 - auto_restart (Read/Write) -- others - reserved -- 0x004 : Global Interrupt Enable Register -- bit 0 - Global Interrupt Enable (Read/Write) -- others - reserved -- 0x008 : IP Interrupt Enable Register (Read/Write) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- 0x00c : IP Interrupt Status Register (Read/TOW) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- 0x010 : Data signal of operation -- bit 31~0 - operation[31:0] (Read/Write) -- 0x014 : Control signal of operation -- bit 0 - operation_ap_vld (Read/Write/SC) -- others - reserved -- 0x400 : Data signal of matched_finished -- bit 31~0 - matched_finished[31:0] (Read) -- 0x404 : reserved -- 0x408 : Data signal of error_out -- bit 31~0 - error_out[31:0] (Read) -- 0x40c : reserved -- 0x410 : Data signal of database_size_out -- bit 31~0 - database_size_out[31:0] (Read) -- 0x414 : reserved -- 0x418 : Data signal of contacts_size_out -- bit 31~0 - contacts_size_out[31:0] (Read) -- 0x41c : reserved -- 0x040 ~ -- 0x07f : Memory 'contact_in' (64 * 8b) -- Word n : bit [ 7: 0] - contact_in[4n] -- bit [15: 8] - contact_in[4n+1] -- bit [23:16] - contact_in[4n+2] -- bit [31:24] - contact_in[4n+3] -- 0x080 ~ -- 0x0bf : Memory 'database_in' (64 * 8b) -- Word n : bit [ 7: 0] - database_in[4n] -- bit [15: 8] - database_in[4n+1] -- bit [23:16] - database_in[4n+2] -- bit [31:24] - database_in[4n+3] -- 0x200 ~ -- 0x3ff : Memory 'matched_out' (300 * 1b) -- Word n : bit [ 0: 0] - matched_out[4n] -- bit [ 8: 8] - matched_out[4n+1] -- bit [16:16] - matched_out[4n+2] -- bit [24:24] - matched_out[4n+3] -- others - reserved -- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake) architecture behave of contact_discovery_AXILiteS_s_axi is type states is (wridle, wrdata, wrresp, wrreset, rdidle, rddata, rdreset); -- read and write fsm states signal wstate : states := wrreset; signal rstate : states := rdreset; signal wnext, rnext: states; constant ADDR_AP_CTRL : INTEGER := 16#000#; constant ADDR_GIE : INTEGER := 16#004#; constant ADDR_IER : INTEGER := 16#008#; constant ADDR_ISR : INTEGER := 16#00c#; constant ADDR_OPERATION_DATA_0 : INTEGER := 16#010#; constant ADDR_OPERATION_CTRL : INTEGER := 16#014#; constant ADDR_MATCHED_FINISHED_DATA_0 : INTEGER := 16#400#; constant ADDR_MATCHED_FINISHED_CTRL : INTEGER := 16#404#; constant ADDR_ERROR_OUT_DATA_0 : INTEGER := 16#408#; constant ADDR_ERROR_OUT_CTRL : INTEGER := 16#40c#; constant ADDR_DATABASE_SIZE_OUT_DATA_0 : INTEGER := 16#410#; constant ADDR_DATABASE_SIZE_OUT_CTRL : INTEGER := 16#414#; constant ADDR_CONTACTS_SIZE_OUT_DATA_0 : INTEGER := 16#418#; constant ADDR_CONTACTS_SIZE_OUT_CTRL : INTEGER := 16#41c#; constant ADDR_CONTACT_IN_BASE : INTEGER := 16#040#; constant ADDR_CONTACT_IN_HIGH : INTEGER := 16#07f#; constant ADDR_DATABASE_IN_BASE : INTEGER := 16#080#; constant ADDR_DATABASE_IN_HIGH : INTEGER := 16#0bf#; constant ADDR_MATCHED_OUT_BASE : INTEGER := 16#200#; constant ADDR_MATCHED_OUT_HIGH : INTEGER := 16#3ff#; constant ADDR_BITS : INTEGER := 11; signal waddr : UNSIGNED(ADDR_BITS-1 downto 0); signal wmask : UNSIGNED(31 downto 0); signal aw_hs : STD_LOGIC; signal w_hs : STD_LOGIC; signal rdata_data : UNSIGNED(31 downto 0); signal ar_hs : STD_LOGIC; signal raddr : UNSIGNED(ADDR_BITS-1 downto 0); signal AWREADY_t : STD_LOGIC; signal WREADY_t : STD_LOGIC; signal ARREADY_t : STD_LOGIC; signal RVALID_t : STD_LOGIC; -- internal registers signal int_ap_idle : STD_LOGIC; signal int_ap_ready : STD_LOGIC; signal int_ap_done : STD_LOGIC := '0'; signal int_ap_start : STD_LOGIC := '0'; signal int_auto_restart : STD_LOGIC := '0'; signal int_gie : STD_LOGIC := '0'; signal int_ier : UNSIGNED(1 downto 0) := (others => '0'); signal int_isr : UNSIGNED(1 downto 0) := (others => '0'); signal int_operation : UNSIGNED(31 downto 0) := (others => '0'); signal int_operation_ap_vld : STD_LOGIC := '0'; signal int_matched_finished : UNSIGNED(31 downto 0) := (others => '0'); signal int_error_out : UNSIGNED(31 downto 0) := (others => '0'); signal int_database_size_out : UNSIGNED(31 downto 0) := (others => '0'); signal int_contacts_size_out : UNSIGNED(31 downto 0) := (others => '0'); -- memory signals signal int_contact_in_address0 : UNSIGNED(3 downto 0); signal int_contact_in_ce0 : STD_LOGIC; signal int_contact_in_we0 : STD_LOGIC; signal int_contact_in_be0 : UNSIGNED(3 downto 0); signal int_contact_in_d0 : UNSIGNED(31 downto 0); signal int_contact_in_q0 : UNSIGNED(31 downto 0); signal int_contact_in_address1 : UNSIGNED(3 downto 0); signal int_contact_in_ce1 : STD_LOGIC; signal int_contact_in_we1 : STD_LOGIC; signal int_contact_in_be1 : UNSIGNED(3 downto 0); signal int_contact_in_d1 : UNSIGNED(31 downto 0); signal int_contact_in_q1 : UNSIGNED(31 downto 0); signal int_contact_in_read : STD_LOGIC; signal int_contact_in_write : STD_LOGIC; signal int_contact_in_shift : UNSIGNED(1 downto 0); signal int_database_in_address0 : UNSIGNED(3 downto 0); signal int_database_in_ce0 : STD_LOGIC; signal int_database_in_we0 : STD_LOGIC; signal int_database_in_be0 : UNSIGNED(3 downto 0); signal int_database_in_d0 : UNSIGNED(31 downto 0); signal int_database_in_q0 : UNSIGNED(31 downto 0); signal int_database_in_address1 : UNSIGNED(3 downto 0); signal int_database_in_ce1 : STD_LOGIC; signal int_database_in_we1 : STD_LOGIC; signal int_database_in_be1 : UNSIGNED(3 downto 0); signal int_database_in_d1 : UNSIGNED(31 downto 0); signal int_database_in_q1 : UNSIGNED(31 downto 0); signal int_database_in_read : STD_LOGIC; signal int_database_in_write : STD_LOGIC; signal int_database_in_shift : UNSIGNED(1 downto 0); signal int_matched_out_address0 : UNSIGNED(6 downto 0); signal int_matched_out_ce0 : STD_LOGIC; signal int_matched_out_we0 : STD_LOGIC; signal int_matched_out_be0 : UNSIGNED(3 downto 0); signal int_matched_out_d0 : UNSIGNED(31 downto 0); signal int_matched_out_q0 : UNSIGNED(31 downto 0); signal int_matched_out_address1 : UNSIGNED(6 downto 0); signal int_matched_out_ce1 : STD_LOGIC; signal int_matched_out_we1 : STD_LOGIC; signal int_matched_out_be1 : UNSIGNED(3 downto 0); signal int_matched_out_d1 : UNSIGNED(31 downto 0); signal int_matched_out_q1 : UNSIGNED(31 downto 0); signal int_matched_out_read : STD_LOGIC; signal int_matched_out_write : STD_LOGIC; signal int_matched_out_shift : UNSIGNED(1 downto 0); component contact_discovery_AXILiteS_s_axi_ram is generic ( BYTES : INTEGER :=4; DEPTH : INTEGER :=256; AWIDTH : INTEGER :=8); port ( clk0 : in STD_LOGIC; address0: in UNSIGNED(AWIDTH-1 downto 0); ce0 : in STD_LOGIC; we0 : in STD_LOGIC; be0 : in UNSIGNED(BYTES-1 downto 0); d0 : in UNSIGNED(BYTES*8-1 downto 0); q0 : out UNSIGNED(BYTES*8-1 downto 0); clk1 : in STD_LOGIC; address1: in UNSIGNED(AWIDTH-1 downto 0); ce1 : in STD_LOGIC; we1 : in STD_LOGIC; be1 : in UNSIGNED(BYTES-1 downto 0); d1 : in UNSIGNED(BYTES*8-1 downto 0); q1 : out UNSIGNED(BYTES*8-1 downto 0)); end component contact_discovery_AXILiteS_s_axi_ram; function log2 (x : INTEGER) return INTEGER is variable n, m : INTEGER; begin n := 1; m := 2; while m < x loop n := n + 1; m := m * 2; end loop; return n; end function log2; begin -- ----------------------- Instantiation------------------ -- int_contact_in int_contact_in : contact_discovery_AXILiteS_s_axi_ram generic map ( BYTES => 4, DEPTH => 16, AWIDTH => log2(16)) port map ( clk0 => ACLK, address0 => int_contact_in_address0, ce0 => int_contact_in_ce0, we0 => int_contact_in_we0, be0 => int_contact_in_be0, d0 => int_contact_in_d0, q0 => int_contact_in_q0, clk1 => ACLK, address1 => int_contact_in_address1, ce1 => int_contact_in_ce1, we1 => int_contact_in_we1, be1 => int_contact_in_be1, d1 => int_contact_in_d1, q1 => int_contact_in_q1); -- int_database_in int_database_in : contact_discovery_AXILiteS_s_axi_ram generic map ( BYTES => 4, DEPTH => 16, AWIDTH => log2(16)) port map ( clk0 => ACLK, address0 => int_database_in_address0, ce0 => int_database_in_ce0, we0 => int_database_in_we0, be0 => int_database_in_be0, d0 => int_database_in_d0, q0 => int_database_in_q0, clk1 => ACLK, address1 => int_database_in_address1, ce1 => int_database_in_ce1, we1 => int_database_in_we1, be1 => int_database_in_be1, d1 => int_database_in_d1, q1 => int_database_in_q1); -- int_matched_out int_matched_out : contact_discovery_AXILiteS_s_axi_ram generic map ( BYTES => 4, DEPTH => 75, AWIDTH => log2(75)) port map ( clk0 => ACLK, address0 => int_matched_out_address0, ce0 => int_matched_out_ce0, we0 => int_matched_out_we0, be0 => int_matched_out_be0, d0 => int_matched_out_d0, q0 => int_matched_out_q0, clk1 => ACLK, address1 => int_matched_out_address1, ce1 => int_matched_out_ce1, we1 => int_matched_out_we1, be1 => int_matched_out_be1, d1 => int_matched_out_d1, q1 => int_matched_out_q1); -- ----------------------- AXI WRITE --------------------- AWREADY_t <= '1' when wstate = wridle else '0'; AWREADY <= AWREADY_t; WREADY_t <= '1' when wstate = wrdata else '0'; WREADY <= WREADY_t; BRESP <= "00"; -- OKAY BVALID <= '1' when wstate = wrresp else '0'; wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0)); aw_hs <= AWVALID and AWREADY_t; w_hs <= WVALID and WREADY_t; -- write FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then wstate <= wrreset; elsif (ACLK_EN = '1') then wstate <= wnext; end if; end if; end process; process (wstate, AWVALID, WVALID, BREADY) begin case (wstate) is when wridle => if (AWVALID = '1') then wnext <= wrdata; else wnext <= wridle; end if; when wrdata => if (WVALID = '1') then wnext <= wrresp; else wnext <= wrdata; end if; when wrresp => if (BREADY = '1') then wnext <= wridle; else wnext <= wrresp; end if; when others => wnext <= wridle; end case; end process; waddr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (aw_hs = '1') then waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)); end if; end if; end if; end process; -- ----------------------- AXI READ ---------------------- ARREADY_t <= '1' when (rstate = rdidle) else '0'; ARREADY <= ARREADY_t; RDATA <= STD_LOGIC_VECTOR(rdata_data); RRESP <= "00"; -- OKAY RVALID_t <= '1' when (rstate = rddata) and (int_contact_in_read = '0') and (int_database_in_read = '0') and (int_matched_out_read = '0') else '0'; RVALID <= RVALID_t; ar_hs <= ARVALID and ARREADY_t; raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0)); -- read FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rstate <= rdreset; elsif (ACLK_EN = '1') then rstate <= rnext; end if; end if; end process; process (rstate, ARVALID, RREADY, RVALID_t) begin case (rstate) is when rdidle => if (ARVALID = '1') then rnext <= rddata; else rnext <= rdidle; end if; when rddata => if (RREADY = '1' and RVALID_t = '1') then rnext <= rdidle; else rnext <= rddata; end if; when others => rnext <= rdidle; end case; end process; rdata_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (ar_hs = '1') then case (TO_INTEGER(raddr)) is when ADDR_AP_CTRL => rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0'); when ADDR_GIE => rdata_data <= (0 => int_gie, others => '0'); when ADDR_IER => rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0'); when ADDR_ISR => rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0'); when ADDR_OPERATION_DATA_0 => rdata_data <= RESIZE(int_operation(31 downto 0), 32); when ADDR_OPERATION_CTRL => rdata_data <= (0 => int_operation_ap_vld, others => '0'); when ADDR_MATCHED_FINISHED_DATA_0 => rdata_data <= RESIZE(int_matched_finished(31 downto 0), 32); when ADDR_ERROR_OUT_DATA_0 => rdata_data <= RESIZE(int_error_out(31 downto 0), 32); when ADDR_DATABASE_SIZE_OUT_DATA_0 => rdata_data <= RESIZE(int_database_size_out(31 downto 0), 32); when ADDR_CONTACTS_SIZE_OUT_DATA_0 => rdata_data <= RESIZE(int_contacts_size_out(31 downto 0), 32); when others => rdata_data <= (others => '0'); end case; elsif (int_contact_in_read = '1') then rdata_data <= int_contact_in_q1; elsif (int_database_in_read = '1') then rdata_data <= int_database_in_q1; elsif (int_matched_out_read = '1') then rdata_data <= int_matched_out_q1; end if; end if; end if; end process; -- ----------------------- Register logic ---------------- interrupt <= int_gie and (int_isr(0) or int_isr(1)); ap_start <= int_ap_start; int_ap_idle <= ap_idle; int_ap_ready <= ap_ready; operation <= STD_LOGIC_VECTOR(int_operation); operation_ap_vld <= int_operation_ap_vld; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_start <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then int_ap_start <= '1'; elsif (int_ap_ready = '1') then int_ap_start <= int_auto_restart; -- clear on handshake/auto restart end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_done <= '0'; elsif (ACLK_EN = '1') then if (ap_done = '1') then int_ap_done <= '1'; elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then int_ap_done <= '0'; -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_auto_restart <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then int_auto_restart <= WDATA(7); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_gie <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then int_gie <= WDATA(0); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ier <= "00"; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then int_ier <= UNSIGNED(WDATA(1 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(0) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(0) = '1' and ap_done = '1') then int_isr(0) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(1) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(1) = '1' and ap_ready = '1') then int_isr(1) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_OPERATION_DATA_0) then int_operation(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_operation(31 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_operation_ap_vld <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_OPERATION_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then int_operation_ap_vld <= '1'; else int_operation_ap_vld <= '0'; -- self clear end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_matched_finished <= (others => '0'); elsif (ACLK_EN = '1') then if (true) then int_matched_finished <= UNSIGNED(matched_finished); -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_error_out <= (others => '0'); elsif (ACLK_EN = '1') then if (true) then int_error_out <= UNSIGNED(error_out); -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_database_size_out <= (others => '0'); elsif (ACLK_EN = '1') then if (true) then int_database_size_out <= UNSIGNED(database_size_out); -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_contacts_size_out <= (others => '0'); elsif (ACLK_EN = '1') then if (true) then int_contacts_size_out <= UNSIGNED(contacts_size_out); -- clear on read end if; end if; end if; end process; -- ----------------------- Memory logic ------------------ -- contact_in int_contact_in_address0 <= SHIFT_RIGHT(UNSIGNED(contact_in_address0), 2)(3 downto 0); int_contact_in_ce0 <= contact_in_ce0; int_contact_in_we0 <= '0'; int_contact_in_be0 <= (others => '0'); int_contact_in_d0 <= (others => '0'); contact_in_q0 <= STD_LOGIC_VECTOR(SHIFT_RIGHT(int_contact_in_q0, TO_INTEGER(int_contact_in_shift) * 8)(7 downto 0)); int_contact_in_address1 <= raddr(5 downto 2) when ar_hs = '1' else waddr(5 downto 2); int_contact_in_ce1 <= '1' when ar_hs = '1' or (int_contact_in_write = '1' and WVALID = '1') else '0'; int_contact_in_we1 <= '1' when int_contact_in_write = '1' and WVALID = '1' else '0'; int_contact_in_be1 <= UNSIGNED(WSTRB); int_contact_in_d1 <= UNSIGNED(WDATA); -- database_in int_database_in_address0 <= SHIFT_RIGHT(UNSIGNED(database_in_address0), 2)(3 downto 0); int_database_in_ce0 <= database_in_ce0; int_database_in_we0 <= '0'; int_database_in_be0 <= (others => '0'); int_database_in_d0 <= (others => '0'); database_in_q0 <= STD_LOGIC_VECTOR(SHIFT_RIGHT(int_database_in_q0, TO_INTEGER(int_database_in_shift) * 8)(7 downto 0)); int_database_in_address1 <= raddr(5 downto 2) when ar_hs = '1' else waddr(5 downto 2); int_database_in_ce1 <= '1' when ar_hs = '1' or (int_database_in_write = '1' and WVALID = '1') else '0'; int_database_in_we1 <= '1' when int_database_in_write = '1' and WVALID = '1' else '0'; int_database_in_be1 <= UNSIGNED(WSTRB); int_database_in_d1 <= UNSIGNED(WDATA); -- matched_out int_matched_out_address0 <= SHIFT_RIGHT(UNSIGNED(matched_out_address0), 2)(6 downto 0); int_matched_out_ce0 <= matched_out_ce0; int_matched_out_we0 <= matched_out_we0; int_matched_out_be0 <= SHIFT_LEFT(TO_UNSIGNED(1, 4), TO_INTEGER(UNSIGNED(matched_out_address0(1 downto 0)))); int_matched_out_d0 <= UNSIGNED(RESIZE(UNSIGNED(matched_out_d0), 8)) & UNSIGNED(RESIZE(UNSIGNED(matched_out_d0), 8)) & UNSIGNED(RESIZE(UNSIGNED(matched_out_d0), 8)) & UNSIGNED(RESIZE(UNSIGNED(matched_out_d0), 8)); int_matched_out_address1 <= raddr(8 downto 2) when ar_hs = '1' else waddr(8 downto 2); int_matched_out_ce1 <= '1' when ar_hs = '1' or (int_matched_out_write = '1' and WVALID = '1') else '0'; int_matched_out_we1 <= '1' when int_matched_out_write = '1' and WVALID = '1' else '0'; int_matched_out_be1 <= UNSIGNED(WSTRB); int_matched_out_d1 <= UNSIGNED(WDATA); process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_contact_in_read <= '0'; elsif (ACLK_EN = '1') then if (ar_hs = '1' and raddr >= ADDR_CONTACT_IN_BASE and raddr <= ADDR_CONTACT_IN_HIGH) then int_contact_in_read <= '1'; else int_contact_in_read <= '0'; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_contact_in_write <= '0'; elsif (ACLK_EN = '1') then if (aw_hs = '1' and UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)) >= ADDR_CONTACT_IN_BASE and UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)) <= ADDR_CONTACT_IN_HIGH) then int_contact_in_write <= '1'; elsif (WVALID = '1') then int_contact_in_write <= '0'; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (contact_in_ce0 = '1') then int_contact_in_shift <= UNSIGNED(contact_in_address0(1 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_database_in_read <= '0'; elsif (ACLK_EN = '1') then if (ar_hs = '1' and raddr >= ADDR_DATABASE_IN_BASE and raddr <= ADDR_DATABASE_IN_HIGH) then int_database_in_read <= '1'; else int_database_in_read <= '0'; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_database_in_write <= '0'; elsif (ACLK_EN = '1') then if (aw_hs = '1' and UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)) >= ADDR_DATABASE_IN_BASE and UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)) <= ADDR_DATABASE_IN_HIGH) then int_database_in_write <= '1'; elsif (WVALID = '1') then int_database_in_write <= '0'; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (database_in_ce0 = '1') then int_database_in_shift <= UNSIGNED(database_in_address0(1 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_matched_out_read <= '0'; elsif (ACLK_EN = '1') then if (ar_hs = '1' and raddr >= ADDR_MATCHED_OUT_BASE and raddr <= ADDR_MATCHED_OUT_HIGH) then int_matched_out_read <= '1'; else int_matched_out_read <= '0'; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_matched_out_write <= '0'; elsif (ACLK_EN = '1') then if (aw_hs = '1' and UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)) >= ADDR_MATCHED_OUT_BASE and UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)) <= ADDR_MATCHED_OUT_HIGH) then int_matched_out_write <= '1'; elsif (WVALID = '1') then int_matched_out_write <= '0'; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (matched_out_ce0 = '1') then int_matched_out_shift <= UNSIGNED(matched_out_address0(1 downto 0)); end if; end if; end if; end process; end architecture behave; library IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; entity contact_discovery_AXILiteS_s_axi_ram is generic ( BYTES : INTEGER :=4; DEPTH : INTEGER :=256; AWIDTH : INTEGER :=8); port ( clk0 : in STD_LOGIC; address0: in UNSIGNED(AWIDTH-1 downto 0); ce0 : in STD_LOGIC; we0 : in STD_LOGIC; be0 : in UNSIGNED(BYTES-1 downto 0); d0 : in UNSIGNED(BYTES*8-1 downto 0); q0 : out UNSIGNED(BYTES*8-1 downto 0); clk1 : in STD_LOGIC; address1: in UNSIGNED(AWIDTH-1 downto 0); ce1 : in STD_LOGIC; we1 : in STD_LOGIC; be1 : in UNSIGNED(BYTES-1 downto 0); d1 : in UNSIGNED(BYTES*8-1 downto 0); q1 : out UNSIGNED(BYTES*8-1 downto 0)); end entity contact_discovery_AXILiteS_s_axi_ram; architecture behave of contact_discovery_AXILiteS_s_axi_ram is signal address0_tmp : UNSIGNED(AWIDTH-1 downto 0); signal address1_tmp : UNSIGNED(AWIDTH-1 downto 0); type RAM_T is array (0 to DEPTH - 1) of UNSIGNED(BYTES*8 - 1 downto 0); shared variable mem : RAM_T := (others => (others => '0')); begin process (address0) begin address0_tmp <= address0; --synthesis translate_off if (address0 > DEPTH-1) then address0_tmp <= (others => '0'); else address0_tmp <= address0; end if; --synthesis translate_on end process; process (address1) begin address1_tmp <= address1; --synthesis translate_off if (address1 > DEPTH-1) then address1_tmp <= (others => '0'); else address1_tmp <= address1; end if; --synthesis translate_on end process; --read port 0 process (clk0) begin if (clk0'event and clk0 = '1') then if (ce0 = '1') then q0 <= mem(to_integer(address0_tmp)); end if; end if; end process; --read port 1 process (clk1) begin if (clk1'event and clk1 = '1') then if (ce1 = '1') then q1 <= mem(to_integer(address1_tmp)); end if; end if; end process; gen_write : for i in 0 to BYTES - 1 generate begin --write port 0 process (clk0) begin if (clk0'event and clk0 = '1') then if (ce0 = '1' and we0 = '1' and be0(i) = '1') then mem(to_integer(address0_tmp))(8*i+7 downto 8*i) := d0(8*i+7 downto 8*i); end if; end if; end process; --write port 1 process (clk1) begin if (clk1'event and clk1 = '1') then if (ce1 = '1' and we1 = '1' and be1(i) = '1') then mem(to_integer(address1_tmp))(8*i+7 downto 8*i) := d1(8*i+7 downto 8*i); end if; end if; end process; end generate; end architecture behave;
gpl-3.0
7367bcda35966e544a7c0403d7935422
0.501289
3.462462
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/twgen_quarter_sin.vhd
2
23,317
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hwRgmtQocg5bbAB1543jbp4ZGpNJDzkDgdZ3ZSqAAKn+2nsaPYXCcloRBY6bVPu3ogvt04y2Miuv ZrZMMZGuig== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FK8C714varR/yf/sZOkpi1qPh2so5fSe8ad3NvTyHHg/U+HNojL1JC1YDpOPvn8sxTKjk/47mAOc A/HrWF70j9CExDdWjvKRvZ8xedDBMksqa4oLyzOQ3fMHLU3FiWSqI4KNeJ5/AQf+0WTU3k21Nt7p guJHE019AWiNeSM96a0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WOfPKN1pxO172BAIZUEywKIbMGrA+l5oXzuFanCzVmDm3EpI2ZM+xmQcyB5jENH+llCVrzUzk19i QWXT+s7MHOuC6NxhR3HZ39CaZvDc/6waJoch28MxgoLZBdoCk+BfNPVYExRnCb3LDZf3804j+oMH QgPDVpFKcO6YC1AaedgtN1B+eNfFQjwe5o/wZiRSnl2VLMxVCh0TilgT5Vh4HEeG2VBENPCQyG8/ L8yKisPmkprNZ/SlFbfk2XJ0PbIDbcc0fe6EoFKAGBCDaWWsenHJk6ajmZn4+nxEmmJgirR8JjPd HupxGytOUED+Pzfrf1vFNmse9rt9MPW+99FQaA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3kous/7M0H2Y0NL2TYBpWV9rG5aJ/jE26skRxUPymygWScDz1DZhrWu7PI/eQIWsfPaEqtn8Gh1M pHy/g3ERDpc+5LeM+3Au8eFmufWHvvbZWDCPw+1T/+4RDYTUepNyUI+AKixBHEhCBt+d53h6+iAp wv6LGafKO3mXO+jjWrg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block J1Dv01yyagvQuNpNX3KdzACe8qYGJdzu3+LZYcybS+uBDtnmfcePeHmXcGXNJqzN6zBwR4jmYx+f bMF2YF8wCNbSHrCOMSCK7t1qMo+iNjP8XT6EyafOVOhQRJSNq7Zvb4hKQq6Jn7mLohcRw+3yNL2U FQYB0Hn6hWeD//DU1x1jdiuoPMapjYUrCO1R0ld2qv9bJ3Zkx0pMy+9a9y4IlA/Nj+82YCDs4VvD 81ReXoX7LDlp4gNtkkpR53Xf6FI3WQbgjZ4mE+aliOFqyahPXc43zQo7CqSpRRAnsjeovOFwpIU7 IyDhe7YU6lKUcz0AunkWVtcI5lWqdbkSjgkiOA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15520) `protect data_block SHPiNV8zHlQO2L+dijnvCyl7AJ/EdJQmN8EkKxdLlt1X/yp9n/4ZwKVBD2YfVrS/82T/1wYrg3Nj GXf9EMws73b49+WkqFY3ZiT8ROCUqkq11y3/OgbKAWmTfyLzA6CbPYDD2EqcLvBurgxBMcEnRQCx AEfPSRp7kjuamuGW2IZbKMSV9T7KJ6LjxuvieL7SHpDUU+cjwHXALqkW8NYxoITQDnLy0ckiNAw+ aT1h5DorYwma/Q3Kp4pgQIhmfTZ8ysSGsvNrcuc7L4ljRtAgf1R4i+wJ3X1fyXhftSytiyl+udrc RvNNAkZ7POGkA85QLP0rysJlWAWJQivH3iFryNGkZ8B7feiQdOeSLNU6gGLr+IgBs2CcPv1sWke8 recdkRZ6oFmWbJfTemMjhmYq0qbFQYgITwx+G/rBc0O19BHouw8Bx9lHP/w5b87RO8J9OG4Z5q8N eYpbgNSSFo7FlyfBfOpGQ8MEYp5eWLdYCxpgfdqNI4FHbjKDQYgKvM+qPaKkmNiyACtKg2bwyIvx CF1d7YtqyGdI/8VoYJrDggl4D+C92RfE8HhzjkMLUQdNOVEZzotCXKUASCQ3HSEWpIJN95UGjtLg JXv+VILZNmVGM0K6gYjhb9gyzOyxVo5kCCbxsR3gREjQ26stIHj3dAo31oMQM/OjkPpe1zK0pOD2 j48GNp75W4ctnRVqh3279LK3817Ywiz8sPlAN/pNmq+gRcf8cpF08WMm1UmbTqFpSWtn3QrTEZaY YEIzI/Q7fKfBVvu67W492lACl/8YVe4FKcwcXqNAWR9YXIa5VLRqdYbusi65YV4ouPMsR5HG0YuS S1VZ2htHbDyGkvqRgo4pLX1xnrmuh0wkOw7JYEZb0SqNMq5wNOKfyU2zJ9rxiylRwGQeO9tyl5+l b2jpPInBTmgtXduSoaQqbJSVhGK3BY6+T8jA49KNVrZOJmJltJFQY6wTx54lyuDY12OtVYTFG4ZY IBxZLlKq2HhmNLJ5CC38L2eOxWAL6GzLlXtV4y+ma0Bqb0xRz9vW5SvVP899M6f72FZXkJlCZtx1 aOif+XxXvxmkgfQF9+4LUR1O1venlJWXa57kKSc+0p0s0wkJuWcoo3DsMn4UAXJAMMrmKK+Qs9xS oJc/Zc4BnVsJ6K+u+pwdHP+PecG5UDJ41hkJmjs5JiULn+Cy53CCKuvejbN9xbf5htRiYwmgMMm5 JWQHwsQiDJgXM6d3GTW1AHeyrCSu88i5f0+j/z5/NHd+w+va6ZLOIKi8nE+Ck0cVA+xleV3j+iWv jlKvsz6eXgAdqcbRx+58MeyzkbSZ57bUs/3UCndn1i7B4BZdMuujf6+c9SjgeIIBP7Yfie8zurh8 jV0q78NOGZjvrwz1OGlncJTqW+ctwmnJWXVQVYfnreVG4BDu7qV7YIXU6gjmS1QTa5889DqcqNbP gAIytx1LAjq3zthYUqamgOWSdoiPQgN1LXPrtETGa9G1vdpRjtvhukDywW0dOrQs1rYyHGF4Lmnl NEzNvyPwyNqseUqQOt042rS0Luyo9Op/z2jZ88DmQ9nHAShZwo6U+d8JjaV4kxGcFha6qaPnjVde eEiiXnwW92dceXxI9aiPsEhfhKTRgtrnnOPe5R/MEmKI21OvlDohJSWkbheO1ZJH/CjEBm2dlAjo lEHMTQnWqiPIbc5uGS219RF66QV2WNuA8Hg8cJPkq7fmmtpcBTtkDsdjfpuqJquI+lW6ngEkQhi0 dhllCpW6RNBZ9keiBRMFqGR5u8P3F6lM1o1KGiphvIEOW6opXtogovlLZiX9SMLQDx5T2QaiJcoQ pz24D9wi6czyWirDA1EQJ3sy1oQTzCAmQ9zulTEerQdnBlJQcxkjZM+mbDodgaMER3zrBub5VllR 7UsMtJf4rLIol/GWSAWGsSVmPhyLxRnL366SjOAGdaP6TrOuedMr5Q0YMQCkfGz3+DUZ3keIm2cA fjFWaLfFLvrwLy3Un4rvgcmQKCcVD4h73XnpaQTMDTRDFien74hMTB37bM2f4gCfw/tUSQNGGAIU WSiysbjJLKAJaa0z9tX7QqCYhbv220kOIPJAXXo7ECpLiOXpJltA/pBYeU/jzKGCYkHfWaIt+3+K CUS124RgYNcfdIqLt/XKpZOMRd5KsyMfbQiluAP5Ny1I1wKW5Zr+HULak0Zry4eNJnBStp5chW13 YfdHjeTbLrt6rJS5AZULd5mWD+1LWlvr+GGDDE93uyUYtBi220WhbsfKifeF6XW7Dmg1P0bk0/rv PEq4j7YO2mHuVAsylAkfBOmlLNMScpuv0ws2SO/J0cNR9PN/+IfC5lbV5E8et/Kr/l3MS7Urylle jtbgnKKsc81kUFg3+xTuo05KWmELvnyGRIYki/XbXB2giAVxFhB+Tyg5E2nkWZzwrigir3ngHmz8 1R9mW/11G3ldNVF0CZTmgI6NCQLzHRDfp0IlbIb1yb7MuVgEueeq3YGx3org29Wg/S0rB1jF3eb7 EMjmuUNviMZu62R3Ne9ojnIWKq9TqCOcVTzhEozXa50m7zkDus+B7B2k3fA4lzbGd+jx59IBh5Fm KeWqjbEU76QV39QZI2WeueJ3dZEgXbfVPKZeSn0Vkzd40NUdZu4j+U6tSaTBbieOo1Mhdjxj6F2v Y8dGbnmtPYAtp4YwAm/6gGqq6kovxd5s4NAVL+YQ7HC9WG/f4pYwjLxgW6e8aUfUBXl77qC6rwe7 LyAoRf3OPEca+RrZ2IwWH9F5KC22hog4TIhqeWUIvI3LfPNt4mFW4p2slV8X8w6qoPRfOFIg/917 seBMkzV2nHx1jl6Y6b5rn/LolxiNtjx+9SKXRdzf+HijTih9w7FBi3YjMI/Psr0PTJ+gWSHbQjPs adfgN14tia7fIDny/8lgAIHunZh0oqreeSpEh57Jq+4cBguP5YucPBDho73zRWs1pAdOznlfBWR/ oOWzlsq/TAs0751qeDhcBRa32Qa0utRlLNg8ltH3pPwiBCX2LzhKRCaV8NXgVpUtjB2mH2EtqE1i ++UAwWKXXE6P3ZO3IH6FDlkg9LqX877Re1kHK1v7KRz3ZeusRPOfIPS5FLI3pX92g3MO/IuviBiI z4JrDajm2f1zkQt5tOZJPpYd13Cv7ggzBe9TmRPuU/Nv0u3+0nK8mGFhV/Y8UF3CSQrcqXVgIrrh NYkK8IkydKJhAgIRRZTP4dWakGvUInBIi+4BpOZ38ArZ1CoFJDNT8Ehok63pBot9Xype9ptG/JVz tR7qZgDtP3TkAQHOED5bWo2SPGGNetIpXqgOWmr2idj2CQlFHfcYf164hgOkj3D+JwEP0JMRkV83 zLSOnxnko/lIusp2qkXWZORvXc9ZkOtg32b1oNWaTM8KLJbZSvfoHw+2tu8+i1gd0uRCK1DWLVqo ZVdVggG7K2SvBCvFOqOyMjdL0WpOCUCj/Wqx/ZOJme3OIDEXJMe6ZpsKhEcoTZvIqyTb4V718Umb o5uitY3ivDqM8MsdchZ8Q8nYofv1mNVLLeqoMxuZbRMWz7ffgHtweHc4ASPNsGp3HxPEWqRGwmc4 7TGrhN46LB7xjwzwquKhS+ma8opG+EtDpSNJ3iyoaWXlSWwdnRrToykMdFfY97eYpNNQqwu8CbAh kdUYBWDCOzr4R3GbmQoMbNB4A3kWGTWfN7FUtAhzIY4CG26PYs32AMz4KK10g5zUL8P7E/8Kg0mR 56ACP25xgQB7ksvQWJ4dDiMU2A71D2zN5Ph/w3hxLLZ9Z/uMqvezFnD4aSAWGqyb7oRHUmFYNZG5 sZpZUyCFBcCrKX6aEMreK4iLvNX3sp30WCMwoBP+Z945TUDjwcCUIRBYBzx8/JCaiOoIJKwLz1Dc oovlS/YLQweRP7dp7Ytgod5hgKmNnEvidA9iVveojU5be030qPx9iM2BajsKtCiut0OqE6nqQtif ZxX4r10hvjBhh628aRohURLS2tgdgQ2I16d3MeJX93e/Ta+sHcf3paIkcEHFzoZ6GHSloPN2TC0y P4Voy75Xn/NGdnv5cw1q1NM2ycoCAbWzx3yBVoMWeOqniBKE+YIb5zpRZaWrVEuun7LXqxWgv17h rzLXtW4t/41npPpc+YR58203IfXSlVDcWAEq1V3RBpSCgsDweuR6CmWDRoqPO+dmkvuFy/79Ts57 YDUwW1/0KhVO8rcrP4oTH9OnV6oWa8Qg0hFmD9553j7DWxR/awms2r7zX0O4wVfCW/aqyPoYiE9X bhhgJSbwSRZXZkPpBJbD68MfIJot6RTl3vfwgzpYr6Ps4wTjnllGXdZPatUFcDucHMPFZxZmXCuf N3M20Bea/eM8FlF0ot6/aix/IZ5lUuknESlUk3CBhVeECfqbpA3+tI2znN2ZSWCNrZf8/HSYDhuZ b4TOepHjllskU3mX8XyEK2OryWYfIQYQifZ/o0ocZ7DCo8secI1YtKkQg2YtdbJ0RVYTxbbM915+ qAgH6qys5hfN4aen580XBzTQgCpgUoHMBIwRjSXlqARzC8tMG8Fx0Q5f67wtcxHUM1lLT+2hLgT9 baVVJlRiUgd4w3BkSJY+2suvMfwCq26f0wLewAmZpFCCervABgMYjXSFRreFgYdLkZMr/ei9fhOy dMg5J9xkDNwS3D0FRdZ6JvrXBi3CaQ4atuf/mkpA7wXWiOwpDcSZ8C9knWCz2sMdP91iVK9h+vgT 4H2DwZ8k1GAd0kb04kOCsQtqXs5m7E9ZXQxVNJIMrIC0hFuu90cCzbfoYTM2kz/i+ZaHgVdZMjDD gekpUnX7AtOY2MkScCOacrst5jI2YTegyaCsKG15dlODAq31pCfa4NSw6JJAzEX5r73+TqCLkCiO uW8NrcVHuryJGHE4Q/KfLqyhPyZJyft93ZjJ0eE/TphdjyxL5+d+QbB8sw3Szcuy5+uOTxvEp1AJ s33t9jrCjdLUz3wKGyh0RWRIsDqzJ+jdOMeXP5gNWxojIlQVopwpdYPbm5xNOJrWRjWRiGlXI8wS MYJA4ybTx92BOGLkAINvKL5rQt+mlXdbgp9qrViIMdDf8LTLc1PDFcOCP8yulPpBPWjFIJhmMgnN RIZLzm211yHUBNYuASab97KY0Xm+dF8Q7F0elJpZN0o+i+u3wVNNRpJAIzElf7Wwm9UOsXPteTDK vx7RFKpD6flsEkCSwz6/9U8Yh+NhQ8XwxGRFlqHxUqCHhhZiv01Ae92yk8xUMLKIGTVMYZVIJg6z 2IXN+XozCukhHFHILIFbcUD3qSMWSfWxbdjoTnuAI33meefJk/GocOq2u32K/kF2zUruqB7Qz3WC vO5gV3xWUtAbTrZ+Vgl04PojZPlov5jfpELKOP0OeAjiiAgFgf4peTYWiE8McYnfGoJafGuQVIst MUo2pVjn/anxxo0HPNrfDNx1KGw50Fwx82DwS+u/TN+rD5OR55SEE7UTKJBPTHmo8RRR/r/seGNI G67Moakie1Ed/YZB/O4QHPsMb8IlKH7Ax6Vey+bjyXuPdeaVEE3+gvPEEri0xn7dusH9JmkW7njr dPBpcoa+pokn9uoeXXW/0JwBx7Lkc3buR+MjN2razrwUgQhb+0Zkk4/Rb+ixmS06EyxyH5969AW4 LLLPMYKXNh/TcG337ysZHmoRq5WoFbWdi2xs1M2IaW/Nv2+Jc6Jj8YR9rYycxngtUBN+dKu2PRxl bsSN+g2sTx8nPmUaDCs1igMyNqHQNiI4heuSsPiTfYx8Ysge9d59IE5zTOG9hdjulkGZT+uOu8ZQ PqJNVdFoflMYNaHcFFJjR/C3rlbgHZkdE1m6Gw4DjQKTDdWQYdwcBw0XRNkBAehTzQms0G3eMt5k CSL+V1Z8uEnx8K0tjeJBPebr/d64V51gC/P3a3WA1llPesctCrqal25IDLAtabSnES1R4iIvKDXE 5aAjetNUGt/O6KkPj9uFBnkKjMt/fZoR6YFU8P+a0nVzuKEEQnZRVzm8L5EtBEKn6yg3oMgh2CyZ 0Q2/mQJ/ghpixIty53oiWoQykV983OgC8s5BWBXDUAoD5lCueni55gp4Vq1R3r96SmOu8Nj2GGrr rz9XcJrlFOCvWKSEyQB2BqLxjJIXv4NEdlnopOL6zrsbSrh3gVs3vcqwH7RhdJV4Bqc4F2q7Cq0L xTWNGsgzC6M4ufWv7qHe1vN0Q7zz0m+K1oI4WJYGkMs4V1IFYIwckV+n1Sk7MZzidonDJcq/HKwk kV3Ln98KtpjsVaFoNTa7bj2ygElFsOZ9C9t6rgsMpbOE34cCmqBecHJJs9N72vCXHnCCrw8tHmw9 YQC/vNHFXAutlDKxLMgV5davWfrYVpb11ZsyLiWamIt5S8F5zdmJQ3mbfwxGdv0iBSBoLT0QL4Ft gm3gjhJMSECGrZXU4CDzzqrfpWBY/sYKbW5CFv9f3qR+S/lTLuyJV4/WLu/cqRiYKaofPstE5QSa TRQba/D6Qtt3MuNx1YlLgkiG3hZlop1gO1Hqt5/uNLA+Bx+lynqVnR2qMA4Ys6OUN6t8uvAL4oFK jcnkDI9iV3Ye4RBIcmORvBXMcO4DvGX3BCdyQwT7QMmUIvnbSIWxOfdgq18M96uigRckN7YDrdoQ phP0aVezOd4Q/8Q30hc8aAYUYGdhsCTy0dNDS3dpJZC3nBJn4Zi+Gq5ujuUja+bZdnSblbh9TsEN e2Wa97Xq0lKZvkmyUNhWp8FwljVZYIZ3zJG+DajnUJLJUVuGLQI7zffQQ9qNGBySEbyuvsgrBpJx 2ikcQmk9sZFWoptrX00nS6y/qi/aGSGSdTfbtVzrCZb4OpbxoIa/aW2ZWznyLiskzPvhtDsZVH5X PX1Pcnq1rvvPzUsFYWsc9lOI4X7lAJIEgw1xZF9KRgAWp+RdWR9NTrirPPsQxqU1e4x0HavGRERE Rm0AeYvOwyXyWYXy1C1RN6X2d+QdNoR2hAASwI2THdx43ofWCkzxR79cVoPMMzG9KUbDqewPogef uNrDc9CeRDExSo4BB3Ta2WTLcI4erfpakB56qmZoRu680jVpTFK3un/UAH5D/0Nku64xEF9BiQ1t MDX5qkmZeCmfKI2i8CpH8fLxFKnBY80nm+Q4PvAYTO/nMwkmqNSLYUdxSzHfro41SauBNxLs4CI6 PA6mJU9m0bWu2GstfyJG56hUqnI2WaZqeSQi/vq8QPnxE7uqyUs2qZkY2ie9gyCqhOzemoNRkyaB cUcsHxKbvPhtICa+xBw8ltELrlYyQaX7tP32qxMGllivCEsJiQ1z+JK2MMLlZSnc/rEkcexmV7zO FCltvVSfma61A1M1s4X7zkfCnRfHanAVlONvq6dQd46lPuRawIK3QliuyTK1bimq9fqfoNWxB81Q XiNDQCvbD/tPRnzJ8W7iAUQ1fkF7IJMJUk6avgCiitipcck1mkVebLaM1rmOzuFIyTgxPkjOmVGh KKhUz1/PIzhDTBn12SqdS9z61fawYdiq45M0E+FAd5fpg4eD2GfmPNnQXSQlO/quMnn8EoaviLMW 1Kp7NLyUoabaA/JqMtYeHD9didMhzbxcfVzqaS5CdtVxr+GcSAh1sgkfhiiwRfNkuz6ivBzzYgbT B81lmXgvIyvjgOrTBpW/7FlmYs0Mq0ZJnvK2DUGwBT+b9Jao0ox0+9DyhAms9PooeX2CgOiFB8uH XFDmkakUd/CfWsqMn59yHfo77EAFmcOCeGHvgxLUmTFIR2YGIXhES+qBPZpQszJ/89S3iqQt0iN2 xBGtNFwjiwwr0KJHV2ldXmAn3fzv6rI57j/DN3Qx3Qz5W09ZkfnsH5L680Vi0COLyt9GIpYpckeK qW12TP0H5lILAj74NDt1yWq5tLvz1pCBpVS8V9Z/1Bjjz3JlGI7FkHMwZymW8qt0Qy3YJjdtuUmd NVXHumPIu/fxrXx6Op8hV5hbAoatFkqkNO9awVUg9qp3oLLyfqPIndj4+YpiPoWm5aBry4Uz+/fL CAg7ZVn7oAm+/WXcZG0H8t8KjJpzLCmgAp1E0XOSjgPOolrxeaIzjsNtdsFDPPu3Y8EpFkuvkFxF DtVtAduAqJWZvGae5L/wki/lLCVUU9Jrk98XbwHX0SKNXc5Cg6PeuNEgv0EIC91dA5LVQCSH5XXp Ukrs6Zg1LEKXt0WKzBDl0HiSyn/FT+l/nkekjLD+WxVhJtTszJo+Yt/Jna6CQPAFCiN9ggx4dPIE Wji2tNLhkRXifu11jQeYJt/vXjPKbVv3XgZjLotJYwR7kUzqrzDYyLMUE41DIlFAjjsrSwDHRjXb CLhJCx+mN1DoZj0uNvvYdUfU2ldwhW75hoNlcrozCiZ0mOmDNIhL/uTwmY/9W9YHzsBDTBZ7O/VV 2JfWfcEgakb8eaEmw1qFVPEwquaHvkVIo/Wyt1ynY/2li/qZlGtTfuTSi1QoZar/cP3s6A/OipOH oXKzY4fUNkHvGE9a5mWUh2rifEsficR8Wti8rChgYTaBvUjXGBdWlGPMWO+7TojXrKIVh1rv85p1 hNlRvIItzNoVx+twFOyCi2czX8otV/hl/Y1Nn2SkfZwDNctZVDgIRPUrGtnZX3RytHo2Ld7YdX3I 2+YCYiT1RhKRfEmbjWZExPxy+lT+kdEnOvyyxRT+ZIrRXnRas68E8vU1KGOn4/x71zxZ/to+AUhp tZAzj4wWNnTY8q99yvtsmzUnCGX+MEZqc1wvNsh+g+JJHNs8nXeCUVbf9o9fkLpJobI0UPMwAA2t UfDo/mk2NvRhYpjZUmOAoLhg3VpLpc14fXOh4oVoZdZu/52Nz/ZnisRSeTsjSc0mgy7M8MFaOSa1 ZD8vWCt5n+07nWidSORvIk9mIhtHC7Uus78mXd3g7tfvx/iltSUzrAfy6BbHl3vpolg3IRPB18PG BDY9gNpOmdWt24rZiDLPbBPVF4O3Mk2wogG8ejF+xyG5bNyrOxW4hpNOYmxkrzyvCJKIZF532cy1 SUFiykN6FQJ518eLwaENIDtpf6IfQNIMpQMYJ/74JIyDjmKi1hWMRKuVlh7zHo6T3r8saPb9q9Qn ad4k9cTE9UQ1qBPjvct5PfRQPsBfr2GPSHA4Gt5OplLfhfhDX+RhvfMhLlTuLofsozukhegX1Cee c8XkyRAnRB5UwvIpRmi3oWb/03bx5u1nuLAElYyGXQACGCKVxlMj0NSrKTG4h/m3spxpyHkoH1xc OSGkRoNZ4F7QIvULz8tYTnj+TRNTH7/eVGHh3t82GRszwdzQvJxFveSubbwnWYgXMpXZrEHHfrA/ twdoxJWVNS0aaMG+IHU0kikFwjJHsTrOVEv5NzehrwY69W080NxNMUzYur9I+XwZXFIhBcJmnpp2 N38jry2SHrT/2Tn3Vcvg++i0tclLZ8JRj7htXSHW13EnJjMvG2vpIW2ibOpj89gbLeXg7gexAR42 IzbA9bnFfIc9fdxfEdUtQiDDeOs4YQwhHVC2hoHjOjO3J7qVo5PUQT2O/X+lqEXtjQpo059YhO9B dVQGsBNPJCIVaFcWgsLPjwIdCE8QSjj7uXSf3ewskcFlYDtLWsvEMc7kpZUBfmSkrZcaDpans1mm FbxwEEB0Y8oLElFp/OhXU+kUR1h7O96lpg9+uPNtADL86o6nHUw/g0QtDUwU/WJWx2yvaowU0yU/ oPjpyebh5wIgDWZL3GSWgjtPKYpmUJ/3RJ3VgIb06CjFJfb4szRwnnJ4dJjFUK8X/b7Xt/CojtUM fYPQVHuq6aOdG2G3xG4zNZkOzveroyxzKirz+Z0fCVpHf46XnGFvHGsej5VbYJCbniRB1hBSpQTx nEko4ITxOuk1dhz1VVthnUJrzPQOtfm6AQbwtR6PXXry3US8TaX5ghwa9hKSADUbUm3et7UNLEVZ N9VBfptSjCXoGGAfOKVR8yCnsBfZRQKstiRNvXegpHfIkcYFETr+jRdHR4rS2NcSm6r3ahEfpZnr QJEgUyOFSvZGj3962xZTQ7Hf+5ktJUH/bJuRxUYjm2MAb0gmU/mSAgiXiADYVi368Zs9aszsAake gc/B3MCYmteNC82qcViPwDCi2B3WyuEmfuP+ZzVhD3IZHGOqGmEi+jJ5mZZR5FqQp5sRwFmNq2I+ ia0SjW4YK9NLMzWZLHUxadMQF7siqmGAIfj+SmmlXWy1wXOeN79QV/5QsZghblfQDRB1+uyTn/2k sh4SJlH6UR9qVfGKPyyp5JWFs00FfAVy6Ir/f4Z3scDRnIA5gnb+kYEcWnz21lfSKYiobFPFEmoq 0r/r0zvir58qaf/JQWEtZuOL2zhEnlHgXwuA/e8RvPaWQ0/uG4ppGF46Q0H4WF/e41iTKIF3n4QU K/l36iJ9yDpdrwtlStl+x0FgTNAvZrO0i0oUiJJnltKPUWORgdWnCmM2exprgC7e/Ztt46v7/RHJ 9TVVcU7Vafjx/ixg1nVjPOzCs+jB86orZtA2f6aKC2pQRkheOt9lw9FvOT5Y4tfLGIPczHRAuZt0 8wAmXpZI1y35SC/9E5G2gZhu5Yxa3ihn9Mkm6FCWBpVvFBNqvEISGuKFXr4pGiOvNJxlYC/ES8gt evQIhtuqi2sa3z4Uol56mod0lTYPq9zBTrvy7rxpD86S2LSO5rvfAs4qxvTpnRzXCTqp46lXAw8/ YJmMQuQlGjGkhi/reGBGLqIvyrt7ZIEzFjeXP8QEqMDV98gYqKNv7Rbg7ChZ8tD/p85nvam9ydOh qopRhvbUx/R0UlnQKQdVjrYs1t1mKFYxIMloBY0fBi87TrYWjpDNts0rgYUMoxYV9959H4jN0oBO 8hkgLxUkoRTj7q68AG0D0bwEXeUgLuzTKVUf8sPF9ECQO3ldPI8SybF+cwsNNl+AONYooYF1qTL1 pwkVDwE0NMVDloPMMuJL3RWIQo6id4Qah+BG5mps6Dzr401fGpZdOnTutXvW1JOph4uMkQBtweRi t36M/FuZjLyhl6orD4D+WamWtP6gUY5aIZ6Xi54kX1dyhqLFRgd4KTj0rg/9uj84VPFVMYCN40S+ +XOFBW33/bMhH4QSKPRJbmsf4o9PGGiTcsIMHtp9cbW/fF7hI/gxEUjkZrp4zhjXGqbbWx2MuR/t JTDcKYxZn8GTvMzE6/hggwLcQhCEK8OHLgsETeRcYHn0XXVNzthCYxJCYWf4YK7mV/o74CXgjXC/ TRGb38WjWTD/PaJQjEL3I/SF2sA7k7RssQUoMNamWKM+CoJuW6h7WscU02//rH0XLPX1m6i2Si2q qECHUCY1X3CcEts64WiG4Mt9a/Fo6JXNtzUy/GxCnDBmJXscyKxx6P1DCkVzTmr9ewr020BBIbds g7vSj+lSUR5Z7lbUKqurYJBA/W4ZPK0P6ANhNCUN9AhNFJgUiUkmjckqNLyOMay5EQl7glhusCgH Xi1yT0zL7iX/nllmss63xE4neYPa+/FjB2tU8svAmR1N4sd169BtAChBQlJomc9k+HEKxRyMaZvj Jefa1HU2hBklPHkHgZjTYDC0sEEQYpkWEUrUYVTKEDOaltOhOBINxJLpmnnEgwER2AZUbMez/SDg EMXqVmw0dk/9JSBz06raXMagm93Zz90yuOvSFEjIk2wIslBkTrbghIIZP1cr1gYw/FWIOAGji/ix dRu5CGZFoIgTVaTBf0PoCFdbWIJHu1O5XDpor5I3Gx53qv4cJ0gFkd5LzuRYWcNoDXoo2XWTP2fa owaPtZlenTq3tn45x+sRPw6BvhvP9xCJ/W03ydhLus2MOeQMc216UHJ3DtlwNhH9Ro7wFykOJcFi FGwzCZcrqcp0fGjKy+Sx36Ak/MsjXwL8J6NGiMkShL8R3NTp+1Xy49F0QdAqHu/m+Bal3W0XMH2Y A1X+Uk+ezoDwoTeZIFFHMq2/i+nLyl8M4946Zsd5W+dTPW2dOaafV3HwHiICFAlOsjFUOXb2LDBO WRVRvKpWACKKOc00M6vJwVoDICNf8+5VxB9OrpSP5UboojXUtMQB0LbX2yzmM33ILG9iJ5pGHWja gybXDW9NCmFIjNwwAUcQ5NtUtuj5gvJOUSVPv4i9tPnhtxgrBt8kZY70VfavvOyKHJqZ6mD6C7g5 HoJzy21DwhLnj+oSBP65o+Rl4NbFcOR0gNHXfZXu9H5OZxWvgmZ7ycsps1EV3yTxSD4Pcs1P0vhC scwRC7tihMCDJ0mYI3e//Tt/qihhFMqQB+jQQxP82QXMLHtcNc7vY2nUF5slJIqADW0dkUe1MZ0Z ya/gacoIA4znmkiEICIc9tAk2ZeckhPOnBjHzSImrwljvBLLyst1dfslRUa/47PoBMT8q2mCL7Cn JKfk5sJg2VNLEa6UnjX4YskciHx8NKl/23BJ8atDnOTlb3AnzkvnzmNJV09QEUd7k2cXxcX13/TW bp8sTWNU0w+n5oXrWRUm/uE+pWIy9sdb78t54SohXI5OkOvwbjanamc/5lLvDc5g897E7yDmBBVI eStPbK0W5nGWCKK1jKadX0f1qMssr/mqVxVO55soREr5hceT3e4BEi/yn8wO5PlM20eXHthjDGEe mMeedGiQSRbWzCDhjuutZx7rEJZ7TbUwuz3r96spy0VWE/OLYAxDAfarutRAjVnNrnkDWegiimWv stZXds25Dga6JzORXMxd0dsNQadvwzs4ev8JxRDb397qxDmNL6Xnoqs8mM9s/Cchm/MjGR/g5iYM 9Dg2paUkg1Pq2aVDXvRssScL/OVl1/uc8kxNp1T8Mv6ZJ2FCGqKL3RHzaKeLNF1naz1ES+Eggapt LJwlyoaJEodiYNUGlxIcUhhUw8YrkVGcMqDaDM2aauila+J9rZp6sw2wl4GNbYUnVfhegCcVznzZ yELVa51A41bez/adf/+eVsMGEYrkCs26hS+1X9tklN/lKujfdV/8ADy73x4EstBVl4Qc5e5l42mK hOQEi1DZhlrfglTJo39MOMUC7K4ocmH5plaFwKq0RbDVGSkQoZRlM/mWigNCo03OeJ8SQkRk1y/J ekLjJCutGQqLspnnYiWbRmHxpHw8IntJy+TAg2sIv+P/7Yj4vROdi2TxksSTaZnCGn5hkYYy8mRb KqoKO5ngjZ5Kt3ep/Rj83WWHZO4A+9t5s3VupRmxv+PfweDJ4yyuKmgT+DCLgvTiqT8OGI3kDrvV Bc9AoDuON1d2wTr7qpmsYKuxzpiwy9hMybcM2ec3xyWuUOOCoKijONdSaVz0QZWdXqdz2pWsIPyt Z7CiUmCR2Ol+8KXt05D4MG0XIq25MA10xWevYNSZLRqoa8jzE9wwaiq84YqHDQGpyKO534Cp+9xV qJJrJMDXo3I3BO6IepFct5JJmglgMScNJCplAFIQ+3ZrN/lQ8jlO9zv4+qXXTg0vF+xp2Ts9LLue unDM341LPZoJcLOaV5ywlQE4Sz7h3SxDIYyNOzcIB0Ybm+9zT8CI7WqlF2pj+K3ndk1PdzCsUwcI Qv78D2pJcgNzS30KBsGPuwiR9GgjKDjMQTL4cShTON7ZW8mePDZuzqG4b5ugbcFnAOxLtoAHm/11 vg2SzfabfgQKyjhNURrdoZhIbJujLAH3zjV65XKYPbJI6a71Te+6IN7kWm0qz0pOIfmHWFFQjEgp asxSE9D3Ha5CG60f0H9oLC/gVwcIu2duHuiuJqWWXoapxANQIW03q9+5dAHxDbcCD5nBGTRscCh3 ctkaeijXhMMlXaCQ7ObwT9atjysA1k7XoF5swmtcpxIJyHH3VOXnhyJRlCeM/5B6xbjKunhVSaBQ mmOFS/oHpDmYCWXa6qN2y7ZdJ0JNVTDjhdnbxTz/Nv3sj783wOrf8RQr0XA0mCfRhw5Fzv5epbHK 8E4tPlrwMDa6Ba5DV4OwtWRTa2L2vQFiWCiPfxN8pdaExB8CeE65QFIAKSXZxvdhmmqUl5eNLqyD l1JKMol1oxzfSuNV1Q/YgszcJ/ufM0cMhy20WH3i56jWyq9I5FnBPdg34o1W/2e8jDUW86UXT5Q3 hjoDuSSZvv6QIVD0vEG/g34Ls0rPbAu9/vPzKawqyzHdISz+33XePSFhaWUOEKIBVoOrYDCHZzrJ G8D6XOZeXwX60nQCJpXSsjB8wo7XxKQZMuCnqYekCi2rbIurvimIM9A79OE02o2ZNbnzu6zXBYDu Z3GxZWZq69q2TJ8iUdAzQHwKDEzIafIhvkgiwXaLMmx3dFQ8qfKBeKPnfB8lF/eR8LR9L4Qzj9d5 xx7hqz+2BLvrSJJ44pBrwKQjAko51G2qLiQbEFMzikmlD51VOBgJZHLDBqD2SJYnkXtYUgiTqBaY L2GSvn0Pom9INqEyYMjSjYm2NdhUdLmOiJ+vhGNbJOHYbQ/vfYlo5Zwy2rvknzenSJw7Q8XlsoC2 2H6ep345msrD8hkDdmYCskH0owe5LdC+YWfuDWEsMu4/izE8OTCwL7lleEBFTbv/j24mzrHxclVD KozN33mzBYDr7XMoukXaU1/WnzPmDaxbS19nubQT/rxRcn9GNSIUkiiZ2bd2ZVoHcGIOSqp0sJsb jkp1uSMw4rTEb20unMVS7qL4S3aqzBcQgW9WUav0DcqbmpLC26NEyHy1zB7mqeJgGFKWZnseJ0BU on3mUoplm/q9bsOyCMBU8YDg3uGdiKjFtig+soWI+7Q/E8i7rAb4EWNBSDAhUbhkqDsrXS3BXpOa n/+dXXEBnZys3JlkWh9HQ2iht059EXm51gd1OpKIJfWNN547LZcWMmgFn02e6n+MfgBzXK2cQ2NC cac6vcOQ0UJ6WwEKqJpF4Y+1AZVrwVs7vW2a/bakblCPu6DvjOpXar7zq5wQlkn3+B5/6o/VVpsL uavTHy3PEN2w9U6AUB43DcCyn96QdX8PSXfgmdAFQroR0xldgiH3I5zdfZhZ3INEY0WOuox1ovdj qz0WwmL/sVd4avpaM+81xeKkfDwkIancK54eAK308T3WEybUEXOwyzR/nQZWOKt1GErw5/t187vI uNGvI7W12JyrkEYkfQr1Z7qwuo31EuZGQZmyo/Lgm2Y3McJ9pDB6hqWRnmby6pHMGR12G2LxTJw/ kA6LJ3g2JdRl36eISWPAm4AMaynPOlhtNVQWRgmI2qzIZU56D3g7Ub1gVJAJb5kBD03I4Bt69Dsi gchu9WGDH2r+JznUFBFAbR/+qSjxuIPGYVzHop2U1J0TEqa7ZPqhLgYaDGS/TNfT0j45RFj+2pd7 KMI1ALhRMr3OknxHy31uEOCfiKPx5XW/5lSm3kyiHFYeCx37OT1C8jf+GkMqIPdCgYYRBAL8C4cr HnDFrieEK5oCbmio4/xvXYw9IyTd3NL5AtvuhJFp3tGxz9oFEtR6NHM8Exxm+BsmUACfMk9Q+ymh OJa5pAy6quYx0jF/5ue5yfuoVXo7NVBw9CqyQXWNoHOcXFs3CVlx7+uMvLncMXcoRc72bxM+5wU8 jdZ463dkyIBCuWZYgc7xCOV2XNzQLSTHx5A7Iyroi5v97lPduNCOjndtSrRhuj/oraDCHKcqT0oQ V0ffP5hUA+fgLmM18mBl/hFTafRhwuLMjufqWw4N3EpJevj/ha6ecv4CjC1FI5ERTtD4ngmEa8sj nh7G/DWz77c7HMEBmT8Oyg+N2pkBU8DE9y+GxJ2cQ4JNYn2Hdtd6tU2fmtVKk6dS+SR/ibdP94zi ipeQyjXTPxerW3VJGUglNUfJ+CWc7UnkIOKddYGbVJTBUkiZgSqPe2WuKss3L1g7vXjfho5I97Ee ruZgaCp5n07Pou/NpQqHYhyuunMGo9kM8coyZbF23x+QeC7XTZj/WR6Eh77pDvwOr7hy3eOyktJk Tp6VKAkDfHC5hnJ9OKS/3I8VlL8PGEt/s/u9rq0CpLAfLegyY2lg6ep3b/1k/QrKOTFrtVYfwSWJ fOkunqbIjilXoshUwO8DBAUlY2QgCIEHNXyxIoryHju28gAtbcKstuKplrJH+UGaGFHfDMC1gKwX sByukppKhQVxpgM5KI6oRJLV9qwOfIDs94BWvtdPlbrGvqcx0mIFhVHkK11clha8+FnvUmqbvEh/ L/sWCxWRTD84RUrakOqkE2L1UbZ5AM5Gkrh+7HvOc2/pQLtoud0mphnjvzP12YmW2DeK3hivJaHz rmKeXW0fEGfYircUaiprzOJrs96F7Ca/eChxnQ1yaxHPpztlRymoCLWr+KClBuWXi/dUgpMCOwVY WJE5Fp6hj0YvBBGlZ1ORcqKttJmUM4ZMuCJyPTBReo40dCxh72d34FZzSl8SlHCrhOZ5AzKUg1Fz 2q47thxzK11388HjF2mD/O6ZU8ZteOOasmmQADjs1GA+68lKr9GLtSh6HWjzvsNEet7hr3ajmq7x m3MDtP4Lct4hvje8I2kLyJb+U5y4wDCc/sx3RUuO8/bNKuOf6TA+NPFUf/nOgsD00pGLOrtMwbWp 6CopTs7acyYY2XrZIJvyBaaStEJ+AUKFfHsSV/d8imxOS+UILdvDEJEc/Rvs1UGcaH/ocCV2x7io AC42SCX7cMIfmbdvFAfOalImIgJr+FLTEUfFPOD17ZM3iT719i+4MkqgVnd6UihEdSOGmITuWLwH fN11oa2nytlEXl/fG/sHFjC/AFtxid9tMoNlZk3OQSc2wAzQDbWiUetia1TVpY4ScEvNrgXsNjQL flh6vc/Pzs2SrFq7qoulMF+NJf1AePryGLM+xiuU0cZnEph0NuBSeCiMxG/OvVZDrOAq9PvTPXlb haGl40RWR1u2WaibjqLPq3M50kVcRzym9nODaqif78NojPefj1CsAvJLbQbxWNaPfU8+kwAbN4+/ VWxq6/S2X/9cPCGAn+QvqVhh18ef0NJvH0PGwrmNSLtsuQT1HgIl94lonpleJ31HTl7O6tMpvX6b sqSGBHFS4vmYoR6NO6ewtOXIvUWkvRCmCvvUi30KoeNyT0anFcaGJplh8mb4FmUWWlmrxS5uMbpu cQklM8qT9arjsx/DdyVp4inq1nxowqGyWcgy9KtRUwRj65dLMzidCzn6HDKDe2mlGTcaTavJlqCc OP1eLn3gXn6a7nURnoQL2EriVMIT69f8ikMtO3nSrsLGPkpNGwgK6T0c4KN9myyS6h4fHtX1EiIH vX4dr9gboWZGHsVfNHnpklDKEGqqqu/v/wHy7LMUZOn9B4BUE9a7Dy97am8cW82YtGnTdk3oKX+W iG1tPyde32NTvD4pq0t7mZdgUhrJTnRAjsFTt0Vj+8DFUqCsC68Lp1S3jQzYUnw+JnK8cLJkVlGy gGH2nRDqXlrvDc7LatnAIhhQl2oxf4VqYowIlJyAPfne906RJ14JUQzK3fyKLEA7DRag2S1jaAYV H32jIk+je4+uI6bvEaMkG5BFTJ+u/1qltixIl91n8eJdd2M2qteJ3PsXxYaU8EQwr98Uh0YLex85 wrAcLrnddYovIFI9hV431XsajYE/HEpzSmOsDa9+aUDyDlNb4apCiK02vAOQbLcZDWhRfk3twInq yHOq9vy3IuDCiD2ebRqHM3b99YjZmzrjOEpUILQdI75l6vMkGE98wU/bXReO8hd6FVCF/6/iYV4M 8x2wcEc3+CzvK3w2HhS1LxioWYPQEZzioQgVfy8HfCIojjEZsf2ivjJ46Bio8855h+rnAsfdkUab +wCjQCNX/XhPliwxJ7E22stYO+Amluv+4kE6OSqr7hkHWW5DJ3/RjeXexKkD4uBtSi2juaQw/NKg MXSPAZ/gIKuKH4IkNxIn/ADgFL5NaZfkD0KZUxYTxqmDh7Qrq2DJS5RxtIcSBbInQA613dIGO/Wf DbDuVdZPFnyGyPYM4G/Q78AbAq6EMEMSBB7XQ7ZoNUTHSIf/sFk/dbbRF3Y7e8QhLSh0GXMtdp0i uyHPFS+wlnngUra0jA1llRoKRr3gi2ZYAKAEdxX4LoF+hvOFtCETzyuB18A88p6UVAKiHXxLXXn5 lTNXoCS37xT4IkjqT7Jm15aZqvq1VJ/1NWLMOqn2gbUh7N5AanSNszi7BW7lf2WT4EBrAWnY7+NJ I/DcaMXRiZmqvF1wLvq+FmERP3y6WhkBlyPV5iavxmmW/mkwFmt20ke16QPOsp/T6/AL7E7E86Xf 3aT17vXlLz6z04QN74iYRg9AycVf+qdHzdmTXX5WV582PEw8mmgl8n8NYUhyOM2jpzDYvtE31s8q CxSDTDy6KbyUIx65VUmWyrQYJFEN1GIOLQ35L9sKyyjxXbjRUzg4Dt//8FOSIiSSs0qJtxOsk/vB 0ImPeodUX9qiLIj+gQ4HLShgaG/gnYELSgdcLaTGAgmyzwyzUzdbdTujlJ+Kh1pQ7UMaNQ4pb286 mkyclv9WPeDrayloDrLz1gMRKUzS6ZiQ+bNZC3T/CF9hdiE+0WWAtnxzwOUSgopf1+NR5AinrAU5 h3tgVs8fSRvXrKg5nUzANSEA6TxR8Az5to2YP34CuJiTGziTqNP1a4mBnHvP2G99aZOxNHFQF6mG qOyG+bEHF5L+x56lA9DKH3DrmZBTyZ8KoC6NnoZ33+emcdMjkCnqZu73JrURcOuggGO37NTkDzqe +Lms1cUhGKx1HW5+C0L3Hnl3rzvv4YuAslMUBrXetFdK7RWxpVh1QCEf48zws7LE1tXb+szMXB8/ wdNtaqSB93EyCx7HtEBnDirbaOQ6ErbtW+SOMhPiCkDyW8wwMPuuOUO2yYs/W/Yv/6Anhj6vanx/ lD9wPtjLhT+ZAfv+lxMqGsxvzN9e5lYGG0cHb+EVypgCOOxBwA8p+3Fi1w9y4Ng1Z7mbPh3fXBpZ 79UZODi9NSQJlpsIjX8GqfpKpZaB8SNfHzzb7gn6x7FaWj5kSOgCki92tQ2TmWiRka+D1TvWZBWI gnbJ0/TbLebtxUTu8mxwNA+7N9KYN9uC7FqsgsgVE5rWvuUQ6wogQ9bFxXkijGjbAqwTNlvvfdm4 lhcdLtSo+Lpo5W2/i+IkiB9pXRp9vw5bUfrdIk9rMjtU4wjh9ZlknT/urA7HUMYmbLfOQtT+BCpG wLBE2Zgoia2HhAFYQqNvWRiOb5gcORktuYXytzNgZsdp3APGH/hzVp2+W0lsCNVELlZ+X1hrgx3h +aUxnXPLtfyw7Pjf1uP1uDpZKai8uutHaAD8EEa6foikuWLXR6tx8m9gAPZkq9Wa8KR/XQSClTVW Mdr0jmSmt+J1nyvFAbhlWzWwS81L87MZfVxE3MOxzHPrEsK5Ov6Q3qELfFKc/w3ElTFag7cuzwEE v3wfGYXV55D4EsHoCr9NaGwAlGstKTyuewkPCJmHBr0vGohtbrYFT4EgWPACGfzjZ5am/hKBqqA5 K/MRUDgr2FA2cFmpnYcGjSOU1jRscuO/qs5GVw6sePwlz2JXYEJ0tKyv9eXKjFC46MIjDxcawaoH 9C67bKzPQ4JKL3aD4kt8u5JlYkg1Hj62uhyhWck4uLNrGS0EZcxDEiQDhohdyNIQFeHHzR31Fmu8 cJrtVdBqi5+pzOtf0uCckO9t82q5TW4kd3JECCc1ujB6g4SPIfpOpJm5i3S3fg8lYJqZeoRre3gd mJrAIDnv55ug5GCGrGx8PYvPFtRmiG/buCxzhf9u8djOEeXh5VX0mgX7WZccWJN58Vir4xloB7R1 CsrSei/n5kLVB0niVXa4VyyNBQTqHaFW+0/MwAuhnge1hFvnXh5t5ae1OHs7ZgaLWRfiA8qNU6oi /b1oX0iAbkaBDgF3PM2ptM7cDT8qpo96kjd2Lhm7MJOFsa3Xn2qMwAHVu1Ufu+J2DF9MedYYDF0K rXjY+mLHVu2tjoUY0KNUS/6jRqJE8QjB2IYJtJCb4qyn7OioW4E4fXRN+fkqDPeUrQ+xxCuR2qh7 4wTXCrlQtI4kY6myCDhA6IBl7Ov7G3whI0e9Lc1YMmiOZ6q3brprbW9MFXNY8QvS8uxCsmau8Koq ZqHqCS4vIzbuSybe+tk9tAHmf/a2GbFQgujzsHJAN3Icf3r6N82TPl1x0+o6i+bgnnJaXFX8+yEs oILFTNi/l/0NuWo/ehjFI3bzw4N0zrxAMxOdrlDywbcmIFn13Ktbi8Tr9sDhJKeVkq9O8dJ3X9tB DbMLQFUrUodGDSTunvJhvJPkK+PLWG+ttP5RuosvA/abO0YoDZJ3Iec6f/Vve1BgbWKFCC23XJW9 SXvrlR3iKyMCR4IwA9wOBFPh0rZSHQlFYm455+Kk1CJDEWXm0n0ZM1lWP75+xhNC8n9Cnu2cqkgQ O7cQivl2YXOv2JovV548wMGQ8wPHy7zmJBrZ5g4xDcsuVZQ8WMI1mVZXQpnEIepbdu3PsT4uUSA9 pTKhxm6xU9SbojU1tslsP0N742IfT2GhVKBzwy5sl6WV0PP7W1cvcGmlI70kScdh8m35c0mCN6xl OVpBy7RQCUVmAhBBpWv3h0FPjLiaM1Obc3bg7Ps7VZPw+HxiNWjCY7EAUSjO3DYmGySx978a/0lU G0mpBDPl5E1q7ypbt1TN1TI8FrYJVekYCB/rf4IJUN3mpc2U/61hX42D2cei5MhnBCcU+1YU0aoM 0Hzj4uHVxUeUOpJ/tYTVoBVL6SOPnGJg/tHPoT7Lq0Gbw04HV2sRmdJB8At0iCXlc1qIYoTJfgpz Na8MOUEN/cPc3k26TgwfpfYjL/PDfgedgu6AAWlfDHhbS/7M1OiBejZXmlcdZsbfeRRSWoXmxX2F FL8Zk95VJ8dVPgRHs936Rg== `protect end_protected
gpl-2.0
39400545216f1a61195661fb495fbcab
0.941931
1.84295
false
false
false
false
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
System Design Source FIle/bd/system/ip/system_rst_processing_system7_0_100M_0/sim/system_rst_processing_system7_0_100M_0.vhd
1
6,061
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0; USE proc_sys_reset_v5_0.proc_sys_reset; ENTITY system_rst_processing_system7_0_100M_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END system_rst_processing_system7_0_100M_0; ARCHITECTURE system_rst_processing_system7_0_100M_0_arch OF system_rst_processing_system7_0_100M_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END system_rst_processing_system7_0_100M_0_arch;
mit
2480190c1d7df06f7799489060e3bb26
0.693285
3.573703
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/c_addsub_v12_0/hdl/c_addsub_v12_0_viv_comp.vhd
3
9,654
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GeyI36RfE1yKjrqZm0cGtHmFDzNocOmhSXIELSur1RaE2KffzjfBVxSKv9FKQj351isKdaIjDtCA hKmeei/2wQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iw0CGF6isnpilyUJj5uBu2/Zw3ImGNCk/5Dyk+3x7Z5G6dNOqa8k6q16UKPNiy2rRmNvxIeiqEop acHXMGqYdlG5qv8gBAC2/1OXrrf9t4QH6mbUUdiYz0HmZKyq8xbGcMACZmp783pdZ3g1cdcHjhns 1Uj0BFeOGaSmtQ0jiXo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block b+fm6NvQjXiD4/lBvAgxKm1+e8GDWj9TvzwaEKhfBniigw0L9R9tYu6iICaZFO8jEj1JWGJ8238Y 37DVy34+9Dy+ctEakcCd+qLNKfltFmGqwsd+svlFLihjl4FKtNW7yhA++sZO5JOskzipji7vo8Wq L3YitfUWWQhPWFZ5po21r26DdVCY2UuQgu+6HzZgifNnctbr013IuLfwuuWa3UvMmeGtV6fqAtF5 25dQsg8+cCc5ALzJgp218aDHMeHTJ3mhY4MSWrhpCJtRzegd+VdPc96ZHjxeSOsQJFqO7FcA959Q mEnjzua76Xnc5KaFbir7ycFW2pQDXXfy5Jxj4g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SpHtC6Khe00p3fXj7v8wXXv3jNNzg9vFBW6e/BhImT9//zYh8yIV/LBqT9xBdFk1XwMOzJgtXQYl doImjhrfVj9amtWeHsZNPyUj7J/6D5B0fGJXcTxYw57Hy4mGQaWAg1ok8pkOu/qSKwWvIG4v59IP vEsZetsrEaoV7ME7uR8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MVAAoG7cQ8rZQK5g9fPs/DeKJKNk3tLWJocIrkKqinMRZZHscjezJxbrNRC3Qh7Ujnm7KgjP89oj IXkBZrqCDH+cMhunC4Wg5x4+MrG5G+hiad1Koq9fBP51RqdxkooEKAl31YQgH0A92ftIn/IYUj9w fl69aOLlOSrUY5uEizNqdN4fvMqrcTjeSWXgj6Gco0Sf8Qav3lHfJyRon6ijD/Yg3b7ICKOiM44p QWkpDVn135WEKkBMZBbN7ee+vt39ssZoH2cS1hVbdCWN/l6X24xs1tK8Qo05OWMkI8IO0wr1C5gY gJSqlt6ald4CfyHrOoE8Bt8Vu+h+uzlRiIN1BQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5408) `protect data_block gerYXu3pcI2dx7pAxjotRcgBDdcE9uJ9Uor8OOWLpBGhy0cq60Awo1IP3sjqQMVDnGCN4NXPkB1G hSiVMoQFZacSO0sn+LpMdcvMWjoA85OD2yQZlXCH6F41kaImJ0WBjSfXAwlR/hH4UeLahBq6IvND SIWjea4rMcf5m9j9DrRxkvSQzU+qyjgYvMTVD/R2l0gu482AGub+R6OLLoHKgC6PGf03KhnQiGUg 57wjntaaBlcdBxOVqrP5o2J7BtNL7AvqVKbmniVT+gqNAdMbHVamU/tI7/d7ei+DcpvCcaSpMxav oj9x1ziAwp9UUCRa2Luizw7xgkHNqiG8sPUHtIWL5NjUf2zQsg8YHuGRzdlMYCnE/Hbl9c59869v +gH9QZbdIR0Lmh21+Lr1OoQ25P9Q3rrcdFreRvodu8hVjKK3kpqX4yAsOKgD9VNJfpdy3j0lWPL3 MddBOKN1vYcQ+yul9SDZ45Ro0zbbnY9LP9sAPmYeofrEXsd+x6eJqYmT0wErnXQg49CMfjFmtB/D G8ePYNts3didyIsglnS555oegItt3YTNEa2hGNEW49APB502AxSnHJuIl/xltw2vU3vhhylcIAbX vE9oMviPmYdUvHFtHP4JR7iUqmT7KMIlnKgvfWUcOgq0cib1K6LDSNs65ySoWO31mkmsD+vIkfvo tNL2Jn0PgJHMyRWytutnaBxkt9492qRTJraIDaFVEi73zxELFsZqG6sIgobOYiDezulUSHJZ/omo a27UQNkqo2YjdUKZH1HZ0Zk5lNqsnK0bzOs9DrGb1O8RZo70qL3RnhXA0cH6av5KlO4Nd8rpXZon 7dp/MNrO+9AWRJ3b3mF1Yw78lvvHa0o+6UHgcJOMGIwxWKYPN+8dK4PmvaU+Qh0jmH6gpVpZ/pac BZ1G1vl1KSAOU8Q6mHoGC/kK/6O4jQibkJizi1rLfd1mZ4fNt6xuy9rcUfoI9sHTLpNEvsWsuttO VHomR0PacYHoxobbjJJarKBKNZ+Pe6NPcD3buUNh9aA3PuYkePBU7BWFk9bI62ocms1INx9dhxRj 1YSvz9+wu5OCYrSrMquAg3nHhBQHiplN1OUbaUuilZzfaOfFsrhBDURZvBqdfyftX17IUqEcOV4/ +TVYWwFz1LA2RQp25mufog1wwHjpe8J3IYzUVCRUOzrzjzNXgFIH3+pHNdhgVIKF+FG4z941Ng9i WeA0ArobXAec8EosvZPtrPYe+QiPeWE2wOejQ3CFJyNEYM5UL9xuVzJdkqcIpNPW0qUdfEfqAhjd D59stZRNuruQNTLN4U6kfyQ9Rs2CBtJA0/GzD5I5ZIE20Bj1GbLjFJSSGpgjux8ZpMnls+X4gn6f ZhNvm8n/KBFYfOU2H6n4MmGvV+CaWAekPO54DjtRtOAhr+CEwFnwetoynMn1iaoLaFIV5cApkVYY Lgt+kAQ8N3/zVoP/k6cYeHRxaniN9gxUPfXQt1MlKLd4iDKWCDBwZLTOuUQH8C/2s2Ea4DIfXnQy zwA1tJUpzHy9uWvqKbM0lrD/MY64Uvigbf6oy6FiQeQqBIEkWw2F4L1oPlXQmZS6ViQ7ZZ+NnGdF qjMNbY+KfcPyICa5dxjIo928v0yy/nPve9pwEoOJmsAvtbEN6NkuyRMZm1UdMO4APwEUGThRUylW oSdxomUfj6rvNko6yO4WL063et0ZG11wlAvXlhJbtuSz2H2qiDlMtPgfT1iHQKfgZKfiCRzlGAgV GG/kUGawXI+go1Nd4I2dsdiwMyUSD8AtAbuF2vWC6IobWrPtB0dstI63849kg4o12PkOjxe12cYN TjJl5qxloqJiEuy8/YDwhnWVh7EInuqlpiMVbrVezSjO4Ro2Aahf26HEdyDY8qeirSGbp4Hm2Xtt 9pww8fshl5k91CBPdTPs0R2lUBFNO3rWSRpgneFHmNXHVlZSVj+4dyyKVIo+WSC88NaZiotfsmIH iEkOWcgc8zQR+1F0oBHBxasjTPM5KnDNBDV88Dwc/FnV2Kiw+YTsDalZ9eWtoF3l4ZCoQSMFw1wN txLiKuSDwnQHk/BzXezqrGeh/gpFb823l6oRT2uLYri28hxmWzIGTY2pYg4RJXsjIMtuWniAk378 lRUfBmjrn328YrGrs2gbtVM2+XK9+NbDnokHrTCnWSqrhHYIR56AfER3CchAkefxmtVAQ+gcWQqv id2hKa3rsUeCQ4iR6pnBYCDwSxRrpltEF8o3XloCf/A4rYmc9CA5FPtYGxmsgmJevSuOnj/wULSj /af7epTp9PboG2RLOWa8mVGM1Men9CDPsBQ4GioIORkbj6/uGA4SMgCJyi07lBHpV8FHijG0/dFO PGOcMXRbGftz1E2c/nkI4emzqGFzsDVC9K8WeQLeJCrG4tKJm5ezp5tAkO2Y5q/I5i0IL4OVn9s6 zMG5Lulky1BEuruJ5FwenEz2C4KfuqhrNLjMNRlOp3rEdDOTruTO42/nM0y5c8Jr9+Ojk2MTG68k axdRn2jtthxNcwncRqh4rwi/xcEXU2LWtnx2kIoyVGM6UTr0R6PWGQ7G2JmqIU+y8WQt8ztvbSsm QEKFNIYRqojefukLPV/1iYEy0vsqpnFWU3bn6fl18VxaeTMcN8ED0C/0hUQVXj5vn8w0JGNy/wJv neZtYawde0r3Z7DPj1fZDHjUg6Lzbm7pUE8U/1T9S65zSGrsUhFOmdddfKBuasYyV0v3ZSM4YXnB +3vER1KsI3xP//xCSCAlYmG9nAUGoI//6Rh6bTnz87xHAMV9ftXoK2OUa4+Ga9RSdBJb9xZan1gD zn/2D/C8b/2b3ytebo/BXvC4X6gOjQVEqPF6xoM8cJnOuop3OvWqEsdKNKD0oIRXWyoz6GOQ9zCg MbsAAn1pkR20G/6rY+uN77laMLJl+trEFPSdDNUaXBSGtnJ0MAyDuocezn9dWQT6YfTmuuYMENah jwyKMk/B2CVyzIob8y74f85PVqssCbAmEXYbqqihk3M74/IeLsrbcZZeuLiVl4jAi29uy1a0ItO9 6sd9qqT7Diw5cPC45wjAUkzeKuPnnTQcvzTCaPkeKQI0yhV+pSe0jKh/LJBIzC7zwngI/AnWDxj2 3q/w2Hvh3I3h07pJ5Z+l8WufAoyE3WdvQctIA+oxeMuzSDU1hmFn7V4EnghW0ZbF3rEtlH5PxqUA +8S4t0gzX9M5i1SMQrrLr1wGZGX9v6AAMiDlq6k4hmPDzT0lMaS4nAm1H8CLFg2Um3g2siWm9Kj9 RtiQGDofkO8DW8fddSa7jKxZL/HBkU+B4ymAE1v7j+ennF3vzMGFwydR1LivY/vwpwkNnDJigFv1 FjXnwc1dv3pz65+78oFI4XMS2+hULFc8+hkNaQ5rMemidAEEjjIaqf8pGk45SWX4mgqyvPVegVLE DZIgV2kAkEOx2isJMXQql8UsDifVHxSuoy1MzJSj44CIyitdDjWruJcGL9lGEGa/7KX4tRfmK1Mx b0gAVgN6UmtjGoldfmVYSxrV+rTcKq+R2d4Oc3Mg9Id2CkwsLBNK1ubYL29v1sTljweDz1p87N+V GRFriSObN2QQaMIHUwP1qTxy5aJE8/zM6SbZbfHLyAw1sQhbuFo7zIuPlrq6js4cyNNUJFZl6iOS 7UsGjd+Nluhr6vERt5V2BDhRP9XdjXG3zFt/ig4HQIBvfLw61/+f4rbmRSs1do3+v6ELmdhim8H1 XdW7jcuV2lf00E5hNYrbIIfqZt8Zo2kNkLDXJUxtPjk8Pc25SfXWnRwQUm0eCeJ0RmvqMFAhOpEk MtDi1979g3SmZCzDtH12iAS+1AKXpNmBd9oMug6DDv/6iEyKbFLn+Ok/2LlDbB68EmS7ZqgQn86r rZRY73tnTuwExPQuWCLPH38qoaJ5b5awr7H3a+NKHDiup/c9NfyPN+IYNZ+RZcrU8Qkm6xaMEFJC TX6DGBqf1XCzi8LYhivcuQIyekxi8oJAnI/Agr9hZ8sccoy+Zwlh/vQoqTU65SsBbTMr33+3ZAYn ZKRH9jnfSlFaybYI0ELcWoaxTQgYp0CfRcz1z3w3frdFpoF367Mj+ujP/AWsH3u8Ga/BDnjKyvxO VCiX0Hi+TFp1sQJSsHoORY0au99nXk6dmMNB/oyIj5pJBR/rrFd9qiDKDLsBFax9XCg8JNKrh9Hx XrUKbygE0cGXq8v7QXcnzlN2xMnW0ucWn0JHc1fVa2igDh8q63PlmOEO+Lq+V82y59fzr/ryyR76 kROd4AJupysrLCayLP4zhLiJKgY8Bfr5RMvDq906t7KICshTAIs13uj9XCjsKwKobojZsXpy5kow x8g8+JnCnLms85dQqQJgQehG+SDwdWey4uk2KR1rfTDJzEoX1NCGaf+Cxb1EEem+2EqXG6enagTz 36UZz3ukGsxwNsWm5EQ6/wvAEihlIf+QQzvEU2S+DqFN+Vy07sXQFJGqacOl7m4oiGzN3rH120rG igkpsR5/TVMTnd0Ouh5NXIjLUXDbAZsC3PFp+o8FKUpgV3t4dkZmmT4jDWQQcF5oZQLZ5beMf0CZ ReXLO1q7m1QJ5DjtSz6Ic7KYtsblADv3J92K3ajpPQgfJBdd/HlJzF49vKnznGvkf4dhz3Cp7TaY g/Clv4eoDvAHy3y7xNMyKtDjOKJlxcMyIoShaHSM9KM59ACJgiBViRcKs6Etn4hQTZnbIo3HG1yo P5AK/opsngZ8+LnEron1L8T7hFveOnu40s3KHziI7ahyJnANwb6nfc67+Y8E4+OgleeAJJ8+PfBk z3IjsD82qAv8Svj9pGTqC6IXYTglixC/BluyZik8HZrCm/fHY/XRM0qrpAczQPN9xHiy0j9w9grX tegGHJUKVk1Wkwrt/v8CcV5kYhqL8dpaG+AYDYfYH9KBguv9nkmd6O85SGV1RPZRDjXaybNaEFiX M9ZJBjhExemHWgTyWGoohznBDvu9TMQtzSDYpIWxP3N/bv2SNYvvW86gqwGZ+huNx/Nsj7sDVQdz MC47x8wHTlwb36ZVKhV6BITW2Q7+l/JlQnYk4I8ev9Li8cf/iSC+J/JbsPUG15XwevK/qkKxzwRx +7ycfuFOzucCdti0LYdafsq1rVvuSEYwoLd+dN0t6kDET0qKxtA5RDjwhh7EwydId4y1/Q7ESkCP 1BqOZocFIPMbi+xvgRlZf+ykeu4V5Yv1R2MVsnukn60nmlvQ492M1ukvLt+kgfo0gbOL72rW94qa 6A7mLyCmQGM7W6VxzvmvO3P5Q9bXsiTeQ1BYxb+BIQCpCLGnNHXiz6uFmlYgbSNOTnNGQMaiBOLK wPzpy8J7LjJkoZR6ceVJgX8L3i7jZnwkFXPkfi9MIgJBodMpVrot+PIS1dVcyNVoKgUSgutUXUjW ZKcVUWI7gWdPNqJbT5okXeKJCCyp1iKsB4dgD/VIKRz6YZRTHycyX+v9D2kVxyGzKciqFGE9Y6KK ag7xzhCKYHP9Gl3THgoueuF24EohL8/hpIyy/2mpdprt7cTfVbAGs2EKbYlxKS/hu7ndTSjR8D4v 52smmycV3KqKpGBadtYM1jOMBSTWZPUBY3uYoTmyizVh2/i/bHebbiJutADO7HgIqNgbr+4MWbyx 7XXdC9CcGHo4ByLkHDntX5e/eQk7ILxLdrQtOE5vEDC265LrDtHYkxOw3Ei/NLJQ4a2XjOKTrX5n n84xgXsj95YfHQlTr9fIGSq6Aa65A4lmmAJtNp+F3pZMhT/6s+xPkZfT6ZzWClkXsayu/1B4HrCS p0LARIYnO+WKgD0ZGc9Q98luYFTrZcurDj3hdngir5IT/v79focK0KYoEV1YcPiaBNl/aonM6wtF WK97un8gBcdxDXj/pdtNNQrIHmkT/MOlcxyP6ZqiI76b0w9/zT8LWUHYQu5iLMRaqYWwP9ZObmzs qjl+bcdysrZ9gXoNa7CPDPjBIIUYH2OSzqs4mYKrhAr9NFotlzfL6LO8MVIROXgnEqW1DiR3VFw2 09tdHvxwmmYHcUy82AJz5c2nQwP5ZPsusX6kZtmBUOAe/7+FKZ7iV0jE9m0x7ye0FOH6I5e+lulG Uso0FMN63Lq7FgPNBtuPJgIVlhvTijjdKlpM20jYiRr/1M12MTeCaq/0bW5cEdgfxy0ZRbVXKTWO 0FLGsQ5ZGMxVeZM+xWCnr564pg11ihFVmif6owDQFen4GbIQfeaunMYgvynV66zXiH9I60u3MqIa H0wZVyDOqXJepTD0DcYRl/d2losvjPnFtwFP7gAHL1UGcJyxX23+hnYgAmWFT4enkyssx2lG0xvd bXY/tgaZnuv/7OWmgI3VeHWZ+L4/Hs0AGN4uCkT8uGG94NfEsbFzCatl0FtFtOJQdz6/g4Q8WIOP HyS1cM2J32IKtPt6ZXoID46uJiiuOH8gGMX8dSsLHY5CwRfSkbcrwqCFDeXzYcLjc046B+YAvKAG 4RCGsOtNFxxKELsz+mmse7x8ChsHhWvmxt5nJOaUnAntlnJl52u7Wud2ctX/Zoh7jtsOm7051QkM nGRjAJrVOo+DaZo0Ny4x62wMAphCad9JJgbpFYt+fF1KCiyqeKeWmRy4UegHHdt5trnrKSA0jBK2 JfKTDYBwLRpOzZXNL71skB/V5DvtIdg4474DXmUXEyV2olRhxqPoOk3+hcKHh+ww2yl4lWAw9vfG f0Q10ktfAlEsr1SPwvMCxxCnVCsQ+utbcrIk4IflweLw/GjtzgvM9Jdaq1j1XFLtDnNwJ3U7aOr2 evxG6xr/1Bp/BfuBk70yxJjff/DxekqQVqpHdpwY2DYbX5AeiZxraayWoSpWKq9gD/5kMmwfjYhp JoXTOk82ORajC7i7ghgI0yI/98qezAO42DlMhkKTHs+WEDoh+ejDqCeo9sN+/eWct1KUYY3CgzR+ 1qXgOZSUVHr3ZmIjKRUAqODY+oqO5oKFL/Hy9OXjCBScwl3hWYtGqZMupenx9ywpP2fq0Mp5DUN5 fnu5aeNaGoy8lj1qsTdS/cdytgIaIEkOLo4srRzGhHP2oEwQdQqSOgYZLS2+mEe1xRwZRKR+3sZo NLujPgkYHyBlTOHLx6pIQQW4/HY8g2uAGlBPEl9Xod1lVk6Hg7td9Lja3DCxZlBodFcUCPwRipLy 5f2+pRIJMAxO/Lqrz3wln6MrNisEsXfKZmNrUYZoP0nNwZ5YpECZb7md3AIc+nB71UU= `protect end_protected
gpl-2.0
8ad7d9dd9ef3d803f8adee790f8758ed
0.922623
1.895915
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/accum.vhd
4
48,970
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block FKGBSbQUMK0YhIImo9wHLjjz9ePIEc33DSdfHP8KeTmrwYmFY7wUd3n5IMIPXyZU1vl3qtXYJztj oenmLukjUA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CtxyvnzzsXnr8pyIpWesfU4gcw6Rfooz+DjoQRjNLsZVYzBc5NZS3fooXLmzkhEcmj0TlNe3xgd2 iAZK/6gRvPF2iAu8ga9gF1qp4wQMouDBT3LGN/X3qeX8FtrDdXRIex5N5TfquCHzGLoxrBwKQ3Ws prZhIRBeZSwQAIxlkPw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LogkiB2cyyMqAfPg9B6h+tYiPQSYU2CN0y5FB8V4xhRMKYA+kRE6c4wQpYjFZyKs5nj+O28+SWSY NMmPlI7zhxEEhls65nFhFYrU8BYjGGkFCOpR2GqcWSDnPcOgzwaI85x+bp1Sz2zslenDnCDogY7Q sM8kh6QnOWMr+qhwkOl5h8ULMsmE1CFUXJYS3nn3BfryPpiNgNjQOP9PZkGDJXeKAJR2lUn0nx8+ hURP7Zxl2BKNCzFhRtoD/lxc4OUNjGgPG2vWC6OJkTnE4sq9ht2Ejq+RUxHwdWCbDS6QrClUmwIg 1f096nBqZ9DmLZQG9kaPU9Vyjue0zPQsBESEYw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Zp1XmjQOzUEM/zhgMYDe5wsQf6t/dKHIFgbAutQ2lHF6sgAha0/vWoxkGh5qVILcl72fqTexREVd OXOkHxA8o5qWF2CumSl3fKLBYyOWvjexBFB75wCq2tHaGZ+L8L0lh/wmeBQGw0j0OZtGKXyhy+Uz ZgrTZWpN6m5O8D+aGRQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iud4MfMQ4bLxlltncZopuW9lzu6rlQNHGbxOP4gyibZ/ydtHnE4EVF/9+em/loHo+1L8FiQ6vFL1 9t5GknNatZd3Fm8zRKZL7rUIhpfObqkfNAjCSJxHEIdsXCW9Zhl6zAmEL0jjkJMaTKRVs2xwWRkR ye1Ww29IvlH/XtGLhR0KLno2WHXRI62Do/mZxrUCoHGAVe8tdzAnzUr2+vfC8gfxlilyV30PyyNV 1k4Bm7/7SCotd3IeuoT8WWajZuMwEiNcQd7Y2EdiNQIwxsMQcQwzwMbRQRQFD5slnCqUNepnyHy2 KjiZTC7YndWfEfLRNw1mLwGAe1w8VEuUFpdRZw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 34512) `protect data_block ETzKctsVylgEY3s8leZKAaQ263c1FLBnNCOh2GerVgEtVmNun8ELG4XObs+hPlHkNFrRxwxlsNl3 mZ/lhz7PLa08NbwaG+34XOj5DYuiUJuxVfGHp71wBl07ePIZXO3S99ac4sJQp3GpOJJIXogazwg6 kUmarxFNJ5sECF31oQsRn5qK4NDKNK2FnaTWmXxZwhE3jtrPodoII7i+Z1v22WPBVM4BAAI7cTWL HRH83PLnlHKLx6LcVHyCbyDIqeKR00GTRkAdbwhcxWBh595bY+40YgVf8QZB2xZx5mq59cVX20Dc uZj5o7Okrn7VfjOJyvua0Tuxof3PI4D+wVjy9YLwgdYKfTAERhHg/zxHrGXg8AB7HdW2v3wSuhxH dGmY/5PJ1EGZ7TKh9yLXgTCrW0hQVXzXuVz5WedolcPX95ksIMUfpS6mEUepFysRUi4zjNokj6y0 NaD7YDqfUQB5fgFOLJZlC9GuBikZxzDLl9cPN1hWEAHMHNlHckjekhVisZdyYaTiDvxR8+6EyquJ d1rM9XIwQhTfM8N+6Wjez8ApKZLjy8ET9ZJGNTpVYjE6c+jcPH7c5YHQV0aCB0k/Mix0PA1udHV5 PouIPx43IJNtb/8/GQB2za8Be2+RdcPMusqaDDCeW5tqLdeRTIeXaKfZqG8ZeyMJVpoVT75sAk+6 0X3vsG1fnk6jq3deDiocQnH3g7oTIqJFuRN0uEJGB3oKwgeD3VpU9aUcwyTVQskXCDhErV7ppCCi kWS4yfnilhlcL4DxhUpy44DFLKA6lIEkubXPKKl5pAeqHrN1DGod0VI/sw9IkeZumF5n3EJKpUHW Fi3+/XLMHEBzXtftxXihupk0rA7deXtsSS/QHjE4ZC7PUZGCkQCyv5Qx+i+w8AURaPInCV/8LOWi VdP1s7BihgKfIS4fajCZgeEeBOWCTuV7mHRNqNJnIdA3Kc8bUiZZtUosAzjODQ/iZE1/aG5LlU8N a5U0zVpp3wZskCwDW6aM6xBtWuWKuh8yecWSS7P6o5UnojEfx5myuEmZYBkzlL1lJmCHQuQ83569 fQnrs0JgIbK2gHkFjQLY+uAyxpJYMfnhMeLkPvkDlhdIU72KTzs7tnZu+xyMSHe/m13/XGRaRaB3 wVtQXniMWJh2wadDZvsQYwSnR+Y8tD+pBeDMEXqofmeL0vu0eidM/qcDCBivc0u9qDGVuPc9/U3c Lmgf/hoeLpGb4KScvaU5ONOsmFAwlaDWJXGB+//bQQ2OJ13MjB0iXDGfiUYEbD6cAOVCWHgmdz/I lAkFCdtxyjtNO8Y2qiucNdez7i93argKpMpIUm1tVnTOe93Pec1LEiutYSZWwG49u0qYOAR7RL9S ++gLO98yMf2m6LC94CZivjvrDZpZ7EUwjBdfvF8SFJYv5vw1VoyXGPgGtjfj2qq6wgnlHlgMcCh0 Mi1dWE/RoLLzuwbUIwJPjN9F8SVBLGkQTF43sE+bjWWigkw5GS0sjp+Lc+B6hnvlF52z0p0dB9XB 1sBMfj/IksyXwx/uHaagilMBy16cLuvNljOl+r4gdUKs90mn4IPDZIssL1q9xQObWJAT5MvI5qp4 ae3qR5rw6+J8ksJelEqFeB1WSWUCtlhA3fXvM47liUBx/AoGVEyRHkW58V9F+hYetDU3DVVjoVNG zcMsrvofT/BCru1v90wI784vrFJH1nOYvfT9wrcWimVsUZJ6OwxPeMWZyt+C4ygmP1xdFH3aVh0H LqSz1F5SNLi8XwZuAE7LgC+W1v3et6YzvjYKWWW6KS9nxFKi39HuM9gdIvGT7tar9FLU41FTaojT aMjk8SCkqwV7LI+MWwXS205k+UJwfYpvMEa32Ioe88NP3AKwG0n2SSBaJ5JKD+BBM1KMTavTF4Qj 1n+UhdRt/xRbdxUbyBFnwjMSh6Qj5qEbjyIF8QIS+bNDZ3GNdVWFYF525huad/bwRhxhC9BajTWC 58xlwP2loLvD5hDiLCwJ9uqVZubtyI0DGKWN3UNRllcC4MXKsd65gfhgs4equW1I7gVC4tCBF8kR ++Xmxx9k8jTOJwzz0qMSybNutwntymiOF5eMYhH1N1SQhQydWRm5R0N1z6JRN3Ad3Ej84CF1mq1E waG6pcYQBJCc7khepRN4jzAeyWBE2/2SyVm2mNUr02EWyewCkzJCv82SLxM1eKQRym+L/03nDggW 0p0+lBigvZB3bDS4R2TS2clN7CubQjQ5a+nvM+PLjd+kNWLlbhAAgsWpFa7uWXbijwknidlqp5Gi VQGXoQMEnVZJ3AZqCFD4+yw8gfED56f5+CcCQNNjKWoKZv/5bimGGTIVCjhT1G+NLkdrLQ07GkZK zRV/FmawRcK9MPl9h9kXEgewauzqlLES0yY0LoEapRAe5YkR95z6bF3NSnQ/wsp5lJJO5KicLDA2 TE8MMDLVugj/wCmcJnM6u2+q+8J2LPa0mOGOATUjRbX+rxiBb/TUoHi+2mNxq01XivbBMtsp6wIQ jabED3fpE6DTWDSVsQxb4qth3llhCLKy94R5+V7BJUqp+fampspNR++/BzSJ6Dq70INmtHSwR2/y HVQZfvZFYqU3fFiH8hv3JTmrguVK62eucvsmImhMfRDhpg0ilm2sv9UZT1Tblm/KcHIJdPZcD1pM jTFu9qHGp8XNTUj7ybqRCtbYqBntEorl0CWrlICFnQBvmytOHxLLBAGwkMQRCgpLh2LEo7fbC5Z0 j6AzIsnCVlFzgwzL6nyA2c+eXTamQWp4WmbK49PY/cVGr7hbBZ0Sxjd2XYHjtATWPRA5haPiy+Ig LXUiw74gNDJoep9+reRDFwZ+19uAQ6Uzao1aPZERZ2cT/qfTykxrqa1t/u7EI07Rs0UsFQOr9RYT cB7RtrYkqdI9QUe+Xx6YpZz3dzyIJhPTmR3MzUJZuW3mo2rbtKM1D2KljpJCzf7iWKVxl16GIvfg eI7zMHv8uNJSl5nqFPTg73sCpz8I2qZKMso10gZl+95FWaMvx3KDpaIXCLmcAwIcx6Nti5K0qFLQ h9drUCqiQ38fRVAHukqPuoe8cIdOzMDf2H8NogLeh6veHScLBvAcHjfitz4IIee3qZrFuiSxBMBC qCN113/FkMw3keAPhEBz2naqxgSMDolR+dj5acNNSGA6NpqxK4vpZtFPI0wIh4vozb5Kbafx7Lyz Yn1neq55mKj05treOY/j1r0p6vV76EqCUkW+sEwnYInXebLtHXhllt/Ghj+DkroIXzVPTFZFN/hb ROI8nAO8W+fE9mJudb0453LAb6BbgxPtbhuS1fkslhlPaoJIl8FfHe2nW5T9LtcIJWSALTPt/Gdo YOriJGh0LNu37dXZtTpJ2/Msoa17F5tzpH42bBcB9VO6IWVehPrkz24PPl9xOa2JHbzVdLOEgvRp 067Ga4lkxEwqgoU7/wPjbdnz0klhqHXoAFNe75HHjVOQ1Q8M2LqJlx/GbLsxGiejud6Rl2S6XJwz Wkk5q+PcdITYLwHurflCdPAhXogLdCF6Bm+89w0Car2L6RhC/b5eUCa88sSK0LL+9FuHwoBTL8SS DnONn1MMyObWa+md1p9Cc5ygEdMIuzJJ+Jve/hcmZI9mDhxmae93e37d6RaHTiC8yp8dLojEu/ls 8br1sO007TqOYc8RWD5zpIMPTbkAPLWiv47ET6v7EkWcoTI06eFU2Ii+QqH3Jf3GQaY6AQUx5mO3 mzb7L6PBZV3nwpMgwKTDU1Lr6XYs/8gC1EuPAZ+OiFfZY9Pa+MKxEd7a3+YFVdrbF6EZosg1YdBs 6cnJmvEGU8nQApllfozQS0nOkwGObr0byNM9J9RSKBqQY6sJQLIWOp/K3qSNJwOdCJpH9L/mZOQg n5UGx9y64WlYZ0BIy5iOMDo8MN+jdLGWJ2Bd8QL1hcDRGyZ8/xBnuDjgUe5KAlX5cNNtQoArX9HZ lYozJt9mLTPzc8D9Wh9RIYvihCzbXRCCFDcJxg/lfqw2818ztblAn/rYbJH5ojKQg755A7loVcfh R0k/t1YYb2QMahxJWArnKNm2XsO9Ovl4MyATn6StFU4FW6kdRpNbKepZ+u39r28zHiz48HUEGhTD CAXmK7GV/NM3eXm11+H7NI9Tj4HdsPl5fovxmdrWAjCdZ8/DHfM0ukrMl6GV5AHw+qwV7piwEYwo 4dyd7DuyehJwvMvRrB1nQgiubsAOQs0/gR6WxBNJatWN6jzeG7xQcmywFNTnzvBZB5Ghj285p+UE KThrKAMqQMbVBzNx21cWgpsab6tW5MpQz5vfcZoHCbhshw+HecuDD1sUQFlL/tr7BkXU3o71cXNU ejgxoQ7a40QfyV7jDErmUffzufG5wn0hED4rYIwnc/xgMMtYKEJdXMdRgVlMGieTJq6g0MBqO4kN 6mEr6BWTed7hlr/tfOg9qF/ojX1myly3rKSEUkbgZh+KzKiH5pDX66fYkzNcYZAxIsbbXo9IQoJj KISsnzSgiiP2K2GZOfTeltSnRt8N0htmFZW2Hs6ESETbgGHgHu+7sEpOwk/1f6cHKr1VB+qBKqI7 T2xzcoEE3Y2tv+Wx+xiHVGqgaa6hfMR4hriDrJRXf66GaQ/FB3MRhuxtTl412BHUTKsGnIPpmaCf 8B6nopGh8UFDg2epgBipIB6G5YVNhAFegUlvYL979/msM9WUaK4QUB0y2mePhWmVTf6dZktae0pK nuiiWie7nD0XHgxuObBM36ZPQwhQHSLG6Au1iaUl0axajB76ElsjGVbai+CkB/g1QO7w+eAvMpT1 y7Pv3XgZq/tXy4n6navVi87twygWsca4QbsM+w0DavIOIuxrq6q+fJqioqZu1wjDe1NlohG6lVDE iOQ3SxutGLabJi4iJGPVzyROzxGHNHgzeoe0de9TaWLVPgvDE0KOE+gbrN2Qd78yg1b15awd+ftn ni/IuQG5uODlntQHXvIo7K1oeNRmlB3tp3yXSiw+fY3+Pbb0uuYEpqy1xH63SBgqEvIiuIwwqbR/ 4Ar/xArMNjpqoBVYF5LqfDWr54NubVhNScR/lBfbKTffrQkRVvGZIeMcRjmb5SNsO5sRMMwTp05r 00TYj4Z56+tlYsmFmj5DEhQRcs/gFNWeubJXo57lbBcuRKPycKqyIMM8ghiid6OXKDcXCaOu/Df8 kc6p0PYrtN9VGjgGjJhzuih3oMqJOKCy4Omn7UqXMwOhfslve611bub7nB6Obn6fBnUoAS9cxTPF H+iuf1HYmvOrpc/5/dqV2Pnfk1IiQj1YqpF/at8weB6eZ8KLuGInpJ6IQpKVwF5Bk5qJQgt13MP4 KBSnEXNTneXYfHI0atQeTHpYT+q/ivcu10nsG5KgGAZpATm6c0LHZ0Qd9eHyP+hpeLWNPNAIYZrL HdDxQO6D9DXXeSBlveMaFlSWjTaad04jnUGCh7iBdesUENp4B3mVna5T3bOgWuDtxW18/RZl6yH+ gKGcFDJUHuW6uugUpplYOsrg2oLAlYRZCZKRlG/rU7CG17gz4FTW3W9bEHhAgJ5QIG0Pi7UbwN2Y jK41avOLG2z35mJsJGvdBRdllgFHF96MqAkKizZEZzwzedDc4zjOY02ZzCWcQ1QBOadInGPvZIqC ofdcsLnoGkKDmV9yxQGvyAd4fAy/QZUR5WrhD2YlpOwNAih/ZBacZlw8emzlESE7QzlzR29NSjl9 rmJR67z6kbqMWtYEBWkA6rOkZXTnNvcptczXIwOHTmLnWSAcWlkiy8EyBw479I6lxP9KWhJ/F456 mJ0eRaw5eoBZ+YlfmO0ADKQMirrxrPnyhHM3xNZ2od6MUoIOM4C9SsjzXHffGhF41xT2uBuZIS8L qs9ipIh/wLzO7LzjQTnC6uce+yQB1NOFi9MAbr01olnGyWILD3MWdk9ysfBhsOZQSqJmIAXtS1sR 2LdtX4oALt0kMzXHdQz7n6ni5IeJpDiC6Ot17Nb2c6Y4s5fjgUCT6a6Y8qf3OejHY578WR7A/tV6 DA5qwmpu5ILVIR7XI/odPhyGLRC2p4aeuowWMhOEYtjLOqjfC8hscwWc2NusDsFSpG1hlDrmYi7V fRCBAOethkuc6PS43km+XY1ZyOvgrZ7S5vaV7a7JRJa5uMvUShzqhni5Z0DCV5fcJ5ZnIqBXK6DY w952auYU416hwgETYHgIq1UOq/wV5VMCFK0bU8pRB8wE/acR38wpuakkrPZ1DtP2DkmHXAVAY7sB z9oq5NTBu3UcUvk466zdhpBp+7IwtQ9nPWM9n2AcQD0cKlBJrK5fO1ybRbbqCSzL3e2V/DQ7Jh2H awGSO+CM4xYkIzjiFoUGgcCmLuOBoY1svh2hyRG80Sx5ERvEyxXPw7l2LkLJj1K9flQDSs5jNn2o 0RYeSJwx+EavhDRzax4xYhqkDJRXTaIZlfONUtvjH9R504nQ7VgZpUAXhb4ceH/cXguhzy90dpGz XoZJ/SA0N0q/2JetOfXPEqGM1+hrWUw1wnsoWgarv/KQzTvIyGCu0EQcoQGLSCPRF+4TZ6XScaHb pbeQMS3YEW62VkLjQ+dHd/kbMdAHA2GzDX+VUYcmFQsIFRJzFpsMf3iKI7oQAtyrLu+SehxR412f wai2ITNq9PuRyLpYbA8Bk3nDCbXMcqPbk6LDKMFJc+hsECxrbj0LzvPsnEDlkOK1bFX6rpj/tNAQ Q4hnqyoqmaZcO0vTG1ntMPpsD/4noi+no10hBNvF6T9nu8HYiJUN8/ZZsyDgzOueg69QYhgbjNxy SlPhAyGheKBxb+YpxsjuuW/Rqo/lsW6ItDBC0p7uce2OUGUENdjx4bzfJfSU3DEnEg7RyFixxCL2 xreWmI6GrXYwoxD/UoRJ2QdQ7i8pmvet/YeOnp1euPUKipn0rYXx2MiU+1RPANmIA1/t8smkX0as pvcQ7F7AYp5MyJvUHaWdbzwdI0lWHHM+rZ/mlxZ2hxnhqhnCpbpBa0fcOpMvGE42ZIo9OgXGux5d ABtwtKy7DEtuTyH060yfxnM3SRzRynagcJ6QwkxjdwxUdqUQMTs41COxAS1KFN/VQyB+2aUAXlk0 2yKO8v84Gap8ez8w4I8P5AoWeTAshl3m0Z4ahZnGyg6jhYDUyJuIYfqlweEF+CwvZhPN439Enurp 1P7YsyThzsniYLe4bkey1JJwRCVg87gbHe5pjX13M6waXUzXRMSI69Bd3Ite+ol42Qo5AYPTPESw HBjuIerER2qixHIrrRrYZqal57ssgUNGA95OHEcTnTShoX0SA6vegpnOQLRsfof0NQfrblYtLEGA PzAGefR0S2h2iwHL5Hy1bmC1fVB0iBLzQ+lS9UoaPSklDNFaFQHa2p6BS56t7NNFj38KeQ0o4VnP EnEvDkmSpw7AVE8PA4l16A+zJm8W24hHcEFXi43r9VFhoRM7XBrELmTBT06KcuIXnenBUGWMbJ3x tbLhOUl8zIiRubAC9kAeX7TAM/AbYMQLy6R55XlhTUOFcDhdpWmiuz8o/c9bqspx1FmXA/VPegIw NVLmUSc3uSyDMg/h5ofuX2kiQAtrcnQLzA/iKv98Viq+ml5cIVp65+X6h9iBsV3LkRcsGhXJHFQN DDy62Zyid2lT+ryKKV5KVWW8s9jJxEipj/2fVDVxzm7tU3CkBWjsiJ6xQtxs1M8InabmMJYw1QGa 8EmKz76vsTZy/C79lKrfz14G6AD5VS8Cj3BA7+HvDO6yr1HFwFXwNmjnPcmg3iVPzXZmj+XXjPxJ l6jLPqd3L5OTIIUEpUxOCoEUAxoAj9Ud+f8kZy6EoqGMsJ9n82R9phwOdG5GYJWTklXYTM12tvOj q3ANY56UdhkYA33rF17tt25Yb0DyyBY0HkOZ+5qswh/+QexlTmIiXatCwd66Cpy5Nr8S8YDXhmmj 26I9r6d0eoY7WxdtD13MehQH6xSUzZ3fFXMtkRJPi17dH/hUAGSISJUoE0gPrig1jCmhEh4lEOkH h5JUQJWEiiaVo3BiSw9pB4RzFuJVos34f+kac0xnojZiWaOhFVF1ABaiLxkfeH6xB2CfNrVeueHz b1S/SL8QVtk8i0PmUMJ8neX7JCeyWRBb54x/uWa3SVIRrnbUDLMyh0mZHVOcY+vj5kgicnU06Jcj 7Iz3tt8QT9723kDl9ANZKf7HOMzVzVMSGNdxYamCLwo4Rpx9GDT9jOj6kGEVB4EpXl2vnQtoiNne WK5hseQ4T4xTZdZt2kERE8t7FouMwOPD/XxoTaQDrJ98Apw+AdhsQ3BX6ql/qku5TKHh6UtyOdM+ +gTH/whnZ7OYecut+u4CivmuGKVjp6HRT1TD0HFVUX1IJtuc2DW3DHcgoMKegEy4nrxnjicEtvlw XsWpnOGj+5yXmlLBZcyqRC6ePXG+dL9KYcVczp5m/iT9p++3ud3fNViTl0H/tk2Ifj91HdOHXCYl 1ZDVhiwVMjBprpVZNMZNLZ97W7fKX7pwI0yHi1hpAjBbmbvk+kno16+HpdSt3vw9CThyzasKZElQ Csq9gtHw+6A9hH5LhqwLnte21K35oAolDM/HmSRJ8yCi2YeFE/eqqbb7QdUjFl5Th1GiTch13Phd RNLHQ2SX62SD4q2mvcC2msTLtvtbWDcM9kbsMurpM+9BGFfyGaHuxD9R1ovJAUzC9M76iOqMXiiz wK4MCuIsZu7dXMycZn3hWRziQV8XVPuk7KcJVBYvOI+LourdKvy1UkU1XON6/icfZPEyx+ic22lC +4Mx70OFY9Hn/xjr0wGb5eIJ7NZvRcSkgr8oJZ9YKgeKkrz2KptRsMLIwMizK9A2Kjf7yn2n7zYH RYT19jsNrpxfAJtlv7vF02g5bAAV6FdmOee6sGb323QObXm8WJlfaXIF1FpVJOhg4bh17tZTiaa9 SjKyNbn2CGMjzKyE2nDgOGz0VJ7yoIKpHQqD6zlxLN626WpdsBvidsnCCc0dDEX4d+Bxad7VPsGz N2RA02xQ63hWLt4wSDrbfCB7vU4jtL0eZz3lOqwqBj/3hcw/kWwg4kVpUwHIJQDSDcVqyviFQJ48 rhxdiJpLmYe5V5mEp5Yf6xNc21m9UJQuHLC7aIvQvu4DymEYJVQan4ap40rwEtjjHGvQWLvPP8oo reQEYDd8FUPTChdGsihwBYkBrN+LbHuOxNSp5RScaz36ey/7Mb+O6VTp6wT+upkAAnJeyGWnHOAJ OWLAPAFC81SIoj9pBR8DYq2tj+xbvjIDkGRgVnsPHN9OE4pga2cY3oSIi6aDjeytZsFLwZkiDkra XmGhHjoghrA37zpICpA2U5vIt8pKk4leFq/72ZuKcR1UMTlNGA9tHJhJzx4vyjjtDzxNU6E5uhZK 4vSGOSmL0CCgj6R7xzxfrpDtzg/hWdH+08UvqW332kR2uzy0cjvFK2vdCS+1BH5lJYnVPK3Q+ghd 0/+FSyeMVEkzJkyY1I+vpa8CewnstdjYCpjgSfHTwt06opZ+eC3aXmAkpdegBN859wbsfsU7x9/c AT5oyz+tm9CrMU1+1eo3F4LbRSXxiFiGF3b3UR5EshYpJFJctRPoXob6SzfyNTs3L3zWqb3ZhNbm QUReFfr11/LCPPHx6lsj7epA6nJ2tMQL3g9ZXME5jv7jrurNj+w3svAoaj9ABmu/vgEc89JCzyzY HM4DkmWIUZ1Dqj3YYNPJnPsINhAyNMPwhyYp3kcXLvHX262mscmK75wGcFNwNOsKxC265Fmfb6rD YGfFnCTu35aU4oniWxG6pgTysULD8c0Au6sHXaoYKk/pUhMX2VNQ9sSAfxLF0Aly9zCgefgeRWop miGftlMOA03uDnIYep3VI3JIdxeUJ34a4OixRCOrHdif7X9fr9UJ623KmhHrj177HmZI8lKxLJS1 wh3LevtF1f2BdAhoZ1G0r0t+gwKJY7P0Xp0WmInD4x/8EmBCqFADxqoBWH0h8YCD82qoMfKEH+nB 1YrYK91USisCozWfV+2OcIVLHtHhMVBZ6y07E44SfNR7Ae6RtjweeZYnvZxyFyUcRMfxJ1KWmp69 1ah5J/rJrm1cBf29oJk+XpEuSeLT85jynefQbMIYDKg04d50Bx9iW2aIUTUaCsC1M72JLPpr0BDQ GNMWP2CX0PFJupA9aXTyI4jagbEsLs2xvl4w+weyiePApjz2klIBRbxuY5+zCRY3Bp6SNzxqUzJT KmeyIrlGySfWktzeKpZMhUNDPQm+RttMbjptSNiRSC+diZnhyDb2SLvZ+h7/5TuV6CzyrF2j42yu 4fHQBpfKvqMppn2EcMOW6lgkYuENmTbww2YNxKXq5bBbRS/W631M2nlor9XdvQM2baX/Deu7pS59 7u3tu1jj5fxeNsGkSUDDiXMsiP26mKI+gBVBcALtCh8WP9+gVsdsrQI68YZjv12HJM7ok0H8pB8a oLJIvHUeQqnYEtsvVpspl9rV1Z/D6q/taKpOaDI/y1p78gkuQ54JVoACXcESMf6jxN0crd/k6l51 U6C9ZuKarQpkFPpfCSUEqpIVVeZ4SfkVGhmqKQuN+41hw7O0ID4hCKghFbDiu3c7cAKV//l8V4WJ u4Yp5WdZWj21gfFrTNQDd+zqZiajgK0s3FPjKmpMgIr6E6dyI/BzQuxlGsuMkauKSh25BnZzsuLT SEFgq0rpj8vSWzgD+By9Ex5EnTSSUhWfd5ZTcpobYVEOJBqF7xmdFhniIbv7L6p8l7Pl9Jfiol7w +nROyEB5UW4T0ykptzjDk/X4MGC3tO+RN0/zsK0wzDYPrBOHsQne9WBQRhEr/GAy+mH5MAv1DqDb 0gBHM2S2T0wXr19L9j54yXsxyDg3jE0/mvXJc8/w0Prgxto0xRNg9w4n0n0UOvgOIZj1MXeCP5/b lo22zLoM6iCCnns8DQCiJYjNkKUYAucQnRhqXi++GxdvHbO6k9njSv8pFkilsrt9KQSekyTUaE2Y oHzmj9fyrvGDwoyeEOMxw3cd4euZTFjYb8vcKwofJ3XMnXZmeuZs6Q6vtebFD/In7T31gAMMx0aC +m6CdYdG94O2Ps/zJfSJctPU1+J5mqyCxbMQZ6BevTNuuyguETfGrSzUau5HXOIPgTlLPLyTJABo 58onqE946y66eRhFQ98kJ4n8gW/IIxSjo166XhwjIy/4Sw2t3mzO00SJAasS5C8MyIJKKNYZ7PoV sUcrJhuVzZ0iGi+L4QvixTXpdyNPAhlIw57lupdvoS3hyWTY2igUrS6Uf2Nx+bJJQAdV54FlTphr eJK+7wgSZK0/sFNfKgu5Kxjo60L1rkYYXGIYFkhsUOltg64w9xIkJ/EoMdm19CPjijP+wmeI/vRy cqbAy8O9y4c0ja9ZYG9VGSaZW8ri9/t7HVTaVhU/ohtCKcFF8118ziuieyLMHjHEIncvu08C9E8H VJqKZr5pTuiPgAj/Fxgid6Zc8gpxDhkN+58blTCC7P+oSCLInxofW/lgL4c89SQW0tOJFNHT36Th A3oSxBjEO+cwNzzAQ1+/c7nOYpcTftA8xxpN/ai22OUTlJSzJ/9Eu3500yx+hhj4xfAl9I0OVNSp 0r9QZJd6jddFhvgn9BzcDV/zn4tnph65oAFF8fRLxmALkUpbJd1pse0Xn6mRw8wewYFcNXkC+WCK bqyMonNVjNPn9E45/pX+DYy/uDsqvDEHboBAHL/IQsr8GMOo6UocPt+8EhPBiQs8NcTgWXMi0t7a 9fXQrSeX5fHUmJ4E8ojilGAF+Q2jucMfYaLB/sNl3RwPqChLCKW+jF7MpZikdz1IY3xvPPmrnDO5 eniTPEQofJdrT5O/6cBLBDag09+GgqeiM80VkS7u2FxaQfbAHu6ymfKHM8JkkQcqs0fTwN/xEeg3 vfXy1kwi0h92jDKk5jYjap2Pzev1SDke/R7Xgggjop2kPEcDdawPd9OlVOvALWX2J7RM+oEL+zDc pfwSUiLAPOd9ZXJ81vHT3q4eXScL/+wGtbT8HD6od3CnKgdyZiwgluo65M53QJYfX4cvK7I4DjNb Oy3euEK6ynT0up7GXMRDD206gyBKzIgrjTJ9Fw3ZDhH+mByevBngPxKt4vLaDafUVUa+Jkub5cYd e8O1Tue60u2CvFjholkyuFH3uLDBtNElO0MJV7NBURH3tPkdoeq+fJPOrlY3Sk+GZrh+JxDI/vaF zFDSnNLXY6jhBaX6g/OjoQ2FzKgYHV9TQea3lgl7wk53MprX1/2C4iPEBvWeArrqNWNgmovATJQ/ OzHskdAAeCauddpRPlapgSML/VmKwd3SvbZ0TUZvILKub4gQku5E8FsersHvE+SKG/6mExIbxXge 2mX2ugm18nYUSq6qSOogQpMmc6RyQw+/ItNlQouYp5XXlRIdrcFRB8j5AJ5BzoZqhs1A+qVdhe47 PCySKHl+skQgZHM/9YJPI3Bbw6y5bBF0XOlSXP4akE/7kyMn+jBYSV2zUPxGla5ATV+tuP1S5EhL LU2o5VJrOpS1+wNccH5CQnFZxv8ZZSK0r9kvCMkOiavz7EgTJb5sLYoHSHLfrPsrDbJfpJO7OJAI m12R9XGMbr9a0AZ0Y9EFpaesnvMiF5Rmy1gyEUKoJoWZX/nUAhXVUQdC0nMKsjB69Nx1vaR1zmN4 te8PsDo27Ukg5V2KQmDz49bVadjtWEYgdaue4zZ1EBbwzj5EVXbzHpmjsjgBrkNdDc8TjeqJ3A+i OlCp8o0IrLUJK33ozNZekN5/jCDMjJkfylgYiI1cDw/rA62brTOaOBrv9b5KE4qmulZ1Wza2Cxdi W4sVoa5DRRb7evC+AqQXOJZ71uEUPXJltU2s2RD7cWLLhG8Ssl26RkmbG1XMAbNEcDmDbfntq4GP BPw83zH8WGfVTCRp7CMgBZwGuVvqBDCrJ5ZJWoCBIGd8r5ecWOY0T/0mZ6iewa7sn+QS0Cr/0GQ3 jWBWvf6o9jOU2apqBG+VW+e5m31mFmWwYr0EoVUMbCoqooXl42tvQ6HTrrNJ14thE0gYMSfbRIDC OYxpFSz4C3lCKCPKJSOKX7ctLj1jASAXw+IvWmmqcWKoyoUi7pXuYu3fHnThWqPfZ+3Lh/BvwNp+ pGY4DOBvkpoqLV0R/sSQaxyhz/KfF7lBRpE/NhUgK1wAuUh1o2qVc+iKamLuU0ox6gulLXL6ChLP MZnkGz3EFFwvR4Qca+PNKEL2/E6A7LIeYYtKTGc+TqlfLqqhVZ5KOmxzc7qS16faa+KQ+sdly4d7 i6m+gnnoBfF12zakyTLlcQk95TUzbGLwEzooI8aiVgoqWQSGoXkjX9jYEu7g/twD91nS6/qYEGgp Hw2xACnsu+4uy+KtnQkEppiHbzeZytpEQaVqOUR2EAP5pImXxfbbIAVDyqG5Dy/HAP+h1f59T3nZ toZNi1Vie+DbQJDbIiLH9NXXz+/N8P8hRgkKIQ1dIl0KsX/KhxQDSYhoEkDf/z47D/+++fwjyw00 hDHeQZH40dP4DOMs++Mk213Ai03+e51vvULVDd6RR4mHBURc1JgblRW8tWr5LDL2uEcpWhd90XAT DaYx8PUjatzxl3poDTJEdRzTWNHyNtZ1DKiRgvvph+vcXWQ283pKT1eAq5CzGNGpBi053T11wSbi Nfc6HzN9NC6omiPajC0P3gz16K/cVIbOb/Wmv+4N3jgk6Opr6nlD1VPR+2umIxRi4rlQVZ0hsJOV QVGYJMS033EJDt9VCB333ZUbBYKoCbD/eJZE1mBEmIV4XaSe8iKs9TJnn/1Hc9TjQc9erQHPS1u5 tVV7F9UNgRv5vmpvbSIjdh3kM+ibC7QHdjmwbSVtMmxkY2bdT8QP1GItqKA/r5xfwQ2KSsQuoD2K yz/kFjMsTQAUJqUR9Bf1IfmXQ0UyauNfkHEQjz4Wl4/iq51PqvCoOPWlo4+LwlRtdIJUVOc9IPLl Qc7rapK1bLg4+oQ4GW/jFAUnG+0xKE89BVx0pR4pYuxOB9/U4e9/8ypKRs82tdzbuq+5YQ8/gjcM xc+0gWPpFOC7A0bX3SJAkeX0POTY7PfgZK10earjCvxICSoFXF1mRWNNw4z710LrpAl4UwKLvrvj /qEiVMNucNS4ulxDqWXR+pgabxrNJW+dUC1wpLMS/EJfXoueiGM+r4+GW5OUWNP9rjFWeUdKomq3 wX60m1JIbRJqrx78cJhTY9CM/4y+QmDrZ0mGBWfEH6l7dqGvbLWvrhutvMRjXP51idQQBcQnYWLN tWKXtyUuYytlZxCwxQdLnwdK22nyUrWjkmbWENv0Ba+fSGJ0yikLr81ZxLop6nD3/umd6p+ol5Fg Q1CUyPlgIWCjjA2L1DG9ixQJGVNKgukn20xB0nHrDZ5rAnZkhn/ESm9LG5CD443YN2NuRX0EKgMC yjrnRsTtT5WVsHkaVDHhkqyVnwTFbFD0CBVrHGfGA6eqYvNyCdugx+0evjPLmjWup4BFedD1Ql3u g5QbW5WZGMy2YB+2xUGaiILn9epkwHBcRLKo9hU7YgBfTtUZZiD3lkH3/7x495y1WLmZaM/c/8V9 h1WjbvI5eaZ9rhRCedRX5hkV+nSu5NHOMs43gfaeWXhDhjLRN0y43/LT8DDRpGU4FSC2EvL2aIxq yw7hvOwyAWs8p/f0CVY2e4M+ycTGN/SOBX1ZQNYS8sVBEnZEvFfmzIACwlCEbO1Tm5arICfPJn05 8SWVrKR/MOiQh/igga/0SUTAB8LzwCzbxzzEiNbtpsynudaWLaQCUZxtAX+rWqOKWP63OpeUEViS 94ITS60HDCnPcWLkZ6e8tzkWUqCC8tYb4zw6wlMMZ2aX6ZJw0h442vwpxlcTWG8T2UaMsxkOgQwo PHlABGAef4ynDcF5uuFKHRpqW6xMz6rhStdSqc07JiX1G6DqDYRdz1Wguuef9KUcPb36Gmlko1EP sRKD00H4hPGUYwZRTaRBKGDK7AbmuEPGlfkvR4/B/1WoTjkMTjG7BjrDM8SgM/K6hWmQPB3zAVa7 GB3LSRDbcVxmbYgzjuoz+rxxVDatIzjSTObEW5ATTs5UCNbClCrlO+IbRh0LS/9XdOCxhWD2sY3l dZyaU1MC1sTqaX7TTtJvY3P3qyLHhF/dvZoqb8bQRBtBlbIloztg3WGMAOSY+y7YVV+8vIwnCdnI RbNmBeeQu6KLebduur6aS13REcewJ1rRky8IeQpPCyBjeRGv//WsC7U5jqQRJQ76WjHrM26jdzCS kJs9aec2XxlQEeutA8DrGb8OiSBklQFdJ9YSje6yWaytgZ/vCfMfh5xdndbjEsJM0PVC/EbgxMN5 evXuh+dvX5cUD3/pjWOWcl+n7KZ+fZtIIYcBB/laK/W4kpHos9rY4Zh9CtSu8oUmsdVV9UbHZMBy fb7jAFkVGphKFy+zr4gfiRr/wX8XZstziez+R1jU+sadDZFXbQ/pb7nujeL1SV3VpGjUaQQpLuqB mFY6fbu2jB9MVOpcqHfns3yXusC35msjD60SMYeWKXrflcNlZUQE31AqYLmU2/BcHMb7LskUmSLE HYGSOr59ezOigOiFEoXaMxtmGAr0DqPkeiPghL9YjqoehzKDL6O/M5TWxAFIjm+IbouQqMIV2SFl aRt03/bB4E9IPuHXvVX2C6KmEp47uGQFh78SXMbOvNJDAoLEqV8Na0L++mlJuonsUHyt7mopKqWr 0oVuoaVDhv6ogAJCcRNwvg2kgA2KCkFsnBzwxl2Wnum5NTifibmp30GKO3U6frsKaT6LSPo+xMyJ 4SgUGNM4o618aEycsmNIMoUKXFdG2QIQXWWixXnXMyUx7r7UiG+n/WPbNQMFeRc/yeWzOGXgAobI uLSZF7VnOlQl6/FbQ6EpEm/Zo87pRcAciIo5tvUFeMaXWvB+UicciqYora8wc4slG0kWtsLB5J/S J/3jdiJB0MATRh0T+T3A/yO1kl7UAKupvA6EpqIn+LQPifLY+rvp/RZTl9KNSY6cZt8nIxThALl2 aST5WCsiZd/1hNWNZALySrUTwyqlDTHr0Juh81G/xakfy6qMxCzo1mcgP7CfIiKqrtUD9MTVnwdA 4qJXg+nAt5NU5mlDFxZPT/C7I43xFz7pbK4jtXx2B3/kvF7H9xIP0sz4QO18TNA/3jCwWAXjbxxr eQi8om0gVt4hwjCragAjjnX6KNCNKpzpPqTJB/EzWwuob+zSdr+7Wc4oQwvqJ4SBDbFpKH1wks8P 3OnwYWq7DNy7luagN5S2mFNRkHXmap5allQELB3lA92T+k8IJmPlXiFpCsgjCYuHD99YiuH/v5Bm /zQiJzNmUe1Qc4/nJUWO/JSSI29VMcr61LMRDT4pL6S7UlIb9gspZ7y53fcH9YW6scvf8HN+JTAc y4jmnpjq5BM7aNrdNGADpF+lLGfrjx9rfNS5nJPwdv7V4dur5nES6bBHZLloZQSlRQ+xyaLfN9gN ftana4X14jh1lFpFBjBW2ALgP31dnp9ARxOMtlxecpXKz6lH8O1dmqcWrASGTEj25lKje1sHuhnp 6jY0QTuwrESZtXdOYIcdUC0rUBz4TAEZ2FmTr7OrrkPfa+YSsufirnPvX+W+S8mCxifSVJKHyjRR 3fGlbgdeC7Hz1Tg8cV7d4fvCirdmfuh2SKhYQ7/chGe2U72TXfg5IifS+MWRvKaAZiEVQw/YWq4D u2r5uyaXYGMvMRRYbaV7phxm/YG+U6bTpsL9zAT5Vvm8XGFLsfsBPsE4qCtg9ZfqYYgeDTRLX73a W1Fj91BOoblx1mDvleZJjzhU93l2QcvO44i/evSUHJTnSKPCl8JohnPK5sOZKPm9ESH4SWE6shIG OxqpuAsqRmKxjQhbzE9Vyy+LbQsJa35kADB5klywyAD5JsB/yI3lb2tGezhpAAuJOxMF771X/7Ce 8R/U9xjpXibuICjaIR3A44jYCDB+Yg4FTehLNk+gX3Ni2GdAKgpbiCfVwqhRMKyNcdVCJGQHn8s2 JvNiW8vaVkjq8LbA+TnMeXgFOLBVEdkqFv+BzTPql1aNd9Piw/c42bba9wH4D6htBINQYuTj4nS4 sfVHavxbNRLqP3XKl3jAhiBD8yF1XViVZk/jBFx2G9p5+Ca4D6NkLAtYz/yc6IuGjuch1tRqh3pa YyFt/Og6JZyt0qMq52SpG/9ixspkcXv01s3NnhtONwbtiC00Z8NgE99K+LvAQ/8A8vLJVi4E4Uan wTAQZEQPesmXK8lubWZsJMv3DrA6+ylQTAG+uOmtCxRkXkpA4hqiGrQACg9K9EMKeIdB2x84gxjr TA0zjnw+l+8sMJjGr8EafbEmQDUDtcOpo67ukwzOWkz3M0vGiXh8EIgLh++r5FP/rGRUCy8TVFJJ ADvDrdOq3qFB+FwBh/h17Y4mCU1rgt0ra8gVQCBYVOmi1gaG/1hCqD/tXzw+XomKIgZXxmcoxzLw WOkLKzhQ22Q9ZgyA4KKFcHFvp/II1I4KZHKNQ0Qx2oWl0YewFtzXgHwYlscsLFSirFcuqdwJZ1zI SoQfT88zRA3L8yz609EBrdYTZcww4JhSSFNxUjqz5xJTnXprxpJ0K4FzjWvg7cgfOrWZtj8FvZAg VX8UziV9VrdqDkn8G1lE4WwqAtJUDt5q92R4TgzyCT4tVkOfZSRwrp/tA7sKU0uSQ0erV519EXw+ yCi/ZVxmsamDgtexZhkzB0+hxcb79GvKECAtGUCCE/U4nkZets6FJmZkLW7izhGCOt0WxCPVAbbU WwVzKzBYO5BXzaX6kGTSdPh9XzLyFRuXp3Iohx/0/FPhJYkIPZ9XV6kSOb8nUHf+d/V4cFrbBMfS I1XLBOLEDo36nw6Pn7YkuZUjKk+w+v/CF0DwWIfre9OiscBar7P3NqWRsrbHLBCa5aPdLUom+6Fj WJ8HqjBkr8udxEvkUKjkm5MEHkS7snawkE9r9hqxmncZBWFC0If1aI0XTPNfpZECY98h5ovk0xTf GFdqFnM6ilSxIdHa2IMQUQdom83LPnSRm1ebmHBBi8k+SoYWpNItpmc1D/sQpjxH4N9JhTZKN/Tu WNOqPps+vrT9z8MOnhf1zvA7kjUJnNeTWrGcIK+DxGV1gzkAhE6dH13DcuEBcoymPFGu3Zzbk6ME kosjQPnwNfBEntiJg54oFcVEcrAy0ndBVLS+r1nmCXu6fj2EolruHylg+gHWNYoYgsxU+fAkphyg Ni7fcMAugO7jGFWz/vhlVFvLs7B1fJAZPm6gbaBuooDFXJl22FS/4FhlIrViBYP/rHb0lNNk5fWr iY5lTAwzEaZ+KLYJ24RfyiJMt9MxZ8FfvGJtg8TYrp+RWUQrt5WNfO/M+hINp2cjDV2WSJHnss3f 7BpICNAv9QpuCBXCmEN/PqsYFC8w79Bz0+tVCAweYaP24oy9m/l+rYxUT05zAK/JEs3TvEtTEOKY uJm1LsAZUxiSE6jp4t6BVangZXLqo2MxZpl/8xYEDMPdc8bWdcjVBavXQppLVtfruP5Bx022kklG RN8KnktSboZBJFUI2+DGjUjeDVQIe16bZpXQAlfrEoahqXaN6X1g/4J6pqBcVdudwbtosti8qAUC nMSiPhqk7bAv8qU+Z2Pex0a/EbbciamVbz0GRadYXG5fL71v+3d8FgSzDW+t9+G6z0A+JB+O1msl aeqWYRXief/uX5kfpsiZ2Y5CdpCPnFcCSeI2YQu2IcdNZQcGCkkZCm/AYUtbk6pZ9q7UxwS9s2Jj TVUDZ18g8JEgeqdtcSloRIEzCQjZkoWxlTN0E8koeP6uO1X+119yjyrG3GcYMsk9ElbS1QAQ+m8D qo4T5845XNJZUkitUILn71eUYfnYz0+hCw3fmTWWfHKbIR4ALiLFgr+DY1B9LOyX5NdG9Pd/bY2F J5m5d4grTOExwcAIc6pCxRVCtQYRy7yGxu7VKtXemgafGT8XtlBXE9SwuNAecDMRnvf5RWKzlr6f 2LmgZrwriFgOr9dbsrzQX6LdYN0SefAPBypAIpiLcx2+EY6aiExeHvNV8x1Rzh919plAv6MR0egr yWbLzopHeV+FxAoH+eHf36PW2PImCfZmTFa4CbWK7HKiqY3uo0bQd5Xwp/toenZImH5TzCElvMuN ezwoi9Tf5rbUn2zNuaEVzUdhnnVuVjsCwGtP4DX3mMdKNlID7Ds0kHC3GqTjxHxuLpkvaeCx2cVz zuJiNapM07rrsXvS7AtLNiiMqEFJmZ5sKCuQJxb/TgKzVaQjkacSVJJwVhCaHIb19b8ABxrTA9BM 6k3QK3TDRVS2q97+c3kFUd66LmwXTwZg4nU6sWVX3gv5fKwaTwbhUAamQu/NYZwxnJtnom8pkK1G YUF/+Mr29I75RnHYo0U7oj8PUC1vWi2BXbBeVAi+CI1cfO30MS9liBitsgpqWjJc/xC8YMsZPfJc zCY2DWaonea/SVndoNrtGb8xM1d1SWK8TrL7xjRGZxq3AAPC9OnBhOfF0qQJ+fBTM0zSjqc+BCvi lLi+kyjKJiiSBoVy0xJhI7Sm/0mI46EKiHnJSt8xaB9rcaHgzi572mjd6n8xGGkzy5SY/KuO/hiO ujyqn40oTQd1hKwg/I3gx3N1fsTJQO1+Bjocyi9mozOkRRidj2J6x3PSMWoYDOrfw+riARnyKhPR RFRWrqsNGY/nh4ArhKwdldvKrYERuGuGXNVEDnZU1cjRRE3/OgJNBZKVDaqLkBVnaMcKHA/njJnx 0OS3iUUh2ZfF1M4ojvStuEFNV/IRbUIC5igczXI0IZO1Q2AYLcGWcCXNA1vP4+2YlDWt5QhM9yRk ah86HuKWR44eumzlP1cg8PSLSbSJO/zt6Ej0KBv4OfSfclKagIFGhiVadlPDAOoMOcYUUu36Mz+o L0pPVJ0VSiBnnNkm4Kyn+rvP5fVTSVCDOsYxnzx++dDLOdeW7RedHjPmtMxshLgI+ngZ2j/GT0I8 rWy9brpty7gezUgUxFxJCzj3vrau7OkgjUyxUTCXSGAYuKfbCgCGPCk0z/E06lS8pIn1pe1HgfUZ NNoNHVJuNWFEg1LAjHvedxO95nGXuu87kGXSUUDzaBUrR+rc1GB9Y7uuJkHlMSSnyY+hTjc8TWTE KUU103vRq1A4mZvE9vArhV4ymfj3IjQ3q9qQqHIeOhg3QXwSr01exSsQ71uC5cbXlJULE+AWRHMR COAsJBwEaXlqolYeDKadiReyHJtluDoHNWO7oFQq3y1ixruTLU/DYQTmrSPbPUKawNLy3vUZOOW3 LiPZd+Ijo4KnhgLLU6gjpKidBEK8aNW+lFA+5gryZAYtCQWcPzSFRCZfRW1U+GFVZiKfwHyyYLqP AzygdAVpDujuAY4Uiz6cj0+ozjnpuAsCp5OvNXCCEG57n7RL3X0u3I7kwsq7jkCP9TbPQK5iECGW 3+a69pC6ypR+1LHVX5Nw4R1aN9zbj/iQNhX//xn42Qu/Xcj4hbAWrr7ehROd2yUP2ixld5M8P3Qg w4wRtLnxaG3DXITFvE6cg6BkPWaTvAgmRma0gkaXtmIdqI7m1XZ6yPTHB/CvvxH64fUBrmE66S07 CqudHPNBTvQ2K4TG51R6AzJJoDqw6Z4YWsvXkAZCC+lsutum+QsLGSCV0SpbpltC0DLMIseaWhxX 1YSB+ooMfdWf8j9qu2VakMbm4Pfg5thmS7060vkUrIX+jGs8yfbaHpphumZr/8Sc+QBY7yNGelwX 4HhekNTQ4oaEDP68HU/wxUr5yAV2hWu9AsLv38GpCTnQ5RLoGWW46p3OoIgPiExo0qXweIq5tRpj d5qTIMsz2swTRi9nBEtSwxsiSkEuYpJtLt3SsJ/KraBX2eGr0xuFAo+nG6yNImBWk2NMy4pPFJiC NJPi+VHHoyBgdVXPuNDLbkN9E7cBq4ZApnE2hZpoJUUCgonCsS0dFte1iWJuANsepsrBvHEwiGlS cfpjSJLptOGoS2efFuUHJiDXtGOboUUVJQOV9wFHTpoUig2oefcHJXwZYRUNLoVyNs4REg/7Yrxx 4OvmwsatIbvbP2g2ayp/xOdeMd8RkcGwUvuuL4Dw9EyKmKo+cVB6HT8NIWXzIscXIYdTN7Md/wJT zm/AjJNMyCzG59hL02aQOrCWKSu3jfAB/wgxMtaHiFSCjzGT4CHy7l8HUgLCgpI9cHWOd4Jpcd+E j1fw/yaEhn9x8OZHjuiRlI/cWcqYCMDhnwxkOhOupBuco2mJKznHtE1olU6JrcWu6LaatvIvp5mA qQeIRIrr0TXs6HsDpwJDv4DHdqbZkAMYfV56wJo11xhmBH8TLF3tAh3XXdLRczaPmaRRL6yAu2Kd aIC4cejE1CGXFITEiOxWKWKxq2F8tPIVgF8f4F1GA1xffmDYuZaA0665ZkiNBpUD9UYHVjHHnz8/ QfK27GXZEoY5JrORb/7vWCuBhYhj+gEzdLij0oHl6m1+6gJ5NX8sfrjjci1XUNCCH8TlP+X37Ya9 ptvO5sbjJo4QPcxyc2NVGVLM+2aiLwMXThTCrRsxAUNUvBv7afCidFBBtmjTlbySjpKUrLoH1g+r iEzB3AbxaLh5w0lBc3LO8UsHxiShb06naPCBDr9AcXH5XlzKuybxKJC6dgG58EyWtELGTSqMrjpk 7SQAArjalm+d1e2bycrqmLSVr1zj2ooesPn5Ao/9+8KfqUcvAmmKVjGbg+/Mx27xrNF7R4Syi/Hs TM1rvN7GpXo5o/2yu9hcK/ygDR6rOgiMaeGvtM0xLus/95cjLIEusP2cc6hqB/1AmeD4mCY20SnO DIsUUeqf74IrNmEPY376sd4nS+Gk9g6T3HEYJxGwcLoAa0tJch7udsmCzcmDKzJq/2rladvct/LB RnUUk3jTR/iwv7uVmaNHGSizyBLEFme4WZqKlec20xeNxtcPXLE794R5DY5/5KiCXBBbXV+a+wvt m3o2DTvMoFWwi8wSObx6x9VBIwmeNgQmgYmRVS76SZ+nJUNbBNzmKJ5IDJR2TDgFbrSAzvw7HfQK 13k2tgVVI8KCrOkCrtEYfMpyTXPeEvp93yQABU+pspHieBtM0B0tga4IjTfiGIc9u954O0i8+lyw FfTWS8NtxHF9XLgW3La2PBxEYYm2vMOe1rlA7G3zOdxZYoNJk0O3/O1FwK2kJJssfSS7NV/49Sdp yGvBMR78aj8IvIj1gNDzRmfp3HYhTtCb0FOoXYlIBhm+TtPoSYu6ZSVP4XJYcufb2NvsubqBXgfk SBJY5iMFKnG3st7tLcX6VCv3d75eZSwGJCzHOCNLctqqxPANskuPcBrIGMm/aNjDHkduhEo39/1R kAyXafk+Of+45KIXIKHlzJl2ZSB+2D5kKj8f81MtmtMaCCbvd8ZSeEDKGcsluk/7cFuJxSSmpunH giIz9cxm3Tm/mTyIDF0SAaEIO3gsHmIeADrsEnuoQrNge6Cmj3TYYhX+48jqx9fHCz/9o3CZ3z4M xrpSexKdNkQDqRqt4nLCszyLYCm5P1DJsOyAJPWDy6bhTb6bS2pJlYgs0aZ6do+ZE8JDZQPWcH8I j3EVaLZgsj3KuJSJe9qjhXiFYHkAXrnniBw5u8XIiVNOitiIYo3lMzz26jXLXGARTnhliAO6saU7 h7W3swgPFhusrbQyeCd0dNHZ+T3cOnRqg5cAc/Gqih2fDMnLlut+RufNjf3Zanl4XNnLrSfZFFtK bGWEW7zEyU+942W48NMVrhZHe9nxR0L7EptyCEu17IjkIOl4FMw8HdBKZkAzDtYo+nnqYaKqLBPN dTCjPrB95dAPd+pZaWnaBkkijUUJTH9gUzokn6SQr/J/MRiG8bZKvxniTQ77WU4FSDKkU79Cd753 53GSgKgYtR+NZ7Nnw0EQXXWyOkBmKJ8SL7Q9ReBrPnE2nfjexIEJGElNRijmAd+me2eIyiiwVktF kEv03Aege4f56PXUmBp0pftJhbnMhzhkKJBNvX6bV6Poh1IUE5nOOYzHwmu9REuLATGDdUt5Ujuo XujNKY4c1GVrESnXRCDcv/F8GrgVBcgsu2TCdmLveyXABWMXIqjNRKz5iWdjmX3i1SUrYohAH95+ g3VnWHzggnOm/aQZlTu6urQHyzl8tFe8R7ICboxH1kLEfAklAl8gWU18K78v14g9zXOdeLfzPcE1 jkVdZVtZ/PurR7NRcOdNf7nga89Yk36f1O8UgPBDvL1Uxyc4kBTuv4vIi+ew5yFt17QXlI6VwfAE 1D+wCvXnPFf6ZtCarRjWTDCAdKeOdGBeNQtWtOev2361Q2VIz5nT+hs/6pESlOZj/oI+s8sPf5Yw jHQ/WoG6D27svt/aW7e3DRYhiDG6uyo8AwD72D4c8dcMPqT+oSCHYLv/R1XzZ5fb10v3YVdWcOvg xQtBsjXvwlfrEj2m//piiCjbGHUXjc45TOiAshybCENN48kQp/TxkS9jpcJEOcYz7QmTEfwqjJ50 c7T4GH3lB+Pq3jYOJypGVXrOi2Twhh8nYrihLqdmUSidjTOf0krAcNrugyF8SJFzbD2BXvbxrDPE D6uJ6kXRMXegCFhL4XW4h3rMog24MbcvzlO+fu3d9IDcrQ2ytypq9+trcn01VqHe+lagXBRHLawo BrdDu4rgqLt3TgVMqNGNU8l77LlHLgF8jTFOyEnMP8BypwfgOL30Vyb3Zw1x6lE6FD+JAeufkHoY 6v/I8LX7nZmvW7PHoBwtPxQr6ljFSSaA1EHSVVffb9oc+HHDEItpeh145SvEF6r2aMBC0HZFyUUF dQ1dw0JXGKPvNh0e8795GutP3NgrT4wXmv08HXd6kWdejLa8do0p5u0Xij0L9niQ7nhwSsp5MWG0 EwNCSl4r6unBK8wnQOnIghNuBWRmMLZtIq78Q9oRSAqKLLlCcIN+xdssh+4bVVusWHETBt6IBeNE OHzxvUhlR9hFJqFDGfg/VphAv5YYoRxwPzD0bdEY1WK0JKsjgzxHC8sTK7cUSVd3ouNKuJSvd95N q+qimLXn5mfU2xnZWlM2tRFEsGRcw/BBP0fJLJnVxDiSdnqkmyCwWLwzNE0LmA7OSmmUQtyIbFmY siyAA+biYZ/a6RSD1hI7sZ8yacXFvgZZps4Y8EtaWBIcD3sA5wlWfdAM7nxHp2SOzSDuCs/Op928 iPM7ls9EEGdoXJnNS3XvOdQz/SRBBcSAXkVZfegqkj6mzq6zxQdeaJYgPl+h7yRwa/niZUSKUstB czsijPNjnWkIAwLu0fKI8WgAtMXA3s2AJspfuVrWTRFE7EC/Pp5tpIDng7jLYF6LV+gtVY72b0IV rYnKVaPV40HQJjeG/P027VQP/aiJT79+ZwlscWDPZV4u1zn150lowjNMSwg1iTUTA9INZgn9fshL RkT3Q/BZyPvtKPlAT38BVApR4eKD9HHgiLF27h2J2ZBMi5ZKI1C4/wVlo6SMCFeu6aISO43gKhdf tOnGhDdokaqd0pTMlDIecoTzhVOpicNEEj98xObes5Jhi+lvdsapwAjmkdFFMYElDUOt1jCxkRM8 /pdVjFa9F724iZJK90HQ9y6pI2L3jSwqV0So48/ophBQA1um1GNYEeAeA7VVZpnQCZc6vYtkz0X2 pgaARQQ8BaZcJCg+f902Ep5dXbxqVf17Cw+IyNoDdSjVLcvAoBH7T1dN9Mwiishd6QZajLxMlLFB /1vxHfKMnRBlGyvSV+eI0yyw7B45g+QcLLL+khaGWsBZHOCOSlXJ1z3qykOuAS9W6scvaWKFxbfU ESl9GELBjq5xuOZuIogG3kfGS491coMSNKFk7EmFfwPWiusVc07pHhlNNigvRb58XnR+aG3yh//J pdj3RWI7KuSltiA14YddBU545T6chgPtkIKEmOL51twNGyQ6xgnuLaD0NQdEh2lFI94flRQbTWBA Hqx4Xoi65FfN4vOUxfpdVOt40w0CUrOF1pRthnQeAYCZbR8MkfVsPkVZFwgpgVe6Fu7XTz1M60GR d8F248cxtPeJVpZuU/QWqRGU6sDZfVcKPJEVCq0fdzTPJW/zCMsPuz8A99hbGbFJxG6gr42jAjze PNsK5pTNNxQqmmy//76Bly43MuNgWDxBiyhEH1QCnNryJbDk6xglVxpK11AetHhUbw3OVx21Tfem ShsBk/a4bPyJABwpjoNDUdOLJUGdnDQVW03ZHz7M/JWKv0jbJSu/eQYVbP/z1XgrDFACQGYZkPti rj0wfOC7BHStvW/y4dHApk0mnZ/ukEDJpPKj4RsxN1rM6lr05n1kPFfJE3a4FNR+dppsymeI1Ug7 QawazH2TXcRk+54iNr0zAnk1kse9dWCti9oUNEC5NoXhqiQ1mKBnPj2fwousscM1T3r56EfszD+d 7NLfUdxC5fEWNo2O5ZvxbWmDuhkCyJQ8UWoVlRRN48mySCV/fgb1pCPBthyYeS4Hxy5oSLcbJlmy hzNJ/EaDzy2AqEvUj+yykY4LHtmK+5Uqytg7cG9MVEI0CsTc/sbo4ddXYC/2kisE32I1ZVoRH6bT 0yuw0tiGRckvXvhmt1WbuHJOKQ4FYI8dMBfhAWM4/GgVtRGkTNKpkxb79AIiWR8lJ/fSHg3vCa79 NHUnef080GEWby1NundzrlNi4s3X+xsX2xEHnwQl3nxRbJFpSZK6smeOCrAdNs4MBNWfitW7pIjD f9EZpCNQDgciZMV4YtvCT9ko/EAxX520S0wUR6b1M/4feYMQLPvDfAgXU8kxhhBYe4o04GIMqBMC seN4WDSzV1zmdeOSUb44JqHm2ydU8lPGvUMdKn2K2kYI1uyVHsd3zNi47kG0DCl3dMqNGbI5A890 XOIioJffx3FojnuslQg1/wk6qz+NUY/55tytg9FJbFUScLVTzlZbxWF/b+Qe2jEoa9mAxjsnXInx suHO4VUweu9esx3VB/r1dh+1DqG8IUQWsKAl3G89/0bz4bLenFdwEzlTI5j/zZ+IBZzvnT2Zv7V6 gWt31rtleIDSiVdkRrNyxgaJ1LOE55d50dVK1/30VCZ8lSOL9Gn/aH5myEpIUDdiUfH0D36iKnyV 3vDPv3rDuXxWbipz+WQFfIjpCBI91lXuYdDfNmFEGxW2QzYF3Df/7AyLExrRNukptuOWpI9sjkAf 3o9+jW/+UUTBb2vWR7sd7bJtufxxqMDu//PzQeyuNb/G/ZZLanMYpV+3I6yfA8xLrKz/i8Hfo4mq 1glrWSTO39cAQdTY3A8FDDaW7234y7gFb4nhOLhPDzFAug1x0aUO2/1stEcrR+bruJv97LXtNm7P J+daBd1isGfdg8R0atV1GtPKQluKBNH/7vgXlMF/xAgiYP6dpbDcKYVqteerj1nnss6mBilBcrdw 2jKMoJX59d7HCG179uQa+tl9Wul9/3aJBDOGiDvXAPMpR9lZbGVXC9NO2cnWTmbAG88pXXYwUeOQ GeAQeznEEDo2i1U/lQca+WNbLCj24Atw1+buVtRSfcWJYP6LTXP7LgQkewq22wNJdvzR5AMvMegZ +u0rwp3NjKWJXn5IGWtFJf9GtNqdjB6iFucrmXoD3154FpvhKqmaVyJ+wcFWl5SoZW0Zh+gaVdCM DbEfm3XvHjIz1Pctcr5oPdVh3qv1K3JSin8bnmk55LcZfIRbgFi5IWmgE/Wigs1RRAeqKtsDwpKi 2G0c10JTk//jD/iyGD2lKtePMvyAIcNH66w1aqPNEjObzN1lL5ctUNkMTne2GW7CqLyYw1VdosMP gwA/JW2HD3JDRITPk4Y6cGi5QY+eJMF70i5cLI3x0ke0cGfDmQ7TpPmJi2AxVYVQEkY7qk+xhxx2 Ho0h4NZvlXng6cO4H3PP7BWRMnYLyTQJYiBIZ/QeVl6hTFTWhkrr29LZ+WWsRtmgZgjzn8FpLBjl nLSnBOnhC6gLp32I8DI1G1dp5bAz9aVFVV45DQIkRZRIYb7FXbxW8Dj2w7UJSyWPumZanyAKqEiQ orIJ8chlrIJ09wVG1W6WuJP/ibagXi5Noezf28lySaH9UUbYs5EYjM2Wxl5tvv6AZtxmBkCiZFY1 A0YXIiEV6H8Fv0CLzWmfQwa7Mt+tfI3Y/2DbXvr7Fp56H5e0CCAbgN8HewSnWFJbNsJnZbcU1Enp 8vimQyeJOrtG+0/PpqdeExpMwQE0NcxqhMmJo3OoVpXOl9kuvuVL3kQuaNOe0TISurxRBK1yQOJa wLXwElOGQLP0qKe5bOPXcTWn8lH0Up8c37rf7zDHacGO4ksQsHxd5jSr3uBsfA2fdinaAT+Fc4zU l8ubI0Iez4/zScpTojaBlyKXibCLLyilH4CMtV/4WzrtHuTiH6SjlbKeS1CefyzlE8OFjpxv5TUw vqLftXB7GagKDYtq0kte61Q6lH/axWlUAXFSc+tYQ86L9DQ/eLd/H06IxDMUrx40bG+htjD3Vxdv AmCVWXq+8j/VSpeCLU8CznLzdlUKZi8qNC3fKbG7hncmQAqW8hzu7/hkqiH3q/gzawe5q8Uc60n1 AHtnRIjzelCGEA/6R/dRyIJ5XIQrB8veKwtjylM9nIecTO/6MHSstAg8Z37wj+9yVZiJJL7PR9Kn GX8OBY79cN+zBnALJGdz7LosCBEakuId3qxXAfYNcpAYLAEjUw95xVdewOoDALJEg3KOwW2S5dNl HUh7Dxlud5oEyErsEGxrfU5argt7tpOr5BB1qBj9z6NOsWwnzxspZDPXZzqmewjvqaqw95Mb/ApN DhT3Erj5rNC7utOt+epo9rl1sAomE1q73heGWeBKuDFlYAYxfOHvGgkra3/7IQuwtFOhltuuEs2e yy2Pyga7vvtkwGDwkw3Ij51ThhsxHdlGqcnBC/gMCrn2LtmTUDd1WdOnCbozDaUvUrL/80Y2dVea CFptzt+1DE/mG39iBo/EGNnlitUeKFeFMPNnBhtQPkpqgq3SSNggdf6r1p1QeMf7F7LTT86lmy/t bwIDun6iAPAC4S4xU0O402l2PcLJtO4UulALlKKAY2WzpPBvWQEsmZYOm+9vYIuBA5UYtXuLim1h 2umpsK/QZNyq111yiA42anIzYdWVKZsVjno0avkdpXE1K/+yY60Eh2d11JzkBhlALCzxQuSA8aJy +zRXz5w3ooYTOP5GCN+EQgoDWXuMUWXocYcM85IxTFLR0U06uQGzu8teI0gUlbr4+id5w9F0A324 3am8pFulW+v4yBeGyZt/Ut6AFDy2LzxF8D6jELOqNNKRRvQPsayTz3C5y/mZnT2hRdtrKgOwPbC3 yww1+iHnvE72LNEphThv11pR9g8/H3GVq7CmWNG4hUyVXBYKtLJzMZBeqmH7Sb+3h2F+1EuInKFc 01xSw2KKkxmT7IDtbITVa/VTPjvpHzxyCv7uhSetZ9ytexdPRUm7VncOCbjjYbEqLT1AHjGmnDPe O4u/DAxuKiySfXEgBjkzxqJPxr5VvLna6HrUD2I/oKGRwXRlz3+mV1wWi57t8CerLr9tN58Zvjlt oRHlM/jHCySrhsZRCDqiljutoa2PC/rXZ7uDY93NhGjqufXnDMdlcNdOkIA2TFM5qySjfkFerLex By02qGnOLmDZQs9owrCBPOrL/SAWTXI1XpDitjkFLsCQNGJ+MndGvQ0qqDU+hpG3iy3ii2oKCc3M XScN79wTCL8GEujSS70cKP6Ls/rJi5HYzcDCNs2Fdq0k85Z8yYj0H0XrBTLIgA3wlpNsUVUqvykA qrvfwO8VN93bCj97YN1DWsS6LT0UhadHJbvBuQhxhatB+93hYNzWYTV+qCrqAtDqcqenHlFGX3VD AADwaDtqtT3ke5cs5nbslKKzUX8TJTVybGaLO5MDg98PzhQk5kexrMzumOp3YlWUk5lU3/hlxFh5 BskAAn1PbmlPmx1JgdYszrvV2qJEPfl9eilY68UyyJtuQtMULu7MbkBtYYw6+EjNEomEXO+9md/m 24NMxQAuVWlepqZ04eNxYJA6DhuU6oatKcgkrdnpZbtPWTZPpgXRJCVtTZi6X0iowpaLdM0vFUjS DcbEEekLWujon60FdVQtzg9KU/w81mtWC0rzhDFgnkVnqz3DI/8prSXsA2K5DdkaboMxBThfvHDG nRjNuGYhBe+y/LAndTYk9qGK02yOhcLrysUIQo+Y/ed/GtGTdlsGSOMfdN/BxoIuLN7c5EssnZZ2 5HZnsPBZDOhhp6Ycv3W3j5phHDMkfn5YhRheAZG0+307PFkjAhFphQ2+8bX1BxHWkgEkIuj976GA dS1cSz9ONC/y/AZE+QSTfRpY3uDZA793usBJOPvqsml2QdmGYed4krygR4mjXWGZP3ovzextWwYt nwxR4Ok4SceeFECXnGsofQiCk9YSamZRSQkmvbMELJk7B9qnQ27LhoG6KdgtVD5pxDSz69xFJWQv /OwQ/qpiYPcKictSSCs/1OzkKfHeMYOTZ3o7/FCVzugyudnul3NKL0iHlDbWJhH2CbbDCjE9wR0D xoVnJnONkEjxXjkNgs9IiwEIpEKuakZW7IomqGEbnaufxm1i7bQ8wPrHKn7y0Pez/VUdo1GfT600 tzBCR1lD/zcnH9dSFmvrYL7ydqK7pt2X5pWaz7BK/qj8B6M8uKM5aUa/vK5nHo8ZUCWJmOvIQac/ KWzNGVZGfW+OYa0rY7HPn6VVo8KJm5xXHaHOBA033n0QDpGtUnCBJi8WkGfia4k7uppnT2Jjob97 WOe7Z+clgUvghHm5O+F2oyolKFYcxnoycAtuJTY5uQ9H32+DHCdApatpdKPr4DnOg1KhJEGMoq+5 U6/9DR/7A9sRYpDCbml9hrE1ghsmr3tnZgSKcQD7pmJBqshfib4YqkIQbUWjGBHUfywkiT7tIRir MyPQqRetXIs9xwpPgEgQ203hMQczss6jgdwCYw4STHl4DKNMKeUP/ZZFEgDUyzN9O+jy4wqNmqZh wQmkN8pB1rreCn97iynBY2fs/R4k0rtTLGdqV0+IkG/OSnrPMawGHQIY2lhJ5ohl0nY92HUjdhil 4EPkfeFzk8h5BxOzQP0PbSvnA4k4VbQ+wUXS5AuBYoL8o0yTYDcuY1Rz0NfqBhb1AWCzrtvjkyak ntF4QYLSlguuKAwPo98OgJSDSbb73zqQWFPNha9Us0JMyzAEnSvlMRv22YuTDS6zJleX1RzKYr0x R+mIfeB74uyjBzAxVLjVoIvLuVuNQUUFtPWljJ+6P29ZnE2P5tnhgi4tdJyJWtq56ZdszXCZjrmC /8Re7BUCMFy0UR6/RZIGfaKNG6vRK6+ZdalmRMBI4pSp3+rAJU9s36APd29gLs2iAUv5OJHbHwxA f0qb7WxJwxH0Gm4FwRN2lteXKJ0WhyHwE87azuvT7SzgR0e7X/D307JuIddAumD5tThT8z9CNT9l YEP9C6dJCKLH+GhTbEZmvAMqCZAAM/cfxm/5LJWHa3ISZ4QiqGfS46/Aadsa68roukSEXhhySE5L XSjQ+3JdgA4M8xYgeL5IP+NMZ9i17PdzM3cKq5fJPmdDyI3Zfw862MUCebbMHQtZTDtmeP6eiaHE UmaJwTKmdvGyxUaoZbvaVZj42hxCT9ss+RPiD/vUAl7i6yr7j+al3ju8KkpsEHfAUmy/Yufja3i7 0u6q321rmFOUHAXY8gF+/MkzF7ZGMTKH8uNOIRaW+vluFYcRdRjhGYgnSUPoI0g/3/UrLDtROc5f BZtzBUoj2qZV9GLUvGLSvBRf9QOOP535JRkeczH1VsmdIR/Dwowu7hPZTo8OMgbYQ89DgSohAJRh CXjFjlfzYgKsY7JPvfLTVuFNRtdqdSm7KC4uluoxa3Xj5CnigZsu3AyWTMs0D8W6zDWcQjtpVvXc 7jZKVAfOkDk7UMoAozSyuA9Tzm2KViPIjcoOVvMf9iek5jFxyL6NyVD0beusgK3c5rMjTfZc0WLn DCzbLS0Ks1te6mNmIYaCHyFEf8ahBQzlu+WmhLIPkjYzQmv7NfIob+bwQri8Xa+Yn/zmMmnpg9Pw A3BBnxvZh8PXbmvBqYb0WRnCPoe1Wo1Y1+uIyeyQlPWnEHsGEhy6fNJhkLF9fXshuq+6/Am/QybC M1pO9S4zrCQcP2wzx2hH7fDY2K3CuLaQXALR94DSml1dEY7qn86OEMPCKxBLBoCJXm7n1yDOkx8Z 9yNI0hTwGNHz0zPx9eovdJfLSWmTlWb23cX/r8YjKYbpXnrYh9qsoXabO+jitUTbmeOqk7zuvcx6 CG48XxAySHpjCwPpRLyoA/D5vNkV5d+xRlwHq5PyhdW2vnbnM2PGERPZejqpW8A8wGM+ayivy8S/ cJ4+aZOnZXODk6PEs52kadw1A6WymDMlI65Uc0D8nkFSWvouwOy0I/D8y4QJUsZ7sVsrzwfZz8Au cZjn5zzcIl+SdJmEfkJ/kb9Dht16eDBfNB8t4fowLTVf4ve7P+Tqc5VAI8RmmxLd7nGK8OnxMI67 rgUZZSLVWFCMDGOaDwzz/3lQ9H5HxMBT+ZOuHYktCkgGPyFaorG1kMXyJgisR7CgySnBgq6X+d+Y DQRAW8vVO6IxLhNq7vwXuNIaCs2XyPEdtHybcGaePVueV1sLZgKG5AUEspL6znleh7vnbSWvhj4/ UNDPhXvDkvrqpGEnv5TttaVfaK4GgnAcWCNANbiJ3tAfNggjT71v7UgZ0pa0IEvjT0rdNLdBVK5O /NzF/BcKDU8Sr1RohFom1iMcMpCSbAQGwW5PueLru8XwPcX9hni8S/zM8CKyx0DvRA0HKwgEIHeG 7hNVXK2Bl1VdVxBK/bfAB7Ky13ORkHxCWjr+15KAWqawhvblQEga+a4vtnrVAgCAf9SmeF/V1MZA Eu0ss6mUWX08XTLPOI3gCHjcmq7GDj/eK2JpnZf58huVJHKH+jmuMOfuS/cendcQ1yZTtkDapoVv iJt4r+mO1YeDzFI98xcWwKzB4G9gXnsCqtS9d0TSTDX0AAi/YtWXvmW7EbP8lGmRprL03r6EQiec 8UN6NpjWNg4I7tZ78TAd87OUR4cfyIRyYpBzThBq/FJcrobafDPD0B5OO2qWOjtSXbg1AMva08eM 68g6a9o6o7UsDPoO/p4mG+f4T8NaQYPlmzxJdnUNLA8RtS7BH/IiqBVybQUetNDqBbDTMD0DKCg/ me+jsidm+oIFD7vF4FgKkOQCNVIuKUo/6sPnCsotPj6GAo1BcitZieof1JwqV6ablUB0+E6MV+Mq Te7qjbCtDqGuKfEol3HmJ89tqDHDfqkzy3CEGAm83HKY/hc2HpqZ1x4QCw9BB0aIJnyKWN296V8X IenECmtvXMsXafclMlqG42Huqh6lMfuwkz6mvf/OqoTsJFJcQGPIGGkKmHr1V2BOG/KV0VO86l6g ki1zY7WRpdaIPqVpcAPIhTuS8gDcWQpAocmtb9wug7LAKrIiiyOGci+/bz5iadB6SY+T+fDquB1S XkE47GNRfT+QFiAPfrScWLQ4jJuQRxSeFWp6YIEicxuSL556ldM28leOLUgjSIznohN4sZucXyUp Q23P7220BgCUML4xKQ/MiM+CZOBUVQS/LC2SU8bFwp0iNQcisDf/+3OhnKYZ0U6CeNJGSJ1VzYN1 8xWPDUUzKqCv2BBJirHd7MLqRV2VPEfV8+1PC9+MPjQ+kvAlSZ69PBGPOGs9L5mJgP/5iN0Gt9Fu T6QA0CpymmdwhIjD3bqHJ1bw70tja0TTGPuO++JEXRQU0a1fLBu1XQNkxlwHKwwJbs2CO/13Wv1W vbybGjWIWrRakhRi2SwD/mJkhL0Njpe0OZMKpb2vqqm/kHhBvTFNnHep+QL3AryL3yC+lCoTi5Di AMtSnUs0H7SpvAFaWSd1/lzJs2mKfAMNMUS8IL9ikXgTh9icEXuTkLwL01oLf3K2Jo7IXVvWY0Je /LoMEwlrhMAIdsCAMzRbqGMJLPqnKKBnkW8jiLhKEsadPO1kUhPBWp6bqXC8xetCIqW5wmOf4Qu2 tcGoWrX0BhzbeikyH9QB7hj9/KOyZFyd1jfe3MkFVMsmeKvF4JUWQtlT+e1GWn8bsmI+6xXPh1SE QXJyUw1CeLJkCd7mp5P/kidbHGs41Xi+2aHi95h9Fux6gVsr9BWPNPtHCN6XTwOvEF7Cp99f+NM1 7N2EKIcvISeoMKIi+xGyUKRdSXIm+OM1UNe0ZL+kzATFmrx2gNuWvRyUxBa+fZNwYB/6shIOs4OY OWhEane302XwuXLzYM7JSp0cIM/WvhPu9PVtd/78HkhBgB0SACbJLCF3XvS0+21PE29gkbgBjfeR WfdR8o3OjOyX+9R3Y8lYfSsev+0+aApOFGsOQToD9P+ziLmHy7zlHVs0MQ4fvWUYJopT5pcD8DZC g/YBgSDnMgyplxuKqzXUUrUqhEDlQZZ0TD/zFZisWT/tFLlXnUE+crwqe4ZbCim1uEzbyNVN/Ymj boJMu4AgJ7wuYjqZMaT/qKbrTJDi3zDkIey35cZF5QbSDdsmL1Pq1YdOa/iHIVy2qS4FEAI2i4+g 7PbQmr4W4zs4lbbLDYM7i1cLI/bjO4nXdzfaLYF3DDHvTwVlLXz/9cF3kDGuUpIGLeQnag8q7EOz hT4FokSu81MG+BSVkRRIIuLG9rTqX8HGYwAG9E/t2UeEFMvQYYShv6BM0ei1FNylIty3SRg4OqBp qbjRelX8Qnpc6vG/o+UTLNyWEAtMXwqw0vkUdDKe/RebpKvJccb6BbG0w7QvZe840oH2kgivro+V aJVgNlJAeGgfRtSPzv9MrhkZIEGkUdFdoCtUDb7mTWDrcQLZJ2eJnmM0WeZ5jTM/3A9sG3qb95ak bk0+FmdIGwfst20D3+wMgApoL6Y+eJxHc4kbrw7zl2Zat8Y2SAUanDPejx1JW79uLU1kVVkoj+ab uXfiZt/Uwm8FX0Umb3mj4WMu4iAbVgybGoZir/r00FosbldIK16ezzwITvF7gjoqwcxCGK9Huks0 SFgltJV8/azSaMmZnSwgv/hmPQLYdcCCp6oUtabPpgXTM1lKvHW+jEE9hnvvmL5d0zByWb31bSkN 9iJeDq2bfMeGDA5TxXOwYB1y+qwiDGV5FaMnX8eFqIeQ5W93R3S27DwCC1AUtsFphMvYtCMEFNAE 8Ysgq1chEncvUtOb2KIg5YogoAXrzHDdmwKuv+js+vCcj02paYUwnGLVarYZ5KNszRvW1uoxLebw x9jqY1PDIc/YNGubGOHh6l9sRxIhcT0Mk8AXBPazco+ZLjK23voNGRUBmk90LEHx6Ybgv701TFq7 BEq21E58njsnnEW/XZrwmqxVdwnMYl5uINhsW4yJfbAAHgHeT54mXqjcQCsdP6aHuogqVgfZVhSW neFAAxkEaMlxDLbn8uxkZGGiAvbR0/gpepn/nGXP0aKXKEZaXx25vxBPat8m85hZr6lOYGvOm8hz EYbf+QVbpQCBgPPLq4NCMIpCwLtdrLepCq8V9QfzyfZwKIpAc5e8FxDWCM2WC1WnqUVKLQvISmBc EMH0hZctozrrD+jynMLngRHcWau1C2DX32NLP63c+NWSJuv+cXIblCfGSxP9UZtrLW74i6fJf+5s nuI/BzgrWiigCu7Tq9J/g/wDIN8OJDpEJVmGuKpykd0+SABYBKw2vBiQOz0+xOrb9c0Y78Sbhazf CvfOpXpAZVzQhwl97MI7B4vUnvMJ7eYqozcWN31rMOiZogMKDJdszDVTomjCBFnUXDMKaW5aAisP o25HfSS5U3lTx/HHdE5G0XXDFEVlxXGpeQL9pfSk3ljhrTzP51W4Yx/A7gDtojBdPApiaY16IH+N NP6/qaZPFrPio03a7oo3fiphW5SiY+hYm3V3UW44N1hYS1sFg+pGYot/aS0QfkW9mIUfTyQGP+6i FqojviAYgxfDZqg7+wA9c3uabIadCzOKdRdkQ0i7Ut8Sr6otIoSxLuV8MUzXdjJ9z89v0mcuJUWC nKS305LmefuLrue5FXbX2jblDn6EttaDSPuHtrQFV5BAwIWRTaOvbbxkLoz7ExOLabPACGT9XVoV wN2RrZ6IkDSiZ3PBEKVDuT2+zXkZUpvkex5YXIfw4HH/R7MQInUE2boaZWxMfmWKTSdpnhqQDLD8 Km68fMuZ+GSJhVW3KR4Kwx9zi+ffJkJV/J7cZ7ZPMsmpUWnFegJCBW8SPRWaXTt97Gqp++8I27GL kROIHB61hntLnxNYm6zhZjfA+28EF35te1Z9R3NwJZzOxHpPrW3MxVfYtgHvamZ12CakVdPyq8j9 4Thnba7EJfEjFHkbXbE+RGHiAV7YAyX8mGMq21wVvvhY2MBSBdFyHw6OjDsGCacv+HmY1kDkGgPu apGvDcPly3aVUxmd3MKxRAWyDjStB1Ix2m1hLANmSw/JSxHWt8V5ZWw7hhri/tRxmEBkxmSOvwHd ToNJqcFAZPfJtl0VQmUkBwGrdlz7xGSh5Q82zXRdZEL+Px8wrYv3dwCrL2+prMbv393zW2fbUxJN CC1Xqd69lTbA//mETwajWwOzP9SBr8zKZGCkpETYFzVLc+qEn11Imj5qk8jZK+Ur4QO0M1mnu249 kgIwNwuYbCPa03L/La8EyMEa1bSPkeQ2K6AU1HsJ2QNMfEf+BqNc+XuRsYvxg6WcVU5oWeKTNsSL XbkElWEyksoYWUj0B5CKSXqXtmBqry+Ri9gJFO0GL8xhiLPKZy1M33UcFvQhSHYDyC2pwnQdKJS0 CFaINUoi2/Px/XODJdv9eJFQ1fmn0P+DVzq9tw5xea0HbuiPpmMcbGlj4pus9Cuzi3+wqvXu+mpw 2frKHgJSeOqVYzHnQNgdgwpxaFIYevCt/N+Hpxe3BxSHXSU8hNFZiBR2v7X3OjjvleN2ERldYU+N Jks0koJVlfnPjlImxEKH8pOC6cDfCY1EklD81qHsT24q66dfGmvRnfXNc8gITHGFJIfuMBVB/vWo 0+i9Ac4ZqnuOw2PX3cdPC0wept2Ja3InWCt46l3drEig5q0ONTP+EZkG6tpkhmTW8lYUX/XvvOwv 1bJgIX+W/RZ/8O5i11xEjKYKLgOylfqN3/iHZIc3YtHbrOCCRTSR/YGqQCdrU6GP94Mg5qC30js2 3fQPnRCxZZmF6Ypj+aIFHZlXtUawCOIqs5oDx/jKqhw6w9bpFjKjBve5Z5npmoi6tztrfWDHzvyq NgHfYgwvME+/skzZ5TeuiGUZDZeMhtqNeqU7q/jzxnA2XTrVCAPX3ojP1UH1MQldL8eBfsasXIJJ yTeW83Un08N3DGTPB8QUre14N/l0epAH9oLha+KLNddEftIkUJcDbp1+J7iMdPgyIfnXeMxqrT80 oyqsGFtA63dADlYBlW4z6eKCRCuXBOLJA4lZRDFG0cECR2aj2hxbtdGpD5p4Xoe+cCRZdIuCbMet eSfgpaHs0XpSlrn7NtNYGs1XbiPwGNbjE0bwY4/Y9bUZyHd4W5IHL/spbqu8vwrZ07BVUVtpgkBy nHFwcl7H2/daEMNzGAAuAkTs2HufBeVw9Ii88W+fBB9dUaInr0UtFg+CMK4/u2PSY//2EWPDZjxB S40Gc1Suy4unU3hh/rG1NIlmSDVhipVt3j/GOslsgCt7e8bH5CfKH/pSeVvRDnBqwf0pyp6mgaVt vMfgE3TKyPvCHgeT9CPzg81a+4ttKHirzojojZuZlj/3824DV+M2phpVC4G2CvNZZUA3WZ5cTHNv 42mh0OmGgzS4R70aviPY/O13cYCixiRSZWO9uizK+vvgqoKbxN8HMUngTNiFRK5fn8AI6qUmxuSZ +4F/fzShMdV+9Eyyxy9I7dpKB/ruLZo5Y3F/D43zPlpj3gclCT9MTB5pYdPON+rPceiF2rksL4ah oxSXJGRg1+gNKuzUSg37mhK6eMQsD4gBN/6vE9s/lbyf2YRQltXhQgn3p7RHst86vp7WmRc0EvFj OegcPNlcdGWJtrh+hiUlZcnDRC7mIoE6r6vVXfC3ZIhMYCGJjTpKLJxGUYqBjRlu+HQQDCho2mna JBTdkqsbvHJY99hI+xNLsApmNKapPSAzgJxMARqd5wywJPXN44BV7+6kcn39IoxAkl3AryE2sgbv vbppaoROo9LJXOTnsvH4Q9idgWvbM3hjzeHB70XPesBe41w26yNDdXvlgo7YEsGaK9MZFRfqWC5S x/+iKe9NZqES2E1KAxR90TYcTGz9Dsy+9buKefFWutEWUxvvfO8YEHSYAk/S0LDNzF7LFIfxLt2h V32E1lmcYGYOkojyQO/GSRJA40FvFBbegI1M8h+8f1AhSRlqsgXgeFh38ielboETEHl0wEjU0IDt 2Fh5roH6REXPF0L0BTT2SRgKCY+nVuaip678s3is5TXND4V+v+p//+s/i0kfr7jSLmAtm+cpIYuq qc3IFdBu3d0cJZbZ1KNW5QqgEPOH0s/+JLfVfoAOdgPsShdWV7qN+mQ+wvdjx4LF02MPtx9nbukw VfO5vNZiErBi2A37i+5SjJp3VKPkuDJc8/19WC3LJ0BeP5oCTs25k5se2b+IHTuUa4/7qr1N9+4M XvZOz60lb+3a4Xi/5jMOxdEpTYUho/M0X1hdz4cW8HTy051lffog1ciF4Na56WV4DiuuxSxlt9w8 RnyTTYJ2rUjGMmfFNW7SltyKszdmVt4eWNn/cP7CXOG4B06BRMAzetZLQkTD6visXhHES6W5Haaz 1G0Is4mXxSv+tREeQ2RHEEPA4Eu2mbelrnvLKThiv4FDMmfy/xoZLiaxMHRelRHVIRmyenXPhbD8 nSFkQTBHYKyRChAZgTDMwTEjhnpqjMPkaJ6vGkk4ggSS1Sn6Flce7W389uhm3yGFHuB2H46Z9u9A AgnyMpr8qZBBnIzitqX2xckDQAO8+cwexVbhnU7q5mIVTnzWZaweA3E4Z7LsVIt/5A5XKVeefaeG amlp01WzFRQdfIIorwaYChYPXHUDEcj9of5mzKRFsfnXMTL6FqMfakEdWhzEo8fck8cVl+RWdIyJ kfkirg7wBvrJeo9FaYmwh4pHr8mp55DRfSMQyNsKRKJkQe/ZttUfW0NEsVp2y85v0kxKSVHwbcc+ f16OQb8kZN0OPOLPiGZg0BWoPVxGlJDAaGjVKvgUlibYUYkZWufz2uyHUaKa192dhd6z786LKEiH Sm3dE5e5puOJOjsuPYHxsJ8NB3uIFJjg7vMcZ0ypkIlyBfNkj2th/UWsh5TmRm0BKvhp2Z4w2ys0 nKY0XmKARwYcJ+ibgOrMjqeu5fmw6gOqJDktIZFJzaa9E0uVkAPHGWNGT6QBOPYp6VT1pR2AJ+yG p+IxGc3KkBq9VGbyRUnHaxvaL8RMYBTHNH/1WKN/rcnpj7MJgKua2zxpLx1P/FEYjOVKsT+6tzFH FZY5IQP8PjPRbZU5Ce2PG0peaSnh/JhAsk7UCipdMKl2qrGytJ0E+Ps3zRJ5sd3wHyYjYZWVdojm 5hjKTxThVNX4Ld4gA57B/H7YeaMtmzSnTrk8dPQQnwB29HON5LCXNx8hl46FT8i+sdPnNNsrHoyv NO47XTPsFRftYJPP01oUaDjcv6vSVYcrP7Fx0w5YKSCXDlD3C0E0wcR4lLDh0vtmDADvgKCFdm22 c9eCKMM32ynCV5d1jOj4+pRxzSj0fMDepuytkB0PAMbPvjIOPr7e4w1h5EiMARQylxL44P3H6s3l 2K57mO6BrndgrokE3Tl0jwC8YvtxvlWvrjOY4AltZTubWFvXy88CYVl0dYNqaHhc85GcPAyp3vOt aEyQy6fQYfF+yGPVOlAa7qWAUgevR0AQwM9MtkcfANgSY3RS1sx9SbQlyyaYdGKmxHnEc29ohZMM 3GnxPQ1NA+McPiedalOhZF4mehvunApsMf0oyh0kmx5K+J9T1Szis1ElnsdaL7T2WltlPLc3YXqo unTtGomvuvOr/GHmOzahafrQ/4XDaGcIX4KeuowEECNiUqOvw3RlOCqGQieZm5UeXoMWJE33h4Tc QZ+W8LB1G6Z8ovTLnEN5fZvA5j5i4Dfbf1XsbJmPHkHibxRnKFi/fW2BqIiV8o2S7ZcZGIGdIHkC jFXYYCoqiVU4j34a8QQJEsj8fTvVlpkjfy17hzAeYEsTGUyDrpua/bHQSZJ7B7lS2uI5JOJN6aBR elHLf26aEdpiz6Hoi8X5F18sqBXQjQNePEtu3phxUkXKPO8Qt482cW3ohIoCidU5HLHg/dKC73LT xFiA4FjFyszaJA4teR+rqfzKL86sv9DD0/D1GMA17q5fjvALu7T++g6DvDWsML9pbNbmUki+DegN N01c2O7NfwEJVhbAjwjVb/SdyI13aQvTXcsyQtTsPJtZqVBrhcB0zXEZQdP0HQ6UgwFuX40fzZIs DcUfCkTD6UbptJ9VYDFzAtADbWIs9FIkwiCSfvEFaKFWzoWxoqTSX6eiV5G4Dx3wRKvkiOdNQJ6+ 6cbo23mm/Lji9L3fV+xOp9JqbFPfRCUw/OP3zVE9XV+OUhvGgk8bCc6G1UVbOYPP79KOQZwc9Uhs itK5pj8guRgb/OoMJnrUTL+imG/JS8qDL2DHLDRD+j0s0HOfNCioJXeTZLmSmXEYYeJ1ZPL5VxiE 7rkiZlP/JMX24RJQydMkr0qZIgcAc5FN4GHCp8rdALjZaQXsjzJKRAGeeKhhad8Wergz9nyALhjt AFFnwcNt+IrQQrJWZbPZEIjOY7vYpMp1HSm6IefjfUAWlVNGcmAN6cZAlslRVaz7KGRIPSF8492c EA3UmOG5K1WOj2eTt6W2m+kn6lDEM1Du7t638pur2YCMouLoCjmGZz9vz78byO87/4WtZdRAJdKZ fF8Ha8rbKeF25nd6xUYT84CcjJwrGKZ7EDSEV9/zNYU6f8q4RhmA+Kftcs5apC9GZAQOyYSPfPwK aHyLQAawNWfY6XDyMfMNEMGdCuMDoOMpOrxalMtaMlva73/mD9PDahBvvijP93Bonjwnlhbn1LnK zbu2g5pVbIsclWCZm0a2uBJbGXY3pAq1Jt1ADl6PyD2IY5YL9PrjgLhgN2RdgE8lTpK93WTpAG4d y7ADwWSW0liTAoXokPNzd2x2+3+X7t/FFidg5VumS+dvQEoaRTJRibu8L+w17s9VuK8mBarlLKmP ZpUMlXOtBdFGAx7QJW3uNnASXR3D4GliWJ50cGUJamgpM8e2Oy5DzaqInMbaX+i0KeqhoZjEs3AU s/vC5VIHDgsa+dF26p5/aWvyImzfRt3nBLhJkLgOfrxvEHE9qhVaFwpjKYNm5aRcpsmy3Nxj5Ptv hWGKxQJzoFvLWCXuSulveYXNIvxPnxo24C95+GR5oT4VVJEQYb3+XXsw8KgM41YNvPGCj3vH6Ru2 kbU5wTUrV25Z5NHp+GQtWjODoe8QyXrPFJQyDSYyxH+ZrqstSVFEGkRjAnn5VPUT5QLjJJnBsg3R +HU+qWhqtS+G813GxDUjeykPrI/2SkW1wTr8B4y+/xooUCGkdu8AAsr5nDvaqOsFe20AfhMHfbII uOO0Id5I1bvt2VGdaj+WgFRrNRYvwL8kHRUHcmSund++3BZ4ae08jrdUG+34VesHr6PG9sjRdVz4 r76db9wDwOxxWeFl5/jXVSU6O0t3qyTeXSqLH71O1D7o8uRiJnBT25X+3mhUdWDtQXmPo+KwfIii G0gEE1zoOt0/2dtCzHz/NtnRNWlSydZg6GqusWivpGbYRfp2+IsC7H//8HjlYxb33uhBMweT0KDd 6z4j+pStAXHS4Zfu35BPDIgBS52ukU7m7pZ2GiunIQGQnn8P3ngTMNp/A5fno6ogJJDeJUdCVgtq NxCDIRrmUJdlnE6ZlQL/hQ9E/mQtqy81DLQLZiff8p9hNyhZtlnzk5BBHtTygWhwvdSk5ULtfQCg FnbMHM8lvNzWLTjdqL+gP+VJaBShpE0zbdj2ofNa/n3kSnzGZws0cJKbi+r5xk3GQNRcSsUILfRz AmKg0Ja+51gMEns7vHvMgbHeoL322BtowajoFibn2dz1ZD0gbBZ3cKIRkFzVnWca6XY8h6au49RT S8C0NCZjaJo8mNLkEYZqoJuwV1uY3oztMXM6F+GXtgkXqUumGLdkAe+k+/k3S+51THOKblTPWFIl jhIJ2WRRPdKMh/EQeklpvvz3e/nyRgKt5xZUWxuDkAOfsSjmSr6hx9wya/E1GoYQ/K4XeKnwPzUf Bw24KHVYK0J8JY6FZQ2UvmuQw/XV88/dp7p/6LZnTTAH1CIfBuhWgvZj6t6e/1Ba0Uk6avUS09X7 fvkXJTBfmjtO/fF2qvtYr2sl9dkR3DDpgGcJELO9SkPugXeAbIdLvUwHklensTHjMuwgqSrgQfnD oP1KLsiq3CiGMlq8YRQopz5+klEpi06J2gt2kILoeGjXBNMWDsEXrt6Y6d932WI97L5Q4kDOL1iP Y4Xjh6XIKbAMX7MM6yOuPCAnlUBqtsbAHzyEOv/dvf+kzCZddJ5o4rqx0WI3bOraijASBiJhbJyy hroi1NEvkbwh2LuFNPBgLxkKFWBn4uZueRzaAM5bHdFGrUeasUvw0H55CbR2yi3Z+0Mw7Q7DalJo riOH36dwrdJo+JlX77qbjeabDZod34CN59u9xERIKrNgiUbcxNCzBIrmZtxRU8fJSvQgtd5z/dLI /D2KL3limgPN9QE76Aq61Z8X+d55wrycO2TW5T0aK4lHFqP9Lxtj+nURfxHlqrRMViuu9BJdUutE xuAQ/L82Gesnz51RH2w3nfmMJUICKEV0Nw1EbjhWiSXzqcUwOEDTThBSqm0/AzHRhNAT5U6vQnbY +/W68lsvE7aTFrfBTAy1pTsOOGgiuXc9gDjB4aCwyWkXCWT5PxgKdCxit6q0RxIwcDbWkmUWbP9z jPZUInuja/DN+bwzan65pS+pN4VCjYcOvj5yF+NIlVU6+V4R80jHf+symK1UqOCMTWmTu+Fgk2Kq exuIJJc8ZcgDhSo0r83x0KCrbzX+FHCTMQRlH9MuqCoQ7wqz2iW9W0Fziqpjr0iyx6TH3lrzvcGu ifHx+iU1bqhyPgm0tA/6ecqc79hZKwlc0I+mJ6nRsIdhpYkZM72I4z4hD338tsHXQ7AUVpBp8qAt BTvUCjp1hfAztBdROJjtMVp9dVMy7hvQy5CsYs5TAOx52qpioCOMnYNnIKE0Vdno3K0EzAS4WPSX dsftgp+GjGq+RwFvWvsYZieTPa5jTdwRGSsq3Afg+Zwh/eLRhYjUn88hbu5sZnYbKQDG68xYJQRo Nbej44oxVsL9/ujnSXEsVknhzrFRG3/t1kp2Wu5mAs6xQgfw9LGWYrUlN682oBSH4uwNNvW9Jmas e975cyiLolWWCEKVBcdxjTp10pv9IKnmExf8bikyZ+0+l/sCcEE+HgqixpjSrpnRTv708UV6iNPr vtwvWG+U0YS6LrJgGdpVRU+A+TMZ5xqV6LlBO00eOWe6kdsS7gd5YDa4ABUrmmQQ003KpkHS4fE+ v5hvDQOTZx99zsXL4kSi4SrLYG99OS9Lm6gMxy9PPSYiyV8wk9M1rlES/0eRc2gACaLVUDLeKN7d LC61Muc+U9XIwk4NBZivBxa2Ccxu8s6VEq3UtYduDwUZEBPq1N12FdMz74fS92MgvZQCESYS5c/G 93Lg4EgAgK3F/7XIO27XyBl0l85FlalRAdDCQD5gPm2gFtR8NoI26Medc7gtOblmXjp6L3HTgF8A DF9SNygZ1QfOC8x5LLsL0YGfccGXY7jC5VuUSgAYqeTXXGHORx/epGbGgYMUoNVpvvag5nalOPuv zXdJwcWW/pHQTnv/Wm2Vc+vQaT9eQ8JA7d6Kzw5QU5Ba/p08VUbfq4VdlFRNZO8zU2M3P0QDaRKj xP1QDSEtMnRkLtlQlEO1hHANzbLuj5vcBMChEzkfksUGG1oq3M2bEelgmB2QomInZ2BpjXG6b0FB R+QsyYAMK9NeL2elKxv0fShsNtEvoEcGWv8IH4rf/MMQ/8ijQVL7k0KFpGTAgTy7jKfmtGaWXh06 Td+JL/iD+g5co1XN15HzMsOh3qVxFC9oRRbqrJ/8rSrysWs4IGCI39MyvW5nvIqltJq9uU2vvo4X LA2/oNuy7RssbAeCHUUQrdvrWqmVK7ceiPLHo7vSXERkTZtQIHbe/MI4B94Jn2FznHHYHPpl8ecr C2RFM02Qrd6sj0vI8pJKeA9pdvFxQMFGaTo3f2HeCAgEOzCAA3vx64R7/Z1CM0uJhWNlDlSsgtRa vWO3pxRd2IL4j8ImFbWqChmDVmrv+H617FTpxWb86bkQ2BNgaru2zDuOI5JWk0JdUtlljMvqvHbY km+NOsVnhccxTETbBuasJ0ZmJIcacZAwWvSDkl02JBJgPgVilvqGQUoBSIImNEiyjkWje6jQ2EzF q1Mip6ni/ssnWuQ8s4vP61FKFnCIEEkEkriqQ386Z3ojGB3LF3HHXVJ3LE87V42t5TfYG6mJ7QKU SYmPp7U4U2VrUBZrmSS4D8gjlXW4GZB95K+r8EdX7FYgiVnGornA9J7lVk2Wf9Twws8XQtT7Wrir N6ofxGlCFQhNuRDBQRrye5XZR3PcZN94YBGv84Mp4LXfSsLFxKO8yS8J3pVqGGaykYPzDNcyknzb ud9yGRsgIOKbZQHne2a+NJlX2Q+J1WSvg95Ia5HIhf61mWVMtGRk8NhJgdZhkXbUMIUd5Rph0mpl 8H1OiCQi+hTx5kSdPZJp2fb/c0GPrQ77Lc+3zwkQ7jzqqW0RzFw1yg32xfRGgiMWQ6kPfDIVbcHJ tqBj5FFcm61Dk+8hN41gmxtd12uTWZrEyXrgxW76nuqDXouvBLDJLeQoBQJR/o9sDn5gBfNI4XM5 bIhXuxI7cfNRXbfkz/frZX9n/KSvtjhjX34x3XHXr+qkJEqcwvL/YCEKpsV4qdKzZl4zPJ6haUPl E28lEEFfodqWAdhGWlsAVptxppF2SuPEmZPTtx+Az/VBqN7KDtg5+zv09Ywlx97NnGpAybFPlxxP rm0GAcut84X3Ux7u1I2Mp30YZC2iqRMnV/6fDgSoehG6iq7+Dds65o4IWD2AJ6oAc0n/S3Rtp+/+ iuQBcoQ2VpgI5/m0Qj/hp7ueTJo/s4njsUN4A1w0oV+SJaU1RHYXaQj7qLzmqtj6Y0mDjATZcggm UGUS2dchsGAcR8dxVo/6mySY7D0EYAlr+y3eezbbpmNrCGqAMzavRm/33EhrxaI+5T+yp84BRBQb n0vU6tgLmSFLWl5ZFodFWa/KKyIWBiKy6KpIeYTtxaFYnUOtptthdQ4OLyUE3dBh0a1pX9DOmQT7 Tz4YL2L96EG7JwwtG2/E97YPZxMAKZOGef6LObonlUd1MxXTrgbYM07DFvQFY9j91/qWYCZjlskL X3IybLCQxXE/K8YHCHEuJ9rmCFT6oAi0wlRlrGkn8c252vLH4fVsJAgyiHuBVpiYrg+jWSaDAp2U EVzDMbT4O3NAHqjUQmjqklLfqWtWu8LAUmCC3Z+tUyJRdsoBzeLwihzsxZH+W0MIvLStddfLSnmB Z7kVMh4Ul6bS7asNMQhEXSzdDt0UNXO6RBekF+qFrXpYkCg70BIzavcIxa9Jk/29yCcRGO6LTHTI ZgIlBvCQMrH3/5ZmOTK7Vyz+/+nfXWFQdyckS2eQO5Jvk3+lfTA/AKFWePmr95uCkGwOO2zwwJ3f O7o4K2lkLE23zTw4/ujMNQw1kPIKz782VdtOarKpc1a+G2Zew/eTQpPIQDQ+Aw7oU4wGiKwHqyT7 wkZdFFcGt17fyYIW7pINgaGPxIJE2pg6LKNTSQvjwZsqe8ToY7pg/LsBJjADe8PqdMp3snEQ/Oay PgYLwc+Inf2kdNPpaXJhoBoVZHrLIL4nR3F6k0uVz84hFg7mmqMlkFWAROQBixUy+pGe/V/00H9G eFDz+n4sGbyWMcsD+4VyUW+FQtzDzENGKhkOxUCklhkX+vNhqNgFHgxrK6FxAg0LsuOv/Nhz0Tf0 gEBKa1HQoYiNPvlFnhYxYLZsPSSwCBIfawE/U9BaYNeEm092owEmIfv5A8+EN2bA1MdC6IDJyZx/ ZJyV3JonBNGH59oFE3X+pol0WWb3YwER01Cer59lPQpHt9vBB7e4j7vBwOL4di1+N4xZIIebyirT tdaZQkM5rHnhTlgSAd5pPy9Khri2B5lcwoth5HjivMZ1mwEj5wad9Zu/DCYliPgixy5jJlZTKyBz +x4NgHBMpjOV9PqbF6malWPmxTxfGtoxlmCvk1JKDIbWxS4R+EDQVBhsl+oTiDjYKBnGn6NUqW4D 1VwUYJOgPZwZtCYEYKvUvCT0bhN6cCXAxxOv3NACQV37j+ImrtpBjk8AGMqwyCmDN9pid3m8GYr9 5PaXDAYWo3upIJgi/DJ8fk9TQZCN/dH9f6itVKWJmPyUej2XA6CLB+vBA4gVU+XvtAGqKExm619o 0VeENI/7uLdifnYEhlLdajwFifasViRL4RVY1xe8YGn75guBnxPh0/9CmOohuS4YuPy8OfUXlMk5 8SQATcTJXGYE+291Zi2XC0RbXMDFGM495SUOpYaOsGXSt+OwdtPx1PzhPay7Mb83/m4rbtdjzA6t fUfVThAq6a0jvInUsujccoxrAQ9kU1PQr18ZoZI0xVgYDpYAURnujAyR1kvkMLvU8nITkadceIxC UoPD2Cr45yx4Fvz754ahIHLhkq1uapotpyvxM/OldQElRyzMdXCqwir2I4oqV5rq33oVZelpiVEs kWw7wuXEE5rvr8IKlQA1ifL7Rytjh7MAZ3o9u6COZpAxDRNeALdOZde/yIa6x25BFHSC98GDpyDT Ne3upHCwdscyYMa3Me9zd6/obvSomJKFgCqERshu2EM8BkIHGo2j1PPWco4iHW09X/XpsaD9kbud 8OqzcDfPR3xalM9/E/Qt/6wkOhBKANxC0eRjDWx+xQTM0N2cN/QRQ54lR2Fz92BeU5PyAVNkdnMl z7Da46fsY0mq0IRHYnpvXfRPyWR4/qKIAmAm4xbdWbYXFRgv/+1czey1U5yCFTan520T0PWFAZvv RFzC3u+j+5e0LfD+4hECegT+xgmBn3q87Jrv `protect end_protected
gpl-2.0
08af706d5a38e2d183c13583a6030222
0.948703
1.829697
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/mem.vhd
2
16,549
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PFLgWos9pRc0n8K8+GSd5j4n8z6b36I0bAROiPepJ0ezIkaTS7hVxEjqcAxqUYExwQtgpNA0we1G dNJMuuW7sw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SG5Y88HQtwD3LlUYVOwxXTn+ZNIvIukRTCKbDLFjtJLa3pXiCf4a49Ey77NzC8909T/Fyrh8bkeV R/URq6APsZQtIXHn7qjkOeL/xG71naecZmgDNC1QcBNsxE8mYfBQjmQf0b5Xtc7Ll06lMvrYQTCT /AOxD6+jDQUQof5bmDI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HWDusAoGzqI4f6wdbaVBVMdarX/mFhF26j8MCFVY6SyE5vj45sP/UMARHe6hen+Ef8KijN2Gtjht 7vu1E+umAD22lpaiCFr6AG2b8Pkanu6at7kifBSjbjznlEalWfRuWI9Uv5mQ6YrxaImA68MLrYLy Gx8xPikjLIHo5c6gtQrCD2JmgcqlKuYEl3SCeuQP3YOgDvlv/1pp6UgTYuRoSyju6XpP3L0RiHQP zKE/OZfnnQDcb50wtpZU+SLee/chFgj5Irz8aTSb3MWPGZIRzIAPy2AtjkZDVOzWpee0rIH6c+gi 2ps+queMJIUGg4SuflcHX0AJ+P5Mad8Y2lXAxg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block v1fBVMh63VUfNxpuj4lnUgf8Ikc+40IUIFsLC9Dce5w3n0KgelrsVN+WiwGd3lEdaaRn45Dqy1ex p82h4asREUXs1olN6n8t9DFYJMU0CHUo4upvVXgR/iAwcH1XQS9DlN1KvH9RDeNX9RG1PTk/oNcl 5k/P0pkglzSVJZRm7Ls= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block N+MRyJTieQ2oIUUcmO50bOWGqGcvj1PP9+gVZ0ITVA+Cq9o1RorGtxhNs9uQ5n4qI5RQ3q5Cc03u 0angMJ2pYzF1bJdSivpeK85fCAfm1L6M4Y7jivYVXzrun7cByAFJksN/J9MsDHfuEJz2H8cpXfyY d0IpZfYVVx+Sqe4xuqPOhaIupHUVz01/c0bhq5NzJr/rOdZ5eMQLPamGxGN9E0gr2Z54KBny3g/y sGrgxtqG+76qKoIy/goxLPFYn74hjuRADQaFlFxn076aOEYXnGgSW5vi24/VPa2Jm/2Sn2I+QL2a sbH1Vbo6uLYvjo1V0vGQJ+LJ9w9y6yhxshb7LA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10512) `protect data_block MmwiFtLdLxASL2YIZhlFTL8JZDlX6cYJV1yUuip99jtibdx15GPduDF859DwqV4R+3diEQCXaRmK ynFji6iuOAVItlPvEspLAWmBSvani6YIRrChuxSgXLummPPV1CZD2Fk3PmVPjQAdHqRhe4xmxudE yYzJ6x3kAw8q8jrnAOhA3tfaC2/EJn1LBzBVeNNzez4FGTrYWfo5GqNNNPc0Ip0HqQd5eUBTwp2u bYPq051THvSQplTsMddp/T6X29TxdE8blkoSzfob1J8kLSIFt79JYtxuEs12kuD8FDPf1q/cUQk/ zEQEzJLYq0aM/VTEQaVPxNA1XVJQJh1C/3YieQXROkoznBK9zeM9gfW7pwd8cKe6Xa7/oWLZMKz8 UaFHT+6b67Cw1PF7dk0SVjWN5qiiMy6oIY62Kk/+W44Gdo6dPrnLwfZwQhqGJyf0JwHSYkRgjWDP eK3vNLX8Lb2uiBIjDqVtiS5D1GW8b4xdIWU9sYj2dd1awOIfPcIfFrfdkcv/SobaU4F6WdFNTBln B+ow9j2ehFczAH5eIhlKl3SjcD01qCMBjNWmsv65JwUWr5qjN0r3kdY3kNr2MDgK1hnYW1he0W2v l2myI/s2Jq2DLV1XeFL0kGZoOYHak13rBimLbOpXbce3izlB3oxVVO6scDnwzwunjIHQ2oERy0cK hk8c4D/sj44scj8gFzL/5vHMseOTytUTEzKMrpunSaMTK2zMSOIilKskjel6U3egzxj04JEX7ujb d1DAHjnPqvl7ORHJFfH53ylebvdfqxmP4C19lrK1zyKsrgmSM9N0rxPZ3RS1rbunu03mKefXBHx3 agiriaIdUg9swVAO9lbP4PznwHHQ4GIRHQiMAr1E7v1kyjHnJl0fz7B1iCUPMzM7dR6kVExei1MF x87vKDfq9PeRIFyUc0QfiVrODis6tmGhQDs45juwA1ovfEuKnx0ciRQ1cRA1bQckfqlJjYrbaR/N T0ngSWDmXIjksMFdNEkz09kC1TyIFOUkFIhUmQ/+WRQghShIaLXL+b0ahfR4NIaKXfUlMTiT/56J hqU9blyEGVHF4LGYsVO8Zy7wwpLT8MNfTh1Holfx0OjnpBcxrLn7KKBJ8wPc5T8C54MIKiOeCKkc x6z2bYn07UjHytyGBAR4hXI/MyX16UwerNCEf6LYHw9Zn7aE9d0Bqh8ZOB3b5bbVzc7oGdSk3UGm Zm8j+sB+DAzqCBCrjJfAaegAAKTzxynN6o5aALi8mVyfR3Pae2YsgWPNireJHm9dSVvFXbuS22gu vLQ8SfO5Og/BUq7x6KUdILl47uhp8hzuXHT5be5SEUAWArkBSpJoLgOTVtdJ5J7Py74RWC8JeDYD Zv9kAfdLNc3DLfD6Pj6JrWiWAu2jRVkESdOyWAKSGXAS6pL/Mo99F8ZMKIKqoI2B3M1qQN/c55R/ 9tmOnIb33uRhNfn9hnJrW324msgFDwmtFaQefdjGOaU7A2X/CYjnZe3LRSYvGinOoRoBeAFdjgir Q+z3WSj6RrRNBX3bkpRt76NlVLf2aS3JFCTsmsxe/5bGrJx6qD0iwLd129buhBZufGSPEIIO1rzi aGImUP+M167W56BfyQuIQ3Hu0khw6gEBPcwfKzdgRMeqPl+uAWt+oEvc9GqCNMCWdCoa+vrCm6n7 CQFVcQ/F7Syu0I1bqNw4ufPbl7onwh7ZGgLapj/ZaUsmyuvPbrjmZabmDBrlIhhEDrDYq/3wHlJX myZRRgrLQ3TtGoMYbtMcdm9Bk57SVPLlDiW/88IzhfHzMtDRY3ToQxOTUQIFt4+vsz14meSRFZ+t ZubHVQ8PAOK7Ljxme84Kj8gBDvTmsyH+9Azzr8DV75g93HM2YMZq7kfdBS+vB8tPqFHzl/JuKuRl EuJWPl+4aIKg4W5073ZDPDVxsrpkOAMmI5JGeDlwJtd0USK9Rd1INQWIi9/L2/iGzPw2qYTEwGAv qqVZW7RLVulAg7iEDRd1lttCQYixYWfZXLOZqzNgmdQPZmqVJWJ3YZTOLMchfmh9ZnS9NsokmbVK FZ/hX2h83lnNKyhE9uPaMqqOeZcPRTMjdagDrH2xJkkIM0bPOlAIBDOP+LpKLcgsomQZBzyEVLdy tvRrQeRefng3rcDlJufBleTE45xEY2KCs1TgZTCPfySWXm673cB09oh7jXTm3RNK+E1zHXFmGN3L zmH56hGqBF3AhphbH5VoIuqkjK6y2wfFd3PdXd5sMWT2qExj05t+3gXMW8Y7gEGnV/WxjZ+lBUYf Erd/baCTzBC5x0TkYtpTfCmkoxvA+nfAuEm+hgt4SrZ/muQzoyHmzozM4t5d6D9LyTfYqOQ/cuij ewyM4Bb5GD3sqmEz5XhRcAYUyG9B/ZlcVBIl06hmMMW6bpyLBDeCGB6nvctlTOAcR4JO2z93wg3l CX5Br4dkGVDux4+hIzvCZAqf2WTmeHML8qM7KDVGpVwETuP8kmLLu5/D0OnF4fBEWIXTG04RX/lQ JxI7XvVs067RrFhn47d7TLo2ck+ZDhBLgEfxSgUheqW9vvTJLeOc5f+Kd29k0E8fWRJ7V9n/Qc0S sEktHcU+PnfSoL+LldF35CavoRx8BBVW284VpYcL++ce3A4pa7BhQXQDcs86d4wFgniO/r42p9dU u1GTMqC/+TdGX80/mrKUK25POAr9+Vde5zMPpdZUbaYWIvrS4XcHi9GZCp45EzcWMYqzmJWCs47Z mboRRHaocdQ4F1PItLnd3TtBjVcHpQMfVLCD6o8iToT9kiNu60kbVIE9uPnhthN29FBjCRwEKxzx PBVdF4jr53n3rWEe8sRNppq3YbvQZcu3PeunRsXCKNGgA3AOsrrkxFj3mw63M36ZVxNrX8q5ylW/ 3O+/aD6dw5yVk4JF/OqsLJt189DL9xNtKfzc/HRrbEODImpBoR5mFEohU3Cw6CMiERb+NPIvEumv cWP3tE7hrPYPl23Yy1xgCHMLUt91JnYt8bhZxdCTRZ/qv741pEfZXmZTu+YIlb2rQtZ3Oa+BDz8+ JQtPlO8VjeM1lj7EilME5yKqEbrF4x8HEqpAapFZ3lUQ3KgB2VY8FaeDbMCLrLzHdM1N6xTzyI4j LrXkrRa4/Vh5opZXn2cA0rb7/nWrGW5yJPLQqOgAROlEHU6NPrq/dvDwR1Nyp27WN1JCWqO6YLWW A4HNOqxwAe831CBt11w2JEACIIYCyM2lwyIZqSh+iKBTszOps4pRnunLfOPYRBTOtXtvFdfcasxh suzTM+YG9bE8ApndpNyhgpW3YhcNzYyodwd8Gjt2gQAi/yoL4wqq0u15x7EP6DJADxWvbBkCW+Tr 3sH5DbzjfgksSySPJYIsByCQ4rrEaRbXG1GoBwyCtXyAmw34K3K/vt6gWoUdKSs7a4aJId5kUWTJ o54umX3D0KA7dbqdan9N0mMnllJLFMxPaKCaIDP+0seaVpTVTrj6bdkVRCjqGVltRYjE08XvA3Xg ZlSVwQAAQejyG7MrkIsc2yO2JXyR2dIUvTaSlAZ5esMZgx6GWIez2xyGBo9yEpFf+LydWdctUaSF gZ3GwUCDZKipF7I3EYa6VzjOgbJ2VQsTAWz8JkXvPSIJxKijUKlYaV2lvrKq/cGmTvwqpgEx+1pb A9zVniUJZ4dzzfiiLn+xOW/SFXDsDDbAVQaaCEOJI+L5IdJLTOFbVRft6BjY09ZQXR9WoloT2GKU RQFDm8En0a/ibGRROZUkdhV/TWnAJvMsgOkewJ0zjjCra0kxwohCT5/THQC+GKrRWWh3hUNH/gtc QA2Zj6ejatxmRmsshV6xQKYT/1IcK67N/0Cp1A0XHo5xABqzvh7/A8BFKRyXxqMHICxg+A5Sa0oH fZ7OAWTqm80r2XqaHi0hNZxGVD4ToJG497NMrvRaPqJobUQEaQDaS2gMLLX2k8HDWTRmYJE5oowm XjY24JKqS1OiUwnN77cebB9M/ci4HjtgcUVOZfqweVfMS7KXBL2A38U2xYXq20qCg02HAzMbn3P0 dWuDtI6t6q9LTYzUggRY3qtz5rZLbKxgNpaY7wEhTH9fnngKGymKQ+4TDG9JFBzMCoS2YsVNMK5x 8W/W8NIJ+UZFtBV38mcm28xwfbZf/YOdkWLwXFSRNS5QNv8NfbBzVekdmQ9m6aIvn5XcOiKO9+xf UOv4ZytgaZNy1Skn2KZ+IbpP6W6Ka7Hh4Q3J3krMNzbhduO1qTJgZLpHapsYYjSRpcKBi+BS9o3c bwSA6PfbL1P4fRlRB5UhQr29/n2FFny/mGi4Xl3rQXA2LL+Qan2eBYGRw6jJ+M+GQyRgPsvBqY+x QdEg/uMehV1QeEfTWtZ5zDC833npmgpBIyDinu3bDy27KG9gH0uUz0qBz0fJWpJyymaeg0sfkdas N0eJOw2i9Yvtf5XU4FudAdT2RNusk7pXOwybpyKQoWYvvHIJNVkxmxE8peUg0PGMgT7vNPw1d6dp b1Xxuc0wS8z34Nau+xR3huyAwIxYEziE85SJKQ/uf0nP46yBf1aOAgKKlm9E+dD8UFqp7jy5l+Tg 5bb5C2uuhzrtSzN4jjkqXrEDytSz47gTrXbOJ2C4WUMh7jBYgQm16s/NjztYiF4yisNa0zrxpjLs Nl5RqKukShkrkhiMR2ovwANPXf9We9jpxc3AYIMuYOdcY3+c1B6KNCJlZqe1ajU8903TXsguPAd6 xQRSm9NCdr9vK/Rpl0fr9Zj9Rky6g9bAfjGe9Z29Ltm4FubLAUAXrHn3s1anKl/3ENKb/xtXFjDK 8BFRi44Cfz5Xa6Q8I6nG1StOyazUywoETVQPpsmpOkn1fMcb1giVlwxJ7hLVE7mupgz1rv6Chyew JpjHbTIo173KuJxnyh5c6oAB1/PAiV8KDJZHur+VCfI6pCrSjOr5TBi+HvGfrxDmqc+fDvnyvLFP qjV0N1qsJWCFNn2agBRM8La8QIWIlXSaiIqxM3AX44wchw6pVm91G8a7lClIfxgVMty0lucVavPs 2SL1uoXChiv7PhGow0HE3aUYuROVjukW+6ig2Nya6yK6iiCCgJphvLiogwSj71Pz9RxUnq6IcGmM ydj2bGCMmZ6CQm5oEJxwmYzd357+hkCRqpoegdh2XaFeiXPZAY63YG3+eMl369OWxtSi0reYOiiZ /PEnnVk+hJqh9L9e0sM66esaIgZu60urt/lbxsL6Qp8yNDe6hSC9OP1ZhtaiXa1eBMrRrS5+X7Nu 48eXBV4MveJWtZzXL1Dvn2YYNOSiK0pi+muE62DIWyvbx764qUDPr/3BQZDHDfBK3Fq9r7SYji4O NBlceKH33HC1lpCWjdRmFmq/MlQHPhj3soBDKkLh0n6nx8jvX399IE1wbg7/uISBiaq2WC2hrLNQ Qaqv6Q0yqA7gfR2UkN/YJSBGp5LI1wPNdCC66JUlGzUXsYds9NxLmGOvs76U/9cHmLukZ+ovrKWQ Wk/McMXNwgXA+CleSancDNyDihwDXp8VMX5Q/xRnY1LwFvsCiR9Rds6HdxIilDqJOYfeTmSfOEpt UAni0gUjdhDZonoi9Z0JIRGn1M7LUCEwByQayTNdwSzIs2a/11CzxYILjOhXas4B9uMlpEB6UKBs RwEbhg9mRKCOWoKtCfrGkYjc0uhqkTkma+PN53pmhLII+Kcmac96nC6OsBFEyQ7JOiUFqeHpuSp7 HmTt4r7nSc5sQ6chCazlXZ8HYnORMEk33RczZFrqFjouKu2hT6+h0NWjP1NSnh81bZXGHeczN0qU yuD3jgav0GVqFIuDmJgDTyifr3Ao/rP3FKpfFhxw1mT/Hs0i21wYHqgsyFB9I5v2CHmgO63YVUdu ig/yBzpBef3blvp+VYPLI68cYinOLPpvWBLCLxFYspy0NFK/sLd4MFgwmsrWZA1B6Lk/xX7Pg32t +J3QmHeVkupn6FsiK7N7jf2bna028mkBsK4rz9BZpsVylFG8p30NrxamqCiXKzwSvh3u5ZrhAMtx wjlabe1yfEFa4p0HuDQqGCOlg+cmO8m37KxOwzokYhVxRg4Q2K4VljYK5z5lPdjokXYTtddePGaR NPek/G4KDfC7C7drSqorxnJkfryYBGxBA/F345DBG2sG7ZOxH9tcD4i+/2jexqXzqIft8OJIkQUz 4uXH7q1gbLgYvwFBYvUNCSJr4X/kpOGUqyeuUXoQyASsy3wmuVewwmMCLFE0LdmfP2CrYyTQZKRm sp9d1kXXVbcF72Uw5YMMuHomkoh/V0OtrgqN45/rqM6T7ErSYhjFGuiYZZLp54oSNHgrr2YxSFl1 PeusdC3IraqV2TpwaPrC9myzPJDouSJFUubeWIyE2MUW1w0UMiyEBmSdNEASlJrTw2NvI+uepj/z xmIGPf9BB6+0xwua2ep+Nkl0ZKiNcfbBHqYPgicHVPixdWjUjnPylj8F9pBO2cfnb7mH+X8ct2fd aBXQwHzWeKpyJI0OAAKNfDA/WcqsHVGY/HgiueFP2G7Kpg7P9c53OlZ9ve+lQ7eyjfhgNTbTPtG5 h2QHHvxuTSTrm+NlnD0p/jeylQ7P+KIlohttFsvdk4fFJe2/CTqEsEZxbJx1rpkdy5EUUmv+7WlX G5BhMe8FbEqfdFzN3VtzyaU6ppp1b5eIDDjkYA1EeyXWcUJxtEBxASEzJqGR+mdD0g+NB9Sp9FP/ zzUcJ8XG7NNIHwfBJQ2isL+o52mNq0HfmzoXXe/fi94kixolvtkt3FSVkX0Ya5H0WiReO4kk3Avj tAw3CtyqKaDP6lqpCdgn9B1tI7VI/B9DBkZ2v6KZ9IO9yecgtjGVRTneCDHZVVWvVyN9OzPf9tfn n24myRCjlaK11XEZnUx0SN51qq9GvfpzTE1iSn31lviRbE0LzmrrnDjP6RdNdkkCCWOcdaflG4Ni kc7Z0mRZGqVVPDnaWCQP0jt1bBDSGcvxnxMDkU9Xg24Gih0LrArifyN1Z9an4zjvKi9+nD6d7JN1 vL6RLZo5Mg4UVREqrXGWoOZZgIowX77DjDNKS3l+NPI2ov7xhxv+AHdhtnP+0BPni9iNQV8ueUtO q+urLJvcV792HUZHtxGmdfxojDJLobrBQqDoxIWdPJf2EoOC8lMW8SFE3+VqDsiNz7rbnwDvUMU8 gVbo1N3QPT274sYxW1b8AuHtUfJ9A7T2G9VPbMSKWJPGIfVWS71jAxF4VrH5bLPL5MLAPCoLUs6l kpIen7cBt8WDa0VRx2u+jew/Bp5NtFSHeuk9BrkJ39lQwhrIOS55pLtLSw/5fN3PZ6RO5vKeVKpb 2uJBEhwRe+YchoueX+cJ60iqCaw8+drJss56X37cdphHDLsxS5LNaldaDqunDYzD3CGK2IeGKjzQ jVE5FKyFfek/gc8ecQEUBveCKMqSfQmi9fU2rRIXIQUZ5x1WqYkZEdBOULEgaoFa0d6CNz7fDBg3 44EuBAvIyK7RrcFdvK9lA+X1asxpkX/MZ0lrYM/G2a26Uwknuavoc4UjmUmQdPe9XAq15zJgshnN 9hjhzhfi5RgP5VH26gcHs+LKL3y6eWTBsbmCMBuNMDKL2W8woC2qWxMx9qTnCYKE/lCQgCiHHh7/ wWVNEHZuxUBQFAaBfuy9rzzGLihgrpxG3M6pkbZcKeoRwyLsISZdxNcLXW2ShA3j0DoFazx88DkK ZZ3khZFedbjQyLiUibyCYfsjMMdwLmXHgUztXFPHLqxDBHgpupLOAgS+46gnPBTacWKKynVnV2vJ jk4nTOX/FCQE1rs7IF+jMIEHA2asC8uwILd0iMTWdyvMajXe1WUmPF225le40qFaPMv8m4Fa0LA4 N0bakfbPDegnXkAEivd1U22ZLitrf6GkmkImOJfpjnpUUvdOgSI8v4R9fKDCWEEkqtnU+xYNe4bu CBhw9G7CBODIisKGJtWgbK4/QR1zEWolKfEliUBhO3vQq9O6WIFW9Y+gqd6ZBSBrWe2cOrv4t0W6 Y1O9JpYsEz6iWjBx+BblzTCswu6L1LNVJ13Ggz+Zn5qGRvrLiJfqsUVxCqWtimKPm/cYKUIlm04/ LOmXnbajHtZS7gza8mXzCf1YV75QwNdwRJjWLb//C71rAmRVwPXSq3WSjZnBoYcYwBtrDhJPTN0U FgI+AUo2bN6fwGQv+geUm7gmbDeqQEjt+W9BRQx/IvohOqEsyoC9SQJbWLneXQ6LoJyqlEGgXrlc A+wN/qttpBe5mQc3iA0EhR0AAVfEWo5lhWcb5YZKdktHqEvwpJxTgOTChEP9Jwia6djnvOMP+lBy sfmMoATqBRI3GSng8TBIOQxhMpdaBYKFhmrlHfl15WzZpema80q/q6SMAkuObJteslM/4I9A359d ULm0topafeM18SR4Q6jZns9Z7HpdinQt0kxEi5+Onle+MZGH4Xtcrs/hQ+PzYvR6VVQIGiXERL2p dPQdW8VKgc6MciQZ6FIYBzY+on9yGJumMoyW7gon8PniwO+jaO9z104i9aNiHIlatQaNd4nHm4Yo oURmHi407/lwnPK7KIwsM0uf/yMBmdiCDP/yRvy9+0YHiA488AxJCb7zOpmgjydP2yfgS6whEu9W yZR6FxxAG+xSad/ixAZB9SgZu4llyPScDe+12YHiB9IQfXTUIm0fwdyEeQRLKrm8xDtFUFuguLsX qlZc/+sPGiZl1+JYtb2yMMv0IyC6dFtC9F5VLvimjRHsPfGVpVI1ABMOxs1lIFQwrQSFgMudAaZi kTWHZBP97Hm6hdUjJRryokM2yGS2Y8sM4Y0HJKOV9FXfiXCT6Z3x6RJ8mSEqMh/M1uykyQWVt0pU TdtUQJjnXwvy7LbXEslMnSQ4/ZF820KS4I3xXZCwv7i1Bq25GGCFGcRtwzJAOnbtnbIxeiUKyB7r Bk07bePDV7Refc8/2B7lbMqWQabYXB83Bwk+h/NswjRer9U6T5xYBXFe2rbWECbh0t7vFvhStAbt xhk1+XKIjEV6Gl8Or4LPDEnGhWSSd9LKsPrG2IFuvd9oG97UMy8t/iAqv8e/c6HzuwCUi1wNPiMc I8iFzE76RZIDr4gNO92VlO3KgBUH5Pr1zBzjsJpL/atwcXGNNVrQSd8fCxB0H0rUEZQA1G9rwPHE CkJ2DQddjME46g6Pl6S1hRa1N9zRa18UJaztKVQUJcm4d4oRgI2MZpxmYyLsaiRnCsnePI4RJNrg 7Kv6WpRNyocRHdJ6tbHDhnLGKXTvvVM7WqVfYGLIUzFjLrQdymFPyG15n3mCQFRWYK+M338zm7xU kzKR4BldrqUIqT0hamAZMqRe28BHbL+sfcO1/Y/sgPVME9OWFFtz5wtk5AdzvelH5DPgMIVD1gyV K/7cEWXAr6dbZgK+BXDsgYCkEng91yEU0Bs6SBbxu8ZB61iQvt+el3Msr+TGxSu//NxyR+WQ0X2u SDQZxikuMgzy19NH0zE4AZWLOo5vjX1+ckjBmJN2OQCh2P4Y8g13+Umm3Fdk/1VzX7C9CcgZR9aN fIfgYjUhrTp1fUTkkqJ2UYoNxCDXp2Q2PrIJs54sf1vBBOKuRwUcz+HxkQO8eaVQBIY9izT1hMFr tVpxXW9w46ixSoEMDCW7df7enxFCxeUeRI/gaQ90oQ0GrXOKf16ZCcoFbZe62DI5FAEAbzV0yGY7 +HoypdKyNG4wZ96ZU2jVB/FZotMc9sN8bRnsjZRqsXNvQtdOZJMIOStoyCnQYhvob13OtWrF/2i3 YA2Bp7HeCFgriUmm0+At9nmGRjXcJ4VGm1F/Bo4sYSd42YFQWR4ZuwvYNn7i7sHtG0vrsDSPx/tD jDi0LmralpB/ZBqf1HyvjleMcOborSuMFTHNmubGDR7Rrw5JA26szsQCQA+Y1jC0sjsJdO2E5/8x oFKMBfS4CoManayR0K52NHI+TTh7j2jFTlS4u5bn1j0D1lomAI21zHo4inQadFipo5LMVm5I7Z0O ezn1xuCzRxpp8LwJ2AgljOP0LIm3aZiMUOOWOCZtfHSC148D8wnb3Vbh1L131nl6ZJRLahKJ3LWz cAzepBrDUJIK0iczVB2JVWJ68qjBreQsLXthXjv4/mKx17fZ1ZYNVIyJHy2mGpBdAjNIZ9Cpwd22 bQCcEu/VsAM7AJCyoItGwaWJKqSjVq7Nu0oPuwaetyrqfbBUOuEXqlqDUsRDGltBeYUhgmucNA03 FTZbFphzx+O2BsEjbc16WdbgoYoeOxN+Axe61wYj+7HqrHf9YQRImfRDQW62GZbuyCjgHkuvHSXh nvcriaSt9g2YMNMKDdN+r1Nqtmpny0MgantmiesATkBp1rO4pOYtiFGQNXGwLihMY5Wlqyo4RExh dEElTB7k1tvWqShHwB3EUzg3QwA+kuLXPiazf1qDKjdU8d9QMayMd0j5AIAisNdl+K7li2xsUsBo anegL3pMPxRh7ICckMubEWJZNj2aVvcRu2SXJqCslijC9xZDacoa4iVwiDE84a8cVpLmfnB5pdEH NZYPGYWSuKq8mFvG1PQpix5pjFaCkw9IyoDL2ywjXP2CDoVd5mm8ll6jOQN9VWf+FEOPmI3V32lm yPriwksPPUqwilsAi2gMRv1g9nlfLSyyd2Sm5Wn853p6V9FbmWyGTCZGegQzbAnwpnDQRLPH3GQK XiJnIHVm1Q0WS78Rvvm1KSy+AA6jvaEmmt3hNoylZZch1rlecdfZbKZYPcKEuf+uN384qF9RN5za jR/uXa6bi24/pXyi454NPy5ZK13AbMLXARtJlx2y0AkM0SpcIf4pBHhtGTq7JzTDKxUNFZIFyVvq Ufga9vhoTWTFSsse9nJUSKAJQrbuBBbuucoCa3XvBqFr4bgAYDs3ecPQYY0+0nmJOt90I+ZReJJn 0E2Di9V7KW1UgHNQPEVzMgkqSv10kLEmTkg02N98t/NQ7BxqZtYaDiIrEw51NXAyEdCcdPhU6W+M zMo1gk1XXYso6gyQUZmdtprL5PjcFWcv01BFV6deBBPcpis0Fzx8S9hxNQXms41UsAhOODIJUytO Ej0ELNAxRkDpo5k+vjYCGEiePPTkbcDLrQr5AQ3j6bOLgk1cSG1n1O1O0RMjG7loTHkCNaYghZi6 oAMd/DRjCHFgw8dhMcbmoMkoAq+sZ5c4/us+2pyTSz5xi1oggnn0ofGZjiqdxruzm8nTym70r5Fh E5Vks2Kx3QCBKKfQ4TgCSUm68PGAy00kf9lY0M6fBYN6Nq+kYFZKExZUGm29gTvvRad5chGYCMvf Rg25Rqiw75TkrqHbkcPocoMk18vzv3wh+4KE/Agqv7BxIVtjQGFLcN0a/2zUJQJD3RScfGDELMMe S+GKsDHvrRO+49avShvy1kC9QPZXO/5hqdjfbWS/paNoQDsoeHfpj7Z0S+D1cuCwUxON/LShjkyX JoRQb4TQYtYGPG6eRu2aLO0dKeZIy+V7K5j+wFyoCKsmsjbp/T9DdbXSsOaNMNZJYiIXZ00JV6Wy QvPEnDH3qL6p7/5YRD7EmjmK59vKO/2u/EX4waHzejqgHU9/FFG8BgK/HKn+C2r59UNJt8KoTwTF fIHXDdFVldDzwhe9B5pHVIWfrln2FuXUWX0aP8OfwdsQu54q0yh3nJVlW858iCwglX0tA3CrDS7m vHsbG2elgTNNND/slzITa03R/ClkPKnLV6tOrHPIRzyiGxl0O+UuH1aNtAIrR32fFEwLfig+pNvG PD/k3r32N29vpThj7rSQiXc8HJafbRx/4XjVYiIOiG8lPEwvhEAkMyZpaQwbO3kytOvtjBywe6ty JPcka0dC7ojjcAR9WJma0T3cy3wSSZik6Y0hLIT2AtfOEblJ9dOkQlCG/fgWn6hP1hjEHhRS9cYU zPH40u7ShPiwbc6/Z39Rx+WCQ8RjXWzrhDMuJMIB1244pZRfiM3IhQLPg3tGn+QAv+lb3I7X55VU 2wzHhfsKA22MJhiuUtg/358AyzyfcXbKlwCYdhyJ38Nuh3ISemLmCcTXNm4X2FUiSP0Z5NxpoxEM tbJ6y0faJeSu8220PigemXg1yLJ3uoVEDHCicRX4ZWhoYKXfAFyq0kNJw8NfOZuLyUEA1Sw/YBet yGT3MIitXdVAk0rbEt042v3t4sogxA05AGExLZO5sHvkLi53v2PfeiTx06QpCveRfYXl3CAaIKco ZXvIGp7pLEo+EzBsSkbCt/SBkfJycX8QWzbSXFnEkALiTEFRvvY00fc9aC9F7PzsaBYETa40Kvhj UrBjx8Yi7UfVYygFgk39559R4tAEwlFeikSy7qtaybpioqj/t90j9uRgAumWXmcXdj5eYCP9l53I PsDfDk91T1JXb2DGGtYtPC9MjcuMRzQSpdG4txcDAZ5eEP3bRPQf1kuqrMoU6g7H4D2Nz7GVXNPj /vpPV14D1AstduFOsTtYqRbacEH6mQrx9DIzOkCIrWvxeFF44H9XJTofQZhP3+7L7KXSzDAWdl9i YBssqfezG7zQ3w36gAgVnmBN/KN/L4VHBWrT2ncluXkm71BN/Es1g6To8K5Fc+YMxo9noWk9fhHb ZIWgpyipHVef8gpk24+iTG/Kcus5csGIdfgR/6yv97BwSpLhd3qf+jeX5597/WHCTSRVcOHSqGYI 2x/k7LdW4f0uUsLEz9ClZFqPAMs5BE/khpj3e0uVY+P8cIMgZcsDEOvkaEh8oim+WFIYWwGs/Ct1 pfeijOY6sFFyGOPFhvdLTLxLCLsfvHoB7fIiewe4fZGPD9JBIrJ2NNEDaLZsDzJj4g6R6dwmLcpy ocrzY7PfrQ2uNhG9lFdjvLgxeL5b7KWlGhN9KicqVZ7+zznRisOU2WIlagK0cZ1yQX+cn8Xr4k/7 hHXU3htlMN25TN4NmzHs6NJiDgSNc6eKyG2Cfgp5pj+6rS/lDriSkd+Q4flWfB30P2+RdkxTLVEp jKyG3TSESMZ1qvgq5UHLjfUK7iRfHJayO+7lPt1Qp/HssF/CHjHF8onzwlHvZwKwMS8eAZ1AY5Sj 0iUFvS39LqmeJg70bsjx6e06nsaMuR0AZ14KecAo1n4ZE5LE/FrZyKYWj69xnXBcdoeiG6zBqfVj iwb9h45BWLfph7U8CKOPCvW2c5lGWzUZB7+w7qtafXM+ARxaOlEZimEob6oAQCfCtRSK1NQocqUi nhVoMbTt940sX266KokfRRrG7SU4dW/J/Pprm66tWqhNb58DFWsdkHA4No/JNlsjFiwL/YJ/GiOD 4GlWdV5nURLFqD6xhCI5qDJsPjs9/QgfFw2hed2Nz/FQzOOoRikbooBvRxGmz3c6SHVEJan/K9q3 r9u3Cjsf319t2MA58CNqlRagDYdabi2/XgGjILoAyvYmzwI6NYGR3+N1JQzsIW+4oTDPUqIILoym lSPNR12JoOG8avgS/CzIjc/td1siaE2T1THUm3O1VBqaG7G4edjC1twx+O/GEaPU73LFW2qYPbYH kfYQhF/+cbxTrfFs7re1PQ84Xaq+kILZHzittyaiyiA0oNByLSXgIgLA1Mr7aWtgFzJkNPsY6Ry4 Uh691+iDVF6k2kECfx77A5pEIJhQL53H4ruO3Ya4GYHlPekvi8t0Wd5jYF6VQ3mJgabzK5VOxjJg OIm4akMcFRXr9Z8Ty1Q+IGPQ4dunZBNen8TcuxDG9JPIawgp664jmupZChQ3Obc02pLq0YW9+uF8 4VzKbhOk6Kyo/YIJfZeThv3acG5IpL8+/tYfPi0sM179j1bWvXwTo5OGthksTQ1GEBbPgKdzPhc3 ZmVhET4kE1zOClz3huY6NNVQF3DhnCEF4lv3EqnWIzcel1Vkg5DBCld7QtMh7Vqvr21qKup6h9SQ TayJ38zskWCPVkdd9yjztteZvgxlVlbcXvHGkHyvIRCt0XbJHCLih42pWK1fuos2++F/MNTtVE+b yUgAVLxD8CplRqyqAJHWjJRa+4h1WbjPzl4lBw7yuI+u1vL3Pp7mZ7wkauAF6MEOjM2akgLQrMTH t24leKDsVUvv5P01z1jFNpsbiBV2Jezl `protect end_protected
gpl-2.0
77a5ca04908b6894643e4e5927af58fe
0.939453
1.859856
false
false
false
false
fafaldo/ethernet
ethernet4b/vga_display.vhd
1
3,955
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vga_display is Port ( char : out STD_LOGIC_VECTOR(7 downto 0) := (others=>'0'); char_we : out STD_LOGIC := '0'; clk : in STD_LOGIC; ram_enable : out STD_LOGIC := '1'; ram_output : in STD_LOGIC_VECTOR(7 downto 0); start : in STD_LOGIC; reset : in STD_LOGIC); end vga_display; architecture Behavioral of vga_display is type state_type is (IDLE, GET_DATA, LATCH_DATA, START_RISING_EDGE, KEEP_RISING_EDGE, START_FALLING_EDGE); signal state, next_state : state_type; signal busy_in : STD_LOGIC := '0'; --signal ram_output_latched : std_logic_vector(7 downto 0) := (others => '0'); begin process (clk) begin if rising_edge(clk) then if reset='1' then busy_in <= '0'; elsif start = '1' then busy_in <= '1'; elsif state = IDLE then busy_in <= '0'; else busy_in <= busy_in; end if; end if; end process; SYNC_PROC: process (clk) begin if rising_edge(clk) then if (reset = '1') then state <= IDLE; else state <= next_state; end if; end if; end process; -- process(clk) -- begin -- if rising_edge(clk) then -- if state = LATCH_DATA then -- ram_output_latched <= ram_output; -- end if; -- end if; -- end process; OUTPUT_DECODE: process (state, ram_output) begin if state = IDLE then char <= (others=>'0'); char_we <= '0'; ram_enable <= '0'; elsif state = GET_DATA then char <= (others=>'0'); char_we <= '0'; ram_enable <= '1'; elsif state = START_RISING_EDGE then ram_enable <= '0'; char_we <= '1'; case (ram_output(7 downto 4)) is when "0000" => char <= x"30"; when "0001" => char <= x"31"; when "0010" => char <= x"32"; when "0011" => char <= x"33"; when "0100" => char <= x"34"; when "0101" => char <= x"35"; when "0110" => char <= x"36"; when "0111" => char <= x"37"; when "1000" => char <= x"38"; when "1001" => char <= x"39"; when "1010" => char <= x"61"; when "1011" => char <= x"62"; when "1100" => char <= x"63"; when "1101" => char <= x"64"; when "1110" => char <= x"65"; when "1111" => char <= x"66"; when others => char <= x"00"; end case; elsif state = KEEP_RISING_EDGE then ram_enable <= '0'; char_we <= '1'; case (ram_output(3 downto 0)) is when "0000" => char <= x"30"; when "0001" => char <= x"31"; when "0010" => char <= x"32"; when "0011" => char <= x"33"; when "0100" => char <= x"34"; when "0101" => char <= x"35"; when "0110" => char <= x"36"; when "0111" => char <= x"37"; when "1000" => char <= x"38"; when "1001" => char <= x"39"; when "1010" => char <= x"61"; when "1011" => char <= x"62"; when "1100" => char <= x"63"; when "1101" => char <= x"64"; when "1110" => char <= x"65"; when "1111" => char <= x"66"; when others => char <= x"00"; end case; elsif state = START_FALLING_EDGE then ram_enable <= '0'; char_we <= '0'; char <= x"00"; end if; end process; NEXT_STATE_DECODE: process (state, start, clk) begin next_state <= state; case (state) is when IDLE => if start = '1' then next_state <= GET_DATA; end if; when GET_DATA => next_state <= START_RISING_EDGE; when START_RISING_EDGE => next_state <= KEEP_RISING_EDGE; when KEEP_RISING_EDGE => next_state <= START_FALLING_EDGE; when START_FALLING_EDGE => next_state <= IDLE; when others => next_state <= IDLE; end case; end process; end Behavioral;
apache-2.0
8db30783550411b53ab63d1e5fb4b167
0.500379
2.960329
false
false
false
false
freecores/light8080
vhdl/demo/rs232_tx.vhdl
1
1,597
--############################################################################## -- RS-232 transmitter, hardwired to 9600 bauds when clocked at 50MHz. -- WARNING: Hacked up for light8080 demo. Poor performance, no formal testing! -- I don't advise using this for any purpose. --############################################################################## library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity rs232_tx is port ( clk : in std_logic; reset : in std_logic; rdy : out std_logic; load : in std_logic; data_i : in std_logic_vector(7 downto 0); txd : out std_logic); end rs232_tx; architecture demo of rs232_tx is signal counter : integer; signal data : std_logic_vector(10 downto 0); signal ctr_bit : std_logic_vector(3 downto 0); signal tx : std_logic; begin process(clk) begin if clk'event and clk='1' then if reset='1' then data <= "10111111111"; tx <= '0'; ctr_bit <= "0000"; counter <= 0; elsif load='1' and tx='0' then data <= "1"&data_i&"01"; tx <= '1'; else if tx='1' then if counter = 5200 then counter <= 0; data(9 downto 0) <= data(10 downto 1); data(10) <= '1'; if ctr_bit = "1010" then tx <= '0'; ctr_bit <= "0000"; else ctr_bit <= ctr_bit + 1; end if; else counter <= counter + 1; end if; end if; end if; end if; end process; rdy <= not tx; txd <= data(0); end demo;
gpl-3.0
2c7bbfd5cc21e87717fe0fa5bca2fab7
0.50407
3.464208
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_exp/flt_exp_e2A.vhd
3
13,006
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block LK4hp5SyBggLjz2+45/Mw7jCGtuMq+sCGuyt82s8st5o9eyKJEfEZjj6GcczDG6GgOc66vq5oJM5 +3xZqFKL9w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VjnnOXfh2nx+zqG1powFJglxwDQacYLRnFP6onHj/kl4zf2OGE7wvF8ALcAAj/MGSWy1KRcEKuLJ ARMfNEG0MXbC6p3xLXzfLpac9oWPVubEXYjMtc7tZcARBsCza8+pKkWOcBYepiAu0V9qPnseePf5 fM9o+bathX7tf5CzJiA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AzQEBifB+492IL2eY4Z0WvNihkT9pfi91xxKTpOQtmn/fVh4cp1hbQmIYZLaIwNv9ZNOL6h1Y7kN xk/U1wxeJxHj4l5ZgCXG6s6N3n3B23FUBvjC8h7JLl3fGtN3o6XosVXdbWGJ8TtlyDlLsyHUNQrv cEOIsN4KmWW1TyfQ86747PY4jF/BVRXglwOBkNcgSBDxEJOtmTjGprg+Egr1UC7LgxHeeKbBah8v gPoE5N1XumBd1X3zbwAKWux//mzofjRaNWj8ABmoCdOW3d7QvzVBXFYz4F6r07sK4yj+RGH7tbFh Y8mihtFKXIPQaXav6p5Z27aJsv/YQC2IGM8Jaw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ot3BGTuR8FgiveOh64NGFsIj3ILu/eXsDZVQ1B4Gf74sGlTBtspBNQY/a1AQbwxpQW87FqslcQXj /C5D+GSPiTvwILhJkQ9Q1hUZdplpRUqqLbhVsNFA/+Pwxpq2NCQJrOklJF95vsdW+35BBdNpfQeU ie7aU+dAK5vwV9URAkE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Y+eO70ILCn8NVZl8DcSfTiHhavEoSbdqNKG5bCidUSJSLJH4C8OMqz3FI+7pTxA4xev2MwwuFTxD rNGnOknUaNzOq1K6nhHYh3Rq1Nxb9ogO95SiSZRg+PYeJxhUKgWaSsLqNlLu+23SiR28/e3wyxoO eT7pxhRcxMl0/MGYidfyroIdsn4VUXCWfLQoqCBIv9d1ATv0cMKqvJYWj7ITyquvfexiaQOd6KGa KUBF4j3hp15WT5mErWE5gp4uZwFoGwKGKLcfsqKTmybUcl339JdHZxxVSuWz6sSZ41IWOJTiGAvd f9J2nhn5bPbKiHaQeZgTgnDhI5zIIVOqxqZHIQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7888) `protect data_block L7BAV7ayqfSfo2g8hLlRMNfJiinNUWSlSAtdoICLpSyAbLpjD/59pTgNk4YV5PePBL3xOoxGuH/f U5/YRP6m6k8f7kY9rLfQ1gCKPIInwoeS8PP5rLQHuejnv7hQYp66bfy2aCbLpz8DLmzUwi7wx4ZE B3FmQFu53lz0CuEAALcE7pNTU+1iFWzzSINtFwbenBeMfDZmCNV+N7oixrvFN779FiUibLIU/zTx PdmBT1LNRQtXViRUPXSVbl5rvTUH60fcnzD0TbygLB6nX5+y5ouoicQ+l3oQStU9tguB+vFIHhsa SCKp7pYgIvx7r3sjfCsLrdX88EX2TJA67WKy6+Nwqxu6/8Y35M8Q44Yq+XAclS/+sTd/6baBKL/K 712dhQs4wfG2tfgmivOSskPvOLUBMMLfvA4zyEBahxtaOu+wNWdWCjOAvC6fnIjVM188M3AruKYF podpPaauty75dn4bovMm2WrB/ujQfvAfP7AhBFFnydoYSKuLNN9+VTeWoYngDG0M/sx0+3DW5BeB NDwK84UrLawRiaAlb8a0dWES26JqGWqJd2D01Bj0ZM3lSaL7Gdj+qdTCAmbaYVXuI12GS8i6jaDo ZNHZM3s6+ZnCuRgANl5mqtfCY1vHX+tN2FAu3uxSgVeylHQQyNqRtId0pjHCuGDsQ7DCnH+2FP0j 9OyUQT5MFBCbP0XfDhE1PbNlp8pgcy0Rmp2fa3poZ1dhPY9jXjiIT9qDtmUV+j8X9mD5tIXuxb6l wg2qoCZ1z7Yfxc1/QVy45+AsKEVgV74/pqIeEYHFKaDDP5NDOWPIXCY00A4N7/+JolkOK3X9KjUc jWUkb5NsDDPO2iJ3Kk3ljv9vW4pWnm6XP5Xv+QlYL0V1RDA/dVQ+ygHed27xjMXpE/QUA0ajWcMD u3B7HvQCKIumCvjIRqaeQDUxD9E3+t18KmQi4cyxiUfGFWPxs8aHTx9BW7Z/wgG81IHZYUylZfUl c3o+n6XKhAOgJ97fNQ/NjLmlQhuHQh+RiElO7LL3DKOVrpuPs5NtmIECofBSrTKXdzwEBu9a3pJd 7JJPHrwnHnh95bOquBromFrAHfq+i+GRZ4MDcR6uvN8dOC+zBzdE9AgOJuz5cf96p5HYnDn6E+xS nsuEM7gay8tICA1UGDt+PZpnMPLROYKVLblydrD+9LyQ4kTCeHl3r1JPaPLVKLWN8ICp81ULOxFW hmoPENucJhOnIUMMrKarM73oHs7rnrTF0u4+W15XEPYidHQTYCEjoPcYuuSb/ZRkm3OCNLoQ20WB vMns2/n8dIOX3n9eqwq+Py4O7+cVFxsmvNWltsrl3MrajkiZLZoAztH5jXgDOSQAP5fOSF6fT+rS xKC/Dv/DaWGxz3vkdOKWwZvWxx4C4gjSAcL2vRngFl5ykP/e9FsRGyNGKIjGpbKkxBgInQ8CFNtJ GSvYdfpDgKOfgVNDbdu0dl4wdc0o5t5D+wBJqvYUpP84zCh+pcXy/pW9jQvDmyr0up5/BLrE5+7j DqBpo9B28w2BMXCxpmVSDUFXxH/WOSfvr+mS3cMnOwGkXShQzgHLdUcgxzF2x1FpOkUcI6+o1njh hZMCZ3JUqEb7Pulp4oMguAABbqN12XRGJ5jGIG9Oifl3Yqcw1lqbQSV30OouVUErBXxff4AQsbiX gHov0W8dh0gGd48PIcEQG45/DQte5XSu8lOPiVggsK7hCZCwNiL0ag3b1/koI0Oc090qRDG7z+2a KepnZG0AbO1vLF/CYGZoDiQBP/uFOqD4UEbuwSFJMFHlltkYzy1eDGQ+wsVUEQf7EZ8SugacQuvt S/KWie31MHLYsS44sibsLrILSm+RKWFZbxSV/KAlEXoJlFUsoTru6I9MRtjk69ZQ49yAqxlh9okI 6QAcji1uQRqhU2jnLHTfKljiWwFBfJqBe2iRAGYm7ZUUkTcLk3Ns+EGW9+hzWhShdcPjIrACByr1 pUiPRceEiaAsP9Ufsv0deFOQ+02TolkeyslAiNrDohU4Hesx0aYBXBxClwdX/f2BAxuwYEB5n0XE hg+wjDzqX+DCtBWU1PDLDlirwQKPGsK3btV+LV/tRtvFd0FTjiknv4/0LHSGbXUK0fIS0Ju1U6ia SfO/5khfrxBIi0uDeHfvhWbi3isuM0WhUs4ORK7we7Zl2MpcjRvB4k1a+KBaVOz0ullyC4/XMZMX Zllce5IXv+0XVIWSkUvUKiYUIvumXnQ3pn3oS+OcV2xnFHz2FbfUiB5k33EKobTRKqjQ4mipi8h6 YwDxnxBhGU9cTRxHWAhFoafUAO3LlHKzT5WtikyKdnUWmwhmcQ9lbRn2JszJ2UJxsZXWI8+N4pAJ 6m7vA6UoW+S7Er+Haha20s0cQIap9e2pS78S3lgREPhXzunrXU5QSaZOVP8LvR6PsZ6BLKoLBFj+ ICuUUFF8o4L5CyptyhJhXxolGemgtEZxewE0wjIhAqCsY9AFV7gv7l+l95yawYVuusum5bfKx0Uh IbIFEpHajWt3oVJXfWr6Ehqh1VUO8WBviv2yZyOBMKTpuSBjX3CLiah7kuN1ROe331IAY2+y2r6t FibFKAYqYuCXJUkYrqz/BcNOyLq1oq1cfJXtFgKzLVJaC61E0mjyryvgyadOQx9T6yxGMMz9A0N9 m/4myPWnDwHu0fYeim+VfKJbVPqwC4czMHrTO1Bg5C0/QkpS4/xe1Z+df+HS+Ooc7r/5XAOZU7+9 OylCiZ3mI8fT8pROR12EmmgXLjQVesJlR+5rSIlVz+7ZXM6b8Nr34XB9IFg0/lgJYHK4NDrY7W30 0eUKXOIlEwM2ai3aRSjhVvVp2OdhIMmH9JIDEG5I0E06x95FCrOo7kcYNF44ShoLGGYAF+85p27t PaFsmvTrCYDz9HWICW7mtVizDFxLw+g7rc2Rupv5yfddbyANhL8iCSER08JsHZaE5Tlldg0XJD5L u3c7a7V72jMBXR0MgfaB3F+ZTgdECjnW9L3N3lASk1a4P2DcQp9E/PZz1goFkJ7dQJpfdFOSWCEI iVilMYH7Se/947biQF0Eg7z+M/V0IeRkdYbGCctflpZzotiJN7ngeOAG0v+E2lqAtsoAm84j3qAV s/uSVnaoEpurJqpiD+LCmvk4QkmbW9Z+3BqtGrsbyx80HEFFkRiaaYfrZ74GKzQwzSME25rY791K eWP06dgyyl6ZXRFDfIxlJEbiUQpdhwTi8mG6lq+cCIqTkKjT/XyRdXU3dNpVnlqBf1U98OZVaJIn +rbYKhwcyCHRGTJLE6/Fne3xeZBUzHCUJDUvspGTamtFc6clE+n8JOdnslgCPnf/+yTw3KJt9Y4f pQlBEn6tS3NWZslP+5CvnAVdg7HbrGoDjGO1yl3tGE+tBFbdm8J0l2CYGy0dSC1FpRpKCdx8OIIb k7CZJ4HeWPCVFmr4PeVfE67AYzjZ/3jXuPo7CcMWhJuwEtgfeWAEVMl3Hb+7jPmafYZBhVM3BPos 6woMuLNX5d88sq20KNAQ7aWM8S0H77gYCEzDT0nuEBf2W2XuHHr8wstnWM1xC5qjXotchZ3wg5YQ EIRhmioozptPtFWSxGAaazxjXIPSUVpbq5LsRGgPZsH6sbA517CQ78F/bQrtSywbv7YbMZ16cqgj aYR2djR20NZImMrpu3fIPbu/I16LSxBiKjpAhi4QvI364OJNeZ809aMgfnxWaQnR/wolF1JcxV2T 6yVO2snxfEqgCgeW/JzoeZwtRReluXYcHWbkjwCa6FJT5h177tSAUE29AQAkR36x7kND1CDF+/X3 sk+MVoojQkLogGXq3MYs25Mwhjiuv2/codPKPIO3vsMx6tSaf4stZLsaTTbTK2pSR40dZNK5WVXN cF8S0xGyWLE/nBSDLzACP+X6IVlgSL/OVEQ+yLY9F+Wzd0s7gaZ3ryLJfLIDkQILraZusL1lVL3H f2ZBAa8QoZRjScIZkUriwGIuVEjzhQLMZ2x/L2Pvh2+shII5E448adtOXHYRnFEqG4OcZjF8prc4 snucsOdONp2mmB72TSl+3hh9p3CEt1gsVnuYt9D00FVlOar7naiGDK3Jj2gD9dSDov6Yjo+sEKpT 9/WEyZ20UjoDfm/4MZYgeXTktfH8yno54LM/zThoTJezPWlUppJk1SfKPKdQmuoOpWu1+k43vlVX PJ/kguygYgoaKmJztCPlMlMjSMKbcB1aAxeazuNBRbhdru/EHR3DgK1bo1fQs+cylh+hm57iQ+f8 QQKVwqkW7aZPcww3+9SdYymIw32hRVya8+Gj8qYGUb0FZMdNkAatv5/7MU0l/dsXLRdBgHN+lu9U GGR00MXJlDFwlG6HAMZzlcoesFgWq3QnShK73Zk9tuUO6PtRG5/Pc8ahp0mvLdqTmcFgVY+mju1i 3zsPg4Lx/i2WOCatiSnoppXPMJTf/olgyijlxbum2aZtvutzoxWleUJAy3cd8uQaFGIFgbK6zYAr HQOpFL+RA3TWNsmn9G6qEdnZ1c/5RtF3POrd31IwQam69JkeK6+l0TeFW8dSDWe0kbsGeFaFE4ns 4v2MrdiaUb12Tu5ikO7QggCiaFjQbc5TvQuYR9r6yrnIDgYFV2Or+tm8oLXYb/fSLEngYAAGCQ3H TeKlHrIDDDtynoLDxW4ZKfP9oTAOcPbxRffeozZpTbGQSvpGKtV2rz3ZNjG4lKaTiVkHe98srfeX PB9E4qR5Xz1ITXUWG+pK76C8QIh5kHiiM2ycbOP99JiuDixIzZ+7nOPtyG620becJwetAclycoiC GIJNnS8LGkyI4SQxgHeNDeR0tskih2UpXyqi0VYJn3FDy2S+kiAUSY8bl2NMNa8U7NEHXLyYAmM9 FiQQ2yusNE/3wr+PyD7an1XJ2J7H4fH7OgLLudoQFOWJ4Zg3pNUbDbinrfz8+Zi4wzw6/KLtWAJW wal7I/GBLSGGlTqmLStPJGVTPIFUrtAo3e9G1p8suO+nkzM1JiCSOCIoUywuQYNG/hXhwnSbIGFU D5yXuguabT8+PAK0joLC0FZY9+Di/K1ghGZ0GB5ep6wnnkKZgq3Y4+QtWqqcc0qfan2b1vFi4VPQ mDjAzslL0rSFYvcuJFRiZWthleKJXfG9TWMp040DFIP2AXhuHWpVTSzyDZOM/+siPEMBKitAKzMx Dz33j0rqbyt9fGV6YJV2W9HUVtHEwlSFXwNPXXXy5LXFdcunfnxg8/8KkN60VHtkvMCnVIMqkAO6 shSjKPXvBB07MrDjxVVVVGj7tJdgrauBTymWPLp5Z4uZORG6nrahMvteeuvb5w3Cjpus4NLZbsX2 pB+mDywMeU4KKbnJqB8XIzo9FZiMgwFWyFtafBkd2knbWLQzDE04iopthxwWUqWoiMqvG/L34Svq L6p82nFAKkjouEGtaRs4vVUkCFYxV9V0SyhvU1FzRHmLvZ5X/zkeoTBCzhtDrZ3b/G29M0xRfgaP TSKlH6JLfqwZqf+mUsQJRAgevTIQan/QA7fVK+DrodA/HbcGtT9H0B/rnRgQQHJCp93wUdj72GI5 TwXB6se0qrCLa+kKnApncT5gQhOXAv/oy4XigN1k0WAzVzN5trYttFPL/yZRj0cHFTj6rv0Lsz4j 1yGXi3SyAuMoz2/AjHPEDCVWxMdumdVjdOOrPskyQz9y/QNQ3FSdA/f/qIRIiVnmfhLr6vAhiEr5 meBAUQ71ZLT55/U96JTxGwLKEkLa+Ip8wAz+pJcWVeuKaj81KZbw9dsdKf3zBp8TdNgIJUTMzoVe ZPtUBH6QUN3F2hoEwvhzROg51k3uCZ23uPCRG7biiD0ENzUCDVC7wQblkQfgcOKyL9szP8+7Nheu i3+dQwtQjyF/1gjrpieXNniU6FcMKc6PtFwdZVvOW5ESvADSjKFGHZCyjEg6fyTa3Fi8MO77KwXW aaBVNqQiP4SsAML2S9ZMHiSASHv+e0VxNLbkcUvm1MqXxea9i6mbnC8TddB2/FuHRnZPP70q5IOH OrKWp9ckZXK4mONw8R5aS0d/t8BGbeM9uOMMmWfOnSDWm+nvW+A2ctYnnBdDrmQdV0wIqRDgIR7B c2Pyo00CpwlfhXpAHqcdurXpqgkMYvK07f2djNwPkjXb/jdw8cxLgLz8cZPeAWgDrbeFvc+d1DIq ArxltNV1btCrIBEMFpjeMrI00G/69w4YiESugeohIK3a5cJK4mCjwJDrNF14zMdwfKNZOlCczTVW Vm8sFLXKB8OMjQYz4t9GkQfr9ElVuYkumE1CdIuWnfh27teKdED4yIpRWB7PInfUK27AZkaKJYK4 sIWx6uuXxeu7ZtRyYAUQ7EUP91nGGoY1InCXHGpyZou5cTiKW75vEZCV3OViWcnnw2PiRWZoKKdT JmdaWY9n6YmBnJ4IMWq6KD3ZImbJTQouO4+/cA6YYmhT40mRmxRvkYc3hCf4Iiro9a8BkD3B//Tu rOvQftEsuj9BAjDnvbxqThTHoXB1/kpPNmapkdxzjHTqBSKY0tR84X+NlD4rLQBCSwAn229rJJ6q k+Ky9h2mDgRE2aquCab2DqUD1XzyDh3XTPRXQNoPMGsBhKCF/91ccFBVQrMRs6m5E6H22Rrf+5sr dH2RG/BiKqFoHKPku2LaNkzJYUTKFApW8PP72NwS3l2AjY1QnA/jzhFrOupH4S9WTVt8CegZ5G4V 4iRnaoeAC5tFN0DYkmHn0T4W+v98gsac2RvmpzAFZEHIiNHtTm0V5qgimoY6ghYXzEhwn8RmboqG RUk6flN6u5Ix+BWdspBDpWj1iLM0BmVVpL3FebzABB8dOAnGmqYJ0PYUYEL0G0Td8JXgAPhBOS94 rdHPAHVrOE5Dn2G8z6hGZAN7CJZjdOdgvKsuBNBR5pkOuSeI4QNDJ0LnSVOSwMUffc9RM2XBWDD5 NL8elbltxfuQGxU0GgbRpuWF1Bx2KlOtExu20yghcOyqVAaGsP5F8kSTlWY4eGougditONFO2rK3 iPuldLwf+rBEFhLJbHhP6o/Vxpu8O7bRizs2NbfptSxAAOLN3KblPSgKgxjNXWdHfOnitziuq9E0 fNnMH2SpmuGlng0fyZ5nn4BWAgK0+yenN78QX+yz/3Kw+xaWeJSbl9TUlM2GYhBcoj6vWfbEjOVl 38HR9f7u4+DOBjR0Mrtx829ER7Oo++4h30KeKhwnwqnUHWTHlSkgb7DkowkvZeiYTCsxFZo/KM7g aTWZAhTDfffK4WlmDf9SIjfJpBPpLFVJMbU5W4cpR3SC6yhcD1j69ZVirwPeZW/pMLDiF4SHPvZr ItLIAbQ6ymNTWneHI8hkwlmsTs66PSEgPaUbKXo79ZcQZb0kV8X4zEMXX8M+8bQ5NEA7bCekgP2B yWsduvYR+0SJLbRXBGu7C7QKWRsr/myHCLjGhRHKtm6kEKhqLDRygQPi/v9MTDkAjSpoOHQFTvsy RErVo2bsVYXdEJmUSnS+TXhkDXzkJHSM0F0rTBM399x1nukyFEsMpjRlymDY8aERIkxrhRLGyCB4 kypff7Ee7WOzaAF6hSd6NPQkmhUH8oBHynSuJKIgTaa2lBwxGnEr/8lQcnvcILk5gOkUkmXNiTSE gDjEHS6W2vrqhgil4XXAVLPPdPmqOur8fqHW/2xasc8ex3o5cSi94OnMJGFf9g7DHLOsCRXU8ADH C58YS2EOX8iy94OeSHJC4yo0UCBjhX4ZRKGsvRZ9QwWNSb1t81QIKD6mVQLDWX5wSAumc/GLnhiF NLelQpl1BxCApLxxQWgB7u+65cnzrLOnv8l6zjzvSx3+tmJoHsqcnz/9LtALIybAImA4wZWKnzJj vBrlbrFbMVrYNK7LqEOBkow8awlzYPrjCSWE2UzGnrrUW606thHa+f2HSTolODnLo2Ma+79z3fAx DmVJSLBuepb5bcdZezcgqnKWhFaUxJmPicDKsSI1VrmdcajDLPsd4Fvyd/AJlbS/bNwI4/2QCo67 5s2jOIe6rGYcevBl1P6Iv9fhcnn7uSFcFSl6A6Y3nOTzcH6xvfEzWGVdQwcFCRennP/4EQI1LEcW meJC7w0/zbTz6zUqs6trPE6Ojw/vZlyrhIQ+N/hoHCO6vBPwwrPUnPdM9G0jXXWasoLI+bNibrSm +WoXN14+qrpdK4J2TYuQZlyxLqz5RAQn0iHw5zN4fXubfbH07cfZg3ixlRGpCf/jBYe2o3IGxfAs siA8hFNG4r92oCrDLlLAaiN+AeXIiD6ePA6q+SJH2A7GLCGe1ro5eGcBWL54WzJKfmZOa1NkUB+g HQEwJdmznBODmneQWOXau5zIY7ghdHwEp3qyB0RiMehSXc1o0DxjheGUoVI51z3ezyeCtOBjnSVN c2th+4TxLmXNzoTj/RHRb8teo+JT8hFqrxf2Ip3k1+bN/YKenKgkHUGrORvTgBkNxXs+OvUTkdOE lW3Gt92SWngNTH04Qo3eVJrQpKIupjPxlsonkzAdFm7l43+eGUBhw4NW9mWKZLXs0oh2rebh6Y95 CZ/Md1pTJhhn5G7wnh1be/w/h3NG1iDUpa8symcQJ8eV2nJem2zTx99H5ni73boZjWaN0vYw55vf ZDRPjuSFPsRAK+D5+zN/EiRmQlGlan0+aPxI5kfcqWjBT6T95gbWEKrGY7yoPc33ZW+zI6ElnbRR ddcal8CQTMsw2dXsQsdyyDbecGpoJtieFLACiGwpetNbNgQQQ//HBwWbocvdUV+7B7yo59RO48Y+ KvtyoEjDKr0uxdXKXvT3qp4oECz/TboC/Yhgk2+qLPvhDVkuGWARyxo/2VMCtin1a+imxERcZRGX HG+fSdP+9H4b+MKUbsYVoW8hx/glAAklp8JfiyzxGgml5+gHKn1Td0x/KwH9W0nDSfgVgAhl5mdu 8GVIcqqudypAtAmL2x28ZuPHCam563M3NcRXB7qet/5EgNjy5eufiR5ApJy4zxMr6wSTb2afR0sr eZaY6UfDpO2tVzWUrG3EmgU/u29hJWoqA/ucvYFxDL0ORZfSzdU+5OxmvwfPjMoSvHn9Ky+ZOW4d Rka0I7rIEzsqn4NMQ0v6/19CzdTyikPNHoEMjqjsequXWID3zgr5g1rC9rCtEhMqZb5E15BGvvGG TcXVlMiwHRjUhN+FqkkE4bspZnUyTetFyOs8Kjqlw6pPWRkB3UgmjZVlHF50sE+2AwC7isKsK2vE g+uPytGrUqockICgFke5aL+ZIvsDHZ20MTojjCfRup4AGLmAIRHnPkbd1ZV3fBX8N7UWYT3eSH8g RgkqMnp3/eWJtiyswGrS3Yz4xLFp1D9pwOc2eV06OiaO23uYDjKt72/YVhhZfTMHFRY3ZoYY1YG8 zujsC7yqUc6Z5UE4dRvv4ZeyJboggqZzCtJCb4HmfMkrcn6giUoyH0aRpxBTNj1WOgqm70+4STlB F7QcaXJF/n/BtPGchcWMRtCoolDbQ50ppeGq/n7CfGsgQQLdxerSLSrhp8o8Upv9RRLKQfirPYWC KL4TeqCVIb9nEANNiL/NG65LFLH5WgeGhg+kF3IGkKQvpkRvtEcaj+VXvAfN2bygwwp2JF4cU9z0 vfz4GOPqcUhiU1JYD+mrQo9XVuCzFPHmjtE3T5DHRAR1kBeMnDxRTJitzFzJghx5YR1mGhyDS5LQ GSoc8zNQ8KHYGKWcqhTTxt3DNe4Z5ULJiiSBshfe2wOuK1wGNKFSQNwLMgepWHIcuK0P7HwG+nkg eCTAMeYL8zr/8snWI5zlHC3XGgVJC4OEqfue0bKY5cO6zWOucoTgQkXEpq5/S2I0Pzb7hdrqPMcb yr4Zka8vArqdiLU1hwQGkcWVpwngDmUaB5V1ijlyA2alYU4k9V9VFUAYpBBgIRpfMqffAHMHSgXq e4+TmazG3XViuD1gUwzXtDNH+w048VOiVSctMFct16aXBSGz3VqJJqQ0+QK+3Tz0yX8wWAvdEX8A PCH+s/l3OboaVvxRyMJL0S1oRj694Ii/qjxyrLaXMzijADadppPPgpKYpFcmmUUW66W2vUyIOmQ9 bUArbU2ekH+jpX6JsqR1vq5w1C0fLtbx9pUOD9sybVnIlqHX4DTXx50RVe5XmxL6IhiOvpFirl9q 8bBd1U8oOIALYqzZaZSiZoF3fjyh1uPYIMnZsYIUeEtp+vfB4t/10EdtgAMhWy1x8sDWfycEMwe+ vXZu/5crj2kOItnrBn+ZDkKKBisT9wjLoECIDKFvzhpUD3WTEpQSRibxWRGSoFQ1AeC53oqH1lXi kltSx9in8x7LGJJR463S6lC4oEuSTuYRLkYadFaD2kMD5Zekdi3rV+WZnP+a3XmCAeFMapBL6hCu GHWl5MOxwA90/eUTN1xnOxC3O1GPogpSGG6u5MzpkTtv9iMthVXn6l66UWFXLzypxN5/dUBRPttA fCPvs7KhRFfjb8xluph0UzjxSmnIPsTQ1f4hBZN0dCUADCecEzx1GuMSmJ88cGuZI6hp1PcBT+i6 +cLcYpdniVNyDRX7KzFQ6cXNiBMwXw== `protect end_protected
gpl-2.0
d7819aa9f253195b4f32bce6e2509349
0.931339
1.881111
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/NewCombined/ipcore_dir/Instr_Mem/example_design/Instr_Mem_prod.vhd
1
10,083
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: Instr_Mem_prod.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : spartan3e -- C_XDEVICEFAMILY : spartan3e -- C_INTERFACE_TYPE : 0 -- C_ENABLE_32BIT_ADDRESS : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 0 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 1 -- C_INIT_FILE_NAME : Instr_Mem.mif -- C_USE_DEFAULT_DATA : 0 -- C_DEFAULT_DATA : 0 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : WRITE_FIRST -- C_WRITE_WIDTH_A : 16 -- C_READ_WIDTH_A : 16 -- C_WRITE_DEPTH_A : 32 -- C_READ_DEPTH_A : 32 -- C_ADDRA_WIDTH : 5 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 16 -- C_READ_WIDTH_B : 16 -- C_WRITE_DEPTH_B : 32 -- C_READ_DEPTH_B : 32 -- C_ADDRB_WIDTH : 5 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 0 -- C_DISABLE_WARN_BHV_COLL : 0 -- C_DISABLE_WARN_BHV_RANGE : 0 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY Instr_Mem_prod IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END Instr_Mem_prod; ARCHITECTURE xilinx OF Instr_Mem_prod IS COMPONENT Instr_Mem_exdes IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : Instr_Mem_exdes PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, DOUTA => DOUTA, CLKA => CLKA ); END xilinx;
gpl-3.0
f79dc08436e0344f4504582b168b623b
0.493008
3.826565
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_div/flt_div_mant.vhd
2
29,821
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block J7WA7jme3+I19NsPf9M+Hwgwjif117fG+SS/1lPz2YSnAcnIAvNxWqiI726MbtIpQaTNrw1jmOBw c/UqMzGGXA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WpkCtItYKx/eDqw+UYeRmjrmL2mI5Hoa+YtZRmfPmT5sRLTmRtU7/cUwQiFGy7CTe4aH6MWqC6cl 7yOvMENd/saYaA6CBzjl3bmlQFDQm85ABIvXfAZeXd/bJICw697f+Gp+XDeqGEojeiWpNRwU64lV wrDc4Q2vkGeh9QI57vE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JMfAjM3enGAj056QPtT14iolBO1T4zxTCtOBki1tkxag0lSir2+mNI/TstrYDMMz+VmoRABO7mG3 E4cyMU4DD1gxa38kFX3Df+y1G6aRoKyhiMH+64JKw9JL46nEHIJOGDEPVrzys4ji/rAcrk+k0ZXU +BNAptU3RUsEcOB76s54iQVzy3kBSJpMIPgROvcP1r3MO8cIWwwnXwhw1E3NYjdB4x4x28ROQOtM 4aDXOjnVqxltCBSV3c0ejPBRt48MD9nr/NSRVWgjS/hvMP+4BvgWbtA4WpqMqpIi0WkUe+1jnjRK wOXTKLIQFC/BgXFZ8wCjpmoXB3EaQHWgUTJq6A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xi9FAzd9lUubrlRz4dhDTI4iRyCUPFdcb/aw+H792fSTh+zLCxn5UhtCbP1ODFFxarcJ+MJOP2Zn +D5JiGjeYLr+BhL4vPssfuWrwy9ZskNkrYlO4+aINK6YeBevOCHzcs3XCoH0oEtDoTX4+iIx3/tD FUbYXexUE4kxizzBtKY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oq7lhuu2wC7aiGW96HYOfmmfOgDjHG2Qplf9OKcCdkzdYU4+KWhq3a0DuxlG8W7Rd4zGxOBB8KDi My605jaSXXpuwZd3EOVEbvkbwmD7d5sYpfI/M11YdU8SD1rfe8TRYvis5OZr1zX9o2JsRGTUKl29 l+YsS+J/AZcYBlBelH69sokhM4+JdEbrUAAZj56hLth20QfRMXA1TCZmPp4RdMr8OO8wUEI8/00Y +dyfXfBQHeZ2hIbRiIgNoAIn5WzlD6oLu2kSV9lZZ8lObO3DVc5rUA5TI92ltjJ2+QHZE15VJsPA 6EH3OhmfTivI/7qVVJaEnf6Fnzk98+CbItIpOw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20336) `protect data_block kAa1BqulofOCu73DntWHGpwFbRBeH6gxRXCLgZtk0widaQMD7ZW/DQZMVzdD+x2lT/bTpx8PNo0h XbV7vZa2J6r+nfcUy9n3pIP3NuWbfn1zHSLzLVwHEP85WIP5IkqVZ/49Q/LljamMmi+mkCCy5W9f rYtsWjcubTN7O3309+iN1CyFFaxZxvS9jwCdP71eDklGUnW2p5FLgJKxvtgP/8B0FVXQ08a41d1M 14cIt2ePFTZtlk1n0kYmQ3jcXbheCixGrpbpzicAZucwkWbtWN1IoS0zX+ZG/gX6Z75/vFatpGc7 qsm65NlhXqVn54VLs//IWPkEP/IOXUn2kFpxpq03wfRDfJ7GHy8QngQAIfquUAoH0NWn57nMxw20 XF5hMvdBEDjrCyN4WG7FY37txUaJ/WNFpBCAgNU2O1a3AVH7oxoO2N4UJL6N3h0fxtM+/Qr5hahR h5atCScsrtR/2Ryg7q4ytsmnDirLUbFfjc9jPx/mb4Cc8M45+zuDWIokCSgjLkn5I7XUdx578U2W cjVsbhS3cn7n4uEgxeSLhmscmDG937eg75PoOu1vsCEnZVW3eOnmWWO7fMdawjlG4JS47xAVuvfs X95E4nL4/7u72JfLTeaxg374XvHH3o8wx2Te7k7D240zS4MDRgiR3Or7vJTMTQZi71geVg/xRmOk Gu2Aw/+nvrP1n1WaB3BQa2jbzkcb2U58z3DLncoR6/HTT2CK5tuKPi0vJo9k/bz7wHYp6vVutwgI yVyim+3yjCQI6e8xOi1+vmx6lTf/qjhe7Kwj4txJOVvgZ3ln0VfVY55PL8sJb0Zm3hcRHOZTp8gv KGFesxArpGo6ywD2HGMRostUSycrser2wjbuD3V8Q1d7b5b9B/svupByQppSina0RrBEj+Oo+/Hz FN9kWY4FtnH6FgIj/BJ3qtW6yD6BZWBrUdIjzMJiMeiue6EOO2/ahg41HH4UmYxuxzS+iTjGhyyO +ZfvCZMsoBg+jbzflFIN9IH/RRVFNHRWPEsupud45SFlXENnzZnrI3OWLwaCvm21MYAlzBRN+Q1A eQTfxrapwex07PhIEWtupS9S0cEEd9nzP8iLC41my1zIhy1qUF4KVAPDFA+BJfpiCvG8Fe8WIru7 tXVSFERhFzLFVX4zo0jfGQjQnZw1Wqh/4lEz1ps9XtwwQfwnhH31/wojxEWQ5kw9gPItIt0SGlrk G/fmoyzvuBjIuMKCFZQdb5UL7cJvIznGIfQiSYP6BVpKWUyfZqXtuw+UJ8AhwwxCsXyooQUo0Lpk 7Uu5CxzQAlzUDb8e+VEpWB/lhVM9HaC7ircnHc06CmMUojUYlYbM7IBC/QpeuKxeAPzd+jm8S4fx Bg2NHSU0wS1iyDAiCvh6KcC0XLGtd1JQpRlWHNVxCMirbAWgCvslJRzHL9FywFe6QFg/yYj7VAGM j50tVsNDtktAjarMQHVlA9SBfP3tCXj53/3u8OkIwlIGR0d2QLiOPEYWhmtIofYqG/9GcWCKFRvr YQPvKidrmcpVGIxK9/0F1oK4gk52+KxZuX+OCYUFxF2T0nNvVjKqyjEGA6GrzLBXUQnaadZi6oaA Cu4ORVti8BkUtEvv4WDx1ne4vKOor0zJ9gi/Ci7vDPoOxL1EvgsZyJqmrhVD7FfMGVI/UixvxZLS oszbYNeaVWjBsKizhBs+/wVevvPGFaL+rAmPxlPGuunUQ7K/uazyPawAMQTDufxq07lHW2dqr8gZ qew4zEX1T0FCGZz/+trC66RBAYmUz47RvEnl+6bq7aRsFtpoImEPffqS5vIAO2/vtMweCLptyxkf drIr4jugThhRZ4JvBWXkxx9a3qDkFMSogvWowYXSdoo5iZuwIv6oCfYmJ8ySatgsHIydszE3/G8W /3cBLomY9kk4H05+nDhbY1Waq7sr2ajJxKC02BSraC+8VfIJPEtb58ieCfWUmXnzUj5VsqxIpgtj 904qfRIUfuuD96DznDCl4tvsLyo9it+oPSaEYtl/67uCFYUY85/iL6G1uvApxsyWlNS0jVmYQbAZ aHmQpzeHcSldq0lmuYeXuGxYfnjSj0Du6rq/T2+sO8us8bIvuf3bcDmyJ+ulIQQFmHRbs0kPs4qh ZdqC9YDBN105NeNA/ibE5W0s/UkC/yxF46tKNlXcSK4w2EzLXPXPaF8s1/tu8yTyhYh12CsyGmfI Mej2mjZQ981M/r/sl6BZDICWV+H/5OinC0wbwXBOjXhyviIbCeZb4Qb3zlfjZqYzQv0T+MNEfPQi bBn1QDRW0zw5AobqmbpEY++QCo8IVtuXN/uxYZQv5BpM2cfpMSKGEoAKKQEEpHaVCf2v+D2hRilY LFM7lt6kWoC2TV9BCiCdJ8KHdOpyvOntrqRmoQhdICk/44cX1IqSJneTL7GMxJBIvEUbRRKFTeG6 z1aMSUQ7V6gm4KNKN7nVN+O+x9BAHQENTwRTwJH3V56FYnkC38qC0pG3C9DzAJulNIVpVPIHZ0Yi vWIg2cG28rg2z4MNwj/LvlwJETxi/6bd0t34VfsC1wohaa/lu4lLaxr4OTofJFLj60XKbYeU0XRJ KMbFwPlm6dy2ISra3N04Z+V3JlUYHrX/NdtWyG/He4jQ5R4hkIdDjC+Kpgk4kEbSPKVh5ZzWKRTW U2fj2B5jAkg7ha5NKvvC+kiJxw4rTwXzlWrmDKqS1I8GHWvTbHiogMqoCprMDDdE4V13a/iMv+Fb cW+uAvPvVFLhlHkldBfoHIm7Np54u6lq9uNmYLto9VaIpDsN2/vJ0fie0bblpKxHyzZ7koYXpixF Qpa4rS7ptQFUtNBp5M9EvOjgZRt+IB/LDqXGaB5NJhCzYdPAWaSAo9KfDEEIMxod0+U00Quj2sh2 2mdizs+m5hO/OygsC6rNmkQtHU73Rh8mIvoY9HxHBo/e7KPdF6UKsM04u+GqzER7aXWV3tbwoiEW PHr1MMPLnlcCSvIrcZ0YeL+1jpBHFnQX13OK/OTgylnlZOB2ezV4oFczrwfrXaPzsR9PaShlfbfb gYJE1aiB3tbcL5tUPsgLMbHLYnuCV1urlynYYyCNIuXgHx75doFRPHeX1Iv5SpmSQd/F9i/BFFmy et7u+J5jTsP1CRrHTDf3MhKZxecTW5OOsQbVZYIE0hYqOQYpdg1aSYsZ5KrU8o3cBHOPFULECUOA dxjQnZjx3q0vWU3vmBzN8FWBg7RPbhtTC6L1Vz3/pWsCCS8gRInVrLucXVg0Psq5meZYEcbYEhxX Xtsf/i30Kn7/pkXqrAG4gXGDBKYuNDCon6cFXTtGPJ74GxbMn/l0QqRJRyE7yzDytLk3PztBumgc 5D+7ZJA7vffBBYDfh5VcGXS/MObFXOb5l9wjhfoG9d2pd8MjDn/n+IX2TcyrvqHxJOWJctlDLJAt s+eqbiTGs/cEYHirwYq/R6b5FGKFm62iOPhgqPIq4xsJUmvYlr62WnazggMl8mBMqRiO+eAE7vRB gU8R5UzbLRUZiqdLevIgYYZwDG/uGeTC7N7ivqfjdSRO+68ZpoLcrWZhK8RXYduBU5WKqkmAoclS uPTOdMN09yjA2TwaHN0GsrmhoQDocmdqz5gc58uzaa6+IVlFzmLe45PPDuc9+gcWU6ysR/5strUk Q/i8jbNCWtj2csdJBdWmc+ZKw6fFhKL5IO37XKJYg3TRb+lj2ET7xt06M/dZX+dRvEeidzNPiBhy mWNIxFoDD6zBv+rZtDxgQnFAYqxhNOY3L7V2pQ3JrOVn0+0wXbv5O4l0ZBNo/OJ8zmMFbpdBZ7zj QwZde0TU4Ur+O3azpQCRIyPrjI4yKrucQO+ogV2WPW8SVPX/pyEzSNj7usWBfigqp+9P3+MO7b9M wtD4xq87r3fmw2Vhdyg7q0fiqh33EdOpy4JPFG+sXhyA8El0UjaPif8KaxJG6H/QtXp2+OadEtO/ IrHgxc07oYjQItnTXv6wvzdbQX/aVIGJoSZ2LhTQdUd7dMsqXFlY6Dm2dggZR5m0S+4BNXEz66FL UjCckoPcPKNqhsHVHRBQbM/DYdKJxefWptyyZk8gwm6QWeFOjTjB0VHvHEUSutP2jAlWU96ir6ZE P9ARKMMJqZ43B+q4/zaowD0V9JK3kZhSx++w3gxCtfYStWbkn8hxlap977wiiLEN9hR19JJcumFS Ks00sTY2PPB3FHYDGy3ZTTuGAlNyACVAoqaKRj/8yR2ero/wBdlFygm2dCCUVUf5QSozkgQn6ZFn uBsqyGSAQAgAN5XZM4tY3aBicMaEOzxBqe9a+xQ8+uE0akER6Ps24JkfpFE+ZRufqtfNhIqt0B0y F9Hwopqmy24IIqtT0hNel+NbEDujKMr+jDmOJM/xh4CYdUYryXbORc9yztTwx6HzfcfCPKHeiBIG CBExZiDFXHem3gB65NvqRjYm8Ce1NyH3EpAibUZaVKNgPUD3W5YOlj/NGB+eNzbZsNXQZHKGAObv Ftnr8vLNmpQPhan3a2QbShyd2Z1HLp8pfyGmxZ34uQY8YGxUrJ6R5Rp/gFt6iZ2Dl5jeq8FN5zJh 8IVktIldd2ZNlgdDJRzylHmV/Mz1NvW1byAanvSKJR7SABdMRHfjdScNiQNUAfhEPAyI9QbA99h8 GTcE5/9Dfk/9yKZ9CNNytY8wsIRWEN91sHQJuc899kc7yezxAfXTRSY6jpRBJPvhSEuWo6G5RUKP bMaMIVWU1CIAdRppbAa1QI9y9WEs5/X2iCVf7PlGuU6b65ygGRcozw7mGStSsRVK2Bv7onC2FRMm nDCO7K4zAnJI9Vj7jYkK6Is3DAbWp29tb6wZB4ozfHLXbgJI6Xb9JAV8B3FHV7caRZh5yc+dgCb6 wRQUge+bCx8LJGPFvsc0I60BfsxhdnJ+k7t0i60m6sVh703LgP8OxrJZDPTMYCkd6n9TMRNLZsxM ECcAdT8ICjurRbkT1UgfMJTboHRer9dfyHdD+a4MRiLYyGWU35hRMMnQJx9MS7w+is6adncxDyn6 IQ07n+8gU6oRpig0mvR6HbitPNHazEMCXOKatU1kqb0XIOMvKSyokxSI9J0WGqi0Nclm+S3OIe9I fcKxVUtLTrBT5twifP1/mSlGpA/3IQpF5GwY4XVfi25HvtJr0bvAZo6S1z/vfyOwmQdfR6Ti2X+1 uO4iX38qMbuZGr/fZ2FSneHh9f6vSsTvZTST11O+PVmPRaXwE1YBXq5aGFg/GfU0H6OAevr882pX drg6uO7+EXHMTqyLo2P9TIMyl8T45mr6OxgKUMiEvlD6Tc9Sga7GMe9YnD6INM2+QqvBvu24fH96 r9M1bVUs2yjwGNeIFXEiLwJKE/LcxRsquwOMwKmY2DwD4kzhfkmmdFB3ptxdPEF1AOpTFI+lTCBD XG1QITeh4dvvjwiNrbNu56BJAKD9McdPaQjbVEZ5TbFMqLKyGzLor/BkZ+V+Y2pXg4CjVByIRRIt COvXDMu3+j5GLpUcDWNBxvv7TOsUBhUWhfTPWkjOVt0BqIsMp5rwaL9SQv1MYlgfzaixBCLUm++4 aUN/wuQ0jkllyMBTNDDRPf+74xwLo7EhoP/YUa1BSQ3O5eDSy+aQoVQLN+HAvHORJ5Q2NpkzF9g2 p2wfZQb7SwDRuwgNkO5v8Ei4t31D8kSR97xmdcaW1RYVtgIgclWUt5QDHpHaObeFsXnF4mGwEcpJ h7X2rugx2XrYrC0A/XwVuXB10B0T+3ootAWsL1rifssrrGo+eC3NUYo/tB2aWv5ne/a8RjG8e6wT VNKnwrihm9/tiTJjYickOxlVj44+52suxa88jPq3tvu0wxLwaf/G4cC0EGgOH4NTagks1bNWwDH9 Dez34ROFZ5ARWFjiXkbwCptpLxDGa3wFGNssSPRJFUBivnce9HuPAV7wvte9C/jp/Jqhx2JLidDZ RYPwFhHf6KrotcZtMYDtMjAK6Eum0GKFBAkMgOU4BtR/Zm375HVDVvkRGIq3XQ3ECSMI6fedD8GX m7WTPm49U2cBDka8fZNmBs6sJs7jzyMbn6AGxLP523Rq5hHmnxo9XTWKbFWJ5CLwjoSfslDfs/IG f04ctO6idUBy7gT0lspIxJ4e5aSxQPWLY4Ex2/j+TqoquM4iFZcIxQql4+fv/tCt9JnoFOHqFUCZ CmvqYQ0Nix+KP5nizOeHHf7BxLkSLo/Z0fW3wJfiDICP/SpbMlCRSMsYC6bTGt/HB4tIbIY0c4Sg VZPBdN6OPwPkdzwjrVZXWPFtpJnouVYFsusxAnZKqyFSa5fIPUUjD8zi14Y56GpHbT4O/+75n1IH G1lwSVjcOVQ/zG7ZsVv5d+9XCTEzZal7wentzZwF4AK04OEw2NnwozR2/iBNxb9z0hcNvbJfOoiF RRvqMOGRhj0Xq3k/RzfzHCyB764dq9MmokjcD017FI5EG9NIhhiSksTmkUEK66Z2V9uA7HNzE0+D Z9cWZJqApmlZnVIGfxZ95HT7waiEYjOKDlPsR6gfYX6mdIaPV/XyksqS7WAOaX+Z3aLMdHWF8fk8 t49oxz8dO3WgFOqLrsgMm2920QJriSTNBYzYD4ZR2dyQNE1HLunFTmLgHARoniVJBo2rRE2VPbF9 gH0aCYuh/C+zn1n+YLRMvnKrkPVrTwtN+9vBL/4OPejCVvY47aodRc3GqIImdydk4QUp86co+2Od RJQuE3nTfAfw4dPA/rvMMjfG5Og3wcGps/6FGJ92hJxLhU2ntcGrBwqy1sm1fhF7WIOK2qgQLXgI 2atng4seuEtwz8TibKSCW3rz91OJ5MowF8B/8jFZdzvjkcOEftRKoIFJQi/ZLXNp2CJ8pBPCFiFG a8QvSON8WioF1QKWYaPLa5qBaWRwnG0ixBdrg8W2K1oHVYGnR+3W624o1hxKTMF9GfHBDMPk9918 TlsjHJ1fbozrLSFfC9DPnKIVRYBR8Hp4wmRoV1qV368DTxAUXkwrmOcbOoJjGMW7FRdMbW5dzDKp XEJwIeNbenY5sbTfJeaygfrZqh3n77DFuJV+imQBAWJTcnUYQqSZXh5N5+bzLJQ6tC2b5VlcLtM7 W0bkf7j2X+o0PJPdSJ2ejIEWdvBKtjPmcNJf4qAdxjKWzBT+Kw+uWvhERwW6AYPyFfnX0TC/2YY0 vZPBo5hZrhtNYR64pyUIfBjl+5jYtBOXnqn1zgIfVLq2driekYA1seNNyPgCLMvF6gyvohzPe0kV mtzHy4bwS0iFPZbWhlethomGNgL5YXgCffbSaPOqQY1OweUy/2kjDbS6wEfoBG+rkAHdHM5M4zSL Xc1ReF7Jt9S1zentS5a5r74ZvdvhjFTfhXMDizeCpq1lhaka0JEpm6ZDAfLdR7i5Ra6QgjhIco0s iVQLdTS24DJnGBfBSMWQ/Y49zdAdfL11kCggVWrCtrQGkMYoLhYQsh5/IY0X9gtvxL+e+sbCYQYB P0jvreRQWMOowFv5Lql8AU/A+kRwnZk/phkZwt3xu1GeWcfUtxmUR3j19xW9NwvYLQJXwFBDxozV eZHnIWt23781WuYOAXj7zwzErfBCjm3orsJxiUnyXh4flJI0yaEw5WrgK1/Hsv6a033/lOWqck8y JiYSTz8IbOgT2Rv5COjsZT1KQQMl4H7Cj6MgkI8JvMLGU17LyO/9swRG8jcupxHXumg4pXb5Jm5q LwRGVU+cdE0ycdfhDspDskGxu+XHi8Ra5S1CiFrewvVwgoXSr+GTUkjOTL1HwyO6E2nTotNpbZFT LczJ73NNTRTHOM5FGGL9tVUUv9z9PlDu5fWk+lxtEOx9JBKKy8nAq48s/gwNEboX6psFQSUCYDEr hd+EWR82x7SUjewS57pYIX6AVzsN7gUECvDPewzPnmQ+IB05KRDuAoBeyahh73SHcc5ffkYSuWd4 5ZsbXKOc4OGmFuIVm/x3EGQ3Si3l9STz4rKYHK5+T5frfYxiX4fs5OAWQNiJrXznTmPKDJCO4g9b 5xDCvpiHAi7j410JLQZEW5GTgkGRaIyH6QU/vovyNoti4iASgXDuNQD2h6EFGo059V5cD+eCk0JV FHVbl1sc/xTvXcfQnGcMfh89IopyHtczZA+tKaICu9EzL5qbUJ8fEHx0+bcFIH3oWlK0vSngWVSG Gu9gN3+x4F/LD0Qvp0ehymtGKpruwTpHNK+NoaB4jjWJ9GtJ3qS9ltmZzjYhln8wuonPAJdXSjtj JNW7YPdr24OmNjxYJogRQFq50FS/CcFfThMr9iA9z4+s/MlLYtUT/EoU06CL8fnZf3HJkKHLxIay xWyhtNsVMCNN8wLmBUQVOtVPJCAesGwChxvgsGcJ8DARuvgCBLm1LRTqA+QXLbqq+Wxrc1TddPWE CCovZdIq9EXdm6KkkRuIJ6KJaY9f5WklYZP6q4i6ScAzsSksDb7hTwYWoEa/OyT7Kqn1Br6BtNSG U21Fft9sS83Pads9lZoztUooBPcsRWqXIKcxm2Co9iHnjttdy0MSGD11Ojp0/qZc4V8thOMPNrqu 5YmnUR1x9wYKuLTa804oHMAV4tCHdfOmVLhdNc+jHsS+lM366cZuQkBN3EUfdcGvN3/mC8UkANES 0wiaJTapFL60cMoUiCh6wghBfFk/oqlzX4Q1DwOIZvqahzd6uGDHOhcOJLorHPKoxco9AkAg/qrv sh7jf51BM1aQJb1A66MY1sObslaE93TsQ/D6UFTbQWzmdaa2cr6nTcmOWCiW/YbVZ0+amQDIv1ze FIgRHb+tQNbgBPbqRGwXOtwOV13rJ8x8PkgrlXeq6CUfDgg+CLWUku4OzqiLXHH2f3nXzuDj38/W Y1RUv9fJPXqzcHCSNMCZRhHIuvhia3zuvT7ZoBRIYXBSOIQMDjrXTFS6F9ZLKLcV1mXj/TxDkLf5 agHIKXItFZ3qUTfxVZPdZfkaLowAh2zmRhqg4TV1tCctg3DTXrs3TrXs5X6ErHAY3rRWEV8Nr2p4 6KdCogVlx1eC1gf7/OVAOsBzwt1zg4OsNO04EtrGK9nCZzl0wCEz6q8Yythr0ahgftQjDm41y5By YyzBgkCriXc9iJHJkGKYB+Lg3jntHLIzkMFbxykZcC05mTUDXu8KmcBUgxTRdb6PlzbhxkkwMaeX iRZWt2H19TYV1Ms3GMvFwlZAJRTUD4Um+e8oQYgbs2sgMpqvSDM02TImeDvYdDRcyx9D9xTzJf/+ YQA/foROLu4UwpkULNrho0rfmHfarP58Y11QJHED61e8aBnbJ2uL0kPiQUOzEwrD8Qrj2gUvz5Un s6MRBMQ/rtHyfOXAb3RO5ZpJvMk0Lmp17MtXFyVxXm8LJfg8fVP1qSRmU0mNy+LQiptrBxO8dI4p 0d26uNZj7Ga02w9G8TrBYvrbguXMbtP8ur19G7ec8WuLhPeq6cLQUVCcoz/skq/hIvTFHnVIkCP3 KLhkeLYhixcveeaOH1q8C9xMJQCFa3PNBIK4L/ddkRU28nQhSGsDSJMh0EQkMO4dwpvp2O1z6aYU 2/LuFcdnHTGVNuD0aaZZLA6MMbiL1oC3a7Omy8PRerio11aqp5MPADPwbYUUk5b/uYrZ5dE9R59m CldGEq6OYeRDgEGkEDn/tAzEEgR1o9cgP2zFEyGsBpa0Ivm7unRydkKxax3VGBEgAdoKZfIeh+Cr hDlLebblAId1DGNxv9yGi1tQVkqLo6N74oti2xuHxo8+g9p938pO8jCZ2GCvyZ2CdYswO7aM7D86 orlDz83VLnJ4recfmq0ycsFg5kdA3Y5hbU+zAY+IWEZQ7u698B2TbEYjcoB2OO0zTjg9PcXy7f16 tOkRovN0eRDI4a4OgPDRqSJHXwj4JDn8ixfqL2cpp9Cou4ODDQvmATmndct4WxLMypFWDT1QfwjI qNI8GtFMeIm8vwhlkIsSTechXL4Cm3DOnVrfwoCfKCRMAH5BR1/A9vKieQ4tgHbWS0IytN6o6Ub/ uXVMljEq2VGliJTmGGQq9DBjnjDpW/A0ei/ujPZr7fgYozzSzsWJKIH/Ptjix4hoQGJjH9ku5UUY np+22q3lwytDOSp45NU7kw6W/lIqJwJ1LEPbOuAPdEhFqaycPHNyboKg3h5Ob1ZxhTbinyeX20N9 ZE6sc21jI/Fb2tuGpl+nj4BxVZc18RG0Jz5MOeFhjmrDkug0+4MRKLCWKMU62NtkJOZ0O9VCYS+i VzpPVkdXZ0hTErUXMbp8R+xkm4uRYwXjEZTo22sgHwTK/ski8lGBDV5/RyD2RKWOm8Xn31URCeUm IElRhyQ0aRqb+wlz0bDG01h0SQ5zAqONLXAkn2saY9pVJNP5uOjHvXjtj7HVc9mzyXz1oN1vNcLB fnC/b2dzZKFlAtVKvOZppxMaud/fcBIZAZYKcmq7kPxybKnoX0TQJvlpig9nJg1gLnKMFgN9+/rP as9YgvtJZy49sf2TH7A0ac3is/G104ubnO8zHESRScbEcOujKHIo4b0nn23TXBpbhNQMushsAejR 4sYg1snkThDLlBqqhiBjQYlHjrlU3EOB2o0Cr1RtVIZ5OWm6Ndy/6IRQQcxSuiNIDjUW0lbi9SP7 Y8wnu/ZwNMht4v37Efe3PB1F/ThIuHZ6e71j89ShFUwdx9GAVQOwA9AbUSfsKA/YWbgpzp2XkZ3K eNwEnJ2PxEgVxkxINmDmbHBrba4dGYdQneF7ivd1JrNQHxlwyRYWiDyMBpyi9wZ7W4paipHV9QOt nL0c3JT1bPymc7sXlhcYQBTaK5+HrYyUll0nKe6CXGFC+tXA5xEG2hFLlKhPGqN8GVo/qjHRG9rp qwfablhrbtItYxDRtt0gbfE9KYkhREUPvGGIuE1T2IdawVHgFb3LBSeLL9oSd7v3Azfw3olwom4A oq4i0lSTspTURxNQN1bW2dw8znXQZizqx+9hOtifH34QJlECg/Nj7jbzFuZ0QzU1+4QmRWCl+mU3 mO8xZd0DrSt7RbYaae2Nk5u5ClT+vmLW+hYbORrOgF3WO1R5pOtSFIQugr+JGu3cq0eTDxnPldcY BxbDSDQKlHz6WgqJi6g94Bi1DrejUeok0nlzRecOx55g6TDA8+7LMvtdXwSz99mYRLeiKE+QQvMC A/2B1D3Qh3jGiyRBRULeqbequxT2eoufWOQdtHBrIh8KJ0EUtIB40mpYRlCNch82UvLxjGeSP5Jg yrFDmNL6O0g5/+v2VisUltGd/PgBURkcI9Y7qkK4jroJpPlyua2e09kMeEckM7YaaQjP45wxf7Zt AZ4FvfGQxu5EKSSFiIR8Uz9XdLTrO4QGddVMsfzB3AL4u66HhzZ49mfA5DCM8cA3N00t0Z/S0tHn xFqnNgrOfGYF67CCZ8sbI75SiV0Wc1ODsSRrC+4P5kkq/bc0zLe5RDsMdxGharrsZpVhbZtk9DJS tzwg6Cl6W/krVQWjISqtDDIi2rYihZvTGv9FbNEZF1QYaWsli1RBYbKU2Uavh3iJBxBW5kYNXcwX yKgG/cXlelv/y0M/7XRZt7sTFZBarnb9MxQQZ4/9BIsUt62xDQSUMQEVkuRN71ngcxhFYeaxujmK OMJtvzyQFRgsUSes27sLlpvpRZqNGoFfxvLg23aZy4e7bBOtiCVE/6eLgEFs7KZ9i1WVU7R5wdzM 2kFd/HLZJD5yZvgR63QK0aa3q7MUckADPt4tcBAFSLhC6gH5eA8cuCvyE4vCTz24+oWzWQgDjUrD qbxkYwNEJGwBRE4g6kd6GugLYhITqwSZweZyrY0kQTC0RB474A8vxst7sgkuObrAMAjXzbB3AlSC MoNQw9guG3htGqbC0RfupQMKUQ5VWNfB7s6V39O1YCBTsEyRGUwundEeY++WtNPr7vOdyhtLEbPg rD/VyJbOow3Z90f3nqZu7jO68PrFH8bPEnTsRul/5s6RbKeph73bFOeGZ8kYLcsTl5LpMWdVWCgZ fFNx+/gNR6PNFwAGrcUfjKhXByWAV6wW0912RUZb5l7+sn5hdgDQoewwyUsB4PYshU1QUweuCfAJ B+nIoVO6Z5t40xyp2eEYYoy3jM4eKZGzcWZJmRXbWy/tFb+eyvqLtciUmDV1PZEt1mVN9vMSWycP 2hyjGh6JBNrA3FmPi2FpRYFAfyClfTrll/cGKLiiJOnTTx3eCzTZIpJ6bltuoWTE5g7H62aRJRWB 3HiAtt9brZh2yUFK0o6jSdBYfDKeXYFB0eOUTMFcwaeq1tJFOZOYM85f0EuKsdyoZ8J5sT806t0q aVWuJJjn4qUZIJkOMvMpqR7fjtL+fgHBDSKvOGs6xW9KnsNwhf0sXFOEZhx2Jkwnt+kQscn65gxm EpsZdZqCCEE316MbvLRtXOliKTWMVYVEadfkeiMy+XQ/trgCos5pbqT0JoRXn2SVsPN0Kl19CssI GQ4IoV7Pwle7LRtOIwQMyjCr/glIPfLBe7DO8jol35OnHlH/1pNz0GysDFbvpPAgm1iiJ56SdpFB 6/4f+RW20stbvbvB0pb744sql+biNqElIlFd35fo9ZVaIsbdJNmFVyKZXc01ch6aELIXhskxCZaW WCzMnYw1OE2k7DxjkxFyGLgmvykhQGCKKsGKxPC5v+egkt+014V4qTocyuGZ3RV+Qfeq4zJNBVqD sKhDoC765dvUcVn3kAlN+MFGsugl4qw8gpvp6Pi14ER7cm9Ao4vonzUKhhRnr4qguKNn97eqSBL9 STbIhfb29Ejka0f/KG5b67N7PJnEzcHK5HYBqWeIu4qE6n7lgTdrmhcxoVUY2VLyXlKc/Ni3axgm 9Fp1EGSURYaTa517hZUYkqYq5EG/a9TVOoOy4atrFJ3/btddWW6xvjwR3KevCF7AtqS/PGluVzf5 2ISWMgewxuPen98yvNag26bnsJ13f0rt8jRIT7shQTTFqjiO4eBPny4Gd8VXixVjrp6TREh7Ph61 4BIelRMZbuE61kppF5Zd+ZvemMcYDdJHukWFn8m9CXockbpzNLesinyDU2Y/4rTy9tk7ljom72SH DqMEDVG8DM1Mbr7D7+ZfvF39KqzxeM+XDURCMozcloK6xnoJLU7rnNW6AGsU1WD2mdzSY7dxTzkN h8YHjlg2SutlY62hMoLz3dh2w1RGIqY4Fch1ZVAZqfgk4FiLXzF2aTSubumb34pjATv84tWmtwYp zMQgWEwRcKIKZRUPFzB0Q3BOz/IjLYGLJVf/jNq9eFqfRXlI4A7dHXAaUkCumQJtcatl6tljekKg Lf6tZ7H3mfK0oKPagxt9yllho8AdvffDdSu7izT+MictcHCGuGoPq5e+gOKFlv0OQvpizEC0Adf7 CfNBOcNGgo7yezsC7GntVcRIiM6+Jve0kFDOCVTiqdS64GF1+5taKpgaQg4/rzqwkj+7HIe9h6z2 XeCVu2kDc+s7929DkXH3j3EYzRavJQ4hRgJWT10yyS4qFKhYK/5ZRGSESBpdThs2bLWCbz64bYXz h74Z8kvYKGu7ZshuVaFz4NZUuiRVGOIAAgizDrdwRxGlH9/gdZpv58buuxDUoRJXUv2d5E9jqByR D20FXbreGMsoOSf3bSLDPgIWwgHT9Llux9x26ot82olm2Rc88ZL4z9X6hgm85UfWfNorsgnb/bze OJUmvLM1hg7Cu534vWTGp93XjskuaGBp+aYTWzcx6s4TSD5AJv8BBgI7bWZx1N0UMbNx2Fa9jcha 2Ok5QTnrVN2bOa57rCA4azQdyAg9I9xUv6g8QATweK++rbHSsDWO+q4YbY4Qme6kvnjk5qdAn1/m lVcjwNIG51kP1kBWV6tmfVgjt5jjJfONLJofAovVgS5sBxNrlD4PlojiSKqhIjZPOguo8xDsq7zy h7vzPXRlJXyqDecQlJSB8S5xCfeCYqOzYBl25VdmJGbNCm8n/VxEpVLU1r1RK5o1Kn1rar9zHtS9 K5/Oqms3DEzHCllhjvqccYKJfkgYiMamguEOFnMLPDGrvRaAHOLlJ1lYVq7udzkTSPyTHPNbrpFt t3Xxjm47ZX2t8X6aW5tdTP2Xl0RR12OxjDAWkp7HwOWn+mnugzPJ7HFoz3Q73VQXHM0r5L10EPBE c9oFvO/HGcInC6pI88u4XefJLOa5B6fHNolxbfHbhxuBl/XJ9/HiP0yIq8PKIo5tJb2Zzpci1srE sxwLoU1IgqHNz+9fDI/25ZVw338Bs+C99ZPwsVuQYZTxmxMKP1aEzuSSjo228SZuxsMG7IeEmbvl iNaFOVJ9ePvwsd8TukLSeq0h+0IQQXv2Gk39Cj5JYV5XZz/CmUOpqxvygSkN/eT7tk6REl94RvUf NNMPeFFO9Zhjwi9DEule2MZgGUfikwRL7iUqBsDQELPsr2s8NepW07SLEbuO+7HnDNjz8/uukXV4 t9GiyOXN5xl6K0TS7v2rWFw+eQ7emt0TcDqNbveVuI8kVjYCJzoES/63pltTR/BB4JHLbJh57cSv 2NtUGAUSDP84OHW3b7CIm2D9BxB+PmiUY8+zYUUL3+mxF0mIILi1yjO8SliQPr1vG+aZX/u5CRGU yoCzJTm7+h8bpePTPyOiQv/9mWSi/SPP6sk2q0jSMVmSlQCu0OPJIuInqzKH+o4UR93L8YzLPVUS IRy7nB2dmW9ZCS3fxVwRIbWOKIAQsprzC2/TcIu2B3EX5baI6UAKptzLgDmII/SoUGg4YKTpgUUE CnbM1fgwK90O9tLI4aMt+CXGWBXSVnTj9JKR8soDqkQy01gc3/0IGCg64/Yu9/3nZBtf9wsFM7Xw EAXzANp9SQnrDXAkm1iBToQY6X07lzwAidxgme+2BEL4ov0oNeuc4dirZkywoFX56F5XnXwSS1to FMKtTVp/w25r75HS+fhfLDR+wahbFwXM4FXwS/2qvjeaeUU/uNuliApdeKdFHwbKZMfDKqHOdisU Og2Fkc/csQ7c/h/mr0nfANXgYI5TO7eAq2Wz+Ikh0OA4ljqmI5XLWBmsUYaHHXZScBGn4BEWqJ1G eNS2lPMFxNKKwftQx//vinCNG3OcUgIWdAlQmYW/MUfiraQam2ogb/X7aJqQCn8RCfNYmhspXqg7 VYtRFKVpG9fZ6osv4M8eqVG03UCPlEXCVcq/naH1JJdSxZym4pUoVMtME2cNpNtBw8JBvggMxyn4 zSl/NUfEz9AYrDIboH3J8DDsTVfmQb4Sbr3rNBWNKLpMoyCyQwjcYvBU4yWsnbC5N/ctW/bQRrAR lzMEjwipBoflEuQ7Yz6aPtlc0FjCpzlsOJLLaoyfVeP7hD+ZAQAuJzgkXXVfyvtdbZ5JpQmwPv5W +dGFx7dnxKg1ykhq1Jc4KMTWIj9YVM6ZFQLPpR0eSDBzdtVHOWAvEar2XKEyaiAIHIbrTRusI03V lXTo9eEhMdqcJ6TPh42Te4DoaT9bAivayk4/AJXUH67YU4Yu9t5/OX3FSEDKXW56rKVAEIydp7VU jftu7csZdASU9/e5Gcj1df/6ZCbYo8cE8ewlt24Dbb82dCBG0pUZwpduE5o+UzZPKWgGnc058LbB sMvZDqjMkzCUmgRtYibhmlhvexPeWDysZEKK4qvaVjYnpNptLCvfjXz4uIEBLArkYw7BcSxp+6Dm Keb8S17eomDp4Rffj61OPtz2JEJcKfSYYza7zD0IDfO7P1WBgIT0LJM33N5MZkig65MmU7zpWqa2 qAD6jKOt3Qq/vYerL3yTpE8d2h+mNqCoFesqf8liRfJH/W8dcFnGWBFC8LIS3awlun5C07gNCkeA WBG/SeOIZyf3pimv4mOG1LOdqCupsUDtrY4PTr8SdG0DP3nvyQkr3HmOqXYuWMjB7xCFz83Xo4Cu lRf1YruemLoVNGEyA1xxE8ETtP4TH2wxW+EC0xbYHwGH1GzB2iMXz7d/QGb4Lxdg8QqKf3vLP1tX Vl65871oROwlsdqI+HS5WxDNm7y0xfVEiuKxazr5liF7Q4bfu2Jurv9ugkOWvZH4ENU/sedZbx51 AQnaLVj0FA/BghSxiDZjB3fugKnPMp4sA2/aGZvzHo96dzUnueXkuF9xpXBac72T5WMdwy7MVerv 64IFMNKeVToPT/l+m0IWAdzFV+kl8hmEoOFFstrw6nKl2Xp3dZ8CQ+pE4JAwYD0s0iFKc/hrDPkB 56dmF95Us1Muj9pB85oKKXgSHrrahwXwZhTvGQFi8KnwQEecIKdkvOo/32K8C9MLX2wDILDoJtyh iFzR3N/W3t1rA2NLbbPP6AaYq5uuxI4J1icTgpCVMuPAZVgzXwdlO5LCHqPrX0cy5qvX5B3bXMKE 8gw7LLzRvJDEMC2FHUdp64ezt/CNN5Wz/T6WTBGKqUZU4e3rRk6smbrtBy3HCFJAEIwP31wAn9iQ u/+JxSqNgiyIKGW/2C2ow+UDBZl5p3HCmbX5yoult2jSetWVP+qijWpvea0Rt/RItiMMKveMCIK8 18vaVGi7a0PK9ar4WXNjSvSnCHu9Dhirju1ZazOpbu3nd43i7D4COmGMH1b+nmx1tY3zTGd5A1PH BGt3uKNi+NlS3Rb69sgZtYVmL8ZUSv3hNCxh9jSk9FVMMwk/CwO90Gwq98d/WIAd78fQwPIn3Kal MgVPjJNlYawVoDiG/QNTpwT8yKGTGfBbnOUVhAQKyU9hVEzTdERe63g/fzkShZPi1vVsaJS40TB7 3SmRKBelXE0lN4TuAH1rNuYtABf4Aub2NUZMRvuvG8nsTijoBgvxrxWoaiqnyAzmco1NAHNoZ1CG lqCn0NJ1jNFjTJGzcJeFegToiusDe5jYp3VrnYPzEzqZa8A+wfw2BT4mMQdfOr+ROmEiwydDfDOd Pq1q/ma+vZyVIFobkInHaStoE+UGyOBn0s9h1E88XedpmMWCCdkGSOVrl4VKa9PmONWFAIq+Ru55 oMris0yNafhR6TZXUG0XS6QOGCmIz6HVAit2W1T13f5CySk7jIYtq1jB8QlrwoPsiNTE8msx8cyD YlCxZiRwPL8nUwPnBT40ZeUmQo9hmgQ0FnNveAN2kOhLxuAY7s24szeWWSe7A9lq3su5idXWktEb zEWHM4BQZeU6wnB9mbhXjFkLvFjB3G8aNMc1ZHQhnCYDrcazVS+kwTUmUrxcxlAoV2wreUdoWy8M sDVqgDYVPfKBPL1S5ROzsD0Re85zHvdapGaPJDAFaX1U25mMwHg5aETF1DGGf5Tk0kK1DPToOznn wz+ZmCK718HtgqslLVQPEU5B0UbSPYxtPLjffqUQGnhvXGTOeV3EiHu83S0l2FXCuml0NoNs80bZ e+8N59JO0zdPdVk0djs/W/ah+pVY8odjdZpjHqhTcC+rAGybVyUfqbORZHGNDBrrRI56smRMJT5K u7qBS0TtPMfv5/uV3LxTQ0hwC6ezVhzDAwvnEBP9TFm2BcHJ98nzyTyhM5RmpSUY7VtWiW5TxGH1 8BoylGwECnr6xibI4tvfuddj7tZpLbBp3X5y+4Lwj5aSLopgqupLOB63HCoTTH4Bc6Zas2PA14Mw Di6Qhx56agj0/VRG3mUNDWkfueVy9QFpOGJqgF/1Cpsx5MQ9VlmHX0elsbCSDxe/SXz2EvkyhoDR UW5j7PeNoC2irqNCxHsKDI7fZ7aNzLY9jZjFmE0QWARckKtVAL7lxDjDhE75HfMLWmF+hMxjMJSn Tp/zoeIJYxu5tEEj8nQqoRrnetCjw19MEnMGrtl+zkN4YF0P7qYqCQC3kCLLDQaKmSvVxSo99NUw ZQm7gMap3r1sqfLMgZNrH98Fl0/1P1uGNJ1dzhJYoK9dhT47p0NjfH0kLCNDbPAI+oTyibh6+7B5 oOU4PsUhYoGoz9YlHdP+1hMzeeXaf+EqfvEHKDLRLlgTPXXKz8LCWRhedpXxAjPq4OlxMR/ksfvW /FZqos76GWjJG6/jxqnfexSETNB1ovtwSmtQMa5qRNVuXxKZYvXl19RWbz9JMBKf5qvAWtJe8c1M 2gPmVRw05gTf8LAH7zS7W8Hu5I11r+dTBzMSeefusXgTufAm1Kgnoz78ZHhy3+cWS0Knk00DHoZX rQq763kU9Vkqb7iqahkEDQ7dBbEm9Qb/il5uY+Z4htgTfaWKRBxFgqH/JdWDh6irzIUb+eL4liOV Pqs6EocTITJJ9dG+VsKm6i0mqmuMDzW+0B0nD+EjCDJqdVz+w6+s1gVlL0xyClZBXlyUfLKZpUDu ikLTR45hagO6QJTyWL4JnxIgNi560Yo2HCXraD3g1JucP+bgaWhs9KLqT9x+gYReo1YUEiAIvDFV GeJgxHWRQMv4jbEROiVL0XkoA1MR3RvM1vhd5zXDdkE5XF3AMqp7oij+3b/4jrtz1N3+1K+f9NPN elAdkkNP0ZdfetaB3PjkoF+NMjEWTDs/qq6Xzjwr3s1GYazCoLUBG3CLYdCcHVWKEu2T5b9ck+Dk +LdwbX1CBRUVNaWmZbuFEwB6JrL5Q4iyjd6SIApY81cvWfL8evnKZKeAb6TQCU+24gGwsyQgwXMC 0lOGVJ8FbDqAx+E1s7knPPbC4sWCuoFxAQzaGRL2e/J+WYF7ZnHbuuImqxDj7gnbjTw+no1cQvS/ oi3RU/ZTRJ0z8tWNUl7C0VHQXRQQsjgPo9cPqKZ5PVDtYzYISnVXnhfv13pKbnrKLWjWBIVWKczV fXDGBsf+/hyGvOK7TnMkwTwRVE6joupvKoCvJ9bPT9UJBGBMhP4pHMm5Ybp/hex04GS3df8Y9PY7 Qpo+yHdo3GyIb3lh1lfwuRtUVfIWY2THXCPFG+SuHRjs8JqlO/UPDTlrM8SRlptuhDXqCtLqouGH 3IVAJlJaoh9dDWty09PeLNjNsWwj440FOk46nbYpTIj7NKToSDIglva1ZYgajsC9EsE4tBOyTWM2 OqO7VWK6c7h4O0P9E6/4n2AmmbLq04g1WrzvKOQdzuxVGytfVXvzjxIRchkCu6t2sW19DGKMmYZQ BprXLAnCIF/xh5B/2fgI4Q0kk+jnFHTaF9h1+OBWKKb27ZW/+qilk31iMTYFwRIfsLUXHceaK7Wb QlcTLdEaFFUi7kWukUhSoVCWrnrcJVYkpEwF90DPVJTPROwd5cPIscuxPbi7js7mGqjws+Yn/mtQ +EbbaKea6RT9oet0qSmkE0GCzQaklqbsuKb6xRhyRBoKB07/DYXPzgO55rEQj/CKszUwssUTLWPE oMFxijbzBXn1eW1XeVLGS0nWLLXjnq7s/1N5YiZ5s+Emtdt8Q9B8Vws2S689ni6D7/TnVkve/soW 7xGX6YMDmLaVFvL2/OwqhbRVT3W5xpLORkrOVlGjcBO9KGGfhl6j2Oz9IxB1ANw0sDiyooeeqBBw lgNZIGoGuu35hSrm2/2a8QcCuKzckXtUaF5aUz/Z///ZeWR8YCahoNy7WnJ/eIQaX+Xy7zhF8U/d AVDdJBdGZfLovokwShWhqDHqtFXqJL5r1tKQH8oEFEto76EObLSOi/AzzmsLsBWytj8W9/CqUB3E pWUO1faS2kvbdbsGesMIKv3jtwT4GJVUVkIaSLnlgeNew5+rb7odvNNcl6dBkvYd3TmUGk+ezdVa qq3EWKK35Ov+ZQQvIfdmm0OWBVgQcvYrCZOaS6KJRp5pIuTMSCrkb+Cl1o3M4HIrWQybnFwkV9st aehfYvpE+biffa7JcLLSC2pLXViknYmNPB2mFODPkWVZi3sWkuQ76sS5l+GBElHAZE5IVivqpT2H annghf19RI7wCgKZo2A23YRNTD3k4IwR2iC5Dhb20HZ1IKsIWjTMd0FMw7zv5hHFSu8EI/hO93/u z48tTHwm3K35yQwzayWxyZa1saqTd5t8h3Tk5l4UWQZsENz+b7tCXe+1lPr6Z9cutcN7krVZyKU1 Lb3+GT7kR4dmuqz9pWtKigod+RsPt5RAytxAofXFekYXXF4AsyqO7x+gvsmUwPgXZ/O42w39FbeG 1BXwMPmerHfJl6DwZaTb45WgA0t+iVmsp13FHujo4U9hLOMWTN63HWiJzoloP0xDVnoEwzEfhCiU Nq+bdPlsboRV+Jo81Zq2nAkAw+bCq6KjdSA11CMa/cBkvLqOc1QtXHRUQXa00JMJHum/lCGvo/sC /MqhC5wTLnw7rMICmaL/d0l44IF0Mzqg+x0yImkdszfzHzeBBzCVqTFFR3ePnQNeLrFWOE5eOlFo NU1+KUnoArOHkEUxNqt+XngMJ/frRBt1ZH6kxg4J5z9XE1vGd6WHPICAzl6gTfDFI2H7qdFqXO4W 1VKb/uDYzz1WCubD9AUKDJsvsInSHIQBCDIBWNr+0O2h8DRIEhM7jToslqxLaXGMPRpcrLXU4Jm8 C7Pi3DnNx/v4ovsqUJeM72nVqiFDnZUMJd7j8vCyoP8PcDq1VZWu0xEsbvpsSDwionQxhURpmraZ 5hn+IjzlPUCZ6NeiJ45M2R1mY0w92XNh0w9w5mjxUQRRIouehcud7wOQPl3XCHc6TEo05zFDjq1U Yk6lSk15/+hpjJyMJb4vqhdQvC4+R1NB4mjkRSkTbsz7pFuB/cgwFXg45WMCajp92iWLKCMBkS9a oDgNaiyrO8gyGnt+ROGuz+W5A1T2JmF/p9YTLqHwnwt7MnmxlbWhi2ih6n8a+RHbyUSUtaSxaAz2 c7hh08C7GbiyGvkYzEN+sL/FDjhdwyoSRVKs9bNVfA9r72ED2CgkL0HVh7jHdyKLCBfAiy131LjX ZTtps8mcgwdVz4XClQcWdQ0bxe0NPWLVNPpDkQSXqxpuKvluS5aPQ6rwjLMAKC9YcMu7rrVQPcT5 /nzU00dEC2KscXZ6yOSZGRQeCPtOvJtHS6D1zj5Wt+hvTtwej5oHfOH7TqtnW9K9AxuFv5AUzHXd YklCy6cqhbEd9wj73P966pSFlTMrDHnvMFYLJ8benJVQcdR1XLDyVVcpTYyET/aVyY7McCJBAMb1 ZxgKxFInfJZlk5ZRI1BWI+3jJPbXponA6SQm9DTqxJ27Kcz6yzS1tVK5rsINy+SqfztJWkaWrrHt XHxLMn10IU7hKZz48+F4oz6MUjOHyDsHrSX6Jrbr4YKzRYLgV44rDETD5H4m+G7KHC4+R8m6XDHG b6eCAkIXw8fJlSYLRD1qRODt3GGCWbTUvDPULaY1t7wu/7zsQOi+gwFMBwDiRnNs5U4AKLpzysn6 4xc3j1JlBlBN8TVX+8xvkQdJ9mB9Zc9yHIsmwsyUfWisqeax5y5+yh93eKhRG+w6fcOTIW8vEl+d BgwKBlc5Bk9NnHvZifb6HynJHBw8BDpkzIm//xWpsjT/b/R/z0ddJC8RkRDs9NDYI1ARDW3viyO2 H0bvwnXhu+MhYRhIwpaJkhYN54VsbOtKo0/5+QG912RhlOpKb1eSHqHC9Qf1IGhec9Wl2QzV4Xla hu0DecRy4//0CJb6qyBSK1Jbj8D8GWA3vAwukHQp/Im3kExWzrdTM0LQ8A/zdUV6fqLVUMI3gRY0 c55dRjrc5EqmSGoXTtmYG3J8qLwSW8YYScU7bY1EePn+L1rXGgaYZS6AsAmS4th7bOFfRwmtfBWl bH8P20aEW7MEwLJcEyQsIuTS2SUAztq+EFoBFkDdcc4ZtTYgIgmkC1MyWppeaKLiK4sbXVE4ywm5 AP+B+f98Qvn8HzgDJ1AuG/cMZiNJhxgFSFJdQdD4MgdioslU94WVPlEh4QtCKEEit93Ejb9aH+ow 3AszJYIzyjp4bIP2S4yL2OxJ1FtWS0tVSUlRudpUpuBe7HSLE40CxnSfE8PgHrzFnpImxTTddOci LMopOlp8WVbcNBAYHFVJD/5bEiYsETAFoH+FzxPr8h+iGtxZeZeqhOUcpkrMeoDS+FkymMU6iXcV epZsYxhTrPIobbitskViCxCtU/u4VagMtXllAygAx833YVhGLcwnulaFDYZZEFj8IK/ona8/KduV EM+ljxsaXZ64npqvk9Fc7z6izROMUHQu8TKloZf0o6GiGAI6sVScBqx17Uwutm//6inGIhVd0zTF JDJzdFFZjN+gv4f4ae0t/xs3oXFQpuqXFEv+78Pst8UnZjyvO5/UPvFHK//2V71d2yekxBYZ2+LV E9aXJlM7Hp8H3ea0edjLnZBkeEmB4/tndMQuPnZUFhM1hyNg0uIuexkS6MLmz7dns85+ojFS/snI o1s8AitWnHo1BBy9m52KcZ6eg2YpokLGRd/eL4yNvxk9vTkNUXm3OXhnsdpSrbtKzRJfoA4xt1jV GC7BC3/qoIX/xlwNwylgug7X2iYACj4iDhoSAJ1GiWMelDcAMvNuVW7QNO5y0A0wUHfJ9zdp9J2g w1DdGAnrHZYZKbAIJjdVGVRFiaQ9cw3Tu8TqnbczisK6P5nvvV6CxYuxL6lE+0f+waP+96QeNq0s 9rK4MzcWCsme4bVFbCZB7a3ssvyBUOlhFIxVBSZCBjVO7Se62Zu/Xm2xYvrF6MlRqU7FSh8o5s8p HtwcF8cGT//QZrpPb4ywWObDBPaCcKtRS7WKNzYCY61E/f5+MytGY3CU08Fa3gz9iNtBojnzRYNl QmJDA4gbIQjDXlaFgvTP+pZZWrm4dIggPtoqflG+IMXkJfmuBHpPlUtYjlP4uM75hiZGy0C0/b62 hp5kuHrjEJJr2PavsH/sv34EYtck2wMo/RrwioPuDDmnCJR6p08yphkOOQiUXP0y1MZCtz0rh9kR 72FvcXHY87ovPlO9whNBkaex0LI2btK1MVAjQdS9b6BXiCBZB0gfM15aYUwu5uBSouJOswWtpZJk Z/bue+47H+IPaq0vWcN28MPC32h84qd960Pb6G1Ei2uyic7r7OcsT4mk6tUxA+yjgDg5WgcerAbj BIhAYAjcJpp6WtBUsqtOyYpmiyr1WNMJaKb+xk4a5BRSlExnDdQmN2NxDlOImfQ7EzrFLbRdvWSQ HaKUjY4mVcNCCwmImGUCS/MlURfMn6Zq51hu7lENfUrNchrk0tIeg1tCkH8pjez8bgy+inKB4OTd jrYDyX5o2NwVIb24LiuX4jkxNlNxmZ2kN44UH7BiTmn/NoPmTTafdpmaMtDRfdTrNjOyk/zWgDOX ZCZSpjBAfXKXYtPh4Y05j7ivZpbhgYoNIt6G3eUAhv+qu/yuQT5Smwo04wGJZSNN0mLtYkbFknzh M0DyDyKVLZmbMdkXiID7xV/z7bF60mhQkp3Qh8cLT5vYKOLYx7/hoaOOwl9PgMngfbDMK4sQgPrq JAvjC8aH/AOQOVHVzbM/BEn07k7+XkkvjbD29rkH5bt/+X5dgaRYEnKh4xxMInMQbeeMuGj6e7l8 Oo1R4AxQA2qnPJ1dfNB0HvoC3v8Yjit+NaTLdXyRUKvFVsVw5pip7zGmBhjH3MtgRAB486FsGtU0 hUZjREvJelLp+IQac0H014wN0hjlOMDT8WYOg7k6pGXcNovnYn2ciszjqCq9d6Brel34Ty6LGa7t Xlo0KFpgbwzMOCur8oy+1SGdUpeM6Sz/c7PkoKKgzR9Zg1QpJ9JkFkYMNdoQ7zaBLcchax4CmaDn IVHubptsbvR01zgtH9kE2FbM+NcKUnUJoEnF2UfN985LJ/OL1Hsv7fhA/CfI9E4/7aTSqIEcpVtD Aua2ahSiVolzoUuhP25Nu4dMJJCRSLXWjNrKO5iLrC4nuDxuqxAfgac3lQEWkCQQDWaGL1YbHNvM aaZq6Igwj8XXcATMNkZXXzdSpeJ+ePmiPc4vc8bmPLzZ5CxtomP0e8AWMX5iNp2AGYzqy8OmRVpr OG2s/k0S/WrzXzkNJSjx89hhfFiqq7/qeVwWLd5qta9C40RIj5DmOkVGYwREPVcZytpN+jpWSG2L z4l0nDaEMRsIrjxg/r8AYoR0hfNwFjVEFDdzMtNDTDnDF0cwcn9agglFeFENp+bEXfkgTdrj4YhB jNd4v3Tzv4dAXBWn0EFOMdHDtobO4IrZOjgMAx7iM4LPtd6XK4ZQI7YC+dOo+ZQ3NI2qaj4/qZkM ROWm7ZKNnR8hEGqBruYgwIkrGp7cwySF5m0WYZcQ2IebgDzOODfBBYCrbDb/nKIMCAPOrmpuc2+G w0qfBAXh474aA1N6NHiYvsxlNyUTPOrbZYIsGB4kx8ikNHq6B7gTeT6YpuiIxO0/Jyy4D+vPbVG5 /lQ/OdOPO5RW5gkHBJ10xuxh93gtnS5a679eDya9zAxraaVcJaOpRwP8d0btpNe3c53iCs+Pg5KL Z+PGgCVEvNKE958IUbCqV8K0v+n9vMMR5YaNTh0K1gaVpRA3UZKnESZWG1EvfFORFyNhOm9OTgAD ASl5+8HcLdXF6E6wi0htd4CHj8+zLHnF1Wef/Dz3GndIDfgE5TDw98zIeGlPySGhpcT3jmsx+Fu/ tWPJIPcIyfAFMpwZx81VWf4s0bXrsFLZRlkQ8+Qxa7xgHzkctcX64xBmu5dyaZ4FBGD8ormX3HVy 4sibJHWGQRzqK521inFz+dSznqel2pyoIuA6brt9clzLM8Td2bD4bhpPuVxisIBStxok8FKh3sF0 I4MO8YT7Lc5+H9r+mpH4jrkIbpKrjsX4FyBOvnVAu0OhrqxAiwbaUl7ugJrGxGhk/XJpJYhkdpj5 luIndKU2GdPViX0F38Fy0XUnhZwmOkO/ef40KaqOHHuUQ3n5seA6Ntnmy9kAHrcshFTs301uiLhk c1ixlyNS/IDeAs0SznmVP71ntJyny8ixTYkAVP5c3dST+gLK08mkxXGcPIoFL1K3DvNa9S6fkB2D 2ekilSOxPbyN/ugcpoBuRml0UVmOTdSMpVMpNUxtpdUKPcdagp7EalQzuj7sS25Vsaa0obqcaymF 6+RsgX26SnEfHnPlMY0YodPXX8f6yhe1wy1lHVX7HVoPdJq9t4JzZQhqMc89129k1JneQtCRPoXt 9vCUNeauvkX5orm2bA2pvoswftpo/HkRrjhIM8C+hr6XjJgEXCWzH7NEQq8jQqByeyrrXfx4S5T+ jPw7EDETavGPziJ8TegYg40pi1NIyEaliqEd8oPR85s3KhMZOkKt8n7WmjARlDRXAeVB4FKjzzzZ ZlqPS7Fg2Fp29JnZpDjQ/hQXcHNgtrDWKnPg5jPN+zrjZK3DOP0M3HPsn0bwS3A7rCNO3nvbL9Zo /s8NER5BCsiu0Pkt+KIgkiJQtB8LLhKRw8xZvrtBC7z6sDtYbyghMwcK3tqKo+xfoJl4hXGGFH6E iiQrOV1gocBrRWk4n/w+WZDv5dG+JYy8zMpJ3zQkFAOiwFvvsUXYH116BMa5+XHyLcOLG+R1nzNo hzL2YpynUbR2JUCLrlUPjZY5ycoiw8JXxBYzhVjvc5dd25zplqy24pPPcFqGqL9kiJSyRQBL+eDV sXNOUeK9sCWyDDzw+5fVWqvg+e2BEfsRj//wZFmIhA7X04fRy3gySU4yicaf8fObFPKPIZg7Z2G9 Wg2HdTalosj3XNyNZ7cbma9RZuALgafpupL/fYPkz0vG8IrkkjQ/ymanXbb46VRlXv3rK2Z34zZU O6l7rSo+aNweK1OLR0fgAKdsBXCaS1zLd0nV9eISQzogL8oDX/A9GzCkAiRvKwzGNmzMvfZSzg5s uoJYUfpe4DLirhrRlVS00p4oZ5JVhIjHABIPEq5SN586sRahC8I2RoQY8Vp+aL2CXZj0Z1LfsKF1 ODP1p0BIFdUNYnkuQDu1dZP310PglpJk62XP+vzmn48kR5579AmtXhfLCLUJBe5gYppEkWofGgXM 0ilR/fNjCNpjOhk37QPDC4J7zXS1M/EHyQDeJ5Hu07iAiA06Zr8NLdk03BF0mOfJIBeUWzd6MLpu ZLyxMM4nBErBrl4E7s1rgxu/sfqYoyIwBOVyn6RwQrH/jTvQrYdDdFZ0tKwZUEggXavym6IfiAqu 3Eirp5RE71FxqXPuFXAkKZTx9jxOurV0CXCoI0bK8341zR6WLY6BPWBmdgN+yRd8Cu+3ydOYzd/y WnJT9ck6a7vceFZaKnNQpgsKK35PFPO9eyaPkLPMkT9tLSLpfppCyRQ8uoWD98JXtjn81BUEtb4P gkq75uU97wZLrol0TNDkq5BLBFqhocmxwRntolkZtLiCMmJn8/xfKYVXOcEHZV8FI39/4fhSnC1x o/Rol5TuLYy4l4xrbdRnhyksDqDol2ltykz5kEaD5tuharJ3nw+33BUlqoRyqhMdYYbveCCbwudh Lo4lqgkKlrupLhmemtHu3JOjfEzEs6CiJziCNYUrsJOycEo3PDVKS0CvdJfD35saqfisvZ8b+Te1 UX4SeBBUsL+ShCDYYyqCXaOnE7Kl25xvNRGEAQPaM26S810Y5deLwrSlW1qEXkrTwtAHJwjB6QXa 5wm+3GRG78DpPH8OwX1zNysej9vDh5i8tQ36uRaK7//mAUfyWeNpzyHn9OhBZ8ClLzfsw1zWW7Oz UCfHoeMVDMk5JCJb1y9Y3Bqa29/pKMYVITo+KH5iKZTRoyMaWjYF4XwUCLd5O38LSYys1Kco05xv bbnfnAqdais0LoUxOdg58VWngNQF7FyYlUPmoaHN3AacRWF7jMWSLPlCTLEv7ao5mP+MBHuSUlhi xgXIShQp3sCMnAreQ0Zm/804vmm2OV56ulzpW7gO2ex4YwlLd2SKj+mcn4CHKCwAIlduu6F9gk9H 36Cfh1bVnMNwpzwUg/ecvh/nRhHmiQjgwh4k4QTMM2nrNCsr2+NHiT7Z1j65COgOz66c3t0vXyhZ tvacnoi5u9IwhvmNqLhvFXzdtG9BbZ/jVO7HNSpt02dyZDlGJDrXHkIrz7pxhn18qdQlFH3a7ZKh DT7A3ZZ8hv1cFiUam5aINvqHABzmJRHpj9OnkhDClWrdrEBntUZJvgNzM/LopM17ULB1IQFdr0rv WKVhl2gLZ8f3HsF4eO5cYjzxLfL9G+y1c00aqQUEEknIcNPeGUKFMLx2Fk4UH0ExUpdw+gXU3IjN aQVTgB8Fc5cD9bLt5ZlR15qDUEWnKaMbm0ihKbnzwuFM3MHWZ1V+fsZLl9FBmyn0NxR2mKhnkXRr XOzmeByhz6WsHOmLubjJlhnG72rkjY7SM0cvedxib9TqXJtIkeUuNeLf3Wf1PLcXReuTAqSn8QZi M5co2lmQNSASgkFRgTwaiva5oGluLZ59OXt3wG8jiB8Jtg/8fJzDGFcWy78= `protect end_protected
gpl-2.0
eb3fff3c0acb55065190e79bda629449
0.946212
1.838079
false
false
false
false
UVVM/uvvm_vvc_framework
uvvm_util/src/string_methods_pkg.vhd
1
50,359
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library ieee; use ieee.std_logic_1164.all; use std.textio.all; use ieee.math_real.all; use work.types_pkg.all; use work.adaptations_pkg.all; package string_methods_pkg is -- Need a low level "alert" in the form of a simple assertion (as string handling may also fail) procedure bitvis_assert( val : boolean; severeness : severity_level; msg : string; scope : string ); function justify( val : string; justified : side; width : natural; format_spaces : t_format_spaces; truncate : t_truncate_string ) return string; -- DEPRECATED. -- Function will be removed in future versions of UVVM-Util function justify( val : string; width : natural := 0; justified : side := RIGHT; format: t_format_string := AS_IS -- No defaults on 4 first param - to avoid ambiguity with std.textio ) return string; function justify( val : string; justified : t_justify_center; width : natural; format_spaces : t_format_spaces; truncate : t_truncate_string ) return string; function pos_of_leftmost( target : character; vector : string; result_if_not_found : natural := 1 ) return natural; function pos_of_rightmost( target : character; vector : string; result_if_not_found : natural := 1 ) return natural; function pos_of_leftmost_non_zero( vector : string; result_if_not_found : natural := 1 ) return natural; function pos_of_rightmost_non_whitespace( vector : string; result_if_not_found : natural := 1 ) return natural; function valid_length( -- of string excluding trailing NULs vector : string ) return natural; function get_string_between_delimiters( val : string; delim_left : character; delim_right: character; start_from : SIDE; -- search from left or right (Only RIGHT implemented so far) occurrence : positive := 1 -- stop on N'th occurrence of delimeter pair. Default first occurrence ) return string; function get_procedure_name_from_instance_name( val : string ) return string; function get_process_name_from_instance_name( val : string ) return string; function get_entity_name_from_instance_name( val : string ) return string; function return_string_if_true( val : string; return_val : boolean ) return string; function return_string1_if_true_otherwise_string2( val1 : string; val2 : string; return_val : boolean ) return string; function to_upper( val : string ) return string; function fill_string( val : character; width : natural ) return string; function pad_string( val : string; char : character; width : natural; side : side := LEFT ) return string; function replace_backslash_n_with_lf( source : string ) return string; function remove_initial_chars( source : string; num : natural ) return string; function wrap_lines( constant text_string : string; constant alignment_pos1 : natural; -- Line position of first aligned character in line 1 constant alignment_pos2 : natural; -- Line position of first aligned character in line 2, etc... constant line_width : natural ) return string; procedure wrap_lines( variable text_lines : inout line; constant alignment_pos1 : natural; -- Line position prior to first aligned character (incl. Prefix) constant alignment_pos2 : natural; constant line_width : natural ); procedure prefix_lines( variable text_lines : inout line; constant prefix : string := C_LOG_PREFIX ); function replace( val : string; target_char : character; exchange_char : character ) return string; procedure replace( variable text_line : inout line; target_char : character; exchange_char : character ); --======================================================== -- Handle missing overloads from 'standard_additions' --======================================================== function to_string( val : boolean; width : natural; justified : side; format_spaces : t_format_spaces; truncate : t_truncate_string := DISALLOW_TRUNCATE ) return string; function to_string( val : integer; width : natural; justified : side; format_spaces : t_format_spaces; truncate : t_truncate_string := DISALLOW_TRUNCATE ) return string; -- This function has been deprecated and will be removed in the next major release -- DEPRECATED function to_string( val : boolean; width : natural; justified : side := right; format: t_format_string := AS_IS ) return string; -- This function has been deprecated and will be removed in the next major release -- DEPRECATED function to_string( val : integer; width : natural; justified : side := right; format : t_format_string := AS_IS ) return string; function to_string( val : std_logic_vector; radix : t_radix; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; function to_string( val : unsigned; radix : t_radix; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; function to_string( val : signed; radix : t_radix; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; function to_string( val : t_byte_array; radix : t_radix := HEX_BIN_IF_INVALID; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; function to_string( val : t_slv_array; radix : t_radix := HEX_BIN_IF_INVALID; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; function to_string( val : t_signed_array; radix : t_radix := HEX_BIN_IF_INVALID; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; function to_string( val : t_unsigned_array; radix : t_radix := HEX_BIN_IF_INVALID; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string; --======================================================== -- Handle types defined at lower levels --======================================================== function to_string( val : t_alert_level; width : natural; justified : side := right ) return string; function to_string( val : t_msg_id; width : natural; justified : side := right ) return string; function to_string( val : t_attention; width : natural; justified : side := right ) return string; procedure to_string( val : t_alert_attention_counters; order : t_order := FINAL ); function ascii_to_char( ascii_pos : integer range 0 to 255; ascii_allow : t_ascii_allow := ALLOW_ALL ) return character; function char_to_ascii( char : character ) return integer; -- return string with only valid ascii characters function to_string( val : string ) return string; function add_msg_delimiter( msg : string ) return string; end package string_methods_pkg; package body string_methods_pkg is -- Need a low level "alert" in the form of a simple assertion (as string handling may also fail) procedure bitvis_assert( val : boolean; severeness : severity_level; msg : string; scope : string ) is begin assert val report LF & C_LOG_PREFIX & " *** " & to_string(severeness) & "*** caused by Bitvis Util > string handling > " & scope & LF & C_LOG_PREFIX & " " & add_msg_delimiter(msg) & LF severity severeness; end; function to_upper( val : string ) return string is variable v_result : string (val'range) := val; variable char : character; begin for i in val'range loop -- NOTE: Illegal characters are allowed and will pass through (check Mentor's std_developers_kit) if ( v_result(i) >= 'a' and v_result(i) <= 'z') then v_result(i) := character'val( character'pos(v_result(i)) - character'pos('a') + character'pos('A') ); end if; end loop; return v_result; end to_upper; function fill_string( val : character; width : natural ) return string is variable v_result : string (1 to maximum(1, width)); begin if (width = 0) then return ""; else for i in 1 to width loop v_result(i) := val; end loop; end if; return v_result; end fill_string; function pad_string( val : string; char : character; width : natural; side : side := LEFT ) return string is variable v_result : string (1 to maximum(1, width)); begin if (width = 0) then return ""; elsif (width <= val'length) then return val(1 to width); else v_result := (others => char); if side = LEFT then v_result(1 to val'length) := val; else v_result(v_result'length-val'length+1 to v_result'length) := val; end if; end if; return v_result; end pad_string; -- This procedure has been deprecated, and will be removed in the near future. function justify( val : string; width : natural := 0; justified : side := RIGHT; format : t_format_string := AS_IS -- No defaults on 4 first param - to avoid ambiguity with std.textio ) return string is constant val_length : natural := val'length; variable result : string(1 to width) := (others => ' '); begin -- return val if width is too small if val_length >= width then if (format = TRUNCATE) then return val(1 to width); else return val; end if; end if; if justified = left then result(1 to val_length) := val; elsif justified = right then result(width - val_length + 1 to width) := val; end if; return result; end function; function justify( val : string; justified : side; width : natural; format_spaces : t_format_spaces; truncate : t_truncate_string ) return string is variable v_val_length : natural := val'length; variable v_formatted_val : string (1 to val'length); variable v_num_leading_space : natural := 0; variable v_result : string(1 to width) := (others => ' '); begin -- Remove leading space if format_spaces is SKIP_LEADING_SPACE if format_spaces = SKIP_LEADING_SPACE then -- Find how many leading spaces there are while( (val(v_num_leading_space+1) = ' ') and (v_num_leading_space < v_val_length)) loop v_num_leading_space := v_num_leading_space + 1; end loop; -- Remove leading space if any v_formatted_val := remove_initial_chars(val,v_num_leading_space); v_val_length := v_formatted_val'length; else v_formatted_val := val; end if; -- Truncate and return if the string is wider that allowed if v_val_length >= width then if (truncate = ALLOW_TRUNCATE) then return v_formatted_val(1 to width); else return v_formatted_val; end if; end if; -- Justify if string is within the width specifications if justified = left then v_result(1 to v_val_length) := v_formatted_val; elsif justified = right then v_result(width - v_val_length + 1 to width) := v_formatted_val; end if; return v_result; end function; function justify( val : string; justified : t_justify_center; width : natural; format_spaces : t_format_spaces; truncate : t_truncate_string ) return string is variable v_val_length : natural := val'length; variable v_start_pos : natural; variable v_formatted_val : string (1 to val'length); variable v_num_leading_space : natural := 0; variable v_result : string(1 to width) := (others => ' '); begin -- Remove leading space if format_spaces is SKIP_LEADING_SPACE if format_spaces = SKIP_LEADING_SPACE then -- Find how many leading spaces there are while( (val(v_num_leading_space+1) = ' ') and (v_num_leading_space < v_val_length)) loop v_num_leading_space := v_num_leading_space + 1; end loop; -- Remove leading space if any v_formatted_val := remove_initial_chars(val,v_num_leading_space); v_val_length := v_formatted_val'length; else v_formatted_val := val; end if; -- Truncate and return if the string is wider that allowed if v_val_length >= width then if (truncate = ALLOW_TRUNCATE) then return v_formatted_val(1 to width); else return v_formatted_val; end if; end if; -- Justify if string is within the width specifications v_start_pos := natural(ceil((real(width)-real(v_val_length))/real(2))) + 1; v_result(v_start_pos to v_start_pos + v_val_length-1) := v_formatted_val; return v_result; end function; function pos_of_leftmost( target : character; vector : string; result_if_not_found : natural := 1 ) return natural is alias a_vector : string(1 to vector'length) is vector; begin bitvis_assert(vector'length > 0, FAILURE, "String input is empty", "pos_of_leftmost()"); bitvis_assert(vector'ascending, FAILURE, "Only implemented for string(N to M)", "pos_of_leftmost()"); for i in a_vector'left to a_vector'right loop if (a_vector(i) = target) then return i; end if; end loop; return result_if_not_found; end; function pos_of_rightmost( target : character; vector : string; result_if_not_found : natural := 1 ) return natural is alias a_vector : string(1 to vector'length) is vector; begin bitvis_assert(vector'length > 0, FAILURE, "String input is empty", "pos_of_rightmost()"); bitvis_assert(vector'ascending, FAILURE, "Only implemented for string(N to M)", "pos_of_rightmost()"); for i in a_vector'right downto a_vector'left loop if (a_vector(i) = target) then return i; end if; end loop; return result_if_not_found; end; function pos_of_leftmost_non_zero( vector : string; result_if_not_found : natural := 1 ) return natural is alias a_vector : string(1 to vector'length) is vector; begin bitvis_assert(vector'length > 0, FAILURE, "String input is empty", "pos_of_leftmost_non_zero()"); for i in a_vector'left to a_vector'right loop if (a_vector(i) /= '0' and a_vector(i) /= ' ') then return i; end if; end loop; return result_if_not_found; end; function pos_of_rightmost_non_whitespace( vector : string; result_if_not_found : natural := 1 ) return natural is alias a_vector : string(1 to vector'length) is vector; begin bitvis_assert(vector'length > 0, FAILURE, "String input is empty", "pos_of_rightmost_non_whitespace()"); for i in a_vector'right downto a_vector'left loop if a_vector(i) /= ' ' then return i; end if; end loop; return result_if_not_found; end; function valid_length( -- of string excluding trailing NULs vector : string ) return natural is begin return pos_of_leftmost(NUL, vector, vector'length) - 1; end; function string_contains_char( val : string; char : character ) return boolean is alias a_val : string(1 to val'length) is val; begin if (val'length = 0) then return false; else for i in val'left to val'right loop if (val(i) = char) then return true; end if; end loop; -- falls through only if not found return false; end if; end; -- get_*_name -- Note: for sub-programs the following is given: library:package:procedure:object -- Note: for design hierachy the following is given: complete hierarchy from sim-object down to process object -- e.g. 'sbi_tb:i_test_harness:i2_sbi_vvc:p_constructor:v_msg' -- Attribute instance_name also gives [procedure signature] or @entity-name(architecture name) function get_string_between_delimiters( val : string; delim_left : character; delim_right: character; start_from : SIDE; -- search from left or right (Only RIGHT implemented so far) occurrence : positive := 1 -- stop on N'th occurrence of delimeter pair. Default first occurrence ) return string is variable v_left : natural := 0; variable v_right : natural := 0; variable v_start : natural := val'length; variable v_occurrence : natural := 0; alias a_val : string(1 to val'length) is val; begin bitvis_assert(a_val'length > 2, FAILURE, "String input is not wide enough (<3)", "get_string_between_delimiters()"); bitvis_assert(start_from = RIGHT, FAILURE, "Only search from RIGHT is implemented so far", "get_string_between_delimiters()"); loop -- RIGHT v_left := 0; -- default v_right := pos_of_rightmost(delim_right, a_val(1 to v_start), 0); if v_right > 0 then -- i.e. found L1: for i in v_right-1 downto 1 loop -- searching backwards for delimeter if (a_val(i) = delim_left) then v_left := i; v_start := i; -- Previous end delimeter could also be a start delimeter for next section v_occurrence := v_occurrence + 1; exit L1; end if; end loop; -- searching backwards end if; if v_right = 0 or v_left = 0 then return ""; -- No delimeter pair found, and none can be found in the rest (with chars in between) end if; if v_occurrence = occurrence then -- Match if (v_right - v_left) < 2 then return ""; -- no chars in between delimeters else return a_val(v_left+1 to v_right-1); end if; end if; if v_start < 3 then return ""; -- No delimeter pair found, and none can be found in the rest (with chars in between) end if; end loop; -- Will continue until match or not found end; -- ':sbi_tb(func):i_test_harness@test_harness(struct):i2_sbi_vvc@sbi_vvc(struct):p_constructor:instance' -- ':sbi_tb:i_test_harness:i1_sbi_vvc:p_constructor:instance' -- - Process name: Search for 2nd last param in path name -- - Entity name: Search for 3nd last param in path name --':bitvis_vip_sbi:sbi_bfm_pkg:sbi_write[unsigned,std_logic_vector,string,std_logic,std_logic,unsigned, -- std_logic,std_logic,std_logic,std_logic_vector,time,string,t_msg_id_panel,t_sbi_config]:msg' -- - Procedure name: Search for 2nd last param in path name and remove all inside [] function get_procedure_name_from_instance_name( val : string ) return string is variable v_line : line; variable v_msg_line : line; begin bitvis_assert(val'length > 2, FAILURE, "String input is not wide enough (<3)", "get_procedure_name_from_instance_name()"); write(v_line, get_string_between_delimiters(val, ':', '[', RIGHT)); if (string_contains_char(val, '@')) then write(v_msg_line, string'("Must be called with <sub-program object>'instance_name")); else write(v_msg_line, string'(" ")); end if; bitvis_assert(v_line'length > 0, ERROR, "No procedure name found. " & v_msg_line.all, "get_procedure_name_from_instance_name()"); return v_line.all; end; function get_process_name_from_instance_name( val : string ) return string is variable v_line : line; variable v_msg_line : line; begin bitvis_assert(val'length > 2, FAILURE, "String input is not wide enough (<3)", "get_process_name_from_instance_name()"); write(v_line, get_string_between_delimiters(val, ':', ':', RIGHT)); if (string_contains_char(val, '[')) then write(v_msg_line, string'("Must be called with <process-local object>'instance_name")); else write(v_msg_line, string'(" ")); end if; bitvis_assert(v_line'length > 0, ERROR, "No process name found", "get_process_name_from_instance_name()"); return v_line.all; end; function get_entity_name_from_instance_name( val : string ) return string is variable v_line : line; variable v_msg_line : line; begin bitvis_assert(val'length > 2, FAILURE, "String input is not wide enough (<3)", "get_entity_name_from_instance_name()"); if string_contains_char(val, '@') then -- for path with instantiations write(v_line, get_string_between_delimiters(val, '@', '(', RIGHT)); else -- for path with only a single entity write(v_line, get_string_between_delimiters(val, ':', '(', RIGHT)); end if; if (string_contains_char(val, '[')) then write(v_msg_line, string'("Must be called with <Entity/arch-local object>'instance_name")); else write(v_msg_line, string'(" ")); end if; bitvis_assert(v_line'length > 0, ERROR, "No entity name found", "get_entity_name_from_instance_name()"); return v_line.all; end; function adjust_leading_0( val : string; format : t_format_zeros := SKIP_LEADING_0 ) return string is alias a_val : string(1 to val'length) is val; constant leftmost_non_zero : natural := pos_of_leftmost_non_zero(a_val, 1); begin if val'length <= 1 then return val; end if; if format = SKIP_LEADING_0 then return a_val(leftmost_non_zero to val'length); else return a_val; end if; end function; function return_string_if_true( val : string; return_val : boolean ) return string is begin if return_val then return val; else return ""; end if; end function; function return_string1_if_true_otherwise_string2( val1 : string; val2 : string; return_val : boolean ) return string is begin if return_val then return val1; else return val2; end if; end function; function replace_backslash_n_with_lf( source : string ) return string is variable v_source_idx : natural := 0; variable v_dest_idx : natural := 0; variable v_dest : string(1 to source'length); begin if source'length = 0 then return ""; else if C_USE_BACKSLASH_N_AS_LF then loop v_source_idx := v_source_idx + 1; v_dest_idx := v_dest_idx + 1; if (v_source_idx < source'length) then if (source(v_source_idx to v_source_idx +1) /= "\n") then v_dest(v_dest_idx) := source(v_source_idx); else v_dest(v_dest_idx) := LF; v_source_idx := v_source_idx + 1; -- Additional increment as two chars (\n) are consumed if (v_source_idx = source'length) then exit; end if; end if; else -- Final character in string v_dest(v_dest_idx) := source(v_source_idx); exit; end if; end loop; else v_dest := source; v_dest_idx := source'length; end if; return v_dest(1 to v_dest_idx); end if; end; function remove_initial_chars( source : string; num : natural ) return string is begin if source'length <= num then return ""; else return source(1 + num to source'right); end if; end; function wrap_lines( constant text_string : string; constant alignment_pos1 : natural; -- Line position of first aligned character in line 1 constant alignment_pos2 : natural; -- Line position of first aligned character in line 2 constant line_width : natural ) return string is variable v_text_lines : line; variable v_result : string(1 to 2 * text_string'length + alignment_pos1 + 100); -- Margin for aligns and LF insertions variable v_result_width : natural; begin write(v_text_lines, text_string); wrap_lines(v_text_lines, alignment_pos1, alignment_pos2, line_width); v_result_width := v_text_lines'length; bitvis_assert(v_result_width <= v_result'length, FAILURE, " String is too long after wrapping. Increase v_result string size.", "wrap_lines()"); v_result(1 to v_result_width) := v_text_lines.all; deallocate(v_text_lines); return v_result(1 to v_result_width); end; procedure wrap_lines( variable text_lines : inout line; constant alignment_pos1 : natural; -- Line position of first aligned character in line 1 constant alignment_pos2 : natural; -- Line position of first aligned character in line 2 constant line_width : natural ) is variable v_string : string(1 to text_lines'length) := text_lines.all; variable v_string_width : natural := text_lines'length; variable v_line_no : natural := 0; variable v_last_string_wrap : natural := 0; variable v_min_string_wrap : natural; variable v_max_string_wrap : natural; begin deallocate(text_lines); -- empty the line prior to filling it up again l_line: loop -- For every tekstline found in text_lines v_line_no := v_line_no + 1; -- Find position to wrap in v_string if (v_line_no = 1) then v_min_string_wrap := 1; -- Minimum 1 character of input line v_max_string_wrap := minimum(line_width - alignment_pos1 + 1, v_string_width); write(text_lines, fill_string(' ', alignment_pos1 - 1)); else v_min_string_wrap := v_last_string_wrap + 1; -- Minimum 1 character further into the inpit line v_max_string_wrap := minimum(v_last_string_wrap + (line_width - alignment_pos2 + 1), v_string_width); write(text_lines, fill_string(' ', alignment_pos2 - 1)); end if; -- 1. First handle any potential explicit line feed in the current maximum text line -- Search forward for potential LF for i in (v_last_string_wrap + 1) to minimum(v_max_string_wrap + 1, v_string_width) loop if (character(v_string(i)) = LF) then write(text_lines, v_string((v_last_string_wrap + 1) to i)); -- LF now terminates this part v_last_string_wrap := i; next l_line; -- next line end if; end loop; -- 2. Then check if remaining text fits into a single text line if (v_string_width <= v_max_string_wrap) then -- No (more) wrapping required write(text_lines, v_string((v_last_string_wrap + 1) to v_string_width)); exit; -- No more lines end if; -- 3. Search for blanks from char after max msg width and downwards (in the left direction) for i in v_max_string_wrap + 1 downto (v_last_string_wrap + 1) loop if (character(v_string(i)) = ' ') then write(text_lines, v_string((v_last_string_wrap + 1) to i-1)); -- Exchange last blank with LF v_last_string_wrap := i; if (i = v_string_width ) then exit l_line; end if; -- Skip any potential extra blanks in the string for j in (i+1) to v_string_width loop if (v_string(j) = ' ') then v_last_string_wrap := j; if (j = v_string_width ) then exit l_line; end if; else write(text_lines, LF); -- Exchange last blanks with LF, provided not at the end of the string exit; end if; end loop; next l_line; -- next line end if; end loop; -- 4. At this point no LF or blank is found in the searched section of the string. -- Hence just break the string - and continue. write(text_lines, v_string((v_last_string_wrap + 1) to v_max_string_wrap) & LF); -- Added LF termination v_last_string_wrap := v_max_string_wrap; end loop; end; procedure prefix_lines( variable text_lines : inout line; constant prefix : string := C_LOG_PREFIX ) is variable v_string : string(1 to text_lines'length) := text_lines.all; variable v_string_width : natural := text_lines'length; constant prefix_width : natural := prefix'length; variable v_last_string_wrap : natural := 0; variable i : natural := 0; -- for indexing v_string begin deallocate(text_lines); -- empty the line prior to filling it up again l_line : loop -- 1. Write prefix write(text_lines, prefix); -- 2. Write rest of text line (or rest of input line if no LF) l_char: loop i := i + 1; if (i < v_string_width) then if (character(v_string(i)) = LF) then write(text_lines, v_string((v_last_string_wrap + 1) to i)); v_last_string_wrap := i; exit l_char; end if; else -- 3. Reached end of string. Hence just write the rest. write(text_lines, v_string((v_last_string_wrap + 1) to v_string_width)); -- But ensure new line with prefix if ending with LF if (v_string(i) = LF) then write(text_lines, prefix); end if; exit l_char; end if; end loop; if (i = v_string_width) then exit; end if; end loop; end; function replace( val : string; target_char : character; exchange_char : character ) return string is variable result : string(1 to val'length) := val; begin for i in val'range loop if val(i) = target_char then result(i) := exchange_char; end if; end loop; return result; end; procedure replace( variable text_line : inout line; target_char : character; exchange_char : character ) is variable v_string : string(1 to text_line'length) := text_line.all; variable v_string_width : natural := text_line'length; variable i : natural := 0; -- for indexing v_string begin if v_string_width > 0 then deallocate(text_line); -- empty the line prior to filling it up again -- 1. Loop through string and replace characters l_char: loop i := i + 1; if (i < v_string_width) then if (character(v_string(i)) = target_char) then v_string(i) := exchange_char; end if; else -- 2. Reached end of string. Hence just write the new string. write(text_line, v_string); exit l_char; end if; end loop; end if; end; --======================================================== -- Handle missing overloads from 'standard_additions' + advanced overloads --======================================================== function to_string( val : boolean; width : natural; justified : side; format_spaces : t_format_spaces; truncate : t_truncate_string := DISALLOW_TRUNCATE ) return string is begin return justify(to_string(val), justified, width, format_spaces, truncate); end; function to_string( val : integer; width : natural; justified : side; format_spaces : t_format_spaces; truncate : t_truncate_string := DISALLOW_TRUNCATE ) return string is begin return justify(to_string(val), justified, width, format_spaces, truncate); end; -- This function has been deprecated and will be removed in the next major release function to_string( val : boolean; width : natural; justified : side := right; format : t_format_string := AS_IS ) return string is begin return justify(to_string(val), width, justified, format); end; -- This function has been deprecated and will be removed in the next major release function to_string( val : integer; width : natural; justified : side := right; format : t_format_string := AS_IS ) return string is begin return justify(to_string(val), width, justified, format); end; function to_string( val : std_logic_vector; radix : t_radix; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is variable v_line : line; alias a_val : std_logic_vector(val'length - 1 downto 0) is val; variable v_result : string(1 to 10 + 2 * val'length); -- variable v_width : natural; variable v_use_end_char : boolean := false; begin if val'length = 0 then -- Value length is zero, -- return empty string. return ""; end if; if radix = BIN then if prefix = INCL_RADIX then write(v_line, string'("b""")); v_use_end_char := true; end if; write(v_line, adjust_leading_0(to_string(val), format)); elsif radix = HEX then if prefix = INCL_RADIX then write(v_line, string'("x""")); v_use_end_char := true; end if; write(v_line, adjust_leading_0(to_hstring(val), format)); elsif radix = DEC then if prefix = INCL_RADIX then write(v_line, string'("d""")); v_use_end_char := true; end if; -- Assuming that val is not signed if (val'length > 31) then write(v_line, to_hstring(val) & " (too wide to be converted to integer)" ); else write(v_line, adjust_leading_0(to_string(to_integer(unsigned(val))), format)); end if; elsif radix = HEX_BIN_IF_INVALID then if prefix = INCL_RADIX then write(v_line, string'("x""")); end if; if is_x(val) then write(v_line, adjust_leading_0(to_hstring(val), format)); if prefix = INCL_RADIX then write(v_line, string'("""")); -- terminate hex value end if; write(v_line, string'(" (b""")); write(v_line, adjust_leading_0(to_string(val), format)); write(v_line, string'("""")); write(v_line, string'(")")); else write(v_line, adjust_leading_0(to_hstring(val), format)); if prefix = INCL_RADIX then write(v_line, string'("""")); end if; end if; end if; if v_use_end_char then write(v_line, string'("""")); end if; v_width := v_line'length; v_result(1 to v_width) := v_line.all; deallocate(v_line); return v_result(1 to v_width); end; function to_string( val : unsigned; radix : t_radix; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is begin return to_string(std_logic_vector(val), radix, format, prefix); end; function to_string( val : signed; radix : t_radix; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is variable v_line : line; variable v_result : string(1 to 10 + 2 * val'length); -- variable v_width : natural; variable v_use_end_char : boolean := false; begin -- Support negative numbers by _not_ using the slv overload when converting to decimal if radix = DEC then if val'length = 0 then -- Value length is zero, -- return empty string. return ""; end if; if prefix = INCL_RADIX then write(v_line, string'("d""")); v_use_end_char := true; end if; if (val'length > 32) then write(v_line, to_string(std_logic_vector(val),radix, format, prefix) & " (too wide to be converted to integer)" ); else write(v_line, adjust_leading_0(to_string(to_integer(signed(val))), format)); end if; if v_use_end_char then write(v_line, string'("""")); end if; v_width := v_line'length; v_result(1 to v_width) := v_line.all; deallocate(v_line); return v_result(1 to v_width); else -- No decimal convertion: May be treated as slv, so use the slv overload return to_string(std_logic_vector(val), radix, format, prefix); end if; end; function to_string( val : t_byte_array; radix : t_radix := HEX_BIN_IF_INVALID; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is variable v_line : line; variable v_result : string(1 to 2 + -- parentheses 2*(val'length - 1) + -- commas 26 * val'length); -- 26 is max length of returned value from slv to_string() variable v_width : natural; begin if val'length = 0 then -- Value length is zero, -- return empty string. return ""; elsif val'length = 1 then -- Value length is 1 -- Return the single value it contains return to_string(val(val'low), radix, format, prefix); else -- Value length more than 1 -- Comma-separate all array members and return write(v_line, string'("(")); for i in val'range loop write(v_line, to_string(val(i), radix, format, prefix)); if i < val'right and val'ascending then write(v_line, string'(", ")); elsif i > val'right and not val'ascending then write(v_line, string'(", ")); end if; end loop; write(v_line, string'(")")); v_width := v_line'length; v_result(1 to v_width) := v_line.all; deallocate(v_line); return v_result(1 to v_width); end if; end; function to_string( val : t_slv_array; radix : t_radix := HEX_BIN_IF_INVALID; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is variable v_line : line; variable v_result : string(1 to 2 + -- parentheses 2*(val'length - 1) + -- commas 26*val'length); -- 26 is max length of returned value from slv to_string() variable v_width : natural; begin if val'length = 0 then return ""; else -- Comma-separate all array members and return write(v_line, string'("(")); for idx in val'range loop write(v_line, to_string(val(idx), radix, format, prefix)); if (idx < val'right) and (val'ascending) then write(v_line, string'(", ")); elsif (idx > val'right) and not(val'ascending) then write(v_line, string'(", ")); end if; end loop; write(v_line, string'(")")); v_width := v_line'length; v_result(1 to v_width) := v_line.all; deallocate(v_line); return v_result(1 to v_width); end if; end function; function to_string( val : t_signed_array; radix : t_radix := HEX_BIN_IF_INVALID; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is variable v_line : line; variable v_result : string(1 to 2 + -- parentheses 2*(val'length - 1) + -- commas 26*val'length); -- 26 is max length of returned value from slv to_string() variable v_width : natural; begin if val'length = 0 then return ""; else -- Comma-separate all array members and return write(v_line, string'("(")); for idx in val'range loop write(v_line, to_string(val(idx), radix, format, prefix)); if (idx < val'right) and (val'ascending) then write(v_line, string'(", ")); elsif (idx > val'right) and not(val'ascending) then write(v_line, string'(", ")); end if; end loop; write(v_line, string'(")")); v_width := v_line'length; v_result(1 to v_width) := v_line.all; deallocate(v_line); return v_result(1 to v_width); end if; end function; function to_string( val : t_unsigned_array; radix : t_radix := HEX_BIN_IF_INVALID; format : t_format_zeros := KEEP_LEADING_0; -- | SKIP_LEADING_0 prefix : t_radix_prefix := EXCL_RADIX -- Insert radix prefix in string? ) return string is variable v_line : line; variable v_result : string(1 to 2 + -- parentheses 2*(val'length - 1) + -- commas 26*val'length); -- 26 is max length of returned value from slv to_string() variable v_width : natural; begin if val'length = 0 then return ""; else -- Comma-separate all array members and return write(v_line, string'("(")); for idx in val'range loop write(v_line, to_string(val(idx), radix, format, prefix)); if (idx < val'right) and (val'ascending) then write(v_line, string'(", ")); elsif (idx > val'right) and not(val'ascending) then write(v_line, string'(", ")); end if; end loop; write(v_line, string'(")")); v_width := v_line'length; v_result(1 to v_width) := v_line.all; deallocate(v_line); return v_result(1 to v_width); end if; end function; --======================================================== -- Handle types defined at lower levels --======================================================== function to_string( val : t_alert_level; width : natural; justified : side := right ) return string is constant inner_string : string := t_alert_level'image(val); begin return to_upper(justify(inner_string, justified, width)); end function; function to_string( val : t_msg_id; width : natural; justified : side := right ) return string is constant inner_string : string := t_msg_id'image(val); begin return to_upper(justify(inner_string, justified, width)); end function; function to_string( val : t_attention; width : natural; justified : side := right ) return string is begin return to_upper(justify(t_attention'image(val), justified, width)); end; -- function to_string( -- dummy : t_void -- ) return string is -- begin -- return "VOID"; -- end function; procedure to_string( val : t_alert_attention_counters; order : t_order := FINAL ) is variable v_line : line; variable v_line_copy : line; variable v_more_than_expected_alerts : boolean := false; variable v_less_than_expected_alerts : boolean := false; variable v_header : string(1 to 42); constant prefix : string := C_LOG_PREFIX & " "; begin if order = INTERMEDIATE then v_header := "*** INTERMEDIATE SUMMARY OF ALL ALERTS ***"; else -- order=FINAL v_header := "*** FINAL SUMMARY OF ALL ALERTS *** "; end if; write(v_line, LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & v_header & LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & " REGARDED EXPECTED IGNORED Comment?" & LF); for i in NOTE to t_alert_level'right loop write(v_line, " " & to_upper(to_string(i, 13, LEFT)) & ": "); -- Severity for j in t_attention'left to t_attention'right loop write(v_line, to_string(integer'(val(i)(j)), 6, RIGHT, KEEP_LEADING_SPACE) & " "); end loop; if (val(i)(REGARD) = val(i)(EXPECT)) then write(v_line, " ok " & LF); else write(v_line, " *** " & to_string(i,0) & " *** " & LF); if (i > MANUAL_CHECK) then if (val(i)(REGARD) < val(i)(EXPECT)) then v_less_than_expected_alerts := true; else v_more_than_expected_alerts := true; end if; end if; end if; end loop; write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF); -- Print a conclusion when called from the FINAL part of the test sequencer -- but not when called from in the middle of the test sequence (order=INTERMEDIATE) if order = FINAL then if v_more_than_expected_alerts then write(v_line, ">> Simulation FAILED, with unexpected serious alert(s)" & LF); elsif v_less_than_expected_alerts then write(v_line, ">> Simulation FAILED: Mismatch between counted and expected serious alerts" & LF); else write(v_line, ">> Simulation SUCCESS: No mismatch between counted and expected serious alerts" & LF); end if; write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & LF); end if; wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-prefix'length); prefix_lines(v_line, prefix); -- Write the info string to the target file write (v_line_copy, v_line.all & lf); -- copy line writeline(OUTPUT, v_line); writeline(LOG_FILE, v_line_copy); end; -- Convert from ASCII to character -- Inputs: -- ascii_pos (integer) : ASCII number input -- ascii_allow (t_ascii_allow) : Decide what to do with invisible control characters: -- - If ascii_allow = ALLOW_ALL (default) : return the character for any ascii_pos -- - If ascii_allow = ALLOW_PRINTABLE_ONLY : return the character only if it is printable function ascii_to_char( ascii_pos : integer range 0 to 255; -- Supporting Extended ASCII ascii_allow : t_ascii_allow := ALLOW_ALL ) return character is variable v_printable : boolean := true; begin if ascii_pos < 32 or -- NUL, SOH, STX etc (ascii_pos >= 128 and ascii_pos < 160) then -- C128 to C159 v_printable := false; end if; if ascii_allow = ALLOW_ALL or (ascii_allow = ALLOW_PRINTABLE_ONLY and v_printable) then return character'val(ascii_pos); else return ' '; -- Must return something when invisible control signals end if; end; -- Convert from character to ASCII integer function char_to_ascii( char : character ) return integer is begin return character'pos(char); end; -- return string with only valid ascii characters function to_string( val : string ) return string is variable v_new_string : string(1 to val'length); variable v_char_idx : natural := 0; variable v_ascii_pos : natural; begin for i in val'range loop v_ascii_pos := character'pos(val(i)); if (v_ascii_pos < 32 and v_ascii_pos /= 10) or -- NUL, SOH, STX etc, LF(10) is not removed. (v_ascii_pos >= 128 and v_ascii_pos < 160) then -- C128 to C159 -- illegal char null; else -- legal char v_char_idx := v_char_idx + 1; v_new_string(v_char_idx) := val(i); end if; end loop; if v_char_idx = 0 then return ""; else return v_new_string(1 to v_char_idx); end if; end; function add_msg_delimiter( msg : string ) return string is begin if msg'length /= 0 then if valid_length(msg) /= 1 then if msg(1) = C_MSG_DELIMITER then return msg; else return C_MSG_DELIMITER & msg & C_MSG_DELIMITER; end if; end if; end if; return ""; end; end package body string_methods_pkg;
mit
bec19f0c406465d019cd6f55010bdbe3
0.581723
3.781841
false
false
false
false
UVVM/UVVM_All
bitvis_vip_spi/src/spi_bfm_pkg.vhd
1
72,549
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; library uvvm_util; context uvvm_util.uvvm_util_context; --================================================================================================= package spi_bfm_pkg is --=============================================================================================== -- Types and constants for SPI BFMs --=============================================================================================== constant C_SCOPE : string := "SPI BFM"; type t_spi_if is record ss_n : std_logic; -- master to slave sclk : std_logic; -- master to slave mosi : std_logic; -- master to slave miso : std_logic; -- slave to master end record; -- Configuration record to be assigned in the test harness. type t_spi_bfm_config is record CPOL : std_logic; -- sclk polarity, i.e. the base value of the clock. -- If CPOL is '0', the clock will be set to '0' when inactive, i.e., ordinary positive polarity. CPHA : std_logic; -- sclk phase, i.e. when data is sampled and transmitted w.r.t. sclk. -- If '0', sampling occurs on the first sclk edge and data is transmitted on the sclk active to idle state. -- If '1', data is sampled on the second sclk edge and transmitted on sclk idle to active state. spi_bit_time : time; -- Used in master for dictating sclk period ss_n_to_sclk : time; -- Time from SS active until SCLK active sclk_to_ss_n : time; -- Last SCLK until SS off inter_word_delay : time; -- Minimum time between words, from ss_n inactive to ss_n active match_strictness : t_match_strictness; -- Matching strictness for std_logic values in check procedures. id_for_bfm : t_msg_id; -- The message ID used as a general message ID in the SPI BFM id_for_bfm_wait : t_msg_id; -- The message ID used for logging waits in the SPI BFM id_for_bfm_poll : t_msg_id; -- The message ID used for logging polling in the SPI BFM end record; constant C_SPI_BFM_CONFIG_DEFAULT : t_spi_bfm_config := ( CPOL => '0', CPHA => '0', spi_bit_time => -1 ns, -- Make sure we notice if we forget to set bit time. ss_n_to_sclk => 20 ns, sclk_to_ss_n => 20 ns, inter_word_delay => 0 ns, match_strictness => MATCH_EXACT, id_for_bfm => ID_BFM, id_for_bfm_wait => ID_BFM_WAIT, id_for_bfm_poll => ID_BFM_POLL ); --=============================================================================================== -- BFM procedures --=============================================================================================== ------------------------------------------ -- init_spi_if_signals ------------------------------------------ -- - This function returns an SPI interface with initialized signals. -- - master_mode = true: -- - ss_n initialized to 'H' -- - if config.CPOL = '1', sclk initialized to 'H', -- otherwise sclk initialized to 'L' -- - miso and mosi initialized to 'Z' -- - master_mode = false: -- - all signals initialized to 'Z' function init_spi_if_signals ( constant config : in t_spi_bfm_config; constant master_mode : in boolean := true ) return t_spi_if; ------------------------------------------ -- spi_master_transmit_and_receive ------------------------------------------ -- This procedure transmits data 'tx_data' to the SPI slave DUT -- and receives 'rx_data' from the SPI slave DUT. procedure spi_master_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal sclk : inout std_logic; signal ss_n : inout std_logic; signal mosi : inout std_logic; signal miso : inout std_logic; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure ); ------------------------------------------ -- spi_master_transmit_and_receive ------------------------------------------ -- This procedure transmits data 'tx_data' to the SPI slave DUT -- and receives 'rx_data' from the SPI slave DUT. -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_master_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure ); -- Multi-word procedure spi_master_transmit_and_receive ( constant tx_data : in t_slv_array; variable rx_data : out t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure ); ------------------------------------------ -- spi_master_transmit_and_check ------------------------------------------ -- This procedure ... -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_master_transmit_and_check( constant tx_data : in std_logic_vector; constant data_exp : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); -- Multi-word procedure spi_master_transmit_and_check( constant tx_data : in t_slv_array; constant data_exp : in t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- spi_master_transmit ------------------------------------------ -- This procedure transmits data 'tx_data' to the SPI DUT -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_master_transmit( constant tx_data : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); -- Multi-word procedure spi_master_transmit( constant tx_data : in t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- spi_master_receive ------------------------------------------ -- This procedure receives data 'rx_data' from the SPI DUT -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_master_receive( variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); -- Multi-word procedure spi_master_receive( variable rx_data : out t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- spi_master_check ------------------------------------------ -- This procedure receives an SPI transaction, and compares the read data -- to the expected data in 'data_exp'. -- If the read data is inconsistent with the expected data, an alert with -- severity 'alert_level' is triggered. -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_master_check( constant data_exp : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); -- Multi-word procedure spi_master_check( constant data_exp : in t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- spi_slave_transmit_and_receive ------------------------------------------ -- This procedure transmits data 'tx_data' to the SPI master DUT -- and receives 'rx_data' from the SPI master DUT. procedure spi_slave_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal sclk : inout std_logic; signal ss_n : inout std_logic; signal mosi : inout std_logic; signal miso : inout std_logic; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure ); ------------------------------------------ -- spi_slave_transmit_and_receive ------------------------------------------ -- This procedure transmits data 'tx_data' to the SPI master DUT -- and receives 'rx_data' from the SPI master DUT. -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_slave_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure ); -- Multi-word procedure spi_slave_transmit_and_receive ( constant tx_data : in t_slv_array; variable rx_data : out t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure ); ------------------------------------------ -- spi_slave_transmit_and_check ------------------------------------------ -- This procedure ... -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_slave_transmit_and_check( constant tx_data : in std_logic_vector; constant data_exp : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); -- Multi-word procedure spi_slave_transmit_and_check( constant tx_data : in t_slv_array; constant data_exp : in t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- spi_slave_transmit ------------------------------------------ -- This procedure transmits data 'tx_data' to the SPI DUT -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_slave_transmit ( constant tx_data : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); -- Multi-word procedure spi_slave_transmit ( constant tx_data : in t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- spi_slave_receive ------------------------------------------ -- This procedure receives data 'rx_data' from the SPI DUT -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_slave_receive ( variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); -- Multi-word procedure spi_slave_receive ( variable rx_data : out t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); ------------------------------------------ -- spi_slave_check ------------------------------------------ -- This procedure receives an SPI transaction, and compares the read data -- to the expected data in 'data_exp'. -- If the read data is inconsistent with the expected data, an alert with -- severity 'alert_level' is triggered. -- The SPI interface in this procedure is given as a t_spi_if signal record procedure spi_slave_check ( constant data_exp : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); -- Multi-word procedure spi_slave_check ( constant data_exp : in t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ); end package spi_bfm_pkg; --================================================================================================= --================================================================================================= package body spi_bfm_pkg is --------------------------------------------------------------------------------- -- initialize spi to dut signals --------------------------------------------------------------------------------- function init_spi_if_signals ( constant config : in t_spi_bfm_config; constant master_mode : in boolean := true ) return t_spi_if is variable result : t_spi_if; begin if master_mode then result.ss_n := 'H'; if (config.CPOL) then result.sclk := 'H'; else result.sclk := 'L'; end if; else result.ss_n := 'Z'; result.sclk := 'Z'; end if; result.mosi := 'Z'; result.miso := 'Z'; return result; end function; --------------------------------------------------------------------------------- -- spi_master_transmit_and_receive -- -- alert if size of tx_data or rx_data doesn't -- match with how long ss_n is kept low --------------------------------------------------------------------------------- procedure spi_master_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal sclk : inout std_logic; signal ss_n : inout std_logic; signal mosi : inout std_logic; signal miso : inout std_logic; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure ) is constant local_proc_name : string := "spi_master_transmit_and_receive"; constant local_proc_call : string := local_proc_name; constant C_ACCESS_SIZE : integer := tx_data'length; -- Helper variables variable v_access_done : boolean := false; variable v_tx_count : integer := 0; variable v_tx_data : std_logic_vector(tx_data'length-1 downto 0) := tx_data; variable v_rx_data : std_logic_vector(rx_data'length-1 downto 0) := (others => 'X'); variable v_rx_count : integer := 1; variable v_proc_call : line; variable v_multi_word_transfer_in_progress : boolean := false; begin -- check whether config.spi_bit_time was set check_value(config.spi_bit_time /= -1 ns, TB_ERROR, "SPI Bit time was not set in config. " & add_msg_delimiter(msg), C_SCOPE, ID_NEVER, msg_id_panel); if ext_proc_call = "" then -- Called directly from sequencer/VVC, log 'spi_master_transmit_and_receive...' write(v_proc_call, local_proc_call); else -- Called from another BFM procedure, log 'ext_proc_call while executing spi_master_transmit_and_receive...' write(v_proc_call, ext_proc_call & " while executing " & local_proc_name); end if; -- Detect if we have an ongoing multi-word transfer if ss_n = '0' then v_multi_word_transfer_in_progress := true; end if; sclk <= config.CPOL; ss_n <= '0'; wait for 0 ns; -- wait a delta cycle if ss_n = '0' then -- set MOSI together with SS_N when CPHA=0 if not config.CPHA then mosi <= v_tx_data(C_ACCESS_SIZE- v_tx_count - 1); v_tx_count := v_tx_count + 1; end if; -- Decide delay before initial SCLK edge if not v_multi_word_transfer_in_progress then wait for config.ss_n_to_sclk; else wait for config.spi_bit_time/2; end if; sclk <= not config.CPOL; -- serially shift out v_tx_data to mosi -- serially shift in v_rx_data from miso while ss_n = '0' and not v_access_done loop if not config.CPHA then v_rx_data(C_ACCESS_SIZE-v_rx_count) := miso; wait for config.spi_bit_time/2; sclk <= config.CPOL; mosi <= v_tx_data(C_ACCESS_SIZE-v_tx_count-1); else -- config.CPHA mosi <= v_tx_data(C_ACCESS_SIZE-v_tx_count-1); wait for config.spi_bit_time/2; sclk <= config.CPOL; v_rx_data(C_ACCESS_SIZE-v_rx_count) := miso; end if; if v_tx_count < C_ACCESS_SIZE-1 then -- Not done v_rx_count := v_rx_count + 1; v_tx_count := v_tx_count + 1; wait for config.spi_bit_time/2; sclk <= not config.CPOL; else -- Final bit if not config.CPHA then v_rx_count := v_rx_count + 1; -- Sample Last bit on the second to last edge of SCLK (CPOL=0: last rising. CPOL=1: last falling) wait for config.spi_bit_time/2; v_rx_data(C_ACCESS_SIZE-v_rx_count) := miso; sclk <= not config.CPOL; end if; log(config.id_for_bfm, v_proc_call.all & "=> " & to_string(v_tx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & " completed. " & add_msg_delimiter(msg), scope, msg_id_panel); v_access_done := true; end if; end loop; -- Clock the last bit if not config.CPHA then wait for config.spi_bit_time/2; sclk <= config.CPOL; end if; -- Determine if single- or multi-word transfer if action_when_transfer_is_done = RELEASE_LINE_AFTER_TRANSFER then wait for config.sclk_to_ss_n; mosi <= 'Z'; ss_n <= '1'; wait for config.inter_word_delay; else -- action_when_transfer_is_done = HOLD_LINE_AFTER_TRANSFER ss_n <= '0'; end if; wait for 0 ns; -- delta cycle if (v_tx_count /= C_ACCESS_SIZE-1) or (v_rx_count /= C_ACCESS_SIZE) then alert(note, " v_tx_count /= C_ACCESS_SIZE-1 or v_rx_count /= C_ACCESS_SIZE then"); alert(note, to_string(v_tx_count) & " /= " & to_string(C_ACCESS_SIZE-1) & " or" &to_string(v_rx_count) & " /= " & to_string(C_ACCESS_SIZE)); alert(note, local_proc_name & " ss_n not kept low for v_tx_data size duration"); else rx_data := v_rx_data; end if; else alert(error, local_proc_name & " ss_n not low when expected."); end if; if ext_proc_call = "" then log(config.id_for_bfm, v_proc_call.all & "=> Transmitted: " & to_string(v_tx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". Received: " & to_string(v_rx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else -- Log will be handled by calling procedure (e.g. spi_master_transmit_and_check) end if; DEALLOCATE(v_proc_call); end procedure; -- Single-word procedure spi_master_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure ) is begin spi_master_transmit_and_receive(tx_data, rx_data, msg, spi_if.sclk, spi_if.ss_n, spi_if.mosi, spi_if.miso, action_when_transfer_is_done, scope, msg_id_panel, config, ext_proc_call); end procedure; -- Multi-word procedure spi_master_transmit_and_receive ( constant tx_data : in t_slv_array; variable rx_data : out t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure ) is variable v_action_when_transfer_is_done : t_action_when_transfer_is_done; -- between words and after transfer begin -- Check length of tx_data and rx_data if tx_data'length /= rx_data'length then alert(error, ext_proc_call & " tx_data and rx_data have different sizes."); end if; for idx in 0 to (tx_data'length-1) loop case action_between_words is when RELEASE_LINE_BETWEEN_WORDS => if idx < tx_data'length-1 then v_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; else v_action_when_transfer_is_done := action_when_transfer_is_done; end if; when others => -- HOLD_LINE_BETWEEN_WORDS if idx < tx_data'length-1 then v_action_when_transfer_is_done := HOLD_LINE_AFTER_TRANSFER; else v_action_when_transfer_is_done := action_when_transfer_is_done; end if; end case; -- call single-word procedure spi_master_transmit_and_receive(tx_data(idx), rx_data(idx), msg, spi_if, v_action_when_transfer_is_done, scope, msg_id_panel, config, ext_proc_call); end loop; end procedure; --------------------------------------------------------------------------------- -- spi_master_transmit_and_check --------------------------------------------------------------------------------- procedure spi_master_transmit_and_check( constant tx_data : in std_logic_vector; constant data_exp : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_master_transmit_and_check"; constant local_proc_call : string := local_proc_name; -- Helper variables variable v_rx_data : std_logic_vector(data_exp'length-1 downto 0); variable v_check_ok : boolean := true; variable v_alert_radix : t_radix; begin spi_master_transmit_and_receive(tx_data, v_rx_data, msg, spi_if, action_when_transfer_is_done, scope, msg_id_panel, config, local_proc_call); for i in data_exp'range loop -- Allow don't care in expected value and use match strictness from config for comparison if data_exp(i) = '-' or check_value(v_rx_data(i), data_exp(i), config.match_strictness, NO_ALERT, msg, scope, ID_NEVER) then v_check_ok := true; else v_check_ok := false; exit; end if; end loop; if not v_check_ok then -- Use binary representation when mismatch is due to weak signals v_alert_radix := BIN when config.match_strictness = MATCH_EXACT and check_value(v_rx_data, data_exp, MATCH_STD, NO_ALERT, msg, scope, HEX_BIN_IF_INVALID, KEEP_LEADING_0, ID_NEVER) else HEX; alert(alert_level, local_proc_call & "=> Failed. Was " & to_string(v_rx_data, v_alert_radix, AS_IS, INCL_RADIX) & ". Expected " & to_string(data_exp, v_alert_radix, AS_IS, INCL_RADIX) & "." & LF & add_msg_delimiter(msg), scope); else log(config.id_for_bfm, local_proc_call & "=> OK, read data = " & to_string(v_rx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); end if; end procedure; -- Multi-word procedure spi_master_transmit_and_check( constant tx_data : in t_slv_array; constant data_exp : in t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_master_transmit_and_check"; constant local_proc_call : string := local_proc_name; variable v_action_when_transfer_is_done : t_action_when_transfer_is_done; -- between words and after transfer begin -- Check length of tx_data and data_exp if tx_data'length /= data_exp'length then alert(error, local_proc_call & " tx_data and data_exp have different sizes."); end if; for idx in 0 to (tx_data'length-1) loop case action_between_words is when RELEASE_LINE_BETWEEN_WORDS => if idx < tx_data'length-1 then v_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; else v_action_when_transfer_is_done := action_when_transfer_is_done; end if; when others => -- HOLD_LINE_BETWEEN_WORDS if idx < tx_data'length-1 then v_action_when_transfer_is_done := HOLD_LINE_AFTER_TRANSFER; else v_action_when_transfer_is_done := action_when_transfer_is_done; end if; end case; -- call single-word procedure spi_master_transmit_and_check(tx_data(idx), data_exp(idx), msg, spi_if, alert_level, v_action_when_transfer_is_done, scope, msg_id_panel, config); end loop; end procedure; --------------------------------------------------------------------------------- -- spi_master_transmit --------------------------------------------------------------------------------- procedure spi_master_transmit( constant tx_data : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_master_transmit"; constant local_proc_call : string := local_proc_name; -- Helper variables variable v_rx_data : std_logic_vector(tx_data'length - 1 downto 0); begin spi_master_transmit_and_receive(tx_data, v_rx_data, msg, spi_if, action_when_transfer_is_done, scope, msg_id_panel, config, local_proc_call); end procedure; -- Multi-word procedure spi_master_transmit( constant tx_data : in t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is variable v_action_when_transfer_is_done : t_action_when_transfer_is_done; -- between words and after transfer begin for idx in 0 to (tx_data'length-1) loop case action_between_words is when RELEASE_LINE_BETWEEN_WORDS => if idx < tx_data'length-1 then v_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; else v_action_when_transfer_is_done := action_when_transfer_is_done; end if; when others => -- HOLD_LINE_BETWEEN_WORDS if idx < tx_data'length-1 then v_action_when_transfer_is_done := HOLD_LINE_AFTER_TRANSFER; else v_action_when_transfer_is_done := action_when_transfer_is_done; end if; end case; -- call single-word procedure spi_master_transmit(tx_data(idx), msg, spi_if, v_action_when_transfer_is_done, scope, msg_id_panel, config); end loop; end procedure; --------------------------------------------------------------------------------- -- spi_master_receive --------------------------------------------------------------------------------- procedure spi_master_receive( variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_master_receive"; constant local_proc_call : string := local_proc_name; -- Helper variables variable v_tx_data : std_logic_vector(rx_data'length - 1 downto 0) := (others => '0'); begin spi_master_transmit_and_receive(v_tx_data, rx_data, msg, spi_if, action_when_transfer_is_done, scope, msg_id_panel, config, local_proc_call); end procedure; -- Multi-word procedure spi_master_receive( variable rx_data : out t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is variable v_action_when_transfer_is_done : t_action_when_transfer_is_done; -- between words and after transfer begin for idx in 0 to (rx_data'length-1) loop case action_between_words is when RELEASE_LINE_BETWEEN_WORDS => if idx < rx_data'length-1 then v_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; else v_action_when_transfer_is_done := action_when_transfer_is_done; end if; when others => -- HOLD_LINE_BETWEEN_WORDS if idx < rx_data'length-1 then v_action_when_transfer_is_done := HOLD_LINE_AFTER_TRANSFER; else v_action_when_transfer_is_done := action_when_transfer_is_done; end if; end case; -- call single-word procedure spi_master_receive(rx_data(idx), msg, spi_if, v_action_when_transfer_is_done, scope, msg_id_panel, config); end loop; end procedure; --------------------------------------------------------------------------------- -- spi_master_check --------------------------------------------------------------------------------- procedure spi_master_check( constant data_exp : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_master_check"; constant local_proc_call : string := local_proc_name; -- Helper variables variable v_tx_data : std_logic_vector(data_exp'length - 1 downto 0) := (others => '0'); variable v_rx_data : std_logic_vector(data_exp'length-1 downto 0); variable v_check_ok : boolean := true; variable v_alert_radix : t_radix; begin spi_master_transmit_and_receive(v_tx_data, v_rx_data, msg, spi_if, action_when_transfer_is_done, scope, msg_id_panel, config, local_proc_call); for i in data_exp'range loop -- Allow don't care in expected value and use match strictness from config for comparison if data_exp(i) = '-' or check_value(v_rx_data(i), data_exp(i), config.match_strictness, NO_ALERT, msg, scope, ID_NEVER) then v_check_ok := true; else v_check_ok := false; exit; end if; end loop; if not v_check_ok then -- Use binary representation when mismatch is due to weak signals v_alert_radix := BIN when config.match_strictness = MATCH_EXACT and check_value(v_rx_data, data_exp, MATCH_STD, NO_ALERT, msg, scope, HEX_BIN_IF_INVALID, KEEP_LEADING_0, ID_NEVER) else HEX; alert(alert_level, local_proc_call & "=> Failed. Was " & to_string(v_rx_data, v_alert_radix, AS_IS, INCL_RADIX) & ". Expected " & to_string(data_exp, v_alert_radix, AS_IS, INCL_RADIX) & "." & LF & add_msg_delimiter(msg), scope); else log(config.id_for_bfm, local_proc_call & "=> OK, read data = " & to_string(v_rx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); end if; end procedure; -- Multi-word procedure spi_master_check( constant data_exp : in t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is variable v_action_when_transfer_is_done : t_action_when_transfer_is_done; -- between words and after transfer begin for idx in 0 to (data_exp'length-1) loop case action_between_words is when RELEASE_LINE_BETWEEN_WORDS => if idx < data_exp'length-1 then v_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; else v_action_when_transfer_is_done := action_when_transfer_is_done; end if; when others => -- HOLD_LINE_BETWEEN_WORDS if idx < data_exp'length-1 then v_action_when_transfer_is_done := HOLD_LINE_AFTER_TRANSFER; else v_action_when_transfer_is_done := action_when_transfer_is_done; end if; end case; -- call single-word procedure spi_master_check(data_exp(idx), msg, spi_if, alert_level, v_action_when_transfer_is_done, scope, msg_id_panel, config); end loop; end procedure; --------------------------------------------------------------------------------- -- spi_slave_transmit_and_receive -- --------------------------------------------------------------------------------- procedure spi_slave_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal sclk : inout std_logic; signal ss_n : inout std_logic; signal mosi : inout std_logic; signal miso : inout std_logic; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure ) is -- Local_proc_name/call used if called from sequencer or VVC constant local_proc_name : string := "spi_slave_transmit_and_receive"; constant local_proc_call : string := local_proc_name; constant C_ACCESS_SIZE : integer := rx_data'length; -- Helper variables variable v_rx_data : std_logic_vector(rx_data'range) := (others => 'X'); variable bfm_tx_data : std_logic_vector(tx_data'length-1 downto 0) := tx_data; variable v_access_done : boolean := false; variable v_tx_count : integer := 0; variable v_rx_count : integer := 1; variable v_proc_call : line; begin -- check whether config.spi_bit_time was set check_value(config.spi_bit_time /= -1 ns, TB_ERROR, "SPI Bit time was not set in config. " & add_msg_delimiter(msg), C_SCOPE, ID_NEVER, msg_id_panel); if ext_proc_call = "" then -- Called directly from sequencer/VVC, log 'spi_slave_transmit_and_receive...' write(v_proc_call, local_proc_call); else -- Called from another BFM procedure, log 'ext_proc_call while executing spi_slave_transmit_and_receive...' write(v_proc_call, ext_proc_call & " while executing " & local_proc_name); end if; -- Await for master to drive SS_N and SCLK if (ss_n /= '0') then -- master not acvtive wait until (ss_n = '0'); elsif (ss_n = '0') then -- master active case when_to_start_transfer is when START_TRANSFER_ON_NEXT_SS => if (ss_n = '0') and (ss_n'last_active > 0 ns) then wait until (ss_n = '0') and (ss_n'last_active <= 0 ns); end if; when others => -- START_TRANSFER_IMMEDIATE null; end case; end if; if ss_n = '0' then -- set MISO together with SS_N when CPHA=0 if not config.CPHA then miso <= bfm_tx_data(C_ACCESS_SIZE - v_tx_count - 1); v_tx_count := v_tx_count + 1; end if; -- Await first clock edge if sclk = config.CPOL then wait until sclk = not(config.CPOL); end if; -- Receive bits while (ss_n = '0') and not(v_access_done) loop if not config.CPHA then v_rx_data(C_ACCESS_SIZE - v_rx_count) := mosi; wait until sclk'event and sclk = config.CPOL; miso <= bfm_tx_data(C_ACCESS_SIZE - v_tx_count - 1); else -- config.CPHA miso <= bfm_tx_data(C_ACCESS_SIZE - v_tx_count - 1); wait until sclk'event and sclk = config.CPOL; v_rx_data(C_ACCESS_SIZE - v_rx_count) := mosi; end if; if (v_tx_count < (C_ACCESS_SIZE-1)) and (v_rx_count < C_ACCESS_SIZE) then wait until sclk'event and sclk = not(config.CPOL); v_tx_count := v_tx_count + 1; v_rx_count := v_rx_count + 1; else if not config.CPHA then wait until sclk'event and sclk = not(config.CPOL); end if; v_access_done := true; end if; end loop; end if; -- Sample last bit if not config.CPHA then v_rx_count := v_rx_count + 1; v_rx_data(C_ACCESS_SIZE - v_rx_count) := mosi; wait until sclk'event and sclk = config.CPOL; end if; if (v_tx_count < C_ACCESS_SIZE-1) then alert(error, v_proc_call.all & " ss_n not kept active for tx_data size duration " & add_msg_delimiter(msg), scope); elsif (v_rx_count < C_ACCESS_SIZE) then alert(error, v_proc_call.all & " ss_n not kept active for rx_data size duration " & add_msg_delimiter(msg), scope); else rx_data := v_rx_data; end if; -- Await for master to finish wait until (mosi = 'Z') for config.ss_n_to_sclk; miso <= 'Z'; if ext_proc_call = "" then log(config.id_for_bfm, local_proc_call & "=> " & to_string(v_rx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & " rx completed. " & add_msg_delimiter(msg), scope, msg_id_panel); log(config.id_for_bfm, local_proc_call & "=> " & to_string(bfm_tx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & " tx completed. " & add_msg_delimiter(msg), scope, msg_id_panel); else -- Log will be handled by calling procedure (e.g. spi_master_transmit_and_check) end if; DEALLOCATE(v_proc_call); end procedure; procedure spi_slave_transmit_and_receive ( constant tx_data : in std_logic_vector; variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure ) is begin spi_slave_transmit_and_receive(tx_data, rx_data, msg, spi_if.sclk, spi_if.ss_n, spi_if.mosi, spi_if.miso, when_to_start_transfer, scope, msg_id_panel, config, ext_proc_call); end procedure; -- Multi-word procedure spi_slave_transmit_and_receive ( constant tx_data : in t_slv_array; variable rx_data : out t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT; constant ext_proc_call : in string := "" -- External proc_call. Overwrite if called from another BFM procedure ) is begin -- Check length of tx_data and rx_data if tx_data'length /= rx_data'length then alert(error, ext_proc_call & "tx_data and rx_data have different sizes."); end if; for idx in 0 to (tx_data'length-1) loop spi_slave_transmit_and_receive(tx_data(idx), rx_data(idx), msg, spi_if, when_to_start_transfer, scope, msg_id_panel, config, ext_proc_call); end loop; end procedure; ------------------------------------------ -- spi_slave_transmit_and_check ------------------------------------------ procedure spi_slave_transmit_and_check( constant tx_data : in std_logic_vector; constant data_exp : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_slave_transmit_and_check"; constant local_proc_call : string := local_proc_name & "(" & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & ")"; -- Helper variables variable v_rx_data : std_logic_vector(data_exp'length-1 downto 0); variable v_check_ok : boolean := true; variable v_alert_radix : t_radix; begin spi_slave_transmit_and_receive(tx_data, v_rx_data, msg, spi_if, when_to_start_transfer, scope, msg_id_panel, config, local_proc_call); for i in data_exp'range loop -- Allow don't care in expected value and use match strictness from config for comparison if data_exp(i) = '-' or check_value(v_rx_data(i), data_exp(i), config.match_strictness, NO_ALERT, msg, scope, ID_NEVER) then v_check_ok := true; else v_check_ok := false; exit; end if; end loop; if not v_check_ok then -- Use binary representation when mismatch is due to weak signals v_alert_radix := BIN when config.match_strictness = MATCH_EXACT and check_value(v_rx_data, data_exp, MATCH_STD, NO_ALERT, msg, scope, HEX_BIN_IF_INVALID, KEEP_LEADING_0, ID_NEVER) else HEX; alert(alert_level, local_proc_call & "=> Failed. Was " & to_string(v_rx_data, v_alert_radix, AS_IS, INCL_RADIX) & ". Expected " & to_string(data_exp, v_alert_radix, AS_IS, INCL_RADIX) & "." & LF & add_msg_delimiter(msg), scope); else log(config.id_for_bfm, local_proc_call & "=> OK, read data = " & to_string(v_rx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); end if; end; -- Multi-word procedure spi_slave_transmit_and_check( constant tx_data : in t_slv_array; constant data_exp : in t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant loc_proc_call : string := "spi_slave_transmit_and_check"; -- External proc_call; overwrite if called from other BFM procedure like spi_*_check begin -- Check length of tx_data and rx_data if tx_data'length /= data_exp'length then alert(error, loc_proc_call & " tx_data and data_exp have different sizes."); end if; for idx in 0 to (tx_data'length-1) loop -- call single-word procedure - will handle error checking spi_slave_transmit_and_check(tx_data(idx), data_exp(idx), msg, spi_if, alert_level, when_to_start_transfer, scope, msg_id_panel, config); end loop; end; --------------------------------------------------------------------------------- -- spi_slave_transmit --------------------------------------------------------------------------------- procedure spi_slave_transmit( constant tx_data : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_slave_transmit"; constant local_proc_call : string := local_proc_name & "(" & to_string(tx_data, HEX, AS_IS, INCL_RADIX) & ")"; -- Helper variables variable v_rx_data : std_logic_vector(tx_data'length-1 downto 0); -- := (others => '0'); begin spi_slave_transmit_and_receive(tx_data, v_rx_data, msg, spi_if, when_to_start_transfer, scope, msg_id_panel, config, local_proc_call); end procedure; -- Multi-word procedure spi_slave_transmit( constant tx_data : in t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_slave_transmit"; constant local_proc_call : string := local_proc_name & "(" & to_string(tx_data, HEX, AS_IS, INCL_RADIX) & ")"; -- Helper variables variable v_tx_data : t_slv_array(tx_data'length-1 downto 0)(tx_data(0)'length-1 downto 0) := (others => (others => '0')); begin -- call multi-word procedure spi_slave_transmit_and_receive(tx_data, v_tx_data, msg, spi_if, when_to_start_transfer, scope, msg_id_panel, config, local_proc_call); end procedure; --------------------------------------------------------------------------------- -- spi_slave_receive --------------------------------------------------------------------------------- procedure spi_slave_receive ( variable rx_data : out std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_slave_receive"; constant local_proc_call : string := local_proc_name & "(" & to_string(rx_data, HEX, AS_IS, INCL_RADIX) & ")"; -- Helper variables variable v_tx_data : std_logic_vector(rx_data'length-1 downto 0) := (others => '0'); begin spi_slave_transmit_and_receive(v_tx_data, rx_data, msg, spi_if, when_to_start_transfer, scope, msg_id_panel, config, local_proc_call); end; -- Multi-word procedure spi_slave_receive ( variable rx_data : out t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_slave_receive"; constant local_proc_call : string := local_proc_name & "(" & to_string(rx_data, HEX, AS_IS, INCL_RADIX) & ")"; -- Helper variables variable v_rx_data : t_slv_array(rx_data'length-1 downto 0)(rx_data(0)'length-1 downto 0) := (others => (others => '0')); begin -- call multi-word procedure spi_slave_transmit_and_receive(v_rx_data, rx_data, msg, spi_if, when_to_start_transfer, scope, msg_id_panel, config, local_proc_call); end; --------------------------------------------------------------------------------- -- spi_slave_check --------------------------------------------------------------------------------- procedure spi_slave_check ( constant data_exp : in std_logic_vector; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is constant local_proc_name : string := "spi_slave_check"; constant local_proc_call : string := local_proc_name & "(" & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & ")"; -- Helper variables variable v_rx_data : std_logic_vector(data_exp'length-1 downto 0) := (others => 'X'); variable v_tx_data : std_logic_vector(data_exp'length-1 downto 0) := (others => '0'); variable v_check_ok : boolean := true; variable v_alert_radix : t_radix; begin spi_slave_transmit_and_receive(v_tx_data, v_rx_data, msg, spi_if, when_to_start_transfer, scope, msg_id_panel, config, local_proc_call); for i in data_exp'range loop -- Allow don't care in expected value and use match strictness from config for comparison if data_exp(i) = '-' or check_value(v_rx_data(i), data_exp(i), config.match_strictness, NO_ALERT, msg, scope, ID_NEVER) then v_check_ok := true; else v_check_ok := false; exit; end if; end loop; if not v_check_ok then -- Use binary representation when mismatch is due to weak signals v_alert_radix := BIN when config.match_strictness = MATCH_EXACT and check_value(v_rx_data, data_exp, MATCH_STD, NO_ALERT, msg, scope, HEX_BIN_IF_INVALID, KEEP_LEADING_0, ID_NEVER) else HEX; alert(alert_level, local_proc_call & "=> Failed. Was " & to_string(v_rx_data, v_alert_radix, AS_IS, INCL_RADIX) & ". Expected " & to_string(data_exp, v_alert_radix, AS_IS, INCL_RADIX) & "." & LF & add_msg_delimiter(msg), scope); else log(config.id_for_bfm, local_proc_call & "=> OK, read data = " & to_string(v_rx_data, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); end if; end procedure; -- Multi-word procedure spi_slave_check ( constant data_exp : in t_slv_array; constant msg : in string; signal spi_if : inout t_spi_if; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_spi_bfm_config := C_SPI_BFM_CONFIG_DEFAULT ) is begin for idx in 0 to (data_exp'length-1) loop -- call singl-word procedure - will handle error check spi_slave_check(data_exp(idx), msg, spi_if, alert_level, when_to_start_transfer, scope, msg_id_panel, config); end loop; end procedure; end package body spi_bfm_pkg;
mit
17124b77e8b1ed15a9fe2b8ce11fb348
0.510055
4.034086
false
true
false
false
UVVM/uvvm_vvc_framework
bitvis_vip_spi/src/vvc_methods_pkg.vhd
1
61,035
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; use work.spi_bfm_pkg.all; use work.vvc_cmd_pkg.all; use work.td_vvc_framework_common_methods_pkg.all; use work.td_target_support_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_methods_pkg is --=============================================================================================== -- Types and constants for the SPI VVC --=============================================================================================== constant C_VVC_NAME : string := "SPI_VVC"; signal SPI_VVCT : t_vvc_target_record := set_vvc_target_defaults(C_VVC_NAME); alias THIS_VVCT : t_vvc_target_record is SPI_VVCT; alias t_bfm_config is t_spi_bfm_config; constant C_SPI_INTER_BFM_DELAY_DEFAULT : t_inter_bfm_delay := ( delay_type => NO_DELAY, delay_in_time => 0 ns, inter_bfm_delay_violation_severity => warning ); type t_vvc_config is record inter_bfm_delay : t_inter_bfm_delay; -- Minimum delay between BFM accesses from the VVC. If parameter delay_type is set to NO_DELAY, BFM accesses will be back to back, i.e. no delay. cmd_queue_count_max : natural; -- Maximum pending number in command queue before queue is full. Adding additional commands will result in an ERROR. cmd_queue_count_threshold : natural; -- An alert with severity 'cmd_queue_count_threshold_severity' will be issued if command queue exceeds this count. Used for early warning if command queue is almost full. Will be ignored if set to 0. cmd_queue_count_threshold_severity : t_alert_level; -- Severity of alert to be initiated if exceeding cmd_queue_count_threshold result_queue_count_max : natural; -- Maximum number of unfetched results before result_queue is full. result_queue_count_threshold_severity : t_alert_level; -- An alert with severity 'result_queue_count_threshold_severity' will be issued if command queue exceeds this count. Used for early warning if result queue is almost full. Will be ignored if set to 0. result_queue_count_threshold : natural; -- Severity of alert to be initiated if exceeding result_queue_count_threshold bfm_config : t_spi_bfm_config; -- Configuration for the BFM. See BFM quick reference msg_id_panel : t_msg_id_panel; -- VVC dedicated message ID panel end record; type t_vvc_config_array is array (natural range <>) of t_vvc_config; constant C_SPI_VVC_CONFIG_DEFAULT : t_vvc_config := ( inter_bfm_delay => C_SPI_INTER_BFM_DELAY_DEFAULT, cmd_queue_count_max => C_CMD_QUEUE_COUNT_MAX, cmd_queue_count_threshold_severity => C_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY, cmd_queue_count_threshold => C_CMD_QUEUE_COUNT_THRESHOLD, result_queue_count_max => C_RESULT_QUEUE_COUNT_MAX, result_queue_count_threshold_severity => C_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY, result_queue_count_threshold => C_RESULT_QUEUE_COUNT_THRESHOLD, bfm_config => C_SPI_BFM_CONFIG_DEFAULT, msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT ); type t_vvc_status is record current_cmd_idx : natural; previous_cmd_idx : natural; pending_cmd_cnt : natural; end record; type t_vvc_status_array is array (natural range <>) of t_vvc_status; constant C_VVC_STATUS_DEFAULT : t_vvc_status := ( current_cmd_idx => 0, previous_cmd_idx => 0, pending_cmd_cnt => 0 ); -- Transaction information for the wave view during simulation type t_transaction_info is record operation : t_operation; msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); tx_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); rx_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); num_words : natural; word_length : natural; end record; type t_transaction_info_array is array (natural range <>) of t_transaction_info; constant C_TRANSACTION_INFO_DEFAULT : t_transaction_info := ( tx_data => (others => (others => '0')), rx_data => (others => (others => '0')), data_exp => (others => (others => '0')), num_words => 0, word_length => 0, operation => NO_OPERATION, msg => (others => ' ') ); shared variable shared_spi_vvc_config : t_vvc_config_array(0 to C_MAX_VVC_INSTANCE_NUM) := (others => C_SPI_VVC_CONFIG_DEFAULT); shared variable shared_spi_vvc_status : t_vvc_status_array(0 to C_MAX_VVC_INSTANCE_NUM) := (others => C_VVC_STATUS_DEFAULT); shared variable shared_spi_transaction_info : t_transaction_info_array(0 to C_MAX_VVC_INSTANCE_NUM) := (others => C_TRANSACTION_INFO_DEFAULT); --============================================================================== -- Methods dedicated to this VVC -- - These procedures are called from the testbench in order to queue BFM calls -- in the VVC command queue. The VVC will store and forward these calls to the -- SPI BFM when the command is at the from of the VVC command queue. -- - For details on how the BFM procedures work, see spi_bfm_pkg.vhd or the -- quickref. --============================================================================== ---------------------------------------------------------- -- SPI_MASTER ---------------------------------------------------------- -- Single-word procedure spi_master_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER ); -- Multi-word procedure spi_master_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS ); -- Single-word procedure spi_master_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER ); -- Multi-word procedure spi_master_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS ); -- Single-word procedure spi_master_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER ); -- Multi-word procedure spi_master_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS ); procedure spi_master_receive_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string; constant num_words : in positive := 1; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS ); -- Single-word procedure spi_master_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER ); -- Multi-word procedure spi_master_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS ); ---------------------------------------------------------- -- SPI_SLAVE ---------------------------------------------------------- -- Single-word procedure spi_slave_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ); -- Multi-word procedure spi_slave_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ); -- Single-word procedure spi_slave_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ); -- Multi-word procedure spi_slave_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ); -- Single-word procedure spi_slave_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ); -- Multi-word procedure spi_slave_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ); procedure spi_slave_receive_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string; constant num_words : in positive := 1; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ); -- Single-word procedure spi_slave_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ); -- Multi-word procedure spi_slave_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ); end package vvc_methods_pkg; package body vvc_methods_pkg is --============================================================================== -- Methods dedicated to this VVC -- Notes: -- - shared_vvc_cmd is initialised to C_VVC_CMD_DEFAULT, and also reset to this after every command --============================================================================== ---------------------------------------------------------- -- SPI_MASTER ---------------------------------------------------------- -- Single-word procedure spi_master_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data'length; variable v_num_words : natural := 1; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize v_normalized_data(0) := normalize_and_check(data, shared_vvc_cmd.data(0), ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- Locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_TRANSMIT_AND_RECEIVE); shared_vvc_cmd.data(0)(v_word_length-1 downto 0) := v_normalized_data(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.action_between_words := RELEASE_LINE_BETWEEN_WORDS; send_command_to_vvc(VVCT); end procedure; -- Multi-word procedure spi_master_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data(0)'length; variable v_num_words : natural := data'length; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize v_normalized_data := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- Locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_TRANSMIT_AND_RECEIVE); shared_vvc_cmd.data := v_normalized_data; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.action_between_words := action_between_words; send_command_to_vvc(VVCT); end procedure; -- Single-word procedure spi_master_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data'length; variable v_num_words : natural := 1; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize to t_slv_array v_normalized_data(0) := normalize_and_check(data, shared_vvc_cmd.data(0), ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); v_normalized_data_exp(0) := normalize_and_check(data_exp, shared_vvc_cmd.data_exp(0), ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- Locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_TRANSMIT_AND_CHECK); shared_vvc_cmd.data(0)(v_word_length-1 downto 0) := v_normalized_data(0)(v_word_length-1 downto 0); shared_vvc_cmd.data_exp(0)(v_word_length-1 downto 0) := v_normalized_data_exp(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.alert_level := alert_level; send_command_to_vvc(VVCT); end procedure; -- Multi-word procedure spi_master_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data(0)'length; variable v_num_words : natural := data'length; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize v_normalized_data := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); v_normalized_data_exp := normalize_and_check(data_exp, shared_vvc_cmd.data_exp, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- Locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_TRANSMIT_AND_CHECK); shared_vvc_cmd.data := v_normalized_data; shared_vvc_cmd.data_exp := v_normalized_data_exp; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.action_between_words := action_between_words; shared_vvc_cmd.alert_level := alert_level; send_command_to_vvc(VVCT); end procedure; -- Single-word procedure spi_master_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data'length; variable v_num_words : natural := 1; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize to t_slv_array v_normalized_data(0) := normalize_and_check(data, shared_vvc_cmd.data(0), ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- Locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_TRANSMIT_ONLY); shared_vvc_cmd.data(0)(v_word_length-1 downto 0) := v_normalized_data(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; send_command_to_vvc(VVCT); end procedure; -- Multi-word procedure spi_master_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data(0)'length; variable v_num_words : natural := data'length; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize v_normalized_data := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- Locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_TRANSMIT_ONLY); shared_vvc_cmd.data := v_normalized_data; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.action_between_words := action_between_words; send_command_to_vvc(VVCT); end procedure; -- Single-word procedure spi_master_receive_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string; constant num_words : in positive := 1; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- Locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_RECEIVE_ONLY); shared_vvc_cmd.num_words := num_words; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.action_between_words := action_between_words; send_command_to_vvc(VVCT); end procedure; -- Single-word procedure spi_master_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data_exp'length; variable v_num_words : natural := 1; variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize to t_slv_array v_normalized_data_exp(0) := normalize_and_check(data_exp, shared_vvc_cmd.data_exp(0), ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_CHECK_ONLY); shared_vvc_cmd.data_exp(0)(v_word_length-1 downto 0) := v_normalized_data_exp(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.alert_level := alert_level; send_command_to_vvc(VVCT); end procedure; -- Multi-word procedure spi_master_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant action_when_transfer_is_done : in t_action_when_transfer_is_done := RELEASE_LINE_AFTER_TRANSFER; constant action_between_words : in t_action_between_words := HOLD_LINE_BETWEEN_WORDS ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data_exp(0)'length; variable v_num_words : natural := data_exp'length; variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize v_normalized_data_exp := normalize_and_check(data_exp, shared_vvc_cmd.data_exp, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, MASTER_CHECK_ONLY); shared_vvc_cmd.data_exp := v_normalized_data_exp; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.action_when_transfer_is_done := action_when_transfer_is_done; shared_vvc_cmd.action_between_words := action_between_words; shared_vvc_cmd.alert_level := alert_level; send_command_to_vvc(VVCT); end procedure; ---------------------------------------------------------- -- SPI_SLAVE ---------------------------------------------------------- -- Single-word procedure spi_slave_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data'length; variable v_num_words : natural := 1; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize to t_slv_array v_normalized_data(0) := normalize_and_check(data, shared_vvc_cmd.data(0), ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_TRANSMIT_AND_RECEIVE); shared_vvc_cmd.data(0)(v_word_length-1 downto 0) := v_normalized_data(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; send_command_to_vvc(VVCT); end procedure; -- Multi-word procedure spi_slave_transmit_and_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data(0)'length; variable v_num_words : natural := data'length; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize v_normalized_data := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_TRANSMIT_AND_RECEIVE); shared_vvc_cmd.data := v_normalized_data; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; send_command_to_vvc(VVCT); end procedure; -- Single-word procedure spi_slave_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data'length; variable v_num_words : natural := 1; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize to t_slv_array v_normalized_data(0) := normalize_and_check(data, shared_vvc_cmd.data(0), ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); v_normalized_data_exp(0) := normalize_and_check(data_exp, shared_vvc_cmd.data_exp(0), ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_TRANSMIT_AND_CHECK); shared_vvc_cmd.data(0)(v_word_length-1 downto 0) := v_normalized_data(0)(v_word_length-1 downto 0); shared_vvc_cmd.data_exp(0)(v_word_length-1 downto 0) := v_normalized_data_exp(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; shared_vvc_cmd.alert_level := alert_level; send_command_to_vvc(VVCT); end procedure; -- Multi-word procedure spi_slave_transmit_and_check( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data(0)'length; variable v_num_words : natural := data'length; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize v_normalized_data := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); v_normalized_data_exp := normalize_and_check(data_exp, shared_vvc_cmd.data_exp, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_TRANSMIT_AND_CHECK); shared_vvc_cmd.data := v_normalized_data; shared_vvc_cmd.data_exp := v_normalized_data_exp; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; shared_vvc_cmd.alert_level := alert_level; send_command_to_vvc(VVCT); end procedure; -- Single-word procedure spi_slave_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in std_logic_vector; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data'length; variable v_num_words : natural := 1; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize to t_slv_array v_normalized_data(0) := normalize_and_check(data, shared_vvc_cmd.data(0), ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_TRANSMIT_ONLY); shared_vvc_cmd.data(0)(v_word_length-1 downto 0) := v_normalized_data(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; send_command_to_vvc(VVCT); end procedure; -- Multi-word procedure spi_slave_transmit_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data : in t_slv_array; constant msg : in string; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data(0)'length; variable v_num_words : natural := data'length; variable v_normalized_data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize v_normalized_data := normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_TRANSMIT_ONLY); shared_vvc_cmd.data := v_normalized_data; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; send_command_to_vvc(VVCT); end procedure; -- Single-word procedure spi_slave_receive_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string; constant num_words : in positive := 1; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_RECEIVE_ONLY); shared_vvc_cmd.num_words := num_words; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; send_command_to_vvc(VVCT); end procedure; -- Single-word procedure spi_slave_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data_exp'length; variable v_num_words : natural := 1; variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize to t_slv_array v_normalized_data_exp(0) := normalize_and_check(data_exp, shared_vvc_cmd.data_exp(0), ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_CHECK_ONLY); --shared_vvc_cmd.data_exp := v_normalized_data_exp; shared_vvc_cmd.data_exp(0)(v_word_length-1 downto 0) := v_normalized_data_exp(0)(v_word_length-1 downto 0); shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; shared_vvc_cmd.alert_level := alert_level; send_command_to_vvc(VVCT); end procedure; -- Multi-word procedure spi_slave_check_only( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant when_to_start_transfer : in t_when_to_start_transfer := START_TRANSFER_ON_NEXT_SS ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) & ")"; -- Helper variable variable v_word_length : natural := data_exp(0)'length; variable v_num_words : natural := data_exp'length; variable v_normalized_data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0) := (others => (others => '0')); begin -- normalize v_normalized_data_exp := normalize_and_check(data_exp, shared_vvc_cmd.data_exp, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with to wide data. " & add_msg_delimiter(msg)); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record shared_vvc_cmd := C_VVC_CMD_DEFAULT; -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SLAVE_CHECK_ONLY); shared_vvc_cmd.data_exp := v_normalized_data_exp; shared_vvc_cmd.num_words := v_num_words; shared_vvc_cmd.word_length := v_word_length; shared_vvc_cmd.when_to_start_transfer := when_to_start_transfer; shared_vvc_cmd.alert_level := alert_level; send_command_to_vvc(VVCT); end procedure; end package body vvc_methods_pkg;
mit
6522542183cbc6e4e7ae3753875c4671
0.544212
4.129846
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_add/flt_add_logic.vhd
2
31,681
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block j6YlzKKUwhDGgiLkTYatSN/au7/2lMxSxZz0Sj/utEjtmmyKCcmKlayI2aL5Rp+GkZxOMj3fP7VL Xr7sYVBAuA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gSBoJSav6Cax+yzvxNWVuXhosTtZFh3Z+ZunVkwAH3ouLKKG5koiFp6wT8SoHOnUhojR8kFFkmv+ J2BaFcCXxKe5AwFWyvc07Yh3/E/ZEvdFy3WVfImmGxrfCTFTG3SlGb8oTnnrai7dUwhGRsVdKdzs K1vV0dTNuj1zZBnsnpA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SDWDaV1LMN4VOrX1oErX/rrqDvP9QxLGOpFVPjbWOqigZhHzZpviWhrXxgpso06moDDrOoloI+CF wHgTX+mBai2n9LEFBT89Y9CzzCQuIHd8HV/vEP5hpDhIPmuMkRKwJxZ3s/GSzEeYrpRJm7stZtwF bBoLDXe3WNLb79biL7HQF71ULsay1wgd/NS3PcERKJKwgTHUEOpQeXabe/ZuS+mkqxIg93fHxmbs btoswVqXVUixxqhazG4TMoW8SNrO1cN1Rj6B5TADUxQEuI0r3GM6HOxnHXAsg6RJijFk8xW34fbp y4Ums5qB20LfsDwaiIfbj+WRUmqA4x3lHKlqoQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZLpLFjt37eDqVHsmH/iYI9UE6aoysaDswBannapwDvX2ZsVZg+8/gLPOhlY1uDKI0ZGn6E06Qkn0 Rlqp5AI6so4qyCThvvGyjWztH/AMyEACxFWfYVDr+qFD4e7Na1zQHyMDzZms/NVJTPKuDXyu0XVa 3hErcnEwvMbF9FrI0GA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block baU/nWK8H4OP1QVG1MTofvDIGNszFXXFhttjR0ZnoHRiH9mzs24jcJG8FR6uRMggXDX7vUnFiawt KrJSTuXUKJZC6NDAC3m/sE2j8feM3I55OUiBZ3lhHCOB0dLVWGJGD0j2gbwFG4dpcOQk/1hXj2/P QU9NqTe52yQqNswt1dd1t0wcbbIsogJ5kCX2lr7W+Ka8ThYLnYxYGojBJA31eCsKhONNq1cDpJDq qgPUIf546mnVHgKSsFwtVGVj+NtUTmi1fLmTar9vLrUCu9DlONL0ijINR4AEkS2l1mspRwZ35fhp 59Zv0lSKEE0lenHdjdREeMwGXv3lY5awVkMnjg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21712) `protect data_block qumyrdia8a8/V711DlKIeELBME/s8h84QsIpzwFJg0x0JU0nsf69WuSZEr2kdReuF0DXkwWnilax VjYY6eqLdFTW8YHFUlVMGKX7UpL0BmOX9CnDIBBeHNSp6LtV+1E590ElXBsueuO4UmTh1H5VOOlQ /tY6scDIm1gWeiRJmJQ+WVxu5bpaHUD8iqLFcRPEQIEoMKldPmmEW/ULaFltPk8VGpqW6DvE5k2F 4L/8dTjwbDdANA/GXw0ntMEQjBSRyu+kGpGLc0fsZUrc2mkA/rLW0ghgkJH7EJEH15IZIzPoXUMy I6AxBOI+Mo4xBic4O+q54BahmXvmm+PeigIdsbAeKFx3IvsPgF04HHMEREFSfJBz4kP+wuGhppy5 W4R1A5kHmsT3tsR9zcj2RqlaeEwMQm8IL4JO8E77VQUrwPHnj1BKfCjRO0HYGnDqT7826hPTRcRh fbjhR+auxxKmwqn7QjoT265VWWfntiSmi4BLjbgDHqiorZpGg2A027+CV8zaeKpB7J7uOS3nKYAj GtrE7w3gtYrYv9ce6sBgHEZcz0WjIo30uEEssBRQTkfUhgdBvGaMHjT9xN1YEjHCHm1bFpNxsKq5 VzpnEiKoKZCDQB/1EZa4pVqIusldzAchS69Eb7Q+mC5S9CifavRVH1CVbXM7/TeEjk7VdspCcNmW vcpujplQaKQUfpJscjj46lhpZIUsx8DUC6007SVhXoZGgFIHGvW9TCCWWPVR3E7GdCeB8s89i4D2 /QrVbHt+cbCPLeeVlFoyjJQN9WS1fS7jKEpRYsrCqis6LiWUZo7jf8qgQd0ixFwIss383j/bJLbU 0XGThtlEIewHf0hTeKFzdkjHTYTLeZWj1B595NTsj6HQh67zVNdcvrIXhEvcYu1RDW2IxEYfQG1v TIHA4giIciDjWHHNbs2lzKfo66XXyu/kG58CTtllChxhzz1dlEPAqzZngHpWB8iZAmdNkp1NE4wB fJ35ZBop4ySlkfB19MtadjC+JY+U1h6HfDEHTlswLGwFpIc+l9d2N6ICXDC7UuCRoAJ9ugBm7DTP Y9nJwS0IQpvj/VeuAqdfiPZ/A6klQBFwOvNkEdA5o9K9kS0UGX9HduKv7VjA0REkXMA16Ih2mcq1 H38LAQ5cslE5tzbqZFDciPIrIpEpEV7MbzTGO/iP8QWbKn2ZpZIGpCHtbeG7bIoMCg3xq2c0BmZ7 7cuaFdTGTlAA73FHov6RAHc+RRkBYEOI/nGPMAkMlalE2Rh4nHh+Qc1OJnhWGjiR5l7CaxPPgc3b lfPAticRjDW2RpgCLorZfnyGmNi4rFjTvPGbnVWPzMLfurzJKCDWCnMXfIgHf/eQ2ZQNVWE4VGoe 5H1BWO5GkFoXhXgyZQlGcY0ktmwTsbXd2RWaxHycPdNXA313jUs1F6dWXNETnvkkU0ZBfKzvg9T5 IptHQdiGJ9RvjDJGzOTYm8tyHUR8lMk5rxsdLFOpsyYIvXDph4lPNuLVeZ6GqSRVaiNjtZqtmZh9 WB81wBHsC956zD36P5h6OX6HkQzIiVgCYSX2iRsZkVJdVfF/TF6HUcPFCdEpz1oayLBPjzgsiXot Gxae8GouzXnXGYn+uQf0CiGA/xOnNtf+qD2M3tSb+otO/gy47SPSLfVYiF/dwC5sn8z61YKHmWWu LG8o1ULSGWJbIWt5lMFCw1vXFAChFMU55QSyPxnDpsYGy9+J7nVi36iPmFkc86PHxH296Iv0690r cz0Cjmm/1mqevi980bkmp/voAd7Yr36lXuSSQlFvRPWTuKvF5TsL0RLLtp5NQ7EC1CdQe8rkZ9Zs GJZnLixrg2YnsdX+UfsIgVXvZSijNkBT+cVZ579r9Jtb/Mhsb7ySr9/W6K2rEMyhTTHVxbeaAx5H HC5jhb2+Ntm1fCIrAI81A+Nwga9HXPCthF0d7+GXA24EapuAKPI0V5raNIZ5gVufmN1k/yE2VT5C qRAKZv1TouuemtFATRomZa68U6KXPOnDuHPFwWgKrW5WuuDP3nvngFhdbBv9m+K6o/VtJkEz13Yx Y4QxUcaEP0BY+iqU83CcZjYZIC7oq3FCNUOEzWnIr5/eSA2sDik7HgrIJNEKElN8VlPNa1lBksCd FdsiczGhhP7C6bZ9+Nd1GuJiRU33BgD14JIPj7v0kvv9W1Jv4/6w+vTLMCzXwrL6TEmJLbiBxINQ 83UkdUh9svr4qMnK8siiRQOQ030YY4Agi/Mhf1eoitxBrFWSInJ22xBxEnbiANZZKuzaqAl9L6W0 DKYUvkV+WNZ+A9udggE6SpswzbPoNtAUkc7MWwbECYBIcrtTnmSY0Vv2OY68+669xHaiUfZJ1cC0 nldBI53XZbp26cx3sQFw7Ks9Nru42ygnEJvRDN0wYPxFU1afWwwJ/kOSqj5Nk5NxaHpW4z+QyAAi A75v2ldOOXOoGXX/8QouIm54ZN1FFh6Zx1C/a2i9rqF8HC53PjxRKejjA/wIwFVhcGOUMcHkqrc+ qMez9DBooIQVhRovkRzPNFdZcazB5+OsO6buJmPw40lo+jNnJkNY4VaPSpRvBAdVLwp6XoskFMtk iucJM3FXR6zoJ7Aq/er8WtiizvIHIHnX+Wwv84P48yyOjEvfngY8LXqz+EaHDX+d5nh8E7L336RI sTzy4gkVC8tWSRh3XQCEnK4Ad01WtsV67O2xuOnu6Kw8tNA65ZVhKAhnvA5yW+kEuwMkgMW6Fikc 1fCO8nevCoNvK1X05ayj2o82m1/UxU76Y93rj2UA+8UgpnymbtJ6wWvWFACSGTYnlXmqu8Y/P1ZY avbDKL749knjm4m2fzBOHLcuf66zBRT168v863RnQAJUlSZDqctaGSo3/l349XwPOEuetKNFgvXB W/Zf+6QZEamvdEqP29ZdT57gS9lUDk2PG8sFxspGRlAUR8bHcOmd2jyoRk13sQgu2d4PHC+wARgr MGD6ylwhF9FETKwM1ICHtUCLt0rE6slVIMmsDsJGepkHsKEmxoo1ZlkEIBUFCZ/9guxTCSmR47de UCN3FTksQAPW3t/oo3E8Wx3pOMy9ymzW1nB2tM53XSDLVLefUJcT+ZEOPOORDdU2yKug/aHV0/2N BCHgRheX63HzAJL1f1uwELlLLvkLjUdqxZoAAu3/6tdssu73cGxMNFWAeuUUfImYm75vZlRlVpmg cY6dc/iJa9iyTZ52wMifxX6WKDz0Kx4MF2j2Vseb6Ocvl3J2f2AeZTfxY058b0X5yErtZcpP6PEP GOvF/+5XB8qZhp77iJMi4AvAAEEHTraWDsK/wwG4G3b3yltTs6BGrJk2NtXxeXrpzoDM8+ExsTv/ 4V3lZwqLRwa+4Foc0KmsDLpNzMsm5QO8tmXiKxstYww8vjZW1G2GJcv9Pl9dFFLUNv+fprCOUBuq l4nVyBkcOGrWVFuOomyy/9O4YPyofGk68eILuS92zUBzWTQRY6ebJfjtCwX5YDxHobCjXeX68MUF VHS3gUO50Du8EGT4ihs9IkteVT4aLRm/Kl1/QSpG36gzV4Up3LmzjYuDlmYwMziUUB3gg12eftHL MqgXO9MWwlL2cXC0Sjuy29C1T2uiJ4xGMG27OEC4kbhG62yhUwQLEYz37oJkO3CTNr/cDLbzkBbO wKLDwGpthK0hXuZSbzuI8HcbEqHEqZaAHa6lcLd10gppM9avlsrEEn009r4sYBKhRiXVqXJC0WKw OCt4bwfoRbfAn3PeCp2DSPc/yNJZySDNADhM4rGRdS4Z5ZSjJhhtd2lyrrNX6xtqbbO7QVvvTYrd gTr+JoDm+4/Eg7BwuJii+ozxhQ7kebY+EfVxupSRGqBgWrJhXRotBsav+XlEs5Vk2P5nIFidLwHV FrVUYtMnshrencDptg6OjFbB/A5ZrSPud3L8XpyNma/Rm2kN+YXxxBWIbdJnih2XtWz9VsDo9JkT 8Sh3uAUDaaUZBl81FfvjTEbdgDd+6PNdfDAVG4af6JUsO8yZ/T9EuGX09NdefDznvCoM1eDT9ooV zYRJWzEynZ71zrWhOvqBRSjhJUP5wyZtSvma2MsMkH0ZZWNPVqE8uqn613DrnGeXNIA7sbjx3iYI llamDXOue6e3k3REVjOdgF3hAiREeQH7fAbhfvnGM3fRgdspvjxuGzELaNOW+wnT6KLbPd8FRHAD sWOwz7/1Ob8FJW8c06o9c9Zvkxh9+OrqbB+8kNo+WHnWHbhGqStyXHhHlsVUUWHoyMJyopUMTi2n 3DGCufepLb7a8Q6dfyPt62Z22oO2dGttf8Xx4h2X/DO+T53zp5PFxvynABAY9pgdOKAfCAfm+rbI 1lZetcYnR71mNBTjHeBJ5uFHl8nYEjzJf90grErp8yIzFY1/wkJEyVam/ONoL8/g9LhtgFeNEz+m S2lA+P4LWvYX7FABlr3ftGGlz6Px3PoiwPfIfuxGMURpWLUrYWWZHU8s60brXQkrf098XPdHBzLk 31oOLDdU97sDzKxpV61I3VkmmMXt6LPz/Q+W/THxFmTxMCXAnwc9rHMOVyhPu5J2IPLwjrRpQr1+ uUzeqiJwg3lt6hYiHLp9IFLkdQyorvhlAGV6+w53MdIL/c0qhvuqJlXejFVsaAekvda5KrRbjX2T 4POHRNwtV0Y0S+vRDa579uiWk2GLrSIFy1fJSAEH8EfKSTSWh3KuuLixSN6N5y0SlaJlkrQ0Akjb xvjaiLGFVNsVK0iQZUShkn3Z1f33adxtNAt3aY6GUnGQ6F0kgddV0UHQjags2zdgNFYO3dg7QCv5 ub/ERqQUCAjllcERb7LnCNmkxjeNkNtUTJmhuaQc9G5ZGVbU+OI3jXZf9KcAhPytHBKPk4wXU79a 7ZkPec7b99umqoKWAhh2vF5Qeac8wJ1gkC6f0nFANOaDh9G49O4OyJnF3+/1ATT1sb7N7IY6xC1m gtT4v2aa+QOuHdZjr1zsWxyraOPCwPkTMSo1zD6dwTFl1t95A49cXlj5ofgtEdZYkBo4HdpN67Gw 8aTahF8RIAjQUFEo9UdnQRnl9oA2ARLtUYrC7YRDpI6gs6fHEFdhilS8b6ldrnX7wcIUmPgBKazB Y/kCw/pSSm4p2M5Rh5G9ZCIRW3FE2o+s2S+0ze2lCVpMH7ad+WdYBgzru7DoJqnxoDj/JC4DxhVe TElciGxDoly5xdLTPg1E/D3fhPCYzyB7fC9estfTd64v/auuP6CGib1iuGFyOJFnB7VkQZH47Lte XQeP6GkLUpYQcRT/VAVEf6430wFZcUsXr25hcc1yG3NrN3ojElnlNx74UoqJwduong1pldKRev1+ lwJ6cOPy3cNEV1xu7cUlNKMgVJdpuAzmtoi5/sHAKIe5DEhieQ35Rg2I4c7wo//+mLwuzoN1PUTA qteprD2MvIyDgGg+6wtj2z6fzUnM2DuJ22APnG5MQYoGs3y8GF5qw83JmCmSjyOf/Vv/b+JQULxq cDQNrbH0elm17vNUc7uciYGPBxTJvP8HBW78b5R6Dwk47yz1vKdmxMkjd/9qWlj6KDOmRf0fh480 AsSyZuwdiuv4jfkuX1ExDVBRxukxSJQIr67w44DYoSiYajYJawGNkZmNk+xK+F0G3QEmFhDkbxcB HihNgVctBn2Uu9JHSAUv+yJGIpCkgwSYbCn9CVb9g1XElJts4f60KuWTOEOlK7Hcf6xFdAF5C+NU 5GZHKALuPy68GEtexedlg0KXUPVyDSanc/Zs9BcBKKnXg2AgA2tZ5h6vE0Xmq5I7zCOekihUJtLZ vMqBlUlU1J39Cuwm5WEoEpmhjfNPbo1bvUB0yIULo5YXImS1gi7N4xgB2v8NSiL2ywUTGAUsV4HG 6sYOT+COJ8iCqHllIXwKzHZcx163xJx9h/PXmpqVVV0X7WvNZh6NtgvGjjkRxWP5ZF/B7hsqVRcO zjEyXAazoYKSTAK+BFWjQMd1Yh0H3MhQtBGiugTZ3nluzCRNZt0DG9WJ2LkIPP1DRKwP4xVDNuP8 mNt9tZjjSJOgyD8DkM4eGOxawi1006gaMKGzxwMkxQILWANrYwV1h0ue1q3irwLO+SucS8GEjLOT 5anE3JtA61cZJCYS54FufY29MhIu8KCJtr/dab22HkYbgyrnOTzoin00Uyirb1yJW+sCFGQzbS6H SweVIuBGBzaIFtii8TSLKHeUygtKLlSHNw5wUZjJmg3vCTeDpKnB+LkAqXymhDlMQn8Guzuh51sY /IGrNUh+CuEMc82uNqsTQH4WOnv95ZFiKEjoNXY802HPoSnpV3vRNuudM0uXWt60u0NL9GnMgg2A ao2jM0BBXZNT0Prus0lOxYXp4A+RnZJvaWi9KywQNIzpnu7LbPN8YiKuPapM3nWaOKpncZv9IJcM MjDQb0Vhz1fLYzL9yrT+2QRyK/KmjH5r1F/q3L/PnK/kQuMNFueZpOQwwCKzCd/kYWhqY7180XBk NhcjcR+0P+QX1o66g4xOZub3/WiRAaagmdYTifaR2+i+siv+dROS6zWSbGZugkKBaqiS73WqDm56 q3i3ISKwBD2GAzVGDnnMyv6sb+fKMrswoAy9eeKTdh1F/axZxjx9r3w1ZrdxxYCoqmRsyb78MwuN CZEWuG1C586D9jTIeHHFrWlc3TMwDiS5J1VAvueS7qCyK0dnj5zl3ji6BXh8k2DzQO6zS/76alW6 hDJLv3wGf/lcI2IBU4pucWx+ykam41vMachqJ3uqJ7682r+snLNldUz2D1NN0LbFo5kpQ69P6b1q eJBbH9b+7idC2vKgMRSOWiH1iZhP5aEc/v1jiyoaJ/0IhawBAhcIoKysAomaHdlyS5jw3vsTkJr0 vZsNFWj+uDAxyrw76PBpuqhs7krGYQZ0toFu5Pcs+uN8L6A8wWgCljPdpzlGrp5VMaIDW4SVRaJK uKUGTdSqtBxLS/uof7brYfhyrqzpaq3hiOVQsurPh2l+NqDMQi/RBIrwqJLomnM8tidlyU2xjFIO 8n/iJvl1jkwmJxVvGVl/vEqUBx6KHKo6NMbITNXWX/CGnbz+mobupXH9Ssz6DTM20GUppGtntIf4 4uL8B8tolmFTK2D4uvW0sTy3Sh/fy0cpmf1vIHx+Yief4BVBThQL0jKHzW8eKyScvsEgMvT5O0sL brBH5GrtTvO5GvdjwLQrIpxq8mX+4VI4btJS5uY4QmvXIgecPJMsqrjRSycpCw1bJftD/8LLA6ko HJ7q6Ja0qjBgNPbYqRE5BffeDeyM2ieItzo+W+7LmX+q37B0LMZ29fh1dCA6Vnn+TLKxstUdYZSk o0l4jEXNYDfyaUq42X/l65DZrYspJxCZu1d9j+ud9GAQb2A+YF/OOgFdSZL87VHyl9t1BNHuFZqS Nn19EJnKucU2lNNcR6gFxQNVu2LQNOykizIE3i5KIbIE1AYNteCU8yg1nsZHxkx3lhxZRzILAFG2 uXyVR5AJgCSYLGsw95ejLR/Ug/wPYdYQ26zokWCyIgVjb07IDQr0NPbEwQwLyOKCg+dOn7KG8c9t bIumnu99kNhgXoeTgM2Nvmb2+nYKFVebfgEr5G8VCYrd699MZBBRbOupMw5jtOB0sXwKXP1d/9OV kA4Hrv7xj8gPwC6uMLngn7sXOllpsqbBC1yMxL8EddG0PIrLeHzdL8tSjkqJly6nQDfYWYOBmoQA PWxSvZg9+kU166K3015wqpYgd/okF2KlAQNAyriMyF7doE1ZfsquBi/xjuCJQTPWvXstGsndJ1kS aVPHt0op67i1NFGHsqS260QJ8lurOo1+lC4PNo/T/fri+9osQwSXu9TTFpF5kQf1qRdGRS7deAWj K/XFUTJqQiGXpcB2TY9HYRx+nWSbACwptp1Da8gnSfpGjpztws4tx6sFoAeoSDMJvPmAduF/HiY5 V4NPledF9KIy1kNWO5clbuEwl9c/GisTUC9CafE4TNRE8VthN6+0Wxk9XT1FfBW132ALti0GxvTU lQkStwz1+5yuMGVsQoXqitl4rqiS/ECg0F/jSuuBuZesOudem6FDD9CKB02EM6GQrvjxgw5Ms2y4 r6HtPw5WQZOj3prSREdt0MgBgJd4RyfxKk4PdvftcukPfc27+MclvrlSusEVJ1BnUSQ9Pd5lvvlV WO9HXDbIi0k7CUdCWSo7qyteJkenN5enwSEwPo9AuwFaSdCUCTdcnXrROidCfPxsPKpv71T+nFuE l6DO92qBljk/cJQV7+NdXK1mmCz8vocozbU7OLSag0sROee3QpOZFw+CbL0i+MMxuuvQ9dZW0Zqb QrbZKNQ3BYqnmUUgYCLSwM9ogVXPdCvsvqKMi0DCM1SdOa9P22kl2H5awNdnCPT1v8sCS2n+Z55l hY5WC3UkGS2KyjnrCz2vy+DJrNp/UGiQRBIx9H++mbcGvcaOUGrUsDeQvA7j2cJbPWRW06LQX7k5 uZXoTjyXSFwI1Q0l7HTi3vj2gc+6w8zfIsv1na3EzMc0/p+Xt9fMDp81YD71Hh3aJ3mQwAUqv0mG fA3AX9/ZngT//D2BxFy+lLCaZse9P8QXDAzHMqRqXb65+H7yWPfrNtzd44kJ8Dms44ceVBkO3iog qzQa70SXYmpDy1aRK66iRZDRZ/D5oT3Zpo9CTLtb0dXxdNR2B/56piOvCzjSnaq9WEbKyRagwSVC 9iqNR5Ax3EyXr5NTRmWdwHB5NJ4zWzlMIGkys0ihULvGKxCe31raL1YoFm8YEngEWI49D4dydMtd mChg98w23fe2C/AdHmqKoWSBq3jxjfzCLGZo55JZ4y2EeLT045GkKGWN15/432PB46IBCVlnJp6E zaRFD1VwgUSsyYH9Sc1M9jSg5BdQ7p76C4IwM5VbP2VGTv0vmGAkjc/X42GaprOoW4hfT+WEiRG3 M0csGn4yW5+jFp1uP079S07bv4diao0MwuH2vRYI9UXX1BNMl1EtpXe+DTE4vKaIqon5rgIRSr0L yuz/eWexRqyl1jMDQQwX40DWsfLsGU2SHW52X3iKVLLTNz5Wq3bQR+GPT53V4orsWOeSTZrDARtx SsXoDAo0e3wvCoreqIueIVpJiJjG8M8ktrJ6ZVWwNmeEariKvRp1JooUu/KTwCWuOBx1d4m0pubt 01qwTxZCc201a6T4vrNSnMztrBRobBHAC3G6SzW1kGoO3J2tz9yGJqET4tpTfaSAMoQJ7wTLJDXr c/ta/a2brJTX4tPdsZ9pszEs69tn03oQ7MMD3SzYB4qMZZj38anKV79i8ykQoqxBf2jgUzmrHFbo TVCBaUy5fLoUYJJXNXmJOts/hzlzX/laT76HSDCyzPjuBzTBFqhU4nBGCvnEShiHds2mdPCT5Dv5 eCHV/DLU9DS6BZ7Wy5GGAVLIoHBblqk7SAbuj5jSp68aafTGrIeH7FjOkzwchTEZQhRkrlHTi7d6 K1zUyKfR3tOOuukAT0WD5zxXMSZLcUfKIzuV5EBF26OFE8YNYdrGzAUAKNNXiHnAXYeNggTGzckU GdZ66hPoF4iJxZOCyybXo8FO2ByrwAVqHDqi45N7mci/dQFANZnTSCyK+7UA/b1+QwZgZyAeKNwH HMFuGZWV9Ah5zlUlCz1OhWx5FOogDaKmW35M4fh1NxB7/8bObGiKcAmehHigg6msb3F/nqKaKY3M c1CVhqOpp/8u5HhHs2wPYBqFciQF5+iUbAseBBPccS9TZICy7XCcagMiD0TX73spFMDi7+YXQIQV rVeXdZE2EyXUYeyKJIUz70eADvf5B5j2jtVIJBVkMdkuLnDYJJDk9gkpRgnal71r9gl0kv+rERpN d6WM3vdmwGFWjVz1rnmlAYyDWW3QgcyvIFgtfagOg1cw0aDZpzpH1sdkR7bPPz7Vytefit7plRAw jiq8S/e6Seyk3e7ClAaPCu8HE4sDROM6M80kZ2Vf+Lo3hcNDPJAGwE8yG6yrqj12tssbXX+2Awnu eVUu8XehcIVZSl/lhlF/wrJqEmrCdhaucWdQXZP+QZAFEmCaAj+Y0PwEwK09Gg/82+XToC2oEbPq 1vFqRh3AN162z9dxt0ZP6R189b01mzJIqvFu/RVMa1RygylvnpNlJFZDLbmMY6NsySbTTMO6NUo/ ddfZ6e5ldD9SpK1TmnAcndTZbU02yjgsh1E2478ELRn/K64RyqiQZFlVr7vLN9El7HAaapARLDVB 5E8C5nK0VsvneBxIo/M0KTEDFaQWhd4nhZPfaQWLKfMcHwKII1YAKlC+4nCX9S6ZIXnZfYiwv2Md YOi7GvpYEm/k4ouJtzVYs7ipOO76ZgeCMnZjmOKYXPblLrU1AR0uoHO/a+3YA1aolvOoeyQqEpMq HE6gsfQk13/OVpWjkia/3KYDoQqGGdJHfnxTB2CwVl5gj4u7J1/peXJXw2cnPSFLLi4dGjRwROF7 b8fiPGtoYsVSsQdBCdOSWSXieoFu6Sl/leNSjoiHqNoLlob6yfHNDW+nij4lKN7x4w72//FMwYgl dA9tgbnBU1VTkOVb7OdP4qOekT+1VzBWlkgCCxktcSr7b4MGFrUXG83BIlLEtWxk2kbWSmM9qsDL Dx3wC7djx42Lp8CJ70CMxOcJtP00cAhSDtR1GAlkkZPDH/0qLLrwiPdj5RCpg85j2KmX4U4dO3/j ZidyfX1OowDwzjy/oA3bi7KniS3/op1AgakzOmFsbilnpgxjiCh0Z1gNzLvLfoL2T3BOqewq8Fjo fkQ4ooJRL9aES6P4Es/wjtTu5P487bx8f3/19pD/3t6uCT4i4FqL4uqtFbdyiX3HeCc+i5h7hdYA JIqjPRU6LekE6RiIyxG2NH4JSLtyGmyABmfjgQ5PW/1FoOygN9NvF+YJHIAdb8BXB5vdKcsx0SDG ocov/rHxhB7MBplfQ1O5GkT9A9XKRX3OZxM68TiU/L+66+Lg8C4U6J5fSVTBw4l32VQr4C0p+DwJ IExEkIVkWGVOf8A3O6f/NTj1VexP1AQgZAW08H63kRNu51a9Uq5iVv4BF+OLz9KDPw29qFHeF31F t/ou4sqqf+LmtcISGo+rHKxPi89O5fCW0T5gf8W9CvAW7fIYGgc1eAYk8kmwJX1O32LyHvzxbzaZ esuxonLZ42dKaGC3nF3AlYXhcw8AtMJa8EivbroRylkV4XZ8aPo8a3v0D9rW1Hw9FgpHO+VFUikz Rcc9czS8SFs4pZbIoyLmTytwyS15MpvOrBBgMljTG2pWY3SQAHLuxVZooJl9wprs4a8biyrGsL4y 0o6zJ85uFFDC3a2MWRw1hCJb9lsPtz3PgKBW9F4V5j1L1EoHUa2jfMtNaf9FD1rRPd6vLiCKfS1G GJMK9UVeBMM2YLxsbtGPMDkDUaduupl+KcjOIM7S+2U8YTnCowWliQX+iBdrPi6yx280z+czJEuB +TRAgyCZAIZkG2ycr/2oHbriUYAuagiygtuUfLfHtxz496V4By+gXQwrOw/DWyFuHqI/uOR9JGRd ZgEKn8c6qdBJv4CuQpLlQu8IlN7ifTHftw2/2rjtNwY5gQLtEX8WYBW1lD9T+SekXSqDet4QxP+C 86/9Apkzr3vFZ3bOk4AlUB5K/g7jFtttZsIpqQ/Zwf3zuCICOpcloqsWjNWEqQRcykwUEaDiZSvU cjiHXU9UIqY0tjl0hxUEPRpdT5f5Im6g6LLBHkBIMmkUPDRAMmA9rRRKLwro58jNmPkj9DajJ88l /ASPrl9wMQTs2RjX0XED9+grEuyqU8JAffKa6FUSK3JrMivaYPbAT3UYx7fGHKiRlhFoOC3W6NK6 qLbKtaEFcS/saBLYKhOuie1jLhwOYK2IU0iNAMHAkEtGnxljl41biG+rnU3IoZENtWdgFc/ZeCXh idrd68C2oTHJan+tq/AgMjEgDCFjI8r4yAES3RnIhnbgwoFlKohQl141PVVZi/7nQkQ/cvTDu4Tm CsOVBhQp1ipFKruZ7RrFxwiDxL/z4kCMG8CcIU3O9duIl8JWufzwRxyQrkwvQMDsoPrDGXd0U5+H 5X/SNI1S6Sk9EMGGohoHBXeB+Q+mOzIfPMoRnJStoUE637xRdyrYdRFmKAi0oT6mE925wbrqq/SU 81EaLGdlrJlk0Y65CwajhPq5eBNw+MQ9sDACYoxF5SizJRN4uk01mvlDH3jKGt+xEtr2cZ/I0/i3 mcyqWvCrPqFDh9AbGE7jLHPVK6UXF+OPhSI2cgKwg3jiq7o+vq2/Ps22G5FBGFf5ZJdUaaq+Isie 4mdcRBJPie8d56ggW5ni57esrnimXRKwF2Spxdx23aGun4r/XISy92GFzuqBjy/cvR7uFzUA/Jvw aOyprqnWGG+ryQDaVmOfdArbasmZEj7uo+8kJzahQyoytSnOHyocZ4U1ClbL1OF+G0x/M/Z/R5kA p1DPrH4V69GpDiA/YxIbCR/3DLSh9Q0vhVS8PtCnaf7hboQSHD6VRgSz5veJ1g3yHahZlIop5lSJ fvO6O5Y9aAAOJvSNohxHEF+nyPsvbKNCgQ33o9GghptSS7gSIkT/alsnTDjWkHrn9PwpmnjE49nd JScJ7JclrpJ5xh0hzCFaH42xs0Ii43abC5XmenBMDiwNl3NiFOfwcEVAhS0AmC0ew/oPEom6UoBl 33KW20nQGiZ+cNPHMlYFEih743D9lQLjDy8C+VRSuI4sBeWvXRs5DW2PI1/Er713rHaEfyM3GnY/ yqJBWCFhYuSABnFimMhzcb+4rTU8fdn8Gcg6ISuWOfivHXQep2WPkj3wnKMUYFKmb44iMwM6jHSp jGSR9Sp/F6eFoODpc4WGOiF/bFOY5qTjq3uuZbsGoh+d8b4LypmaADI9JODopooIjzTL7EN86L2w 0UHotNTyLx5TIFywpwD6mac/cFIyodLuFOSBZ4tR/Vne4O0nEh1hn00aM6ysHI4YTkv7xmfX/hKe d4/gQ1hT5NyUcdWL7FPYbH0RutLgD6SMbpjMTitWiFJWkJqcLdymyutZdZQOGhF+z/HCTmBgO0Nr i2EuZwk3fbqRZMjmb5Obr+LgnDF4LfVTJzD+7ysHx+zbfjienkdRBAHXXVyA3Iy7sWDL/abmrYda 29/WLosBCsjreDOD0QA8C9SBKlGxgdRiW/VydKWTaB9rbUWb9tGU5hDIKtd1NHEB+Iui9WDiK05p GHHNoQlEtoZW3URTQyTTdc2qwucYOEP3NkScSKFm5i2tysoHTbxteNCDU13RahYANKaikbDlh0PN qVkK0PbSJH4G1WHz0AcWtnkHKA6fPBA5nvy5vFijkHwiYk1CuHX4YPkIqTFvtODGwMbxgBGWDTsD bFEH3qn3zMdAhu+4XExjEwONCLC48o7l2GVvkJH1LJjnT5J14n9rJ1hjyTxeQiJQn5MFSIehRU82 HEQd3CXpCIR+oeNihkFLXTaK8sxLVtpQ6qMlrkCJi7azQavqUCh+SQ1GCX7h2fVlspY3lZEtRCbS 0TW4JoSyrA3qsHQZQq5A8ByNUxpO2DCny4Xy7E9UV0MwojeVoIPaibzLCQwmU8PanryHmltVGCP4 20IgvvqTvKFzjJysnXEIJIKD729ekPK4T+FwewPArjWRaPaf1nwmfrqU4wsUvMTR+gmNJHN8jAA4 Z1nHzPbeBiENfljmRLl78Wb1Xi5LNA0f7wYP9glKGvloQqAOdNDds4EgldAK2geyqNFXqWAeZMGO 0/w6RoBqc70vCvYkfUFH0MYNm/X2v+ukBdQ/snCG7FVQ9ikm7y2EyVKkDD0ultftlHptIGNlcWRA Hcahnv59Q65epbnN0Bs+Ps65Vcytlmtc8UZiUIamrW4I2SZ4USCALIvyBZB/CuPnsMaGOPP3cU8y syvRuZpqXzvfOUmnLlpEvLINrV47lAjpv9nyHw0lAWit88/AzxD5myXn0hUbfE31/WY/0jjNV1PV 7Iope5CldWZyPHAHM6EvOZnIbswcXyH1t3j545E06mhqId63484QIiuO/Kn5NNI5eycNsoiaRgch fOwtzRKpCkGjxU6JH5BpU1i3YpyCQEMQuUJeHPP3d3AX/JjM0xfYVhyZm/rv3l6atzFwth9xt8rv OP6p73vkuhoSFFljd7QKzLUaYIAoh69xmuLZPw6JkvJz2uHNWAHvsDDJbXKqJvXbhz/QSZ+Tt/NM Rl3wAZUlDU4METl/KUvksFTnMX4WiazIsu8TOflXA7O0swFA/uak2mOOkYIwWWpwB3CWiBuk+j4X S2KX6Vsog93jcCzIZyrACz8rOLT3mhea3kzficFtXZsqI9A1/kYE2Jf0KmUkSkfqBF1WVV1Fm4lR Kc5ibE6FzFTE3vB4vHT/cDXIIwUjc9wXKa5419dfq7vQGFNiT+LnezXq7PZnawojv6SsgDUXq2Mr yyHErHPQIUY4gKCcE3xlYb35ACWbQkimlPq57Y0LuauuSt0QmtHOalBSFlhjZfc925ZuMOjx/Oh/ WXCD+T/oUQnU7+8Y29dio+YWA/qIAtWwpdT2Mu3+m8lUCi/PCv+5M2y3lmy+JzVKSL6uSFcAsumq SlOroywxOeGiSx4i0NE2fmA9ZCsk1pCw5tYokZSBB1hKtRhMbgXmrqiOgxyeUtZi/Vu/p5deBVMJ BlJwXcWpjxXJiEwF7sLbEefjkbb/VsvqlIwWGlVKA9AcZWq6il94rerTN34D0Vdvh/jxXDuYbiVS yg44g6hb8T/F9Af5tdyZeb8dndfTxRn7u+/3CPbUrE8xD0DtTOxmFI8wGffdFFZAmN5PhkOe7gWJ fUoy2kwcSJ7EyQOzpooaGokf9giOAbezSSJr7md94DSbZsn3X4X0IibWVhM/GxCUwzavIkrbFXWf wwKNDH+AlwE+2vDB2EDz3gHyGE7+oFEZovgSaqH8BQhQUu7TnSpuHKAPc6xZO2YCFGjhsAH1yfIv kvoTpe21IKMESgKfgpi/8kKuAi/xG3JjL+ZK1o0b2/Y4qCp6D89UHgW9pt/+oNeDBJvMDN0P97T2 8o/pl3BCfRCI48tG12iQMzUHv0IrgXbrdi1ZVu6hLQz9+U22uxRq0p74kzgXSuU9QjkLibKImjIR 8DENIGJ9vw1fQ+P5WyO/vS3Vfj+zGggue9IoFynboRffU8iZw5Koqwo57MPk2gvw8ntABnuPfGUd vMl9DmCkhnCwtXH5wyMcZoVfxVNUI6oxfdgtBFr3/Qpms9y+hONI2jNfJJQX3qSd289E+I+23Z7m ogIeUJu3Pfu13MGrbjLFurAlEXngCgf5JIQsaWdGThBQwMrjbYSjen056KLESyVWH2XPci9AJ4kF eSj+9w0vNFknjtGVjqMrnkMmThKnmA5WLxnIQykfiKo9AUZTR547Z6/6VqN6UsjJx0Nh+hhoSPFF L8mgdEhX8TC6brkNf10N+C6NoqEQgB8LFfR86k8DIgQvkyhbLOLOnLd0qOsp8pBaDYrrLAxlCdq5 aDnp546l2IS/CPN3D9CaAVwSnbYVJJPYnyAXf0eRJMHwlRlfEdtWFxPAnOsu4/qABZJyMo1VgZwH 04nhuF2Gz0Jzgd0SkgZNBUT0hs+ikgOaoxaa+MgJMqMB3Z7Upsa8xuWztUPA9cUd4i7sAMMjajMr OHOdoaiQKPjX64Xd0kUBDnXiM8379vpjmYB0JR0MCWXdSZ6YEkfIsrfm1OsHybOVkGNqsQnYDGeo prbiwG0xANzdnLcu2TSaZgVWLv7yM9/MFlKbPOv/jQErItwiQ8M+dS3SUXBWFhnAPck3MqLgG7qR 2MFfPiCCjre+FgKB11EsNqQIxRFEWUMcVoGES/3y+y9YZnpldLsmqmtoNB5y1/5OtY/SxIUKLEa4 dzHadzf85ck7GWywv7bxYIKCImFndqxlrcePlZdSvWWSIotspm3kclc5l3OKbnrY/uCGRXdaok4u VIzb0pvTlGvDyV4HRZ/CeKqqjjz1NdTvOvJRWTsncZiV7w5TpOuEpONGYiWEJ3nXasZt2R3shy1g 4b5yC8q6MelgJfUCjUSzz1qYvlrgpms/cJPaDDOcFmQLXchlzPKfg0UbmSYY7aLADs7sTMcOzCD2 MW/QNSOUNh5NIE14PBiWzqRLLAcavdeg5tLfZupwEB9YfP+S2jEiK0aeqUV8sEowb730qcCU66kN 0R/mJTo69YqNaZrY4BbZB4WTd/IBOUVY9xSaXdMEbmMAIsDle0B1W86kvP7z8GAaF/6ay9lhoYJY zPzPkw76O9ON/63UHOGWpcL0typxuJaXMPaN5ZTBjei5/l9JHiXR89EFA3CXOMgtE6aekm7y2c5M /oVPeBc0FPxeM2dLx8JL7ugdMVfpf/pevBOiwNFxyWhfxBH8mT+P8Zwn9nqWQmmmsmi4HYODYxT0 GO5ciLDganLr1ysUDXfq5TNvdqaKxRPDUimyCO8dT0p65P1lMhBMcg1JT3BaYopH9rPNLXj0ziDV o+In1fpWfmY/U7yRb/SNVhThDeEUoCYErDEvYnRKgPRjBcwz6lHfTXpQFF3S6FJbDgauuIm6afIF xU1isefaGsaW9Dx91N3l3h8c+VWuF07wvUkKIn4sdshrowFE9e0bx7SzpxcLV5tdZx50o0Rnjq4D cUFmEjmmGXPejkTAGlw/7MLVB4q8r8KNSfLa19reOTT68PMH6copC4pPkps17kA/O+4NFe+0QO4M je6ePxgMSRyrI+2OsUdM43igwKUYMREgetnO5DJ+AW7bhd9FRH4txBB4ROsPdrq19p6GTKHNpHwf 7ogLu4FGhj5FsaBBGacbx07YjLhkwc9liyktoobcYw4aWrpQ8JHTL8ZM/WpbOoRfmvaq4alZzLnL o0QyD9z6bgYQ0X/pkP+JGcG3w+rcquIQU/IFF1UoQnOx+T1648qgnLYPMpDSg0IYW3ncK9TDjbmw UVE8uWcVHEEWbiWQ9UjEGBMtqAaYusaBkaN0VvKThnzov/+vI1+Z8NGD+YCfNXOYS57HRF7+UZjG bHPUcp2FlgroAMWbffId0vDaFsuNgSYxLATuXJ+wsAKdsZNu3VljXpUBt5jfI5C8RDcKvnODrh7Z WTWKHdx3lMa03WMGoA/E/AiWoMaCINjRU6wHbgKPMEwWFZGfDlpeJapDVd7O22tfvPiKL+Y7UAeV ZTRMiOe9Q/QjVZ9oybDEx3IRod+EniKIU8Yf4qDrwTynM/k9ivkR1AaCxBe0GtK4DVcgqy0lQm+/ IYTJGWsCcpYS8FkHlWY3Yax+GZyzCdBcPebCfROcAvpaYlCDTDOIMftV2NUha1DDMru3u0Ak678f pfmBV8DyppaCXsXy+pRqs7t5rj2orkEJJ00+aYpRHZ1inLRpVUPnh7tKrkRn1X12JCBqDZ1/FBv5 z5k4ZelsF5bIxz9rvPsbFMsK27KTwAyHY8z6N3ZA4T7e+BAMDh43keXxT4NNj8Cl40Q+Oo+B0r0O ypyZrDsOUToLJkRja4AhGiPc7TW4tbLcw3IzLYJidsf/xdmm/HIZ+AYfNQQoDuD3qhTMCMEBBXEA 8gDc5B6Meh8NloxbsTxdwgIV/sKBLEpMIpOAzHulOqqQuz9WXNF6+IOb4kGExI/vlLKh4YBVx1xe IMWxm1n/Hyml0fNmggf84MWv+o2Y1tVG2lhqrDeu2zM+aoPh4+j7MJERaE5UDjv7A/4KPE3xLGe4 ztk+lgzgIugQ/54NZSioPo5pCsOGOFp5sxTcEr/E+8+gl1R5UKt3K+Vk4+zhuArpnrZeU/yoEv4E H2M324zjx5xr7GLEP/RjbpfLVPismSpAhHWb/PHwAJEEta7EoDSmh3ou701FmfCi1TVyWUmlZkLi LlkSfUizO55Nzg1guynXRxWQo6zXAXOd9gaviK4KK4GtVvO9kCC6MnuMfAlpB3tvgSzg3JQq2+t9 OyIE94oezouYHJAELpHjh2ejQjmQngwRgcuhZAFaBD4B0swE4ZO7CHpJiSvz5xQnp26+pWT2wnkc L+UNJ4/Uta/I8Fmvwa9o0b9k9IViotrqz2T+AmAkOZGWLyeNl8zl5J22P7Rf2/lTrJjjPw0FWY27 yrSUr0df6XHXtOnlvextDbDg+pfIzNgrIDJW548eWYKzncLzeIj+3bZFKofA16UXfU/gSWBkZPcI +FvenjysD2Ro7QmEJmDX5wcx8IMc97IxA6mSYiskHpvn7V4aKgItu90KQNyuigdL8eavIRssNDVF IgMyUcNKxsusnJhxBcnU4i1s/5HQGuSwhZDFR7Y9LalQGsHSjF0c7vRvBkPoxZDi8aLpO/jV6M72 woBdGsPgu6WbYRLSSwhOKDogHKiNQne+Cw78yXM5GR8lj6jHo0S98QzogyQastLGIpSfc54NAojL 6PAF0W6RYid2bOJxgS9U0q2iCMPgPBkloTB7eYhGeNYYfNM2xb57ZpiSX+BAWlFzfSbhona+HTHT v7FARq70S3n8ZG725QgFdKoPvgvgESrd4idhNb3TF7K5VSQxULmYEoqbwkclYqPwugIjTHdaCk3N VnjPcFQOEZxnYGGkEIdqtSWZlsqVyMCkJegcrUDXFiAYUSX5kTpKSWEH/STR69yVJtq5OW9On/Tg Yyt2pLWnzHLP48CzJDquo+1MSh3bGaVy3AsW8S2KlI4bNS/6FoIOhNqM3eyxsQIodgWZG3NgY18A TQ3D7r1q9Wt2mko8iPkBc6fLyUpkA/olYPIiAb8dq6x1WhdjKNPJfcJLH791nY0/C740jODiYZMx kXsfvmfyHcLXD+OI06EpQS4IHW7Co4yYAt4vR69+ylYo15yG3InKOPhHVF7isXYER4obz9w6KF4S TrYNpLBjw6YvWFhDogzznHlN6jjRg4Khly+8STX2vi0q9McRiBhXf64uCfDjiiVOyprACky0g1E1 axLYsUpguSS0IlPcSbtf9Nhfr+sg0rfD9fxl7/AJ0PopkUsvvNHZHJr1Rsc1AOwVlF9NY7n04z6e zAYJ2fKY1gzCVzRKyVdz/JToRaLbS8syMXPdIamRWr04pCNkiM8FrY6NlX+2qmubkAXdCxqwT6BP nI4sl+MY6eVni6m4KU84lAtNL/J1bceNWaIu7NdSHUIYPUrkXcPJEnnuE7vRAiZiiJOVTA3ZI+Yk Zw2/kNAm5YJDu1ezk87fwbrYXUNEX363e/iulXmtYFDaAFhFiekqWu3eKMFL6mjKybXSgovkjFZX oAcY1gXASyy8FB9x7O0/aARPGcMD4tvbitIAgwSa/g/6UfMiZXKuRhREszGNilULEfzfkG9anA0V s2y+0cutUJg5tVkVUzBmRCHLc0aV/F2khqfhBePmdbK7xLHADuCDSU63FLpft3QkBijNiNOo4dEh ifquPVXqsVfnxaJgjLl7e/5aJO7jje+qFcFFdVhXviXcP5LdudO9iDx1jCGXrymbeV0UnqYlNdlY +qvl/8EdyYGUSZ84+qAXmqSkQM3mCZHNVx/xgcOZAJfX4gBOrGY7dw1RlvtD44K/lxVb4hTOpYgz qrw1TTOJcLeuxc0oapqzGpQQyFKyH3rZCMFa08K6K4DZezOc8RuBvT+t3L3zqr1QQ4hQ+aDv1dh5 4cgARAccjR6zCX+Cn2JwRtAb3wzKylD8oTwpEHy645fbMVy6SaiMR8BIBmHvhrN5XlQ2+MpCOH3d mul+c4trziKC1zf9tNAjK1DkofSeR/QxeQBpVTT83+qkjZLK8XcDQ3uER9sA9qhcte1jq6ufOS3s mpZqwWuu6Wqp+IYOGDPOjnd0FMAEzPLTo1dbDKAVsEYKkqZ99fnJgqJKAPFtWGjgURgXRh+2BCEB ETQfbgktEvXUluxs2H8EFCv7pOJNokVC9am2+HofVEH4pQAFgYZXvIyVTvqxPmthnmdIkSwrie+v X+wfXuJN6LdRzwqZ8B4T4UOkoGP7z8WIDa7mSWCeIxwqAn02Dou/97cYzOqU7dQjdvQUNxYzSJ8q WLc5YdVWZhf5qBzJ8fensYwKaBJnogSYT7p/NLw8kTUIpqVvcs8Ev4LaBYmfG0lJOM9gGF3hUTDB FBAxUrtlHxJjn+QuXlIlWOOhz8k+Y0tc9zX/9DhO0DQHRc9aOT9KfIAQsTMkBqRGPazESNKrVmDQ LlyMK2nkmOOeKU4MWWQfknsxZBD7zxx2kbL4sJMNnWsXTEFb5nPeY0VuvK08YjBMLaloXW67QLg7 NxAOJF7fiH/DDxZlXPbKyLU3aP1sPoG0OKWVclMxGYVCYcjRHjqmLw/Uyyyw2FsUaiIrhsxGFjF8 i1jNIgcYy/6UZvTsLGyBVQkWyh3A/lHBeRVlEvTqEjdLDedFflVEhXr/mUXHKDmiSkmIUL0pVYK0 +3v8xrOk+HAGWDMpIw5RZ3Z852RWRlsBWaDWvBcFv9fDyDlUQUKv67A5FCmrAFlE7GTsmw5ex9ij nvKXqIR7mKKYuW/RmUFmb90YMd+lsG1kpN5MkM6aDe4kjPB4OYnBPYhSmzOK/Xx7TzdDMT6ZDZNs /tanq7l8IXqT+1yJNVATwVnhgK6EDXmK+EA8mX23tuWSwNt6cfy3QrU9rB3ICz07Yb8uhZ/DGoPX rEEHSs+lO2w5ik7Sr+V5f4s6EcTJWP7uO7VAHdf6FiQcjIOQeoarnpLg4vUwHp5SF7Ua7n+iUNRZ DfuDGO/7e3MYXIYvkRAElI1Zmk5kZVnqSNzPcSDoy4p7IAgbB5Kvc/gyZPkFwSpzg5P5qpmE1s+P VLaFu9kD9s8nJf2lihJ6O5KefO3fr7rwefNzP5JUYOCGFpdSNzTG1Xl+XT59fumLCQJdv0z4ym1o OD4t07popqZSs48XOfB41f+ZwpX0m9t104TRIaba3gCrcxgz+KfL8hiZXDzgrL57uUcUULqDqOx/ a3gILfFM2LtMzLF5BAoQdtReJrtVN9hWAs2IHDs0Gr/rMFX1FEQFoIWgH3LpXs5AnR3lhYH36F99 046JmD6VUWFmoKYMYs02iTGcRHTuTWtt0Y2LtVwW4tzMKfSiAPdnI2u7qMXCvX57Iz7ZiL4JhfVV jvvtuavdiuMtgJgaEPPf6eZLPPpzDEfhFNQMaq3YIIz73V5MeY8YwCGD3HvCNhbVKLVdm7ki54+k vX3ZOOxcvSCvNNCT0/NgO0/Jh8XNYXX5nXQ2XJCjvCMD7MrXw3NeB6gHZ82Zu9ZvAFl+eeWey8CZ +/Pc8jMZ2tdVyl1XO+bM3Q+ZJZ83O2AKbF1RZzITz1/LgmTKwIwb9tPRPRoayJkVy+ZetZYGXVqr 5b40Xz/FTNQGwKtJpR7Mp1YqGJyXPgvN8FSUnzqznX9h1b/wTRHXUDMDKSCaPcxSNZzNH3qB4S5w 2ghQpxmUiykCvvbrqaY84+Kiu87WTlxE/5Kkajts2Kp0sJmtjPXcVpjnF3Kf2H8zslfuaCp4I4Ty O2n4QV6Pr3UvnZ5US2+w36URGG6FndvArrzxCmFkkiummUExJMfy9jpY8C7eWeQLo51D2Fq7Bz6H BEbG4HhfJLemn9PyVs4ZZkld3Q9n3hohjx7Q6RED3qzpv8e6Lr+apdXiH9+jyChHSOneGS4ElTRz gJX+1UMhf68CthqXAQYRrc1ZZkCDoDUSSIKhrxwLYEHPc08NiscMahFSzDuSyEfP5uPKoURyDun2 gpL/wMTudJQ0n9ycjkN//i+NtkFvLcCO23Jv9Xwfy5L5MgFgcs6u9riv1f0AyyqaObYgD0/QxPLE uZP2WgdAXM6a/ZnbNVpbItZfkcmdpc1gbFfvoMHu6Tl+FWBpWFbj4OfZFQoNb/V4VNOmgbcq5LKC Gxn9Dh/gdauRYZUP92M50pNCoaZrEGA0jX6OsaR4DxohbRkjhxPqdha0XBUght1bqHSQNM6h49FV 13S0BjrKCqkULdlcXUPcLjJZoze9gjYnjjfo4RxRI8CeuR5Jl1P9msI3Ehc8iIg+jsp0CnKwOF2U /QBG9T/mc3xR9qsJ4tmkY2W473cJjqKPnpG3gRW3yp2bt152MXrdSa2FYoRRZKH6WThnfoOvoL4+ RgO/NYSTfrJ9T1ZMQ6nQACKAcG6oCBfTLlMq9Qjns3tUsDFqYtA3mR2taioR2ORSjLNmCjk1vlPt LmoHzgO3rEyUosI+4/NuQINDLArbaeLx8J7LIWRWoIzpc8WgxsrZVFsFZpI5uKfAIO9UD4PBkCHQ coq+Qj3TXr1mELacyxU6ooGi+ltqscEKlTjDXpWdfh6sMRQjxDPiTU8XIOWrwnfoKhWrO9+zQr4c Eky6Ua0AKjLzApPLpSMJ+C4FQA1+wWlhi5wGt/ZmQ3x77dZWsmzJNO3y1dRrt/qTg5wxi7UXDqgH WAqQkJKZyGexjFOzV8m1dglENwCn2azUUL4bKqA7JVlaDNtPNfqVfZCj9bkiDSXzlXnouP+MSMU0 lBhM/ou99Mi3ZHFLmULQTurCNDgKPT+G2wV6b3aNQA/Q4E35mnaN33YPJM5xIixg0FxJorbtNc2f iTFI7P7GXwXg+iQM3G+5L0kIN7IYaHs+3+nbk7itwt57+G3fNOIL6xT2FkEd7Adk3So+G3W2CGGh hbXXO5Tg34Muc4L/DP/UWEW7NVep4lr/6ZNPwo2v0IIHV58qKknrNRkBFT57FYGiEO0stKZRsRuh G8LqYN68YqoIqT0WZZ0IbNgdzD0jdeDZOW1VKmcJM1EY9adzqcEjSuMGYdPW6m+D7Kfu/i8YhkfB t/8ZXQe3rgCVDYxGTO/ElWb5EITz9LMumRC3k8avyKCdEIXGFE4jbS4M0A6xyxRc9kyYoJvY0MZc KBg1zoZw4i2iy2EPgoAzUQx6kFSXooMRH/dMI/QxgvNiR8nNhZmrMgUlWsLGDJzQjzF0jWuC6FQt f3nHcPpTDkHOXwJWTfBvcBVqTmlWBY1yRz8Yil0Z5Rzu05pijaLCnrHIl15zY4SfPoxH0x8FXeDQ 7IqHqrcNvlvGZioWthGzOvTnTUxrZZUFaP1jJW6CQefgWtyKJJImmSyig6tcSmVD9L634SEhiW09 oZv4MFeYEDm0qS4nN9kBXf+a/4Wc3HXC7wun7Z4M+EFTnckFWD/vyjM958hIRoz6omRME1KB29Yi s7puccU0OpJr6DTN5Jbv4RNfn16zX6oMfTbEiKd5WaMlCAvWJsqoKi9+0cZGCLGc0UTF/56wWzcs wryo57+kw0m7jQwgY4BzEkx4EWe9OsRmxRbmQndCjSfnSeqIQdn3SJn+pZmJvjqPhDO5TVDsLJDj c8L/Qzik8cpoyvc8xjr4j3MAFdgy6d2v2IEHl5nf5x39PYYNyeDoTC3WDfxidyFG9rRX9UILQO8M /rTi7mY/BJnNSFHFLDwUm2vO8OrP8eFHua1GFvQZBBIOaOWwQcqlHWQnEC8R+2S344l10O3z6N+I fyp2OqOrnx42JB5glmfNs0/F4YcAs3Y7wSwc3wYWj6y4zKRcxbpdll3dZoiR5lpJCwT6suu4eBCh nSPPruim/TX77QNlYj4j0uKwfrnZFyhx9aAZ4uk0yzXut5QEFj82T6/YAOwcwswjhMZAEA8Bgdkr GIGOX2SPG2AyW1ntjpkiOiyDooMK54gNkeqAR9p4WaAzuRIoM7Do79rGOytsqTq0pm1Urd3boQkW CzBJXrh/HxsE3tunKoh5SySm5VUVGZTwnzthRUTBVtcEOEVumFTZXNNdCs0WEtHJtWHGjJj9wzix KAKjCQb/evZg1s6wUe2K6jdcsy1yZ3wx916iV7hvqBVDeTVRuxSl+pddfSk2y4iUTq0rgUwfcT3r 4P3dNl8fKRvIJD59YAMm/PK1cBOzy6T8XJ2wYlDV1jJx2bWTSgVlw/e3L3zx08PZJPs6BbZmlj+K 3HUaZDw3QFuoZW6JXABu/9DpamjKAqVq4JVjIFKUNt1ojv2TemieVyqUIH9OCrHfpkcN5OjtkOZ/ SIwE56sCY28JTj52xlmxbFyP/sOuUxMrj2Wq9Xxt+vxd+LsSN6P4bj1lld+cKuMoQ0WCanZg7dVw +a7NK/+RzghSCuMIlZLRATUVMdtDPPMTOxSuxTgaFLLsZ/DD+4KeEi4ojqrzTwVHKjQrkOAPaY4C B73lNXbIEp40zsiwyaDSV0Ivz0H7YHqDF8bLWJRByOVkyO1kO1YU6ek9FmadZzrbPowvVAi52eE0 rKolhDbwOz68g24juYZjw7R08BVRMJdao9L1S2H0RA/Bo1tjie8Lc+eLJ1BaO2Crx/rnj1r4+/3M TxNdMLdRyUnv380qhgW3l7CubV+Q+0gTXHFIDDzeBOV+exaKdtiD4bqinKUasLd1buIjbbDgFCUN 3tRpNXPRYZCXZbjuvhSIMiXUL/C10M/GwQAi+Up+Of0srvr+4whfJ2U1a2Fto5LGiE1ua2tfhz5G vpXFVQScSIAUxf/fFTJQja+O/TYXfenUHYM4rw7G67af9xbT52/m+6v4q2OD1oMxR0WBvWb8zqRK VXCJ7A8geiJAoOjuuHlaJj23s2Ilh37Sn/TDBDHl+oeR4nZb3B7a85e+bQPMd8BSvK7EhWbgOoh9 E4hkk0y48WmNuE4szDp+PSutSXadYmUF3kEb/yhwTHRbCaHVEbxh0slJm3cG12RKihOg/i5nBEhB p05xY1q/Xhnp4m1YTj8FaSovthuZMgdZ7Bzze3A84Y9lUP6dTir0lZ7CDJeqO/RsGoXkMp+ka1/e RAblZI90s/pUhpqLLEWjqPZnkijcjXyeAs3ShpdV6/3nPAh1gD/WYoH6ds8Vgzdn4cVRLgjNXjuC qWnxVQ8T6EvlXCt54+WuIXQAYivUAC5l+oOEdv59vYtYowznWXPBwpPz12j5oBJ5pYYKCIs6hURb HkhHVT/0QXKh3hz9/Jd/+LN/f5C85Hi4X7+WzGwy4ZmgA+iAiZ4LCMsgXbfYa05Jf4Pg6HrplxKE 0E7j/mrc9Yx5/VjF2Etx8TguSUN17oDjfLR6BKrAB9XCg/jH9ma9UOwEs6eWNnZuedgsC2kctThU 8bjDcAn4/opSBE/DT/rHEUYZLy/DjhjaWjb5lUmVJTmPX0AbW+4DpVj1bbSR7hIfPg+R3TLkuXZH V3KzQkBXFAyUtjcZUkxbxgskiqegbmSbmaRPPCfTyjbLG0oStOD7rmBoEFiuVJpB/d5zxHyNaIBB sgm4QTNJ4Dithpb+PTUgwRIe8VRu+pugyDLQyei+7aZr500Ssl889TQlA8Zn30Z26RTtJHs30ACt WlEhrZSUjWNe/aMwSgQDXAzyhXcJsSdslSsDhNVLo5fqvH4bHyR5sscT2RVbeBhct8Mu6DcPj4T9 WY143vTm4+1pGVB+Qfl8E3+GVEub6xy9mQ3pFHokrRnp8HZtst9GHg1r6lHF5L+dK2RrLNMzzXDN ka1L8qi9uMn+Qx9beSlI8dkNMpWIksHJ7xr2N1KZORohn3bOMp0qKIWtclJUIEhzkuc9V+8ZN5su wOqiPBnrweEj9NniP2vCAayZZlWyNSN/268R7SxDskPcg1DwUqXaywnySTwLXOGeijJ0NydS4n9q yslhRDBAobOZYMCJFE8CxgeRORXNNvjGGPgMkH4/OA1VLlfxThmuogWFAE3X7lVzBLeCD8WIVg9p IQhWCq9kdJ/ARVJxonyCH473pTkSJdplyRe+4+/Iv5xzbMBDKvK3S/9oQKfFvJG1sXzHXjuVUBBa vWaqYdr9gKx4MZOhvP4ameyMpe9Otzya6Y6SavcJ8FmdbWDq9qWruC0kH58I5eA7Jj9BM5pKpyt/ 1MobDM272h5fDvt2R9w8aTExDV0OM1mhoOKLLm3DKk9xf/gJ/Gwqv5XWQcm19BeCMLRuYaPaFy1Q OdJMWpaUZ5sGKVa6tvvZ3DhIRvtKFb4blXMY0jLilcks7g/EdlWQDH0aLq0Rt6qLxrgL0ow/aeeP qOe8E6VV0PfC5K6NxohSpQNsGUVL/cIe46p57w4BgTdJIzRJC7i/KSXuPSHn9TDIR9ZcHyQ2Oe8W 8L9AyizKc/06s0ARjObH3yGqterltJiVV4Qb3WcllL77Fyi+Onv0xos71SsdeTgWEN51d/IWqg8z 9t57Kpxo51mJU7364Qtt4wj2//ZSpB3oJEi5oCJzr9djL08g0cDMnEaWuq4queRHeGTee+7Ky+dR AezZ9c3szaoFEkzy1WIMyfz9W3CLQ5xSUaZnQYPjpjDSUc1/sASyGPyKFoIrlzkd99fet2p1Zb2l gY4NDHGL8QzkFHxQUeGaONErAOq6PuN6PEuDcr1thJNCm2O0wi0+HdMDj1swhqTn75cFPoObj7DW cMQ6vibwJAVpOwekmya1W+mrbXI4v4nB0+7jTovL3YBdewfIX7Ep6vn0D7D6cWzl01U8Qvml98fB 0xIqkjJF3NvpR8dlV1bWelcryaAdezDoQbzi61o+7SodjDb/CM41hxwKNIyjgFKn+7+4UdOO/FbC 33mv0I/BFDcDlCcga+nB/IuqA6/jsn380Pva1lpL0GwUJ4J+Vi5eB02KzgzN9aMpfbZ8rY8DRkOK 5KDbAxPhN1UqyRoBef0BZpF2UCI94yetcCKLGu5h32olVYF2ceQkdTeMYff9zeXNgPB49OqOlE23 ypKG0XyXzc2SkFZ2L0mmZyhKNy/jXnetBXkKCnS7YQ6hvynoDNqppfg8cx5rBmTa3SAzfbeyP1zt Go7N+/dCeN75bS+/fO8XxEzcObCltX+qMDgd8Ig3l1ksEwND0up9NFEqjxCcYEtR6Fd08BQdon16 FIEk89nUdZJOxoa+OX8416O6y72jtxchHz6ExgDaF771XfEgIaJmOqI0WnlfPC/mJwJ37MxKdV1G O8Qz5hIKd5Obr6lh9jls/MRvk9D+Uy9BV9K8PnzqI7ESD2PxPHfv/Wot2Am1srVHE/NzYhQpXjpc atMn2gWAC4YUOwWqVYRdinHEcD4fLXWcIr7pzMsYPg32NaoW1KjW6CbImCdWLZeh4Czsy2a8S9vS hR1MErGSKMsCS8CBimJmECOPWX2s15pMjGq5FVg5iImhh4J5N+r2YyCz5PZIiOz68/JwEsYmLK5s 2bpEs2rWfY3yDz4G/fet9qHwzkDa/TwL992BFBmNQQOpU5c5WbbrPj8ihRFqw739fub+QzpePBQ9 /AKJjbMZI02nUAeIgM4jKQ61Pt+AQi2qV33tp+2h9eWcqmXtbzRURgT+V2ffaEKtANCoP75OeEk1 VZwBX70Gh4sUJ8vxpl+NMp0Cu9Pv4QNLz8yVcULljrEUDJrqMA8lCW/MW/2U07D6clpJc3jtUsfq UR9347QQtxYyOKjVQDXPEhloUL2nPZvyKH2oOzo0AjMXbQbprXYJC+Mj00KrU7r09m8hm9vMp0fp NfqFFYzJbWAEIG02Tazba2agVJHL+a8ihcRRxhJubR6wgl6VQvyRRAzKUIB8QLcz11OBBwsXe9SW n1DznkKPo3Iw5F0Uz3tikaw4amllA+rzdEmpvk3hWtMhYHtyJng0lacnQZ7KhO+mDSfZx5WRw9r8 pMBrSZWCGc9p9dk0X8etcOd64HXqt4p2jKlfDQnkZkr7HeEZ2LB+HOQmhcG2CJivR49+s8QSZpYS pZqUzkEwN4o10t2kLNJTQLb/TYepJIAGmwGHeurEM3vZGh0WVzZncbJngsB4HakRzKNKswP1TS2l J33yss+ww5NOgFMBQppFT1rzCjLE/jgdooVzSbRSZ3PlSEuHnQ392CDuPbDdLC8IVjdxUj4wttuC VSNR4aAMi46C4pMl/du7U7OA4u3OCRLD6HwB3vlJuQiwtZGCDIkKoiycWCSrByvASSjrqZSfcpUj 8QilzutZQJ5U614MegK7t8oIVU8esWLTgurplMZGdp0XylEtFssrMu25z04nVyrSFwFvP686dMu8 Xhota+2BUuySHBdjZ8oi0xYZeH4SJ2jjWC2uUrKYC3A4Uqd+tInPUQ7hgCTYPgsFaQKiH+eGwBO8 PMz6qhZrBqRtL7mnpJpgAPwsPej0HLDny/IsJsxt6OGZQEIJsDuJv2JDL5P5HyBJw9IEXvhDzk/T mSuZ7tUF7hNFioMGsnxyQwgdzm6W4FtACpmp9XjanHpd/A1Z0MT0sfi1V1cuiGMl+dwqxR9rWPcz 59/9FPJMfe/Jo5uXx9IkWLuFy91BNbQyT+dxdESpjwFCZBBN0f0dTZogXEanCohCicdA44UNNBTQ hxRBo6hYwaDgIKQUgzBxHQEX7ndNMTFdUzPd1Xfjujt+mAgumdemrF5SRHmzx7UYicAI3iL3ZdsV 3K/10VDbPWkFmL4DLx69OUq1k9zRZqUx26UU2BSrq9NUxz5I53wjD5WGx5b+76AehYKuE6IdHyFL wTQjvmUverjs60w8Oe3SvRcWMOAvgcxs0T4CbU+iDtWA0OZJhu9E54OU0VPIpdac3I8gE3QJDTxi P39NRXfUdlFWTMm2DF2xhhAqfwQkDUjf4cDs2Hol8PVi+5QWx/6AKXl1NlyXw7rMJMPaXMYZ5VMH 8shNK6c5zEsZ+oLCkBVakidxZNmq/wOvVI063gjV3jWEj2TDH+PcGx6udLKY/oYcjzjS5XdnhqFW u7VC7uueU9vffJDgnkCdCLoCqMuCFYmsQlz79GUej6FmoKchMZTvq7hYs9zOJIebg1IdW8UT65Kc i2/iJM1OTw37s4HEDFfdiK17PsrsB0whKQvEwwif1p18/zr1yE2SeMkA8GTKsQ3hz6F1Ah03tGE/ au5yxyxELHX2Jw4DOmiMnGaMkDdeDhOnq3Y3kNfm6ZLeYJmlW2AmDDGPB8JH5mH3LoZGjI1/+tlg 1X16PZkvDrN2GtSB7wTABpnzPJC1tm8OEh0EGL8aZ9o6d/DXN3dvxSD7VpjgxlDVLm13/NuwvGij /ZZAesRQpfwFwHG/VTg/Nc04jSj8emmDUqmBYN0hgt/Xio6FIXf1tfTr9ixUbthTsj8tUi4n3W1E LCdwLHnXjxqjsR+iZ28QFSkuCLuilrrJfdQSibd1nmX8oEhV7ZABZPC2Z6FwgEBPlH1i+g== `protect end_protected
gpl-2.0
d5a35e20d4936eb8a2b015e3c1e6c0e7
0.946782
1.836154
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r2_rw_addr.vhd
2
16,658
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bJdGH0AuSA1M7SbQTQQAbJag/Q+J3p6D18V2LMAgCXMf6K7vWQqBjeuO1rd3iBd+XCeI79bCUsiK ZNMfXeMq/Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NUFiBKQhQW0tDKanguqM145xw6dVa/P4SAk1HqIA7iXNfWHD8tq8LoO1L/dDHLGepW2lV1ggwktt 9a1dgb1o/V+R+Rtj1/iGJDxG0SzxOL8fUxvjMJsAFwHESeszrHXOGflY0I+2WP3XbmfmJ0/ZrZAI ivwh9F0nFLiCRdtszCs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rP11NVPz/7jWCkYdz58mYO1Bv8JDgvbpay3O99pInsNItvGfFfiBfRsxCUQ8YXngv25Ixj/nLm0u rZHRPedEU/PotAs4+T1cZNUSCAN6GFIM3+96C+dERdbf+4cHpDlHHlD/QysIsAs4HX3j01ng8CfK ACJQRuhi/+DxSploIdfR7p8z0h8RlTbrnn96k3RDiXSbvsQuNNHoI05aUAkUnXYVzGtjpROSd0hB 72HAjRIweOxfJYYqD30imTbVoHnEmDVcuya4soWbeMXGFiGF3RfpDsGTX68YbfQja/NO1rm8nSx3 /kC8y/JcqP0YbCP+acorHH7UWGNrwL/0S86YsQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block egQRLuhwfGRkwa1nUavcY3g6AKJy958ijQFrYTVBwQh3LwbLiar5PO92q1SxbKxkZZbyHL0Aqk75 gwT0Dl2oN+yUqetpjtCezCEyF9IYVZzsmQRxyOeENGVNFW3XNjk5f2cguGB6IZ+FVcLWkhyHba+g 9LbjT7RwL8Pe+vW/USQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XFjWna1CZPWf59gcRZJy9PhZkPFtwVwCp79cs9uVUCXW0CHUnLb4D20DH8WJmuE7N5e01NtEbC1/ iLdBDcUxgwBvFjoi3OXEsFt9zNb4QwFhdxNGUD5tLm1ih73TqbePmFJnQWc6saAVCvu9wvWVo0wy Vf+HQV4g7Zjdf6w3Li5cBuHkV6+R8mqTXFgpjo6Ziauwyhl8F+GSfmJ5M20OJuAMUJ3iuXqogmoB SzAhkQsQ1jk9FHDuvXDMvbvdugjJq7tDNDsmghqaF7svuyjU0QoOAaeJY1WfTrytQS8BFFz2ZrTa dIzDYQooSDzKXu1dnlLltUkct0jmJW+O5MzgYQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10592) `protect data_block SkD4zrOgAKa3whJcU5Tv92kc8lXDBBcFZ7Ae37ORmL8tKJpLMgonqing6ms3DDE4ZH0c3++z6eNP 5p9dS01++ZW2ZbhGLVc9otde7U3/egd4MKuBBkAWYd6zGVp7i5nDHSfKhf6cqewRxxPuuZovj0IV GFhpEfKeU1e+mFlS1NyOGIKtr2O6Q6xB8pwbuFfsrp0jVbZq2f92TA9M8gGpIMePjmxRgz4tf5nh sCCn00SlSIZT1YsYSvO/fEi9hh/0Nc0f/tV35fS6E44Rbm0mYYnAQBiPqigMtqsA/IfVv9aCEM3h plgagmwPZNOaxuq020Bv8T5XWw2fwx8zticLtzNWEgtTq7QBq81Z/B/OPPkf3d+G7SNm48TJEpev L5dYvbLXjF++kyEqanHJhrHAU0IeAjzvZqiFuoVPkkWYr+O1CXvLAFLJaRrynCmFRXxvvEC9e7Sw BsiGkM/BFywV33qpkdWHiWk+vjIgMHs89KAYnYbCO6Qxs8StmvLW2mpToGAc0UING4vceNCih9yQ SdT7qK01NmXHazQYZM28wR0RI+gQ1ual+fkzrMe25hOl4U9JV17UsIP+nXXIj+fuvdKn/Zyc5GmJ NwjyYxRuhOPJeRoDkf29CVnDM6/5XwjC+n80YeIH+LkeCm7CzLvkPE+5bJAtx6AqZTnIYIV4OxHZ +Pe8KhiiOMOnUqv9HSPa5UW+GgRJtsS/JNqJ4tPuKFwno3Ush2MSQ4SFAOB2FYYg0BAm9xKYO8Yo vGv1AHle4AgaP8l0g0RWWDu66ETNjIQcY1F1pkyzfIgZzdZWWVhWWLS/Em86HfPbkfphVnT8zQHb 5Az8T9F/FuuyupdOtptafi7M39secVlwCw2rpDa7+Tcm2Y+aKiduymODXdGAMhXtKeSnlmzuQx3c Sa2LcywczoMbo0AbmdHdVgGtMe/+jfGsIRwJ3EqbZVwpYyWhUP9Md+WYQiwIna5lm7h2Cd8OiBmL f/Ih517jEQBafbn3gCEUBXBGUQ7U822z6VOss1RHjWvbrZ4lgFSNyK86M3uNuPoV3LoOWmxFNwa1 OsvmINcoSvNEtru+Z3Ovg5HQvRYCDAUgqKdlw1pD3kQXxKo7sYVtPC7CmY39Q/lybYc4gyDxRblz XLCBk5BU3ohxxJyjnAyQZcxAPv/Zqrk4w/BMxePn/rUIRKD3azBwYTwZoDsOLW6b6xXphPM0NzG3 j3XvQ4AmJHXwDKrTCtR2wZWS2e1njTz/mY2z8vj/Ccq4FaZI7Q+XaTs90s9zQRidb7epJz9VHr+q o6dGAEQmt0V30kZAcu+VLNN8vvxUY7/uTBoMvYfZxjH3PdvI8yFigY5lUqGxSvjCIdzG/N5kQ0bh KNjl9lL4E0Hu7z8VBXdjnM2bcLXWIFAo27K8kBRxubeA8gUdA3P0kNEDCMma6L31xJV+vCg8GZjL +w8eM9VmG8NjC7I/oScdGLJlL8OAKRvbt35wUMi5lUAGZBL16iY5ywT+NTOqE/QIKgkzoUlZRaRY mo3x3px/5BvjasmVChw4xTBWMZvliuVInWkObj/O076GmCnDah41ZlmIxwMm5O71yh5xAY5PTfA3 YyPvYGA/5Mrtk11X068vAOMYNRUzPGIpOZk6Oml3WoSFDDMWUJnOw4pobOnJEsvqTdONSQhHBHrs LCeIHKGXzwAHsc8u6OMkFWv6N4xjiJMXEBa3w80GzszrEVmk2UxXv6i3QKpeADWvkW5P6ftH/lwf 4ZaWM9swzkEvnYR1U0yAx14xbElgBPT9COePtG8Wb7525+DLu79DhZJ4Ca3sqaY22oMylplcBMnz U6JKZrroKGBV5LAjghpxaVFV3qJNdf5x/g5r1AV4kVeN3e/Gyo1m+28jjKwxxncrX0hvP/osQ6i4 Cs60y5/kYa5qDppa06xHbWmoJXZ2NIajp8j1VS3hxmwnlFIxn20rhauoWLvzVSSx/cv9J9l7lbUT BiAU+VeexPw7eFtai7o4qfY0AlPUHcrRyo9WLPzCa5uUau1qgNF0xgVkZheHaW9CJkxIoUrp/NT3 f/+1UZYMhVUohrqhpI0rdcmhpeFMHlL/vPLyF6VeC/XqbV/+I3lDc7pKAG1B2tumat8lpCh1USgM 0z2Pj2R+FxoQyJZznXS3qQrO0v8CmWKa1eLI+47KuDPQVe0+I6lLVpko8L5vu9kogu7PtK5j+G67 dWOmIE8sbnkfgII6mHsirSHhM3V8BmpfWdyduaZ5VVncLJGUyUb9nyUHTf3QW9391UIOGFAcNvUr rHy6hhxfBiiUmtl84slWTJmGLcuSR5jIsAKsrgzEmk4LIWKULo1pQ0E43Xu141TTZ9Gl9/ImSQZN GNamlNfl/sKL2n3oj5Tu/dNWFOBWOi7jmrgcckA8+rTvu50siYcmol7+/iOWZ2u29EfXwKorDcyv ZNp15yJqncIlbAoFZanzUEZ29QALyPomoJLYrjgFBQur126K00iPvSyjpUnULeNCPVih55azO+KJ TpmpxlL7OkzMcgxyO+EARu7u/b0ckQspGfis2h70lwxVrMNbwcVRzBRDi3A6B/DFvQF/bPfTIJVo SjZPnusCKBUWCfVdt+JV8kU0xfA4URhov3vMHBpxlkxr6auMR1B1tcMF4A2ecC0h3SEBHsX979Gz yPTHklYXg6083Yn4dOVSuioaGfbpMAhL2h2JXnY14dBsrFdqUYHQRWrQrGFhBBCkSnPuYIOQZ0LX HYkYJmGxcqFIFKMdU10ms4B+kgstIYYsZ/SEPM852EcP1uu/hB8/hD+C1m3HMephUMtZ2xy2UXTe JNqzJR6+RIbL+F4RozL0h3Ob58HncdhrjGQmMnJAe7kIFomSHyRwVpAL4PzrgpW3IXNaQ+qocZHG d+beRt9Kpej7nRFqNzEAtHCsThg3azmP3pPPjyQUgan6nHtxfbmvtaBKJLR2X4I5OqLoWIdNYvWJ J+x6HWWOM1NWQkH98wHm3QrbjW8Q+vZj+vduSl2trxPpOriNhGRipDDQnWChC0efy9VpUzM5rJg6 olfh3gVdf/AQXj1B4xx1wfYErxH1ITdDN4mwOkkKzIHP3B7/zPf5hgSsugQsg3WsvQRRLkIScI2g cMq1yGw7+eVCyc3W3a5MknbzMtpPnNKeG3iHK/1beXgUUTrqD0gCwI9Ly2I+IULTQKLnhEt9/P2c nyeBut5midQ6Teq5k86xp1nSLzMyVMDg4wRXI80/pzkipgyZuQn4qh1vBioD+shEjEyyIYEXOS35 //iFGKxgiccp6uFK/zgQL9WTlPaUu+arfhFswB7XUQhOKYYiCeLsdzH5jHBJv9BVetr2qgUd5qIH wbxpPWyqwpSBjdIDzTR/BHSekiTDrsDKhv2GQs+Yn8ROFi3OxNeSfQTdME5DB8GlZ+hUvcH74xqy a5D8S/I6aB3wkE5GR6mz5f9x4+A2uo/n0UfyyJ5KDF7lIBnmVnDnQmPBjxcS7VSty93p8iqapm8n zFPk6Pi7//yiywoQAWJT2qTZCobREfGgsQon+hDSb06IUTkTF4+GZeiq52mzvPvUkRhehV5mjbvu ybAftL34LnlnGdtcne6ijrFJbXqm3Mb2ONQotgsEXjMFZmFdg+e60a7kLaGaWSRj0Ol4GFvtbyIj Hz85u5cgVuILqXsT1gcNmFk9B/T+dgjPlO4tzV2ettHcBXd3/fBK/CVteQAV05vtHFpsLOcqhFwY uBzK81xvmhfdMgFIh4HRMu6zClXZKOkm7I1uayzQxdumXIx4fJWs0qtoeqA79WhbQ9QrkY7lqYTd 1wx+ltpzzzviOVXUU4VbW74mTLsspVN0gHYhz2T6k24Xbg4RBrSQCeXsAJ0JI1d0TZoh8RMWt24g 7X15bc8MXeqVZrlIr8p02jw3S2Cfo9v7+jehM55/PZi1kw8pgZbYaTO45oXz1ortTOSkwi5JHYjX sLcH+rR6+rAGJzjpkmndRp7GfXNv6AF8y6rOSbWIQck97hQ7Dtmj9LyfSkeJfcwASID+y8NE/dWI YD4p4fzUSGCCnKv0i7Dw5gfEfSCX2yd+Ih5ellHvK0689kVl2ASlfKHVUHygFKXy5SImgZrjlZ6u IsaGFLQPLfN3SC6gnRmSpYoxHQcz+H+qbMH4FGPuUjS9eXturhEbOQx8Zgw4u6axWDaAabNJCB6X iRDRmgKFFdqwQF39lU9+GAX3CwNvQ2T0YvCAS5z7ShQoDanX74JbuKmL3c/1czDZLMK78VPYI/4V 9dTD05Mp/LjXLed8Y/BZDWtAT/h3gJMDCFYbFPT65pS9OQk76IYmNMTeczGt+QQ5Nb1YNwhnlLvW zqa3+xkqd/bJ2rpVErRovrHFK9K5qQU2vcmXwFzNbNISuLXk/s7P0aMm9J7Ap0/cxZmjE0XBcSL8 fxEEwTdhf1mDZKZB3GfhmJoaW8bV8WszDIPsc9e2yp1SqbJNy/m0YA6UzLXAww1/cBc0EJxFyunI z+O5J1UYf+muWxJnR4jVbBGMwDA3CXaJAwZ6dpVya8W67bP995j7dcPCVioJzWwXjNDYTrCZBrkH eyEDJrUP0/vcKjS4CZ4qduZM+Mvil/+wj6dxW368MqdLeaJIByWviQ0jL7mUf071zrQ/ZEFjmy5N NbnPpQj/XE+ROSI9OWKQm1nPMxF/USfN286VN7/o2HX0/JCNnlzIDoqaDRg7cIHP9b7S1Z70Zbqv ekmPGVgn/6aQW4YA0dMHEQZqx2yj1rVLUO/FtMtpQOFkpQZa6phzdDwlQ/R51jxm4D8ra5M3L5La zmt6d4MM7dKkQLI8l40Fk8tyKfQM/c2uOkgxIh6zar87VQTI9XRC7XsHxejot8RewawI+dLyElNJ n8zaVCHeml1ovGr7U+V6jcfTOQWpygSlP1/2/K0RRt8WhsDY0RdYMPbRq6Xy5Q/h6/EkweCpy6X9 lO6Oz2W8gB5DRPZpr64qiVhrL4RnEecNlFAP3oxA5FLDTeJo8rxN0gDe6yom0bqD4kncUFkgzKj7 KiZ0/JU5UfGvBcGSwzJS5h3tH+NQyOLDnMnPshDf6hDAOHRRAydX+X5ChBUF4tdDgQrTEFhYRzr6 L7UZHJQOhrcKyTdz76zM8bkrT7kBfWYrBDn472THswKLMUuS+/7qUmFOoV/8VwMKjrTKdcCjsY/k gnEDHYDCeXKiGoPg/d6QPCIPVBbZAd85+synZxjhlETvPbquRTWXs9BUd/frWcPxrt1Ve4PuOL9Y FHz5v37V64QsMspAymUr31snY+/V+c5l3+PkXCZIBSMy5T76zTUzh/cdlh16w5O/ub98c71j5Eb8 9sK5fxV+95pxZy85XOZ/NcDuKsMGiNpC3/nZyF1Rn7up+E7CDeVA60j5b6a6w1Az4aDCBGqJBOX0 cYuWpKKVURenXCs0vSWS0YKzl3Unw5VjRsR96sxDLvxUKHs2Q5N+285rsLKcdFTRP7B4+h/SpuRs If14kSgCcTyqw88zz2fQvD6aCh4kiZ+sPGPhJNU8f4BBVMzkzk0PTFuGv4kv9M1nCbBBQfyULpfc odP6Dd3QV1JGS4cudjdMNTC5Ih5vyKaykeyd5yNtHOj2aai5Rme9xZO6WbFmoghajZ9KwmZr1KXA zeznKpbm+pUJiz4NpwBm/liK55B4q5YiDgvIXm4uFbPXKyRo8XVv2u/1Bw4VyvMBdqjXwWZ1fLSY lR+TJV2EZxGjBuz75JpqFwtluhqufnp8LnKbD2Olew6JFMLPzKuQpGZRB1xW9E+INgETytj5XjqB 6lY0vkXW95MtlpJIts2SS/SbQCepaCWAhQagp+WIBNyK6rusUIVxytAMOui6bfvO6PKcAjUeZXSx NWvR8VsDeb/L8QXzLNq6jwVLNyaPvkobYguyBG979iWLWBGdb7jsAeZvXRsIwjVjDL6uQh7x7loO OyIH4BEJf8NvTSA9PYjB387dNFJYbHKhKpIHomIoS9zief83JLd87KZsWLM+Tnl7v11VR9Z9eeIY F/Fx4kW8/7yLFhOe+xwhxVjI4ZT9GW1oWWr/HzZjxYCNR+thL2MoQ6NrtmAHXectmSkoFVd25a1+ rGJJ9HsvnZ4AuFlTS4ltu6T0bgJIE29F28xofRVWV5dpbwynlnT2w6Uo6o912nPpLYZceln6lxt3 ZLwBh5rtFiRg0ZHxSqcdQjusYn/mU7E+aygl4QshFiv6XBIY6v2opzP2g+sj4rlyuM8D0cFP9WTo 2ORqZgbYhQITuAu/TpuSn4DgE7uzHaJ/4F9Q+xLGI7jMT1NiduJbGgXeNTgUEGjIEIBp6pEOhzyr ZZmC/YKpNdHE9kDjXx3xnptYm+Yur1Ex/Bz0tieRKjTDJi5Mms6EzY58RlXUgiwW7JBx/D2GunKB Q/PFmjEidqytHw9CU5eZwSSI+ra5+CwtYdQg4CD7aladPIykQJS949OTrOYcCx9exZ7NAyFWyNE3 cDpoBeN/IN7KWYOWKceOJzUtctfttxgVLwyx5Oxxq5hqmgsAVNQPvtR+k3bkt1y4AXX3BZN0wPTn EZ/rISIZwg8xkaD98jblcMmIuhojW0yczhUAJtxJgK9MAtVGq3JGFQxYgLDfPy4FpCT9EIxEVpzL wq2yGhANIGns/8ThZk92RExcSDGiCOoxHK0K9pnls1xKJWhPoKoRDAkKPoThW2KB6xoNCZt60tL/ FJ70zt1T4Li6ndG8agoj6DfZ0mZbJt+0EPVh57jMHmZwo4mBZW3GgzNSZhne4ybyw7rm6N+yGRXr Z6dnm6lFAqoKVD5NfzCgOy9aVGs3Lf55Sgoilrz4kdJDSYy4v4yFkEMs253Hn/xdrjZIm/1cFWgq AGrMb8yY3G26X45F4ydGKRdj4wAlBNtru60Lpouirz3RrxdEIr49WhZtnwp/1auFW5eQiM/n1tNF F0lQs7nu0M4yJ33QG1a52SkVUYUVyUpaOYdkiKpLEJNsB4ZY/1xN4apYH6fU4Infwf6Ml3o1Wbro 9CvY4uyai54TLJns6BcgIScDhC+xZVQF0Y1WQ9/2hG/2Cj57m7rNdHYVtEIsr12QUlfcGrIDzyk/ ocYTrbqUlr9m+FeHNtz1TUhr6XXqpN2nJUynfl62anomqv/UOw4yEGjRZyoxQyUF9Wp39n7cPSLs W1EgGcJ9IpTjqa90oS/Ziol6aBRSD6/V/xfPegr32zwGBLCPmJJLkV7Nql3/FcLy+i4cqgQeHTRH rjkhShPuR3kQX3GHzC4w8UWV4XjPii0ENU2tLiNzVAUYohw/A9Hz+n0ss6qQKW/K4dUOm28Ge7LQ jkAvIudorjrmak6extetriiuNx+fyJrJHKisVMHCzR9ZK0zJwZ8OHixnwBwuu8PjxbjB/eKvwo38 Wn5ioKJUMcQtT7emNm7PCSxX0iBsCSruuQ5ExYzP71mOOEtnmn2dVXqwpn2QDqFmwN85pb2WaGwe CUvsTKTN126VJSyjaC7mCG1LAML8McJw+dG8Ny2p7JfN22lgig2b7d+5El1qkyKsqjbxRX1/yUbf XRjI3u7/u2hCpWGmgR4ORaNK7xlBq2xJru4N4c0TJMh0WPCzomnNn6vO2uQVQsDw+4TDr02KcHr0 WR4mlctRV6fNLb3u4rV9zUHu4zferc2AyZQBsXGG7c6FedmnnwtXRUv9zyHuO06fXm7Pvt30IdIr 4VWDaOZh/ENGXCV4uarcTZU61Pp2osGL3wi6GqS0oN/Q8c1zyrrLlJxFozYMVEieMqVbDAfZbAzC I8RzCsqWs2qqKgdwqL9Rl3DMhKHSwFh2DNm1CSKTZZlD8/40WTyYsg6MuqbbPUGldG7DBT+1+wfa Bpk7cBgFxuKdRjVZ7VjKuK2mVo2+u4n0cQXUGWRD2i00q8Twy5SkbI4eE+Xq2/TLzU/5OFQ5/0LR Qkzk3UfbVcVItFjXGHZ4h3GoGcJGoGVaDjMHIiS0F0n9te89DZ4RIjHAk2fIjyL3EhzvLbXTxq0V pK/6ELmka6s0Wc7sCJgzAus4/QhrG5jnLpoP7IJpiHmbsKb8l810Ez7pzlocdyYP3WfZKbretqu+ qmSKvNGCxBOV23CIEvtAbjVVCGgbMFC1z9LotekTBOJvr7iThVev5S44nqbAai4kLGeIoRvu5ple 5RiIXhIKwmzKn9dZHomjJQwZNGsyKNgc6HLJOBVYn3Cl5w7hx12kGu83r2T1TZmNFwRa9K/wcxaJ /pTz7lbfBi+FtsSLgE15cONQlffsin7VYfDJJ7NnP8LZwbs0THKq9x+CZniqul9Cs0J17Nm6hoXA cGp/Fjtx2XwQ7bJHnv1QO4ysiz1DvfxE/HdD2FB5BTpwjfukSev/1P8FbZ1l/b5SIB3/6S+2at5r m2mZaJLExtgxeFZYYXG+fv61pcIL4SZvJmml8Q1nZ3L7yVqL1W55fydG9zYvTncLamf4zZvtVN4F FLc65722ch1hFlmLUNhbQeYW9Td4kALAIDBeCawe0rbGImYhnpe7FD0A4OJg+FOi8h+bPzzas5TX CVZ3UEFXZ4J4blM5CZgylmIRPYWGmeUa4KowVFzwIdNQRaAyWCk3wkzFvrp8jsg1eTIKlT2zR4Xx nY/9MDyEDMQbo7VkvZpHMTcEuIVng4JyHcdU4BR2MM+ePybmihuADwtIO4C/koDGf2ecTOrIBRFx DypNhTltVXyaz8T4WCgC0j9kGdoh95HnxtDgdHIxRVUJ7dQZ2skohCBwYxfeuXQi6Nycro+/y0I5 q58RmeKrvtdh2jxcEAlIX5sG4TyBlLURYKZrtNETU1AN9tO3nPJkLDpM7K/fxWgebjDe3UoAOWAL M4irnvwh261jvttKhABXWUcE4Rxc/7/o37shz0H8gVHQalrKx0Nz/Djdfl1cJDAePA7m8Us0Pp4A R4x0tYCLuMjj6aD5vBB6i7phpue51hY/qAh0jMocu3D3/jL5a4nNa5bE0/HD2BTqmXIahKqNWgMv 0U1NvsMwTkXRyqAdNptQnaQRXlf4hGg9F3kEOeKWE3xCv6KLF4X+eW5URFtoR9Euoa9uvbmXB1I3 9UMtflREZGO7c/Y8AZ4xXRT/NJbAKCZdZiZ7xpRMTd2tqZj944K/o74g9lCVyDugb4UzBWZ4NT3I ju6l7vmhJNb3vyr4+9V9A7DbZkK2aQWTbTcUuEkD4U9r5pEDyGD7wGz/Jo5JVncOThHeH37UQVyz Shwf1SR6rX9rramgTmaPQRxtgzS4RlinIP7gPFoqnlCnGjgibSl5xrY1FEpaO1S6/s6OkVTzlBj9 o8DvFlLgFbbsgeZn9vjar1UR6iGjl1gh/RpA5I+0Ttp571pCzxgBZ1OppKZkwoQtJMsK/GRYZRe/ JblZXGyu0FQPKcHx2lqpR9xd1r/3IiNipU8NKMc1oyXErr1qVzsHXcCCdbw2aje/i7qDzVx2M4Vu 8NcXB6/RMQ7UmJAOBuP2JTBKD3EyYZxkQpMuc5Ld/EDGBMbRBuuwMiPl82kIpF5Xp8exRckAg9uE oIHZh7AL0LXkyPZybJpl95h12Er7Vpw4l8xXfUn1nzBgsrMwuBrH8fARoV5RZryl/pNnIWu5REgE ecvQhJDAHUEjeg3pnvDt94oxMZFVVjFaO3kxT9VG9ivWnA76IwJ8yPg8SvZZkHHJotD2EV89NxMg S12orb05yL8K/tGRIEmPIJ45XkwS5MjfnCPXV+SDM+dyhoYhXyAQTjqEbnYXeRbpd6Zc4nfzrIG1 v7d2cdO2BbGhqncBbvim4DGQpkVwIbrxpcr4PIOBNoCx7AVPgJBKkGovXcAbKWiXnf8t8MYYRNkx rwbduQZ/79apM75HaKjl9OYkkYbcUvDPX8ic5fxEffGLAxZjglAp+dZ6QAj3YVkMSynY5GnCtL2M 0d0W+iyKjI2A6w27b6s05yF+wkVKLsz98oO2zY8IBNMohKJgAibUXI8jzsLgxpMyoRuctTi6Smn8 0YY4hqsA2AteRHc0aCL9Clzl9dkYjfzerdqYN2FhCbcfzaGfEOlEPAbjQCQFPRECgE/6uVDRiKOM xNQUoGD1O4ygX7ZBeLq/VFknnHnCNnpoqBdXFby4HzUV7hoM91JWlG4mK+8tWdVhDT/9b+9WHw21 ve4tJU967HPZXn3SYq6pvUARDG/5IJRnNESfcs6jHPiW0iKIFWLgC1Cqu47AUdKqecYdl8F1Jmgz xPFAfQ7ZqjVJwNYsNS6vKKy8jUR2Rxq/fRN+6NR/ZOQkTTE7RZxNsCRr84bskzz401q6gsIsP28Q /GJSmymeo2zQ2788IUDxLz54JtyhVCfNbDgW9jzZPvyx4QOssDMv9QJk3eG7/uCwfDaOjmFBlDhq eDber4Z34mbXJOvzasLD9s4fPWZOPsJTA+2Sku07/zSAfvHNr6KV8GgjDEivnxiE70jmLbAY8nL4 tpPa0siPbdZwQeHGy+QgeXGtyGFkP5e1W+jSiQbfGN8/mZejxjZlCfIdxeFWILqi3J1/9j1W340H uAa9xsIU9qqmBK0YemsLxC4PvNBLXAuvgvBAIBVzmNBOz3WQCxkZIS/KmLWCeFl+2yGhibhiJoBv o4c2LkJ68ATKekW1twev7a+0tAhjE3/Esg8LTOMu418radXGJgIuW8ddVRvAclSJ5wSgXreN7nTg sjr5eTlBKMO5ywiKQ2HPumdQaUz7HJgDRT13gIWK1ttvNwYHL9zvjSZOeUEE+xg/ZKPrTm/GhT5R AOlSo5aSKDm53kXUQau2C3Hjc4mcE62m2hFgCqyK3C+tSpMaqY7lzom3JIOtHMxfthsE8oX45Qva loybP/mUbt69ewB11PBPMq00JSQF9mUaPCNjzquMSDvy+T/ckgclUDemxkViBkO1cjnfh6bHfMUq ulWQr6pT43IUD32y4mt3kEx8uqUmLVKqALewhMEw4WONUdFyr2Ph+BVI+wSgEuJcPb/xGdJK69aC 3NjrzxkFS6tkwvqqKs+NQ5rGW7rONIik1YyuGWLYUPmrLTvTr+SMasRvwYA+2nelBUkX9mr+URV7 YLAaU6+EEiy/IKpIQTgy+0AekbskD28EfSM7qf1UN5eDoRWgjunK8cJDZ6YCHd83ruIN7bRNNO8T 2wRrzO2bnzQ7ofykAjvNwMlFZok+R+TZSNp5LvXNgZu7adMEJFlBEG3Tsc2xPxb6OabA9Vqn8SGb YQ0cPfLvSJVKL6GPEitOBNbMCxdRG+dBOEL9C2FpNiX4X/KDsikLewRfdieVEi5kaS7s2vBcejf/ HBmIQtoMk7u29Yc/HoWxAdQf9LYcbl2DnuepoDGqG9IfcECGR5tlqcobWidDwSJTwW/v5CN59RPM ATGG+NLcQQmEmWkw5TlkwceAGuLUkqvqGSQ70JkZw/MAzKs6lGXk2oOhkxkcGTi6g5Ra7Ebv541Q Jk5BPSNW6EiLeDFOn3y4DPvGOI9zoCL4ePC7SWUkrt9ujHXEmkhcFAYwrm0bgiYKngXYvN5tt4OD MzHXW1gNHVqh0PIpm7qbL++keO+ESQDfm7EJZ9hZ23Xzn+sM5eJDQ7LVbuWXI5E17OIpFF7zW6J2 ZQX3l8HjTuFH9QwY8UGxvpCVi3HO6yyZvuWUu57GC0t7BSps1IJiYwDmAJFNmkfDETIvSfpkyNaM 13F068bJ/TZuDgXsq1sFsXVHbLU8V4dl92HMb9pLgZbKcyo9n9YXfGKRA510pacqbp1M74L/9QQ7 Hu9vvY7goi4+ME5ZFM3I1P43xv49li4zAuq8fsEevvk+D0P9jPrHtDctg50A7NdfCAT0LbU8LlnN WqKTEmmGAK89i1rudcPnBIACoc9wcvuzOxA3eaeYXtHyxU5hRddhaDSE87RkUlFQQawHY8oEBx61 hK8eoosjqMzN4nY8rwjS81los8oZohh+7ECytkjGWNcplUrcxXk8RjQnpFF8+96rF95NhD3f+9N5 SNqaaDSAqV5xgXrwX7KJbcVm0cbWfb24iawt8PEa4OotL3o7wMinHXbrI74LLiVByfUdwDIRMxpb FdjlZ+jM/WVLjfBoMfgljkA3CP9t6x9Ly0tC8BSORWbJzJFOhOYYi0savtIuAblCcVrZ2sedc+GN MDyEYYplV/RxUWwcDDYadifVrJBSltQXNWf7dOPlcfZUhr3PsNm6gN0rT1KcskNHrwhg5wUVQlqV QdOOJrsO3bqjKoMmJBaRF6Ut5rkbfNwTrmT42Cfgma7sOkkOtVBVhCZtr62jNJk/U7AxXyEEr74Q IGalT19sMCpnrsqUL1m0rce2Q3CYqhsl7sIwaEr+8G+x1rpyiZ5G9ygasL0qA9L573fN6jmmOsXC Ttqm/CZCuzEVmxVg+mG0gTd6WGCeSkORnvx/OHWFe6H3yEH9m4E+2Qk/CpgCqMOhA2nbRI/EZvEi 9JrfRe5e3ZXMQCHvfCtnBTFxNDnDe/MxLMilm7Zbo4USl4nOhZnBxSkYW8EfIlQXBIULB37+B0Z5 N1MRQeYs2mQm+q6fhxietMBhXGQf8s3LrcSeOLEuH0iIAlQOweQ1nNtr/nwK6udoNnCp+TPjAc1v VP081xSJp8rGf/cvqCp/1EPhHADJEwxXXS8L+3FPrKN4WJT7y5YKKUd1e2m+SweE3i9nROm1d7YB iTDEOmkDMOYq8KgfC18vuX6Og42P4z1OJtkCLfzUMvwwzPPwzRKYLqk+FnWcjP3CW7A+lse+p2WW B9+ZHA31ATqk2dBjv7lKF2wopl27I/fIb/9TpKGqglyzZ8loPSoZeBj4dagTMQG30OPrvqPhje/9 lEMMK9/6JhbM2dHdsF8O4FC93THO9xtddHF0OSMlj1CXA+HoAqfXnDGyYNMd2dHey5KQnjeY1GHX B9fWmWXT0ISztC+QvebS+uJiTv1cgW5MGZ7VOG7iMZ69/K1G8L8/CagL5kNNElpgQQQ3vn86xBRz gAA6NDmupCZ385xP1oxwDYJd9WDJv2toILJVe86SHlsGPCNh4DoIwQpfvvl5csY4gZqNLp/agG0o bKeHBmdfEvcYdzUDFNRGNUGX9P84+eTHnfXVtEJMBbtGIitVSAMTRbSkIut42V6U1WTzebEZM1Qr 7x0Jb7vhdTji1SyFDM4Du3KP3damP1MaEwoIbqxto2KxsEe221yNEB5vV9YLYWcGQw37KShA9cmG BSe8fVfcG809Pn5m31Np6WbaAXXpbmnFetNuhhXzQOH15UnD1ycnInRv1aLwCAUu2Dvwn82HEC8w BOD9ZOfGUmPpK1vhjLgMHtiC9LSmR1loh1jtyq/5WiTZRnUzKczEh6FYbpZl0gJjFGAT7AOcIaLV FaItBfSvK8wR0wL2dpkIqiOIQm2TqZlG0yH/5H5La9msTEmYWL5Rh0CQzhyyYenIGwnZlWEW+vQn y8p8CGolSQvkMuDXR9e8ILa/bSNj5pFdiziXVxqwSBcT9aLZ9+lYHUZiftirECc08wIO9U713pkM 72UzNk0VzfiWiTnYYBqXUGmds9mopwoi3pBlbojohRj4VpTEr/AyzSWWK0TuwbugEocSR1U3PJne +6yH7bgUaprKTYJ2yJv0IjVV3dvTPK7jO67QPnkWVjh8wvncQclLZk7Jb4Vk5sE7lxbIhrK1AqIm su2GLFpcq5t0Bo3V2A7bljmDWB84NjNdAzEfLkGrtqnDmP7OPVWBBWXfay/l88VQLIMoAQo+NUvF Zrl92PdKQ9eIGQWhCT7vqRnWjN+6rqVRLBgyCyU4av5K6o+DD8ImGlYo6fX5sE1ORx6ftNKMXYR9 /ttnUZkP6I0p4yEByrHPoSOLQiF64NRhFegDHkJ9UP8ILySKnya6Ublt8IePiyUoeOGxmOCAii5c Gjt/OZg9Le1zyGSfQ7HC544ig3NkdA71bhf0TPCGZzAZrt3kR5p0xVl2bvqSp6i9fk9ftUzyCln6 E5AeIAk8gQQho3FZT2FM9adkOsBlJRdYUDpvLBUo12wrLrU+M5BK1yQCNYEFZnCc7IDwoGW9S6lW pLvOifgpTPanGR1+Q1Q0qfQGyS5BPbdziJzUFeUATf5GBiO84egndGieByeDHcGJP464bf11eLPn uXd5k00s570+dBG1bFgEvaw7K4GxN1L3NfUrE5LxHJ0Sq8IJOr8YnOFdvEXvvdE= `protect end_protected
gpl-2.0
52a8c33a729c42cca7c92a04efbf039d
0.937748
1.845762
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/floating_point_v7_0_viv.vhd
3
132,705
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eY0MISMZBHdNP+fOARYt7xkv170JhWItziSMQ0UNDmTZJEJQXWlo11HDpP11Ea6g3n2HMPayDmsc BaKOO4qOHA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HUaSsvDJp5AA6ftUpg8DO/7VD5eOSRPWs7dh22ehIgbUoY4B0v7Ne30BFzFGeasm5mwz8T3V/LsU XvVJu0zf5xbzX07F6m7dETBnpsof7+CFySJr9/IKCUXwVuvbeMfHIFjdK6Xq/SM+u+52EROQnWPG FVzsFe71CwuP5ZZ4dUY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jlKIt3ZSok35xBS836A5XSZuX6kamFrDKAbEgYUjia2DruAZXKbctHty8dzTwwOKM3vfps/9OsZn lh+wj78z8FimfB2rld5QxdDyVkt7uIuH37dKVpkSNgJeAFqPwgBf/Gcvh9Gg0G9FuzhcyJ6Jbayl 9Bc22AbYHWlXyO5Xyss5+86Ex4RD9pGZcPTz7dkEF/86N00d7ypaIVEfTB7uG5iwfCGkiVexBZ7u LnGbZ4XYwvvFeguWHLdoxW9dvIu7AH0YZVHrwrtLZkl+6A0fqoI7UJHVhPQ6VXZg8NAcjgWBjH6K iw2J3/EeL9+YqWqCIADcAWtxn/f+cEW5sWIS+w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Zzx6Nu25vh95RS2U+TV8CcT1OIKIWpGqygm77z7uCbYPOp42m+TB8EIHAGoksdk/MnBhanbQ2yKP y6uloC/QdGz5qemy7FgENKPwzPtJ6/fkgUcbRdKuq6WpQ7rjZP/ZZ8kVxaqrPL1lEeEaZO/7vecC Caonb2U0I4AyU7caL9M= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iz9fegKXGt2UJGVIZWg2TtqmTU1gXza/R/DCFfnB7sGS7EY7JtRoGtQuMlIbZeFH2U11RonnNk90 Y4aTMqLmoL+WU42wBwKjs6o6jKFKp6iNyeML70UEoetmAXO6EuKUhlIG40+aeQuQH/UtZhTyL8gI S+QymPkMUfmg4YQTMZVzQPQdaK1rfQqg51p/WvlJXE3uAC48Q0JphsNZbbo1SKz7r0T4tl7Tjrjl hLj3uBg+dqsvTB2tLsO3Sk4cNeCMVDvtKGm8fAjp5WwyCTEbPOH0gDTeBWLleaf+NOZ7J2xyOPdD iSjXMpYFYVk790vIk/ILeExMCXND3tcuW0KNQg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 96496) `protect data_block ghSXcnqZGrtL1e2BVZ2tVrI+Xo40dElnYw2gGj/44MnzPeScH6betsg/iTWzCHGk6EAWe7fyzpp3 QJ0mm3OgzhiwDpZOpDu/vLOv30bV9xD4tfHDna+F7COHbS6kBzDUBLTv/uQiyrWlRPUFBNUTfUEK ewxku236peenzWsy3P8EZ2dQYAZo9umexjlC/aFDwhLoBNpYM6F/QMTbLZ+HchUWL7LpvaRcWCmf KfQKytO1JT7Ig6cHHZ/Tf3hUj+anjG2QGtI+1D2lLkT5FfDzKQGYlTiyCbsFwIz8BvSZq7QFZABp vd2tTGffUO48Q4XSGTRp0TQvt8EIhCSjbOWYSgo5il7rMTI9FCbRIkme6JLrsa0mMQNFU9bU9+hr 6UZdv1fE48h1YIOHrPYAQS6cK/N8zuI723WcBd6R/nEuhWb8eEPvQ5gP654V7NngI78Tqob5M2jt LQk9QdKMMWWWnBifMDP5lyrFvEgIYmyiFRzyJv1jFEWIBk83IYR0EPQyXmcaw9bsZGwP5vtEJRsF UgO+/+jtyHcFHzIshE3siHnkwyTWdGoo6JpB9lR7iDIVRGgTf95RIx6I4b7zeb9dcVO3JMqorCTu xPNk7ZgLRPZHRV/KaUW+oH+NkcrEWG7JLoZW+lyeKTfCp/wLdIbTOT0RaU/KI80IZmGsdJZOX7W2 f7XBwQaYYHHzY3cobyJGWcaVAXaeoZnSGcJnblwJs1LzbcB1QSzYbDJPmxR4Fe5bQabc4vHkiAVp FbYhM/DnwipN8bVjQcL/FL1Wc9ZVwTIZAZOpZAZlPBeRLbDeadzaxp3lgXK8Y759pRsBlesukgo4 eyuYdf05K3smpbePR9I77Vqw+nryCPfoeOxivkQWiUiKszBvBXz/6ism6lKcTH1n1p0fQ4+I6CMu oqOaVgN47xCHKQEpCPegSXSh49NDMoVPjgspuczPNX369ROYmQcnOkUK77xY6SMTjsgrbSNK+LiR eSYQYSMwJC4/fvh/0XJxUcrB3tgFv4y4uAhTaqD3emIkyqOnwagC8TiG7UEYl7T1S3jZ8Ne6n8tk 9dix2TwGwMNu7H35rFrQWi8ui7luXnUXBdXrXn58Jk2QvLyyYnI/hoYG/NtSY7LpHhOJ+191M0PX Lo70QUrHSusv3VPPigptDjveCVpUA7bucRq7u67cPLAD4Tzagtuf/8tDHLzR1uayswj4FMMP7HlX agrgNCvMvD3I/Mmm7iwBM6EydD67pWNpSYVCzPdt+8eOoNUdxgPlOv8lRLWZkaXWnCF3llnxDOv1 tr+8r6IqvC0WejmDfz27ObhlZrrZ1KGppA5WYx4mjuv9uKn9bFoA6TpniLMYCjEPQ9e74lqywpQ2 VEKrQBEvmH/WaQC04pK1x2JPVad6+rwzS1NEdr4e2NvTIaF1JN2m5j5hGW5F/zjWoNz0rC4icz9R CCPte+74JdTWtyp+ARGTBWXdtALTma3PFVakKcL0ZF/0Sgo3t60zn1Do7N9kxXl1ZzTBIVOJyDzP Xt9loa5DEOXRU1G/AM327H88yNxwmsu16b6rzmXvUxJGyB7UZSK1wNVNI+5+VDD0QgUJjvKD1xqB 8BKzNt61knQiKyf2HAVIcS9EFkPBrjCKEX0uIJrXCfLU09VN+YKufalaps0YQMOzJPVz39trwNIq /pI7W7qkRoe+jkVYzF9rzXHmFjCAa5dAGceu05OyxvB2mAC9E3HoXgeJ7hrcXUNdZO/P/nwd/aep sopqDBtHsUUjwPq2/e+tiT2Skoix487iT/+t/bUysvCGcc2If5H1ZvLXgWCJBaLcVAuPO3pMSMp+ poAzjniXhuio3yfwQnZWCCfh7jkD2pEL+9b/T2kwCFBFXcAJSfxUaxiFHbW78g8n8u73pBwbtrqO SVqdHcQNzhIh43nDb4ohPdShbCsWfcgxlYoFjufaMWTxkj1xQJrZXmmScwl4RlMuGtsTnV1ryukM jSL6Ao33xXhD2sBvO+AjbcsEF7YV92Vr1D+WxjL9/ZdTjbEFDrK0lcQzanrD0fHstkFZwVW/CNDh q0IQI5gtEU/8nUKECU3C0TI0Zbaw1CJD4SlOBeVbZFs72rwjC7giNJ9yeGI9l74WiEl/qETlecET Hh7KoUckJ4UVtSA6uHeGl7sLcJC3Hf55Pqvt95GfeDaarVtxqeGqICQSM2ti1/7ICGIVUopYZJiO wN2lsjibEcpVHBNlnL4/LNL44JqnhWDk/wNNLsm16w9+fg8NlAAJt7RSc4Kd8kK7/fpDYRn3NlyS Fku/moSZlt/pA3lVY+jDQ8isCedvOs+d876L9AqfE/cRtwDMMK55D4vGLHJ59Qv/XFADyyGQ3/Xz WqQD5efsQsox7yFfdY1eZW/6VodBm4liU/ry5C1Uh92LGYeKQhFnPD1HQJQQlUDGK/rZy04Rkf0d XXKki7SoZNvIFUb/keakihQ7oc2z8eUF4mRMuB2GAoMsKpavkdMoh5Borzs7/O5DccwNEX6dEAOY sfS7/VGqXiAx0Z1b9lP46y2j7zuBhyiqmr3ShDCFwhPIolbl+Czk0xfGqGW+V7OKfFSw839Ebna9 /cyt5HX3raFyf73Doj29WktQOVvIhicokyO5gJgChsv1k5HFrpr24AKFURKm+raptzcdAS7ev24E nZ7WEJBLif++P7qrJhwyLU4IH14E96oZigzLddvcDjU15XXdbYwuSV9sIxi8QrblYyIfd+nFKPTr +k5tRwWye2CFSHDJhc4OAcvsH1HCmOmOW+GpBQ+gc/JIywRcpo8cOP6m3Fhj53MG4LBdqcyMJ7pE FOnRSkvE7BKLKc9Fypc+8JB9gRO0I0dE3jOI/5WuqzfOWVz5GaPSZkoJ+0zo2zPuUvlyYLeutMSA Lh4Kk5JOuE1xdIrK6XEHPb1EY8UStSLOXISmas3DDxP7BAnqIbUa7/PJSHCbNQIfHLwjGCKJ0kM0 PVaA8oYbwQDPT9Sy9h+NzmWXO77PixQEbwLiThOPuYiX8+o0lT5puIMXfv/5sgDxat4pz0s+EoYS fMoJmN+C+bD05/ZYQt7vSHQz2KLqlVwmamvSm24wljObS+cqLpFwrFtE0i0LHTFju26g82f4tm1h o+6AK/KZctZ9uG4B4OIief4zne36iV+1hPA13s+rzz8s8m3aEiqujFotrHCndI29ENow/tTnQK3b g/IXiDoAE+VAYm+FPRrq8AgP14gywQClwe0F+gW6ymyEy/Abjb6sRSVDULsJo1gLbbPCRLMssqYT gSPvMA884RL0wKrd1G/BhGgIO7UhGBwb2N+X9IPvNzBOEAygElbmEpxL+Hp3Guhj/TFuwTn9xDbf Nr4TLaOAvHrYkmMsyIqTxRfmUAhWf2L3OYbgfM/HYgsffTch2ifUnHlxXihpNkvmfK4lHrmXfhe0 zEaO4SQmXjVAJtk8PZVlUwV9mJvv2LU0HWacuSZs/20eza85q3Ev+2Ts0PqaVdfUpvKMt6VUPAfc tJq8Ru+sJ2nInmyaoX2a84dSySEeXPF0gsDy164lKUGyji2ks5pVEsZ5Cngg9IKb8qeQQbOx0jPn QKVq9QF0UNqvHGSXLzhKOMoLn+rVDOQFzqQbyVaAwoP11QIQTPhImNRDHouSq0kQMk5U59crfDOw 32tGY2N7IkD+Mxx3LKjARsYE1VNspJcft4zhgbSPxlDT+/VHebiNLhA394ScxrdwdOyX6bsjsJiq Q5SxMivy90oCwUZy8EKCChvPiCbYm43RNoJgsZTE+jhNt1q8j1NA5VCNRrDm/hKlyh1JyS5pmo1s ozMQjNSq6DCONIs9kBlv4YrZGWqEUseZBtsm46aP/G30qzH4grBA/xR0sJB9HTEH7s80ZOELinuC NZpuxMfyIuGON1q4UypSfA0iHyYJPrzlnIvBaWW5GpBO9Es80Rr8Z653UYYfsIwiap3y21/Zfnhk hNZEHA4Npdz8LRXAIaRRrY7hLH5u16OXjYuhS2CIW4lVpBIDlceq0yURjSojo4vK58WAYayBq3GK ihOXdXOk+Np8oKVbwHJcVizOLNxC2P8j3A7UixWuc9c3ZRujiBqyEQlgfyl+cByzDBqxQkG9FV35 a0gko5pCYlTGlGA9jytIf7yb81J2isakWafx5TML3BUjnQJ47opYyBPoY8oHTsAApA2YUeKXHwOL PFwtf51u+CkImAC51qaxMMkBrEEbKgoc6hCSGOnakhiTX0Vf+gV0YednWwr2Z62gs/GvjZKo4F02 DZ2grkkbEltGyx7vvABbshveSoe8Ct4H9tCTzfqg6qz248hlv+B07ZxzStp2NIzJE5dE9WkGTtNH XhNHvmlrSzsYeBA9USdk9dBFeGSBoMnNtVwfaQsJjvWnp0EnPbw7xVnH4tsX5WiP4M5l3GCHXGPn LTzkTZgdfJnj5OTLe8ElC1qWdZ4Mr1eIU+qrdT8lWTucXxcs7MTa4F2nvd0CfBrfSHaLJqHrw76q vryvFwkugTaAHsIUXiEmgjEYvEa5wKMNiZSTLy9VFkjW4ZqNuNG1vwNrVOdqwV01l0ifC8FsrlN5 oQghOCoyFxplnLGHx5tVY426HBow65JrHOWGWC+v6a6UuHVlNe+7chkUxw13Dzfub8vxoze7jJqB CwtiytnnmSlSvLrDg4Zd4iHsv/WNltZPSZWYaLQJsK4q5/f8k+9vg7LdQXD5NOhmCro4b7CN55Ap n05l9kuubq7JXgbrW8Eb0t1oJHEUUm3HJF9IbGGJFOEyRc5BxGRCYLRQpufrkQYR2WW5vjOo+BFs UNljp0u1sLhQsmrKRVF6fpnEStZ25OnBiYB46ntW6iQ1fn+PLDyRfLw9HPWpipwcsszLFD7DzRF6 uoFAJw5L0eP5zS2pQFri3BkAP8sSpBkLd58Kobwa/QAi+t+t/aTHugofiwH3+0l5pfRG+iK5K7BW 1qYgAcg7J2haY5nRUmmoX0/qGMJG1fyvWHD2vw9rPVnLH8cmXLsl0M6erXBt1ZrnsK60iObQTX4D FxG2LbidTdlxwOD7RUm8TgWW8Uz216Ky0VFxn6daZULVaqkuiq782bYPFcGQgyW1ubzhsb0QrcG0 6y8u9zaeCQNrZixuOFwNRRHpMsP0ogP7uW8/08Y71uCcpK1WopbyLAUhgZz6vtzDxIyElsS1UxPF cwmEk/MbuMFKsRpnnjaYTveE2ax7Kgd9so0Kbk9KFHLw9f+IicXe6ED277czmQSksDSGdoioTbZK ktEEAvfxV32mcO1ulfjO469OQQh1HvXZMlgJHCNGxDCSZBnbpeY13ktYwi2y4gJR3CGpi4CGP3WJ 8/jS+avTCBPV7t4+U8BLGduISNuoGzyviWV73k3lDS4Mgr4j7FvJm9Z8PP1hKDrTDFRmg+I80+v6 aDgNpKhBNK55/xzFvgAI6VQYLMQy3wB0oTBhe9/6/07LVk82ZbwIdWh87B+8jgtzeh8+1MH1CQLF Db/U6DAkLzyc+lWDA/ZBirCGkJOgT+zhaugkB9KA8CgWRBgpAwF/yieepNgImJtQmtvdUBjAO7RB 5/9pm+bvI2z9IVMmrt/GcsB2blskyQbJ90eDxmv+yY/N3st54ASko8j2b+sAcfAO8zEoKp9HUGvH nHp3TjIID/gcZtZXRs+nXVPk7g5i82+ZHb1v6WemVsd6HhDtUvQjqaXZ1hpFkUmSLWuiyWeAUdgU tcHCtZ5Ke/gce0t6Y/eGVjutNj7UsgV7fPIG8FG6xgqne4DQMes0ZHRtQUlvcUawB+bw57RK8Vki VV7E2p8x1OJh8HLSwA+XeK5ltMohEG29oR3LCEkscQ8FvPWhGQjqy8DiI4y1yw/yDDg66eBJx9iq YbIMOmCSugKjf8dCGXuo6fLMSPnhXjiLgaYH6ZH0b+xrpCp4xVk26P12PqOTT37ow3RO85+n7yZg ZLu8veg+y+G54nBz4DsyyLdGV6FNrc5/XGjrMCmJCZrhg6wkjVOb07sImU1JHzDYttUrFkZBSKDU k114jcnm39BaXks/jBM3kkf5qVBDvoKY7Y3KSOW5EjI+Eo51Mz7rvDDCaIxvkN7cyrGAfdLDSgih slGu/LQzvTuJnztpwVa+uCR8ApDd80yRQ1HJJDAKbf9GDXE2TUrQrMAFhQMrtA3ZD7ePYfh5Sw7x 4mGkDlkYKVBFL/TOelpPw5Wqr5K7fRvTKK+zmJxWHC/p3zJtBfjGJb2DhH/ZksRFWVVGmFOB3j0z IjduAs8Zj8i5f2GXi+RfOggyuw+18ipfz33TN6sCG7PqCPnai3XeBUWtQJPXBHKnbdU5fD6h70I+ 96FkWTWRXjj4eaHmB1JsBKZpwPAQHnMzifQcV3RSUFU0Trs4wg+c5ogs4JPj3Fo4vzL4Ihegdjs1 qDlY4pFBVSmYOd6Namdp/3eDlapvy+7iGoQUYyBGzNz9aU5+vNJUhbliab6Wn+Sx4xweote0MOoc 4cMBFTfB2TSdsjMe1c6l+jinbec1bDyyjzsbX/0rjK4OdCUBemBJppCd8P8c3GDQ+Kp4R+d82rgH A5KjFvGXofLrs7rNP5jBufWouRfqxgck5mMvxn+/CSgQjgZDjP3uKFQ+pXjvU+X/UNK8xCEdzYol nA0YuWgz07yKu8QMWt3tER5onHHK7FLLGvURzYcYLoMPMn2yowNqA2zVtgPZC7i2mKZKP1aoMsFD wvUl7jWzKxapNhBc+Qc9y5fJKXsRC0N7vSGSacPArPyogpb14PG6NNeFk/nwZC4lU0ZxJWK8F5ay DSsDS5hA9rsozqTDi0XKBZgfXYPqRXTp1inSyC5GJ1m2DgOlpj1tEbWSLbYqQtZ89Y22zxpTfE7d iBqzhWVBjOdeEGlvBWP520G6LFQZNzBTPAeuGmvfzSL19EUOGrl7mQwPHumqGZPkAotNUCBBwsdV AjMmO1f+v4omi//79zA+zlvE5eDCK9h5RJFbZpIvLIkhf3zDk+CIijApLcOoPTaq+p/EedwaNpRP 8KY/rQEFavSuyG50WTQbExtJoSf34QDLsbqrmIcPnrVsd2ttG5/MekhsvCg5Lvl66ZssUgPkk0r7 +FrZBXheRvvtiiA2Rk+lTel90VlZ1TSmTihFsmgbeuYxmdzoSF5Pi/qGfqm3W17kw/FTWwMdcgVV /PtMqpxskfjwi4uWrJj+a4MHkrjd15uSvGk1Ge0Z+LhGHMnc/eCx4n5NUDz+YGGCkS+5LgAxO5Pg QU0y2VdZVIm3mT4wGyamAP73fnqISs50ZGrBec7u5dlX4z7fuWelPow1TZGORGEJqzVrdoa0JMSe VUsggGN5KersLc6mQPqVVFYrpOX3u6fPW8RdOi0qvmycYJm7f2lOaPku6NKLiuoQNFJtZ+e4Jpb4 CPk/pixiBWXyT1SbhXEWlmwCTVF9XZW+L7aLHGGgFTmsFXeJmP6U0eKjAXboeyvR/p01DrNxS9Mz n+9WOSuS2LG3HLOUIxAb/ulBOUkFEwXpnePt+QlUm1+Y7VGK+o40G2mJUFmlQA9W7Wp+ZOk3r1ga 09D9anUanoHSUq3azTPWmMIkU1Ak19e0r1IfWxAa7gqpYlSEf9HjTqAt8uN712NvTVxF7hgwx0L7 pSbxEWfMx1tHShO3Wy7aUfpmDvqDm2ybx9L3Ww7LIbZTc+9gNz8ciLwfftquIa768djbnLecaWxF K7dN9HevD4D/WenT3k9K1BYGO+zjvHu5eOgD47w3ET6IbShZEdi7upMSMd4N9GR94d6w4oUz+Jxy 5kR2KTujokeQX4vXqg6H/3EfV02qr4QkadCi9vDv5KSgbQ/juhR0NU8y4NIPOvHEi50GLSxDnfqM 1BzzFpje0hvV45yKLyaipmNXqSsIEfm9mjYWS/3Pf+gHzScyPs4dzhnRDK0Xz5EysFNRo8KoaxZ8 ELyulXXCptj9vJessUbAKVLWC9btYErQA5mdzY1zWaQYEs3EOR9tnENFo6OCt/A3OfvVfJUoxHqv RjzxPG9iZv8ZmLq8jD5GssHCMSsPPT8mRKJNXv1sR5K619czxdKM34bn5cFD9q4SpzYSnvndH71t G/fkhBVzeJnjg0FLETVR2fQgqv6Wj1mUQtKIEi7xcXXve/ki9F4G0d+XRbxTvwg2wExZET2b0nKH tOxjs7AJ+0aV3oMasR+9VMyjgoNELi+avKGz0E8S1lc8haqFhpKyqlBrNOeIkRg9MXkavMCDgis1 JnRjFGA43Qp7S9NpwmkFg6K9EYBOMhGNe04PGGLJlCCcbww0M6vEevXljPXoHJPSqjQkFyzqxNUl uHeuKwEAPoddYBKwybI5OliL0IOIXdCWyBbpNgjZVZUyq6+fokGrpaZc/kuCFpDkGuvv8Gn/cuSD G3RCsm3jQuSnSehqTiMbmTRYtcTr6hbNEMKCUOcFmZwhC8xMz2rb9FLBpd690aAI3mfmH1/k9Fpg iMQbYw0eqnf6Qy23RnkC7X63x3ijqVSQSZSuB6NZCrIbzsduVYMGH8qkOpdjmaeL9G3SWKL/EsHg b2onZc72qNbDoUYi+CF+MSKXjQHoVg6wdgwTOCsRoUoG2foYDwcrEsSjteJei8CX4kGW+mEMFFSG X5LR3p5W56GtmIzMoVb1zmp4nGciELLU6hvzANeeIB4jHVapVf8QeYC4osiSwcy4q7DK2kLv52ej tcruWVsVFcMguRwNIFIgSiHQ0se3uL6Vnivm9AbDJ6qgn3eFkUNY1Rtg6+Irhhc1IpmJ5j+dk6Ym bYIjLVLVzSy9sacBFs8cq4fRN6zneDROYpK2nabtA5W0F4UaSgNTwOLXNUy8H+r8GlqpUla7JOj/ tFBaTpIM9Ji2Ie9MGU3eyos9FZqZGbrNebyCB9YIzthpDykBZw7irnpz7bS3vO983/20qbJV7Mbe DoIEvMHMaV15ZE040MI+EhtFjIFtfEHUflzw/Cvl6asoI1pibCtSc9BKrM9ojWbmpnb3LgCWFVbY sBunAo1rBxCQZM5/r8WBjUa1/0AeUEIZ0a3upg0mZvv4R9Mm61/RPlbJaXETZSRp1CMilqJ78VDz cKH5tvlZkPZx9JYVd+3/WZfSXx/1VpBQHnYBP851bItgeKw0MRGTlWC2InMU9Ke4ARIOtqt+gCYT qC6OR8n4Nmk0NprXSlLkNlC8MgopWo7OCqt69WEevlBnBrqluADuhkXD3XbjbCQnr1bsy3+xfcu7 e+0Dp/o9pBnT4beBhoMFwCjnal1ACRPSJiN8fTDknOeTNKzzmtpxoPBq6QfAm4QBRkBR7rC7oKhC DEk1ZYNcvM9DSLt+NY5GtQtxuScjP38FxmBor5hqKFmOGe21XseqSsYbKmmoup3LF2JBKHC0aYir hed/o9b29UKPcKCzWKtxKi1wfwYHWbhz/IJrfe7ud6cHYCzzkyk6UzV1FybCy4euxheZwU6WOprw iHTqw6rpqOYLRn2pRodKeycPqDyq0M44QNVcN6wbkc3iV19W/DwLDsEHTAb0sSrCWrt/JLIAAP+i BpTJQ+DSYIi6gjirOLiu0zUxDl+fnl+nT04YMDEUJ75tLiw31mVSAraD6x0uvmxyANp96f5pzAgD NYSgnSmKl0ZG+mZ+RTua5BB55764tEqax0ejE0iJ+HS7hO5kW1y3hzA4tsjkyXUU8X98rtB2zuN1 k7IXckE5Ly4hkm8/qaR0LHw6ridE6SmB39y3up/eB8E/Gg3KEwW2+zl5Fb5bZoF93JtAvI8Eb/Te ZglBWoeaQ09YmCWvs5g7E8fz0VL7nZc3Pqx2RPjyGrxKyJ+4IxlgL5/5fvEj9rengvhTxGungGAp CEM4kMS3wX8dnU1+RrlkwQu1ueh9cNNqWzey05VVwRq7xAmRfs4rH0CQRBv4zfqI+xVbz7Njq0EY hgQja/BptDbxR8LUMeKzMMy1zJQ7waLmP513pmXFPTxEudps6FF1j+VG5niKOusoL/4tEaZGbZ3F UTRhWXYCx0g6SKo53hdGkcIUKT/4UMdtpcfrnTozuxiV3mpv9wymcURZ4525CPnoid9d3bEeaycm SSO8ld0kak8OV0XtvpsdAQCFNyMHfZ7c9+UNDdYeQAt9fWzzJJseTGbUeOxKjsI2UeUooLYwPohg AtO4bhyfeauh82UvENbNEcfpdYB2wQqzK92TwFAHyfEsOVCEydM3WqTWrpTmNhiOFM9yv/oc8gyi 3q1SrPAxp0ze4h9v+vOysfx5Dgm7WofQVqPuXQgVy0KeZhy+AWknG0ERBWcLFK+6i73u1MN1GgM+ lJIopfphkXULg4QHrHibwVcraqNfEFNagKRskbrNsT73I/48flIzWlYF399wKrOGbgfRIvhA3sPO kU8w44nLU5aOV+FYeirJP6MSqPvjsJOV8U6CxYZc8CUf25daB8wPN8OJtFPfDvX21PUsrXWmPrme gEx6K2Pg4TY1Eg/zrmqNHf6HBpgyjj2k4vnEyOJOHK/uBWiwMdEq7zAu+1vKIb41UM1+tR7NsjAb Qh0Im8OmkDpO8Xr1t51DTtQX68d/x/ZDGmH2KQLgCkipEcIr+JBHIGFpeyCH/jSu+jODSh8RQgxy GglDFAsjzKG+yLiJc48Ujs2YHqa69dgvdp8/wP++KBYLFrSHtvYs7BW8RuAwncf7GZciN1uwCP7J 7N9j9ngPfp1H+RvdASvxDGUM639ICQr5bhaEXu4a7cbwdgVyUSoLpqGYT/RLatAjcCytyipD0jqe QanmniGObb+x6QhgB8y0diyYwoGtuhte7UmPB379/9LDSgJp5szHmu4rmT6TbMdOeH4bUd9lQUYq S7kOeXil4p37SaWq/U2DUj0KrVZKr1FC1dkfI0Ht6WW0XsRmBJIEEGmrIQtBfYmmcQpvXOjJQCNz nnz5sipqMQzI0zuyc9sirSVI/UonTNxxT8bAsNADFDaDPEQLz2+W8zoeLAumqQL8JIbQeiCBbM2T 3nUxPc826Orinu83rjWJEv3AdfV6PPr4qcXd+IYDGZhAT7QW/XEIpaA0IN3qkdcBDmpUGCVU0Y0X 6UWavjgopZQs5L1uSP5yOSn7TlvwlwrtmwyEAjHp+JZZvP8CL+XzFuF/DUMoUGUcRwTrjdOoCoYx hmqTsbPZiGTRntUgOUM5kl5dsevazpPpiaZ/5ltDWN+wBrGSL3/tspw83tWhRCIr05S2QuDqumr3 NHbpkdDKO25fk3ZZDDTAK/kh6NxdT9DBnIAD3kkPTbsIRyo/XRPIN2UOokC91ZTEVvrZqgh5uG0Y 13S+9ljeP7jp2X35jKsLzffKmT3OeFai9S/CuVIUnwvSSw1YtTmVRMJrn+hIrbKH9wVkyiPxuNN0 aR7yvq4LBDNbNEzhtK29l9V0QWG477Q7PN5HbPRg4lyNED5Pc4B4XiVVa78gu3CIQ7llFCTdDvnv BqcLZkHW3b4u74a/H/v5g7ZVlmD7o/rvuiBcW2lqK/HRwafZHYXgQ9fWsTNwKRXUyppeLDEjz1tG Fbw+6kc7XnT0ETgN1hBkjx7kwg46bAWdVLDJ2DDf3h5bzK/umM5vuZQ7kAAi7JWujVsdlYDJim6W ZlncoaTbjp52oXq5Sk1v8gqZ0tpgU3WynO3eGBoMr5xKmwe1CVKmsRH8Zi/nww/MS1cktxYPElGS i6BaP5Yz4c7kYHcHBqTr0WU8xHSLMbUk43uFgsiSW/sI2khWyhLx7E7vmnFcMTn9VSZ8kgKDqZvc idLEvGXQ6dIF1G07GKPumAzqJy+j4fq7VU8gw9CjQ6ol2kPZ6RN2USifEWMW4s0XYBujpcutt24C tDlGh/i3W3ltlb1Qeg0Ilb/UxZeiT2iZbXlNsFUxcge/I3upafe/uKvpFJ7K88320on6RZIJt5j1 SRBhjkD0O+miDbvAguoK4gI+b3SmY4gUVfhDtf6Egku3ZJFQe4OqN5UbuqzvRI0H0uw9aQGCuu3v PfAR3AxpQMhzOlRDaIpHAtk37rM1Tkl2cu03El58EieS2XrqwTEmOPb3D99NTODLLADkisI5u9sx /PNk9+8YBvuGY378hExSCF8LjJG2W/q9zBIHuHxT/J/H9d0OtDrDqE+Dl9mEdfkBcg+BVSOmfHEB IGqi2CwfFChbg2QxdEH4bBYNwIBeHR4Ol8avsmo+xr1DD8oflYRLQHnbbRhClOiO5UbtrdH4rNJq k4PCkimW67jynO9EeSFR7y8PRtUYpf23hXcv2YWcGF35OSp87k2IL+XdNO1yJqz6zSxduE2wDLEJ mx2zGTJYdoc0s5Y7YSsrUBirQxIkDoDmQSk+MAq567iBhuWfsZn7Da/KDdGeu5dDi5qoaENs1J80 QZFpLko6uuqcAY7BKb66qTbwHIZ1FO6i4/ou+W9Fp5B93mCh9FwtJ/hJ6kynz0V9tSdXpEYGLCGl FIIx+7pTcN3V+d+dsROip7BnBy6rZmbnzgTR1YA21AcHhRJItr7J2hQ1QLoQNGmSgjNTyKhPFACu 45xyzz97IU4rHyMRvJR0ueRS22Mg7sDE8xFX2RETUj4JVoJ3RTcEkMnOrcitWcEjfpGrOfnGNFLO vT/rboGYkwrj1uPhrP0rfU3DbK0IFbca32w751n9sbjFBol5UUqaOD7mZMLaCkmVczkGpTd2h1dj WpgUKqPDae+Eju5L6uPhQ/8h1BOhU6EWYWajtzS0ETdBP9cBDKOZ6vh1MPFy9quBrhOl5XhY/NwS 7fDTUlbyd23tTgRFGzM12RraaXGlnoUhO2Qq13I/NtGbxLPFCFdqeG4ic5dPRU8yVPaC+93GRKT7 ZB0x/urv/QP9IZ0o3dflZUx6tf6cSv6QKpVmb6SW6EWYMnR1JKnDCfUHm808Y7NWOcPMFSc1YlsX j/83EbFWTaniS8Omuk81Gspt8jgz26l9NzmJaFvKUV2xP0cSDVdB3ps7OYRi8TI7DrDtuY7oPO6J lI+JtoRnWJ1+uPf1ejBkHVaKXYmd2n3zEi+PipUA43Gplpd9/LgIpMNoRCQC2ZMKK0Q5UZH+MjDk vT9y7i1nvberfQD7LjPhXJ4QeUrTOXZfMWs8aYUD8C4lVmNfB1IqHnCpD6hl5FQuuiihBYVEpyan +FCLHNs9t+ggLH6C+sq74COKEPQcZi4sMWRFSdUb6sA46WX99p+thrMNkEsghPLfbYxYB5SyqsY/ EmLWnlcnRDSMCD3413r17lZDXqB2nHkHIyhPFRdpDgzmhgvADYB1TGIX3g4jrnukIUHAuH+EFovN nQCe/45DxuDZ1n7bvW9CyLVty54CpJgN9UgvNFX9IPJIoU4wgtpQbSt7WKpvYpr69xurUpEe0dsc A8r30izn5sZqu+jNWAo1LlQjoIlxTOLlmd73nTasp1hntEoONXmu61TqjIcIgb7dLstVYxdsdxpx cTqGEYx/XJV43uDh0l1vLdQOZoRvav0ssmhWGqhr6q2CRaPNni6UYHU4GoeVW7obqprkqxd7Cfts 4X4F3O0JbyeTw+eoBS9Y0wMzba7SuKqeiV+pnw0kMuF9kw3CZmleO2G9nMFobpjmfAVNo8VqAK+j a+Cq2h9eGL7tk9Voyr4l7GXQTjYw24JLPnndCDLfyTWvK2+gC29eCZ8YwthiK2dT0PXYLHsPOBqT qu2aoeSCZdhvUY5gQb72oOdtOWhAE/jd/wGnjoK/Zi8WGDaIVCxQznvnH5t2D0WeGKwtqQACcKqe zAglzSTumDhkr3OGLnv3TLRVVg0KJQU4Urx4ZGM5X12hXYRkwAp1mbohj3VzD6qfa4SAyl1vWOgU MMQ1jt+H+u43TfyD3M38Seg8gD4qC4k1MM0WtpQlsCksHpHh4YzWXB9H4l9jt70d5k7n3PhuEHiA QMf+kmUPZyHYwOkAOXKAaSAD0JN3lp5K7vcoQIDr4/Kop7ZG08p2CJ2BDwr38Ltb8u/dS1G9WxqX EZ45w1pB+9h19wQXgWVnYOgqLeE5SSr6q4pVSpjpMibkTKuljLijsEevHtuOZfxslxboigHE5/Jl pkv9Qglg9orPXE/NiCLo9+RO0xW1c3BujJgsRitwCXiu12Z1nNFexlUkCxkRiYtSDca3iNOzHgQs rImUX6e+2mWPMKQ2lfehydQ1KV0LfIVoHmWzq9Y7bKiK7HsoUH7IqBsHpdAv9mtXsHSYqB2Aq4rY thYCLvFviBPF8unnVXMeInSsvkWGNlpayVdfSPG4dlfevJ/wCCvwHCASfeaI1jbfqoTToiUrq2qT DuDbiRT3cee8cRtN/GTqE4nsnGWozud+nDeu9JwZ86NGpeVN/cYj+cFwAQuUP/jGlBTzMWqILx/X oqw1G+7XQ4TDMx0/UoLaKyCVXeT7y3HUmlAEEFQ0KK1UI/cdu3IjBErUstlt4pcHMA+D8Kb5Ga5r kbHSiUS0C0WRqeQD48OT5ZgHvTRqqEHenprFS+iWLCaxPbId/C1aLEMSmkngSsGgi/IvnStsESdp t0fiLfY3GnNzmwbn0mXdukxfxxbxJiDGOf+9UxJ3A77WarKOn31IzwNny8iPaV6FeECPSOIK8fAU hPCVtfMeR0yevNG5CP+U8FtLe+568EKOyMmXH6dWPnV1n/XuPCN1imtLwpeJezOGLDM2NGrcwy7u yPHc5bqq6RVMHApUA3k9JxjXi1edFDcppOyeo/KJAT1lyLiJEHfKBXuTavbQA5PkjaDwJnsz+Vgu YMHelTF+L05abzRHqVfhNpGGKnzAcs1TzK5ZEBm3XfPvHxQhnL5IdzG9VUxXwB7+e+N7BwNhvAPb QZvphgqbffrl5QM8PdP0y+jydr9TajhpVfOjPnqYcC94mu2W8iuuit1XYIWE+OqJymrcmaHO/SMD 1FBjHtr/2l0jTU1gxzK0AVSH6RTRHs2rLyQ4icDEiwt+yXjzc8QGEgzV28ks6tXDpi26I+e2IhVU Di4kM38Ec6h59F5mmTxhPiS48lmkLoSMwj9ackLu0Mk9A3o8cvXznXyda580fR7sKOSTq9pUKcah UaPM52eWq5omgYWbButvV4+NgWWSDaggyxFmra8/ufmY/1fwcshMiCmrSIsqXlSkbGXOmcmjdbCo F6bRN0gVFDgWRR2SCgvw6I6rELbZPKsMlQrOnlRGfxLDVX3zPCdvcUeuFHbKVsXZQiys/wnWzX87 us/iHBd0hE5qMFTzWu6Qw2Pq5xAWtu7tZ2qRXmLIwg7s7OkVV/nizqmTPxNEQ+M+IrdAnfkMY8wg sktjfuhFbTuNqerM8WgGPOgl8lyMj6tinyrowR8BmuQGIt879waXVdUxyvrdZ/9sZ6UA4/Nhxfeu 6Z50qn4ecKAgc5OiJPmI/OP1OoWIIMPSjIgcn2yIBeQx+MwOtRfBOJ9JisPhymnKQroJwt//DCeW xIDi6oMV+T2F3vXjw4KNSWD5hWVuSHH/UcDYHs2vl0Bp+ZFmjAexBtN8Q4b0zZ2q9fa9Fjs/Z+E6 dao+HkshXEj6YiKa5/pfP/wk0ojB9wNeJKMOZtBylh5BtjR42TvLHKrG0mdAPuQHUdEv5MCpV//E iD0nqYAWmGTD7TA5zgayY55QLT92hqmX5GNLI0ZWBHs0lY8EHyT/WQxOsnE/owYmVsfYsK7Im+HF ZWpypCgn3rwslSkY5OsyDJIhswKJO2jYfSCEu+iDaVZ4pVRmZSHcnFSwCmhUGNEcwUj7T1g1CQOX jwJ942BHJ+BDu1cwpoNY+FpdnQnSdLEN0vW30om3akr7u9k6Mjs+cGwbb98/y6yPhhYpKZJSrHJJ imFWegpuwC9xPseIIC+PumSYcfREuJeRQNgXEK9eOlaSXi8RwItIRR5VCYnISk+ujr1G40TM4gwN nNPUY1Y9wLi61OBUxg/7C7lXaRKXaT6Dpcc7hQhCXBiwYACL7mc3O2EXUVsf7TWuBNgErfqBEfVd DBiGjU4XqCOFWdUABhHhOcKTKF3uZMaM8XMB76V/8wn6JsoC9der96WgHordRC2QbeYWc4M+rORn zhIz344pSAfWgDzhdWdbgzE4y+uqZSgraUpENqfxWDDXJiyqZa6eJnbqbKPNiW2Um1FOjVlJpjaL 30oX5q8LQwWO6oztPytUbexUFmQL8Cu63/86CIOFoFZvKZrFC8bgZnimMY6bRutzmnrtAe2DHqgr wpIp/GTp0SdeZV6KvpHQAs6uMb8N55VrN/D+h0/INPlf/PHu9gEtyP0P7E0BMIBeiXAWA24TRwzC 7EDBVZD0FPgx0foU3rBUHRKNRmhQMdmDGibEXmxnH0iFtK0y+1H0fjyB1ykdTV514SieG0ijOxke M/4TSRjZmEVQ3BwIqTU6rjjgibjtxpxLpSg/8scih58G/R1A7fZhgPPoAM1F6wPzyjSIvRu7lkgl 3tEkDebNaBRGR8H/NCra0PdfNp4sy/60HTRb5Un+bZ0iQtyFra9m5wmGjtKXwVnqcPAloAVuPyyq FYgYpDXoofvYgncPlR8KDwtSO97k6Vs6yPkmWCJQ/pODMI5GTLSuV0WrXkvZWt64FlHg9pStltFW NIljn66l+LjpTs4a60diZMdAJnc3babfweVV6KIYrqKq+ipxlRL415SBFDVSCAFlUIiWV1sGt68y q6U/gbDEqjQyqEn2AoOvDgfR1+MSI3zdcOqW1LaJBcim00VTO/Br5G5mKvRQEC8Zj/lkmItyzKJW fSBBaUBEOTzJby1GruDVUM9rwd8sFAwrKgN+/BLX2vnPudw+8Bzgg9L1zbn4GEB0pBfA7rrkgD7U Ma4JZGFsT1zeRDWrCIpDoiXG3lDQLmmAPU8JDrE5LZWMS05QKrhA2Ju2fhtnotmIGXLt9W0/T+iu qKfr9z/LI0tCy6sueP+MquqOOn60D4xuq1WurMxYdrXcnddEQ+cKzwGRVGk16yPysMR+POygbbju 5fXcFtbpgicNUAIGjO0o1XZrnUpqLHrJkm9bA7RZeUJMz6XfhfXraUizVdiwLWaBdkxZr8mfWgIx 9So+nv2DI4/VavpuRUyV+6kCEvUGu0raPuJvdc7H18DpZnS0aQB+1v1L3qgKsxEV22UJqiu/7Ui/ wmcLs5P7adxeLbJsGO2BGNDovQe4wnGl89/yUD3QJZrkJV5JUQhET4qxdxRa5xbNfHzQfTWmNOIN dmpUWKroizGhBtktfuU0JDYaaRoFkdawNLDbC+PJXzh27IoGMp4+1yc32E5TXFKAcuDui2ew3czn 7sEDA65UT8f+yaMiyQzP0GE+sJGLko3K6q/SEyLygR7xMGWlfQq4DMCdxkRUKHLs+1M4JpxKVT53 MpQoLQ+O7Q4aMMuq0bKoOlTiYpSg8DdZ/y3wwZMuy2Kcp3LfRAWFAIxTuhNkwgt7VbSikOEuUN2i Jn2fUSVCmzknus5j61xMd+raL3Wg7rWSXwlqhAwCLoMbMi3F4KX+tJryJNUytSdYhPVzaJsRRfXr 15WvgvpS2uZExAmdiZSrUdorvbEsRli9WXdOHqXB67BS+Z0ZEU6qZGXoiDmlcoAvW+69Arx/DSC5 dL7D771MjaNAyvOaov4lO5FpueLGGn7vNvWjbGt64cDiMogQh7/eUFMRNvP8dmgOSSD7aMOg2mKG +LSyAYuwpW69WFkx3S3zZxy4kuEESFSy1tdloPTYY4LunkGT+VqU/ndJQ3nvchb9a2860pHsKuYK SH2FY3I6QaHN9hI5olCNJwGh6BjsilmFn6MV3qI7JeP7vUXJZtMPpA4QAIztjNfx4C9A9QUb2ZFC Wm2QPPHKiaRUnw+afosKXqrsGMkUm0ZUx1t8SCiUlvvm+Fk+ttkVw1wNYuoWxys/mr81s0b6PPPw S29ARJSG/9mrUVU/09WtYZe4TTIQ/1/3YIxLwOAVW2YXvDcW246RPDHh9bHtpRxn8d3x27Tz86EL ktSBJH4AAhfDgkkiHWUd6PYWd9zyWW9R7Tg6/CRxXA9fxtTwVKNEljc2UcmVN9msyZhN0Fyxed1d jn03opIu+iEmoqv2BUU4Dw7EfJvQj4n/9ZMtLqQb0KSmRT00paOx5/unjk1kqJZazY1K+AX/SWeX xbXMZuw0Lg2q9J0RTUUXoa4gq2+UEd8SzbBr6K+K768IdHSRwIKelMtWc1X5BQ8LGZRxe7p4tmvy qrh8dJkhIDM56K20R70cm6OlNeVXzZaotdZZyktiNujWEr2TW+9x/8yrEUZyryeECXldZsxPpY3H tMxmtzDCV66KPqedLAps9LqgXauVFFIb1ARw+svyYteBSPk2DaDPOzqJKjGUdsOU+02dHfkYcG+K J3MgWFFAtmH57uttVmh6kdoQDNvOHqH/zBqZGphA/Rd7iRKRTLK776JK1fG4udkoFeW+VLwyA6Mb n0bn4bq2b+CxWSpEcLmGEZ2E087yevdzduEtW2xypK4UquuKfDJNZd6mxmBA3IMUlhQn6I0jbaa4 0JB4nHTkMg7tEO/AFpOQJXsBYJHs/r5b+IRoAcclZbg/hAI5egLTlC2uVS3nmFOEZsVIWWxv9zl4 4T2CMFzrJeO5hn6KxdI8YClIV/nwTlBO/NkQs1e869C293OCfgpbo5cY/qiUrlqfVmKYUqtux1JF w0j1NGVTdNmOoZg7vlCFGcxmvG2k9otb4TssNQS7RzwYWXDVZNZWwBCO12JMaJU6rfxYR9Vap1Gn p2P9us5YtLX3uXinIvkvDjztF0EZeYA2mSQNgnOFtK+K1AJgxUNG3aiPLZH4b2QbdByBTJlGkDS9 J5mVae5PQxHtL+LspgqgII3ZyvMkvGI1qKh2nYdjSh6WYXh6DUx85df5Op+c+tK4AjU/SRDz0gdX 8hMRQyva02rfswzXl/ScUCXP41DM46ztoiXDJvryLnHRPXemY5hz0Ssuw1X1h12jENw8DTHnChEc KgKbp8Zk4hjEG5jsU2JFb8yW6pXKYLTLa/9931+lSFQz8aKE3GDoZB2hLGs2OcOqAKUbNzU6e5Wn W2jp7Xle+Z+KsMelShocHbDx27mABJ8gVQZxtqUSnsFYbe2yJ0XD7M4zkscKIAn5N/QRTOARq2hJ 1cI1mGPVz2wTlQxty3cp8vISvlz8sr0d3wWg+Lx28mL/T9aDZ20anuyLwD8vzsVIsqYhrg2oPrYc B7F6RbopihpgxdFo8f0Tsg2+yQrcZK7gUMlUhVqKHauVlt2vGj/RkrCLl7okdoA5LhqVHyxpl7Hd f0IfyocxQ57HOeYpmQGPsyvDDkHA2OK9DArqCUujZMh+KmoFjhNethEkqKb+UpGlLAGzv4TwZMHJ 0FzmfM77Wu7sGeYslO050/3TVqZM1BMZiUZvKbHiL490nGqiolJhtcdAXtKWfLKm7x92EwPthgDB KYViXpUoJOniJlamrXUu5I7aVk1RKQCxL6f3XV8aYU0j1HD/j4FBvyTKZCH/vN7GPiMHjm/Og6zn fAQ1lRY+rOa/lZjdknfIbv8ibb3H9gNWYu3BX1C1aNenFaoGrMsOjr5Q1oXbVIlNz7jLri3GNKgO jhe6tskOn33uj+zkNgD6hgQCaWGi0xP3GTvZFxJ/0JiXDgbIRPA3YCwyQGvkusBZVKQ6OxNNjN03 hSoi8zTiFVPH4xXXSqvvclkRRuteNa7PihDj1mIIVLy1Dr9jC5yPCsll9ln7sTcxlNcpeKmohf2B EDC5UH9pxOnEWQZfcCrl5PGOXaTpy6pcdhciUd15dOpbA3mgCOIBD8nJYBcWWXbYr60zCwOreXMQ yBgLRIQ8ZGppcABkDofYxSzp11xKHGTVJzRHakWyfMpPbe4gs8NDaWCYzOrqvv5WCjJCPfMDUIdP 2Yi48YCYc6NFpyIBzOkCsxSSTH6I34Rh1xnxz9hqcTNyY85sNgnZMG3X5ScdB5D3YO/cTAFgvS3d Zxi90pYSIc6/uUJz8YFnj3JHbSBS+X51q/mfybCJX4Eg7AGQxyasKOyGgHBz0Ah1LVbzyjsJiUU6 jgU8B01X2etcQ6PTpRgdlQ7rCvaqD5idkB0/YgcTs7kvG83Uyi+95pkLVDKoZZKgFd81f+ddOiTD laGz6PGDG9nuduJNhGwL4iocwxkzgYWE/D4FhEmo5+yUiLElhxwKm/bEdMeumx/kwcycXmg9DKcU BUfeYR6ZaDpGFTpybRJkLbPWec2MbpdAn76Ec5jm2Yymw3+rETGCuEORwRaGSHpzhDvlI89u5/Q2 ukWIxm7yFnzU4uPiUFulKgSWOGXg/oXzXvLGiUrhMmCAU2sL1WTYl2jbk5isJ6aftieMe6KVJvhZ byuG9XRqwtz36m0drxUxJT/AtkFCgV56JUMjykQIb9nPALlMO8qa5m/osIOnjtSSPLI4MhH9r5vO 3mto7VtRjvMN7xVyS/0N40ZykIftmEfUEudv7M05oPqWW6kGP980bNIJMar3+91IsNjfI3F2rlZ3 bIHkhrooRKQ7WOo1uwvS1NliDDKzvF5cAtdgdKDmOrAJ/Zdk2FZgZFCrH/99RsWgBqpVdHYKP1R9 RkiK018Y3wcOZr/4tXDTsmTzx6euXkdzWkliJzBoY1Nh28Kr8pNZZR+hH2uhbNoUMdZUIMCUiwbl Uo1vZczYqRyCTMQmvPSh78hHhhkcVOv/VfXBxj3SuNT2UfQvZ9rfRQvDnAFh6hgA1L0javrTUGY1 0OA5VBq18fJWziYOa7DBUDQypVjXGMfSWAC/9mZaXyT5Wj0CYdEiNf4fpNP+tY4Qr7OUV/oiW/EL NhfUtt1ZGZJPLbSGBrFnJ2gPRgUB1KQemZ+O/TRezEbyNAG7qCkIBMuDQ4SbFS6Xx8t60R0LTij+ E3bCbypWf64OrUxl55yu4/o352RVw+PKEgl6YyvxZAxK+SLaHH0nt5+rU+3zon9FKThsw9kANPfS l/KpRsgMhIw5GF8or80DmPa39fonzYveJtWB8SzgN+yN3zATjBPSOMLl8aLabOeICZgRLu4I8jkN kQ/RJf5fAySaULJuf6G+pSyysR8Y+GZtNv//+bko5j+r7eFpYPymc3SF8hTb9fzzesxBwcAAPYp8 t2znMzdlicku8S/rplVCLMd6vptn7+BtzGevhGRUTBtcEPvj6b/RRiERmgQSO6BXvcXaFVC3O6EK Qj+cG36hbslmr5Jg/ZjgI1q8wPwP7+8jPq1oHgxzGz3szc1Hue+KEDg0cN/TrMERrhaGWscSITOa u215PytIib/xWcmhcJ2d31CTrL6LWWHP1Nv8bFyadb8Xxu4D2/dXjXW6guY0kyQO9or6IMWb1Tjf UiTJ7S4a2cL41CDhvG0hPUj/Ihk45PGamLZSFqiTZM0GhsNzFpXYMU46s+S7pJt+Ormi0u4IaIeI YNclATXlGbx6NGWdKGBOU2nKcDA3ilBQOSVMQ0+GxDR/YBc9joFJMrMwKq4LD+rI86/RFI6qxQGa 4DesjwJrK+phtuJ+GLDtNzX8cJksLncWhog7vV6QpkoakiqofhGgIrM8M6RaTfp8Gkp4GQQ+l5S4 YyvrLcsQ9kAi4FjM/EZocMJA5Xcbybfa6ibs/rT8t0wzSawpDIvvCjZiAhzB4bP2zB7sgOnijN02 d72SiMyaZxI6VbZjIp292Ow1tY1a2BpD3BQT7oT6Vi0jF5DnHCvLqzTfs/WEpYNNoT/YrIhZ6i5I sbXKZYOzmDnDPVZBgcQEo68CX60tEeA/M7FqChtSehxdmB7dZRNYe4dm/odmi5fSr+15PRNLPl8N E8TqojPHy48hpaivQcxJnDimX8lphJHcUFONv6W5ucOXm8eKmA5H0j0YGlbsXlmV207UNeGS/5bd J6pAanBJTE2fxwE0+82uhtTTHMWWmTLe8CEOTQfX6fY141fNmInr2Ldgqxa3u+4/dIIF7WHHukbz 5KN3mbrJSMbR49CxKHvtpA0MAD/35te/ppEpOuKNLueWG0rIyoCZuyRxEOClg7NGu2/ctlh7rsmX NtG1PHbaBSp92JwEEv5gVap22nRFZj1YWDn4Hbq9xfNe9bdCPYwoiJF0mSqh/+vUR/2eAm5Z/HJ7 5V3kqujTvcSbfe20kavi6wTsrDXjpqgT9Qck3RoJ0dFYfiFckzuW/oP6m8Z9z//UydZCXj0ywacO EbLgYzRjXlvraFyIt6kf4Tc87Ogwg5dUSnmN42IRrOsr5oWvG2+drqUIgBDEH1HHCrJVjA3QV1N+ sRAcNf2pYyJGeOdAuaF8oQdXlD1f/LewEZZPdhKIdyHfQe9Bxg4595ZkINvJzQwW/9Ksv0hVoysh tkPrpV9ThjAeB63IB0rQAA98roHD33BMicKNbPzC9wMv02aQW8tryYxks7X0wvTjfoxeVSdh5aT0 cooEwZHn0tFxlM66rBp9q8H78U46sWcLKdnD846ee4IUC0M7sJPeogQGttCW2AHqReSTDOUbH7PO 0GThV9Rke1CNMhQdX1/l/FndwAkp/IfI8J3UfCUL7iXYpVldBpETUxGEcwOQgRPbrsno8ctquvck NN2aQ5e8Vu/GBR9FUucWs5sPBCTBruZt6HC2lQ5XAUKqBlvGJiDG4q2jvaaIu+7lYboM6iSgH39Y aIXv52LVLR1CnzA9JW3HLex6P9+Grj7B4QuJuX0eKPW+kaIRZwUIZqPr1uC17shoVlOv0EbVOuvD dZKbXaRzHMyLaGpT82BROEdzMhvEAe18QAVWHLQpXZL7HsrNwV9sGEnpSHP8m773RxJI9uW9SgyU CXbMH6eRzxQ+3Z6eV8Tz5ZO1KfoYUEfysh56nKFmDvdFsqr8+LTDGsE+MUXmD2zRoXqSWxnbsKyp +OPv8B7ZIYLl+/RDJJ4GrkrJaMQEhHzl7a+gyijK3vEPuDtDxHx51hYVLJ9q5VTyoTi/Fh88gYXO 8CzQx6fYvAiOaQ1WEha+o5gsLhX1keDHS3tR91BQtC7di32sRNJ2/IRPL4wHRAUllrePfUbfpgk3 LgLFyYEG+CAIWj0Ih/CeTDfIgVT6Hr1lAYhSXu5TOKaaNsyD2N3pNKvrweN0D6n0LJRk4JghuRgj 2wV8yovFERBx4Lqtpe9SHMeVjBaiUEPeEZ78+HXkt5/YPRzvrtUdP5kQQ+l3RIfdS6QtESke1ANp chazj14EfVbWLw1n16D85i/ShmOiyI5+EdbaJmH3oGtNYap5yMaJc6jlqTJmgkoLCUUN6spzHSIX VtliQD/wPcJnNKtrSGrickZNjKeFUPGu3YQkhzrpBEsSOB89Py5C3cKeTh+oN92CSv7sDHAvJ2Zt tMT1UzcuX00KZMoNqasJdHLjkMPAfe8uHG4MIk2FPp0RuP2o/Nq9VFTevdG8pqoQAIpayiMAuLVt qwv9UtU0wUl3dVy9+v87dHV0WCu2/HYSAw6jiO9wYXCSFiArCTwNnO1GdtocTvl+FE/pmWeB441c 5d8WfbxfnMj23w6goqtAHCDcdabOY+wKgGMuQmLa5KdruEXX7BEPhdt42iwP1xayHbMm24ChEtNA vAzFloePfRIXQgnR1OBRNXJePvHfs3Wbl6zA0SU9JmPfY4DdHZtLWkFnILwzwBK+XGDuQQYPdv+a Ann5BbvFN7ymsQEnP4Klewwnpjy1QIULs4fdTWm4fO7Wlx3owMN8m4vYapPdrU1WcJWSjvFnL+7B BQ3ZA79J4IHmWtrAv/hYKGraCF5HrQOrSGmCvzYniRaCeD8PjLqtpAAqnLdUT+Cd+FeBuGibvb7G FAPOcQ6sktRsTii+hWIq0L84k5HhtsJp/0iFYNAWpqjWdOOvesfzceMbdxErGl1sRUJ8FFVA+ZTz 8l35GFTLb6KI5+yHwdPcIUXSUgXy7WT8Ie5Si6CkLNLWeEBGyFm7ZVH6q8WwAiuhLyJUhpq0GlJj T9q1H4Y52otgULE80keam8whQFDkgw81++aevPj77lskOnzGkimwuzuRN4f6orJYnvuW4k/rOolm AQDtjmCjlt+mLTeNcGzWLmjvqYEjpGpDxiEVfNNBDVcXP5dH085NCME3bssbZirymCZbuiV8zAO9 DXyMpffOOvXwrOI2Oa+vJtwIttqSKjKCYz4fthvRkp0Aj8AHHgrOBU3t8GVIBlq4YoR7+Hbyz7mJ gidxV5tg54/aaSGx6mnCgTzxeZwSaMMKXyaQ1tHhlFeoaJRG5E/R7qlakMDiGe/kHFh8sVUoj46h xB8TD9ZdX3IbxgYuibdxG5porYZVfaqw4agZJCc6bGEaT0psTV7YKLxdEil171XUZOTy8IHkzuqY w+PnoH5hXZoNqPkS6HUS1hKdfo68BHv/v92jVYAzrbHdCg1Ub7okNNaOL49uYy9MaKcCbAKf8IxZ 7K90rUEV25zxFT8WTtV/QI1YbuM/bw5zMOvzFAh7sxfZ/bPmaxNDP45L4Azui28epZr1DV5zDc3C ZNgixkPIYpK0ZQz87cbmUsE15q61L80p6ePMe7mxIf4KjjSoJFXVDD3fm8+fIiOT5HiCbi2hhbyT KJvrPnOEf5Ddv0fLBUKSSKRFdUqyX8P0lGzrfB0ecQOWRudSm59LeHaq1mNPcEbNZkizKRxd2Nc8 dLt1+aeR61ceo8L+HFgup35gelgo/vEfBjy+TtmmytmFuX8wMiBVFutxkWAZEOje9nWAzXDeMHHc pkE6BhM612dqN1GrrvgEVkOipabQt7GpyeOT0b7wRiHYoCpglO36er9c6w9E/iLLFTurQbbdtYot Adw44nAJlkuEvV1xNjKRysOQoVLEagCGpVMn1C5K7tgLN4yMQ7lGc8bY06B7V/80N9vND/TaVBJO YE0JpH7Fh0gJXMyXUoPjWgub8H94cI7W/lrn8gS7qfk1cKkcc+BmLoSJxhlPhFUa9fgsxwEroVL5 4WP9mTn8KR9aFHt95wU+78wt9L9MplQA03UcvmxWzUauiXR1UT1GQVL9f9Z+uhDw6VLDXpl26Bii HE2TYduTv/vkmTDuVKNHW7SJrgX7ou5zQEjgU17mT1/i0s91yyHlpB90Cp+vpBJtwih1VJCQFaKJ v6F69Y5arLbnUMr5slhSDgDPDP0EhKgB3e+bbLGHc1bLHlfNOY7RK7Z2Q41O/IPtQ0xtGH/wgzFK p912/Jg9XIFOSyOwO5fSq5mSGVOEjVLp41XxTs67WJVs9oNNt+u+1vzy0Ru0cOZ7Dxwy0A6OQ6N8 s2GDuA3RTf+WrfuON8Un0r8r3P5E4jjyJ1KmdOQFNq/uNzf3xJODio0AaHZEBAxAhorJXo6eIuGJ 9FtccH0o1RMA9KuVQRmdm0/Jyj1+hojfyFVvESoTu1gM8VNckkEnPXR3s1UADXsm3vZ10QPsCG3W cWH7NmO+tDYCvjbXQxX0nzcnTSYqHP6cM8Iek0cMdgvgbjQWOkveF4/yS5mKJSdC54LGplnqjbUI v0St5EAGGpT7VFTBIeQ8tXFrZ51X/st1VgtyK56lOItoh7TPdFUqLVrhT5yIKJWnNwdK6rj8Vm5G XHjtu1/JddR3cNTNA2IcOmdvA8QOx7tmGOj1tjOeiMj4nUTZHm0oPBwZU2YlLeeJgs/59WSf7J4l Wga2dQcpwj5jHZrg0jnGhybabkbGf6QubbGBxHx58QD6/aPfMQKj4/kkrfxHz2CIDPAYypkjP7hY hbb7+9q0GJE8UPZ5OSEdUxNguRBY7HpeaCCjLk4fNZI5W4/G/4BlsqXlJqf+cyE34vWSRCdrX2vj Jxeo9ZykkpKJIP0KVW2mOggx9iuERRcBfVMly+RU6oH60FbbPswNd9n1aZ/Jjkrb3sDqj32v9EFd 7GUiSopwB8PMBu1LTkXfZqS+9/Z8Jx3unYDtBWao/XsmmbcgddAFTpgFPXrsAfjuZo6tyvzGnxlE mcy3y8TcxPi4wVctt41XT54jl0aKM/3f7FdMjfCKziJgCLg6eSNjOKKGtNMAHCaC3Hg5K3JfvJHE bkTiQ6at3ch8q2HEOWzPWzrBUIdPavWF/ex4wNAZi1ocQXjD6uop1QynulES9t8RGTWC4BQUgHkJ SiCT3HdZgmcC/mgvB7zK2zvw9kYf+VbrnqCGUlp5r8SjGlEk9HC8IkeXDJXbxIFoH6BT8XlPpzyz n79kDN8zhdsh4ds0mzhciHYO2DpS4EIRHZKGDPEuqh4JwioLPQsquANqn8azXGCK2kzXG3tDx+eh Q4ZNx/eJWOaH7WRSm2EdUxwX6eWlTNNPFkZkWHBf4jkCwv14lEmkdDvqsIKQYaipPokAmS5fnHnF 4yRkrMr1iuBtjCzzw0K5zknvWVTFjgdI2HUjVfKTkE3rexRRE00p7laisgGAU29rK11lTSUTixcz VWlzI93IzZI4ugFSbRmPEDco/FG2owHFpXe5BuOw7pU8Jiu3YQA9whiYqNZCepNvGcwYWnL5il6J DtWTwrWT1Lk2rrSZ5CiqFz9/ipAAMFlvwE0FihV58kQCvoTdEr9jL6CIITDSWMDXd6rGZcct23TZ FKBgdRz61xle/a9AzYxM5qBUHcnBanw6A4ivu54x6l3ZtAOU/FnUEptQxUhvsn2T/tN0Yl5fipXP PCIWiXQeMoJVOFRVG82KsXVrG5iXZZurWLLGoN0SCtKKi5ohCySavuwFYEzgjhVUM4/EgOpqnNmr WF/CdedE42LlUJKHav5iGqT/2tEy2/M/ntOTfNIaiHSV9NgIsekueTUBat7m0JcnM3QX/stS/p+I 8Mx5UXypvoQbPr+DTwaKUL+E3OF1g1Pyj7zBmojL8Ik66gEK/ScP0t5vVLvNUDF6AXZzU583Ydei pPtOutYtGA50f8lC/gjuyakdU3ecQq6JUur4QfprlPWIDpQ3jCYANHlEj5DYnv+G6YGC/StbnlD6 2XGrmS0qay/wWXf5NKfACAbRIZvHcdiQFjl54e404U+UnTwPf1CPqmdcmEEGqNYpg4PatdDQ/onU moC5+kmMD4xQsqm+StNUaihv2SPI1lW4A0tp5H9remXUx2DqDy6kO8uqOXwdHuvNJqkRhj2D8jcM 0torOyynkDcevv2AwQAsNF8krgOQDjTEleAYe4U+4VmQPzDNYq9XFlPO0Y/6oyDbfzSqFbsnnzSV 6RQG3JhpUQNovGutRa1wFTVRdqjrBF3ftmn0T3pSyWVVjTlOZG0zjG6JAJCvGHWX1/vvCoDKcPet 7naKMN5lIOJV95308EbrblKEhzT9NnYKBOtU+nIFs/NkxebNtiKd/vn5kY0EuvnebhyXpBaF87Ur KVACkn+cZc0hPcdhZZB4o2UipzHuTP1kPKoBIonc63lvBBKOUHvkIODDNCSpKbHtbv2DOl9FA7l/ jqoww+T81AZjAPctrZfYRCXV348tNxTnkYKYDBIJgpiDYLyF9LmBzFy9eeels3HSqooSboQLs8Aj rYZ5N4oHfXj33daS79I8NpmkeZkNxH31QwgKyehTycYTfq+p9bllGAJEuXsdCw3TJZ6MSJcgkIPb l4TmKnmqzjbDVgCE+thVRjCd1PJx/umni8klaUlq4u7QXTeb0GulAq2TuKxyvJ526Sh6TfphBM1x PZ2vtVAvxrON3mPqbAzYZfx7QBxi565Y4eCB4Dhy8UwQAD7cT4CkmR9VUhVuTtql+wwENtBcSlNz KxveIRlZn6OfFw7po4YnBfoOjC70lCaV/iWK8JIYaY1/xOlPc01PPTyl8YhdmONU4f0SZHkjH6Tv yeMJP1n7I+t4vSBHbwKiXB8HEg1XDhUDjSanUnuGDe8w7099yaWdKijnUzWUGrLAww5CMZnBnXBd /2bNZZGHhL7KlQ3ho7SAAF18VdijSw0ftr+0R/CUFJGJIPjMNd4+GLgdYdFxnHsN5GXdQs27COAS sF4H/HWUrrtChfj27tI3wOYR9NjHsksjSfv200VxwaP8oZn3KTksdLCtyXH0LJX3XOIW54ka1D2k mGQeQSGclOQK4y+MFX1m02tLBomT0xWC44DV1Nu0pff66hwvxqwpexxUdiANf/KkUF08v8zH9GbW IrgTItMcE3ssUd4w9YJciHAC7dLLiURYXCAO+wYzZtIs6gRn/WJ2XGJo7+XtUszMKNH4oT0LZG/2 ANU3xZ6u+Sc8xCagOujbzDaOvnK329WFWEvOrQBHGJc0LD2ppmUh1bCHqcW73oNUHIikSydJBfDw L/rh69wwar0kPbx+XgXTAbELEd75p9UraSHwrhUSxtSE/QMkRgkYJSDVCGq7eYr6cPMxTTGx7qSP CwxRO9fM0AKuWk+BDRGRJIreJbrzRD9ogWpd6nUbfKvXjCvDgPjbsB/pt8uKPfqiYo0jxbdIFN8Q 9VX+WkZ97RFJALJQ8FFnIixQ9sfKZNeiLzuAvwY9HgJXhBdOS1oHPbdJJL7BnyoQpStwPMovVu2W nAPmZcGGMGuV/usx03ELP4ezG9+ZrRHaIJsSTSFu9SLRmRh+Hq/RcgEZNFkSZ9snx2xRZSj76JUp KY6O2DNox5FL/Gdaizc6a/SBUbry46YSOSxnlh7sllry5KrdgoXieTQ+hzU3BAsl9wPT0YvFKH6u Fv1Zal9UN0JkNOdX/4RncYLkjVsuHtDbP+EROWarB0um/oz1BB1NfkUlsTxKSiFj6L7W2Jm5LHIv FKFQMEghZp2qh1AQCFxdgXD2P92YLFKFrq9zPeAEQQRAQ1+t34oxWE9KnIJagNr79VF6YRVxcYtA v/4MO7oU8xphzh8ALEDjh60pIcTKFQ20tNHtDV/Qsqw+Qg4ALpiRIaoW+vQHk3nP2L7Gccco/5ZQ Cp6HmLiMggtoE+3oc4nm6KKKOnLxgkY1JUuIBfruDjfMtqVBYWG/UdNt+aDEOb2bCuiXf7gFsQ5S wg+FRklksIGyM+g1hzKw21pG2FpiNG/iBFc1cXSdXD64IYmeRmqhwbh/pGqDvX3YDLmq8xSblesw BPQEYKdaXqOrCvDc8B0Mq/rv7k0e/Je5zURjpHOLPT0+5kDgabDjGd5s0ApJ5PMQ++levELiZ+Uz K7MbHTCClbiObzVtT/nFEpZKROn7jzaflt7w46PYjyz5LgoRHhY4yyHX28kLQtca5bFcTRp86Ail utYgsivpc2llrejKZS8TF7qaqzfnWvOhqg2mBCjzdouNxt0WPVDF+cEUpNtCNXVawlcM03F625Cy qAUxVCzNTCmTKT1NY3rzGyojwTDJAQyvM4ov5UWhLr3MqV+LyRntT6xb6cZA8xSsLRrHRhX++EDW TmeoeHR4a8HpO5ISEfLe/FISYTgxHvO3/C5rl2OCVy8Sq/zkgnLqkKV7efm7+OxCxxMM/FGCjCfq 18iqZeHuV797Y2MWOCX5dXUSlXCsqL8JWYG9RpiVjxudTvIymn1uPprv/9732P5/Bi9mybqZY/e1 406roRWLz4uTSlZCqWaqufmT5tWoiQ+9Ej1rmaqU9ZzgWF+S38WkYkaWwmmV/Bo0FtUAqz/q3QrR elz2pxC67R/C+1cB4TTEzCtn2MnPexv6OiLojnXVGhqSBkkA3FcfIKwxgEJsm3kSTbLnKJ8zrMOr +i9eXLYaI41w1cyX344te+NdpznRjeWtObzrPaFuucFkzf5Uov/+l3armak/KNTrk/hTJyCO9lOE ZoQ5zU4IhcmXWiuyaPVt0uv2W62YFhh6n0sVU+NjIxA8DQMAiLeJQ5r1pU3Rnx33hprfewJ2Wjha WLaHvCrls6shhcDfC2fHGCyF0Tah+Ybt2m6aVioeOWBcheb5sZnVCLhhpCEyVWbjazJZzhTBGBRb rz4ZuGrGVYuPG3yIuHWWOw1U48qNlKUbG6T4HMlDemrYW0ILyXhmBWBYf1lnsj4dbK67cP2rU9US gLL8HZnJXfRXkWQ5I2lTEAzrLkVxaRpmwplsMe9ABWxxYq/XeqEx6Da0gc23yRX/G0c5JIBEkb0m pS8WSiW1FjxKvd4lKkFY4ZSoxP/47yZrB2Rl0eL07dL72Vkqv5p+7Qmkrkh0uNTvsplbf+louIxQ hkqKYfN0xrTl7iP/33wTfllvdMZ3IOHO/+zTC6bBqSKyRABKKyF8jI6qm71W7ec7Bgo/kDjjgPag L+MeIPtPCTBpNB5GEbWi6cuhQbAHCp9kdMfVyXPS15pQMhdr0LxncdgJAJK8LJ8ggKZ/mZTIUyNQ J296o98Xt79OH827LxXAocpi62ZOXRPwEfTmSBkwhvJZa9y1dw1D1jj9YWyWui2r0plEOafYYT6u Ij3i1a/QuFd4iXU+SKWySe9M3Q3a+GNX+TadB1OuIXQDgMZbwuYAp116rIHClGidlk/5gsJbUKoi DdYMPg1c/KAODSiuOzjqAd2/86OShMTqDPlXHmtEesN96qTG6/Gwe3v5pdj37BDBJNMqMyZT1grh q/tH6O97nUztLZAm1Rfm4dSB3xbOHYbxx599FDn6xaKkwo+LwT5BjAudQrMhveePmm/JaJyV5AEz XcaUyGdmmSTUz4C+7rOV7Z67TOPnqUTkgo7FWG6egDACDRHQZWI61qrRVTDkx1C28osIdIVZk3+n HPb046CUMHThM/aZePDrrmGDOkfkprAVhdOjWtA3CGZVaK49E/Cv0icx/au/nRLtzerHgeAuNTwi fHbZVtF7XZcXuVSNWkUY3ALXAn+OFX9WrOF15m/jucF5X0QUzBn0aa79MvYUVz+OWjiZ5dHzaucY S3YOcMU3OXbWlukQQwQg82Fc6V5u2Ipvp3RAOosfhwoqWohFeZ4KuAmv+aP1gZcfDjoDSDFVX35E eWdLxWMTtbPCYghl+SMkelNoquC/A1DZ6g5mq2wWXxCI4TlFl8XAZyWyrQvVOqBKC3/4D5T1iObf p7ajqJKE5a1yc49UnC3T33kIhv/l4SMeoCm6WVHIE9TkN/7Mecqq9aVcTCYzmBDicUsTxGONf8pq 5l25igLIjX+E4FDm8PaqQ62JRRAf9NL4YwyFnxWGgZBISj8GU/kz0TmzUBYocBlQftytWKI/vKqS EhgZogmnaEEDlkIbIYVPYB2PcRIGe7WkATlJlA/nynPWeZQ0QrKj0m7qMKGc54rNhGUTBemiBINo QvZmmM/yg2gQHpmI1MUt0/sgMI9Pd9SClF5BxRX0CtDBnjqqIzV8mVMZ+78CjdchcRBg/rvEQcvq 3DVrLG+rFs7xTWHcY9DwvfiGiisNu3TInPtWCg4bDGKCnz+flMpmAEGHZJHb6GD22MCty0WRxV56 oa/Mv1A+Fc9UXObItwcbD7DViLDqGf55CMslQW5aKJbnxL8tDZp6y3IarG1EbE62HQxVTGnnomK9 o0ZRNbmhrzDBTMtQwDGFvm6zbDMi/m7nyqjrCncZb3kQa0HWzRBFJ9CgXG1a2nFbNxzqVVERysue 2phVSVSo9yWgy3SJJnVSTpiY7+JXEn+sjEP4ib+95Js/wTft+t0z7+euLX+YGIftgE1xyGuwo8yX ySbZNdaSKV5FvaLccIrhzQqaPWFdlOw+DFWa8MJlwylb0cB/bHRBT2gLjDd2Qjlcvsv7Qz0QN7eG Oa1UF6A4ngjipj5/NHVJSgKo33hK/mEZizWVhec5OOPetFI50dyDvCjxVD1o6eBCvViEtBAncNEU Ic15yGk8OLSHyJVm3+I/hUsoTl3kGvoxb2F1CYY1dKHxO4tzyceFc0CADc7HqWzIsEKdGVQd7AOZ Chk/9hLcKC3D1NKejRJAW28qF//Zby/hAQ72g39cw+D/T5Tc2157yczmb4sHFKovGPPKrZCrkd3a Go5bxrhlebgPnOf2m2IGiSB8o2LitKSC0zZSlk1oUbMpJSXWSRtfdwHTsuV/DwkiMB4iypMBaHOm 48DQ3z00oGyqenHikxRgsBsdit9CMDh/MSbu6vI7Hkpj5WkjvlhplrQJckrd5aIbm7CrXqLCeLat eN8E2QWFXRp66zR4Wzz8nQIeX8V4sco4vMVirhv27S+CN3ksD/MyepKXkB924+vCSGTlKLHYL7ol CgeFRkLGp4v7X2QG+hsfD7+4bVgkOQdIw1ifBEdal6ZMcyR/nJxhprpf9UclqkIYNu/R7VDmKlXu 75osuTHOAt4HGG7n9sgnttZW9mcp2oleD6fr/1krl825gpE0lg5X0HokqJ8H9tMr0yFcgZnoGaN+ VUbsAi+oawnrNy6Pcy1DZQUxvw3vktmDp+5prw/lWT1boTI9VB533PrgRYPdcSOK4gZPq15vzQNB HFerUSViWbY0LDkzQZ4gmaxN4vu8IOc25OreB1PmsffggwEWtf8/1/FXHwqoq8S+gGmCkX+LMIKF 9JgfRwAgu5LOYRNOOEcg4w+LD4kNmIpoVTfBE7+Kt/WHC597I8uAauhMo8i0ogOO6nbVsNbrtbrl +WgNLMTKs/smq/FQqpTBBkU3isLV49Y9VQSHNRUaN04kCPIa5IjEuXW6BaDktVhfUnDWbfwtjndP u9l4+RBEjZJ3RAimuwApzDMziDOHtd8hmWzyoSSO9BfAqsQgL0qmnmpxIsuWVv9mwZW2XCBSz/TP ygOkTKw6SVEi32KMblJWtoDzrJ2zeNlY0AsKaAwvu6I2w5gN0IFIEZDM/4byB/xl/zwfBf4TUHv1 HQ2e9bhczhZmhYGCqhZ2pafEvP71o/FE7sMUnvoPm31qh/wI7Ya6ilIp4NIqbK9XsuwB6nnBSgbO J2TzTnNgCgOsfU7AGi7LrUkjRpb0c2haKp3wzK03dOmK0SPkesb+v8Ce+QgG6uawzUanc3lwknLX oPJ1WVPGe+2lfNISk6NeccTZdO2oUCwuV5TkpouTBgqt3i2Pywlh2MSysLj3lxwQi/2RmYVvWJpU w59tnYEOYuWoH6E2kYwqfwc06Pp6NP6Q9bSd+6/a+dSzUeCHXedSGz//vqQo8SUbqBvVA9K869YO reD2T2aZc270DxFqPTtRavzn7s+M6zjW8FrR03UgE0bjzI0MVHDxtgA/dg8yOQtnMBZbuKlrSc3K aEmzazyiL58/a5BF3DjeJYcoHsyhBmJjYDKyUFPLD6wnGr1BWcV4GyE5g/TGkLW/znOnXRMc6P+h syf7iB34Kh72f4rdPN+Nl0Zx5t/7yt1AXBr69ZDpFNXOigaeLr2B2mR+qULJ1m/8x2mp0x1H777P iJoXgaJn+NNegMj4/FmnbtTqeRZt9T6m+3/kQnYD8uVVoX7m0qLAch+r5RJ/B0nK00vKn/FOq6i1 iBYpnlTyaQ2KPSuK40gn9/HacdOMSTTz+Ep3tCNmTl5CdVuyyVh8eYAtHQ0yBei87QWmVsjPDrLx e9uc7TppZp3uRSoFIUnTHNZQwolhtRPhVUG/rgxMgr8tAuXryhCxRe6RResJVwnUKMEB4FsZaWWS 0P01PWsfHPbxvn/OPJ+R6daiayQ8aE4Raz706t8cGxtkTTeHeI3MKAQrrijAx/620okW0xfbjmK5 UVQoukklxaYlvtX+JWzauiSNJBn6bYdMrjfiOJbhqe1k5TjgdZDESsDBGmGEmNZvIXTOlUOhfZIP S1LwsJ9DuytM9adL57HFhdVBRtVE3oWdI3p73xJfr/gvuJFcGS+1E3vIhD3jTIpa+z9SbjBCfgPw Mrcu0JrX5OheaHRD+q1l7YvEJGjL/DYDIxojSC21HWGIdqF6ns2w54bAF0b1YjeXFwUf3cokgnTB ejhgwOCgh1DjJYODFaYwd9ATWlrjmxGzvr7DY9CcNQCWHyxQQNVSR96Jt3R1uU5mSzSr49l2BRrw Dmp6lOP8PhnC9V3vIF11gT+1fcv+os42F3YrxduscT4BDo/tKd9fSbU2IP9XhWlOiLv7RAaQEGLy bd7qa+hOVWYBZgGmKDdU39d/w46EwUMeK27axZ2DgzjNbK0CEb6Ob1RoKo4mYitnr2X/xdZZ/Dnf Qz+hPXjxDkqRF/Jmf8KMNu22o4cwGdeJcKttAoUHKiKLycfK+B54nfRYM7aNZ054VClVyNWw+dz1 FWJws5My+SQlnmUT4J0TW9wuraTv9lyvh/5xdrw2I3aGhlKtjJa/WSDmwOerrORGNfzry0h0pwFR O0Hq3Bg4J1C8EmqnsMyBbBHsy4vrJPchHFCvLkRxjleKTqy38Bw/y3Sec9EWLEyFHFJatC4dXPry VYXqhu5VGGB6/NmDBWoupnrpZBX42TCDyQkTW40nVkSYFvjGA/p9SPla909yJin3Ob89WoSmrhxh QlbeWpwc4p5Tbm8YcAkIHboW1G3/9qoyUuGM4D0IFEZMmM7FIB/BeMoDJ9VH7+UpcViRrk9IMFeX OdreHdXm9N7W9YRoOASBAhpN3n1nwLrkIBVLlMay3aOOL/sqMPx1uLk721B3HfPlYLLGMPPqap/a 4Wq+keWe80HY4JW/bz+2WR4kgob13rHqzw4Ln08I9nSchKUxIo84VDgkD3rXFGVjdnDJ80WbHNC9 C1BUT/6I17uLOvRHApXHbExeN/2AMEQhEfkxxROMe+mVj2STbRCJNQlLUam0lU1+AvtTnowMLxto 1/J8jVHIPTbz9OUxJuA9SLFOAiIAIIbOBUQylUDa/HsByfd7q/ikvbjJ3bm4GszABvfDMH3LYj/R ZEqql9gZdvavMGyTc8bBWznmXlRIIr+MmxWYUSiFTw1tbiXG5xygC0Qs6jbZpRFhPblHaTNTRC0E +Mm+xrDK0TDkWHjXMj7Maj6oWiiH5WDtJeYkg9L60JfDdN6fDYzAnQI3bMZ+Ny5Js16sODnfP5La rVdKXeiC1HrFRaOF7Co6b1FNV0NkhVXsnMVy92+k+Bm74rP9dV44TZCQ5KFZhrmDf4174T7Vz7y5 cu1i6leLwnwmuThNb4ZhpPgrhkx/QX3VOSoxJ+GrbWxdpxVWQHjjSccF3as3P5SCtz0pE8xZomCX MLPS901pLgHu23npA1m1pPR71h4ppaIUgxRTWG2ClwoUtLddw1I4WwDyzAI5+emFujIzsKb3B7Bt XGG3lSug8kVx7JjirxaKKVjwjHX2bMUy4XslN+JHz/oVQZZlP6IQqoRSISIqUhEkOKMfNF0z+V7f tNi5/0Jgf2ERUYmK4DMm2U4CU0W2rBNpKBV4WFexmjBQDjJtgCbVxkTfDNrBFFzGu7SZu1KkFzkF TY5+cryAt09c7YDzRUuPf/TU5aDLe5WHudKWyBWWQ7zRHxVl1i1rVrKzahNcMCVX23Gn3qgZOVkq ty8zz8J1a6Gv54jxntrzNzr5gAlRsURsspUZhg8HKP20JA8dMbyR+JE/5v7hbkd6Qm0Zrd4DElSo BNCvaWUqj6OGRrJXAb3kBniwu6HqcA8nv40xAsFhnO1QLfnJI79c3O+nVNDsywaEdN5WXcp6dOmt l8408N1WdwBgW6o9W+L8XHS6au3NXNqcCKCUkEGnbDtl662hce4sUVpIBUxblz6sprcP7u77TiTb m2iLBXQGvPFmBQ2gKispX0+iEtl5SO2GEsPNBaZdNq5+jrAGzT2y/N66BwzqYiheh+Vl6EQL3Mt2 8q3OiXANKTdE6xtZrklyoN74DFHkxKRiGmKdGQTII5TPMa3bvqin84Cq3L6i7j0EUo/Bs4WCXMRE OTHxCEXnB8i7capRxb6XSNEni8fp0u5iVMwNrhms22e3+Y31pVjArbjvy73vHbUZvpQN9shD024r 36v0z3/IxXEMqdd58xnmmz+5EBD6jPHpUbDkgcg8Kuepuen2AadyId6m7xEuLvUpkzsn6WWpdFt9 bnknZP8ceWFhwpjIZmOZS0rRTC2Omqq+npAtpNY82iPZ1s4Ut8+I2W0LxMh31BOy3DmPf/uNwBpK qwsXTuDVbfeSsZyOpsn0rpe6ahMokApoOyulY5eqjN3E4Gu78zLKySBDRpI3ft6yXKYbW2VDnAnD p23lU/ZXAnRQYFna3Bx9ajE2TgGG6EhKywKK8eDOHStclPAR1f8ptX+hFS1CsWQBuQtMSVvo90ZW 0+3x4jJi6GpxhA5I8WT57hmABOTaStGvVLezwh0Hh1DARSmTjQ928D3CDAgADVQMJ6fHcjhwhPah 1TGMuP4yte8z5c1uD1f90z9+F9Fqv7deNvBzXzAM6Xfx8yL0Vn0Jgy3/FSmPW21PpIpwmU67JaJK sn/oClO/D2g6/nXuEvx6bX5FvdfHuSXYpQaLQBPt1vioRimMAOvbXFW19OSplkwLKIiFOBLL7+Q3 giOWCLx0sRwbDheJOhTHJwYDFD1BuBJ+q5JDa7b5AKCdFgnJkGmkEhvdxhBBw4rZCGvMZ6PotfEp BYZms+XUZAGoL8rxFmak+qUh2nqNRjb9c1U6FWC0sPyJJXiW9jaLQQ42wXrFiYsjtCqpSpVof+O0 bH9lFU6hmHIa8XICoToZbONFqCWECrZU+sLX9srpOOp7COSbabK01Ov30LHkaX+MFrP0uzUDRrp7 jY7QfrWFM/fG+zvBrxcLF8AvgE+IcrwaCawGWHqsJL5yX57r5aO7CHuHM+pP588azR93NNZek4nl rwqgBtymBfXZa9X0M4+HvyHuhw//xXsytjmFcAw+LySuIcFkjs3l25Nqdys6xvhacgMfDWjH0/4M ZivGToK7dPK3nCs9YPEY6lhwq2v4yLnZaFUpt0dAfbcxi5SqZw99DxT4mJDrltPC94t8nL3/hAcw HAhAxwVTKxG92+ri8QUynM12KpWDzvMOuhY/TsmJFy4HxQ1IzMD1tHR98jAFpR6Yzcs35J37si4K B1XMY3GtW4/3Y8GqVFLQxvf3J/hlXTOoYdx9H2ldcep/zVyFNulAOdmyJKiuozT6b01Db77T53eS gSJFBuT99WKKZ8fHlmWMg1QfwWlwCiL95ttwqzywshd9b4oz8tAGs1WVc6erwjO1HfXWQ2+Uc0Gg mkMuGe/s1d4ScA4yaww1tlWjSNR0qcwtJIfoRmHWAbJgbKc0myjI13MXoHxvVWMFDu23ueyNjoVO CDJnFWWn+wPiBtZnCKM6qJULBMPzieWYOq9+zstqt3oagU62mQR6TY55mLzOzbAsg3ZXrs5flbJ4 1yP9IqF98gV6dN62ENXIEHa9/NtBIYO6oLb9iWgHfhobv/JHf1iJ6qHHNi5f2wGy4+EL2u1INGmN mtWJOCOJnA1b8hzc7sn9SVsAgYIxroR1Vzln1z9PknYFuofBwZ8+HXIwifmtxr24jpFzhqGpK3Oc j147mU/X/dYEq20z6Bm1PAnbfLq5MGWxSxejIUmuUfgi4xGQE9A+KHAPNjcjvuLnVXDv8KzKAx5l tVWrhLjlJBiHNaXPiQujJAyrMeKIJYJjzgHIgk5nhqPDy+/nqcloJFkx8jBT4oolzsVzBEhI5WTx pYOJkoPdS55+mtW9ZY1M4KfqNaIbflCHMK8CkJ6upwVrbnjk386FVoOJ+6w/f3/e+4MtmhbfPyU1 m2cs19XW7hJlAr71Y0zouiKy8gj6o/DrGRMcUaHclKS2SY5aBfyq/zDhP6Mj6mHGMt00KBLok/LY 48Ee0K3qgKM9SB/1E/tafnBgc59Zw9nUb5AJzpepwUCnp9zYLr4Q9k0nm9gaWcnNLzFIfb2srtzW 5Aze/FmMd/vsHk01cnom4A5mXyedtjC+8CpNX4vn50OcKq4/ZR5KguDaCG5fyLOu2zVzV/RXeyqG ZNZ7yGRR4bMbv+wpBO6r/333YEt7kK4qCu/CP56YyeNJ7v1d9KVuk9BgXOzDNftScUETFm86F/eb oa2/B2Mndhfg1t0yZ6CTlHDfFsqtlkFgLsvMmQlExcKcOmqmKK8d3fc0OzAkOJnqN1TVf6CmiwA3 7gTuDnpXRd+cC+qdOxrNqorOkdK1yKXskR93gcJdpcvCN7fO3dEoympmlC3sbg5it+DQXyCsEHwq LEpG3OzK+TRENj9Vn+r36CaL6HqQaET69PPQW3wDMjMFM6K6XIGqTg07yx1GXJQC5KcMe/bKtmcS 86zWXopDdAxrzdHJWARKZzCV6V5yIwomLcq1oYkqAp7hWphB2dROGk+Lsv+DiEGLac7TvrjePYau C3H7pfMCIyns7ANPbCcoj/JomEtNTY+P8O6GOOTUK0XkxiHVo8SQkSio36wqkw9Kb/XH5KQ1HPS1 MGxsel6rJJj1+BTunaEWpAJFAUMOekBwa8e7FsrAnBy0xcl15mLepr51M0DF1aYRLW8PjhDDvt3/ D2pDpKDs0q/Pjw8fH2BvJSAV7zTdZrMVQBhEVQeK3031whxShNVgWeD3J67r/7S6m9BkD4aKNmXJ VHF5qV8nU4S41PqhnK2w72/ZguU2vX6sZdHN1NxrfXWdWHiUziTqX/pj/wCONM/1zZwjx0Z7JzE+ h/D7z0CYHW0++egSYWcQ1ekSfn+NRUZ2W7DKou1uHScOmyoWvA5Chq3Mj8kfXSPfl+WueWJsaGud 77MJuYl9XFtMCaOY1T0ovLU1gGfRoMLrTiyidKlGXWYFBJonyt1ilSxIfEBHQ/AF4lNx0Gp8TRf2 oMzmx1LWfMlwkIO1aCfV1wh2EOY+ZIVxIlUf18J5lqkDG4KU8DFpseglpM1ZXQ4E3UoVyN0rHYuL pyI9fi0NowKCqoCaDzozkdPMVhAYmu91UsEsfgwnFswD1+Mlw5pv+EWoHalubmZ4W9f619pSua0W R4tw/M+iYRrddd2FKs6uy0KKRsiUSxl/aaQAOn7XTyYOIZDNzvJuoP5lr/EXqzEbF99rTIaNevTX CfeUc0c1Cerchg/bw/2tydXc3r8o2QN7Ljn+15B82JfzUUj9J3BuZiOPAb/B++6797G/261Dz45G oa8P7JfJup4gKZNgyKHunzzQlyUlzBFziwX6ycT03mw1GDkJAVxZ20brQeCedJFvcYAHiVBcUXmK H76SvriQmU4e0hqfGvXgcxc9gZLyEjKB1tLrqs4IdLxsA42hKjedlFxgKxpY66dlIkgLtWFBzdVJ +ezd7LS1wnTnSF+vLTIKvjtazX7Jrr3CeBhyi2ASMcJjJLey5VGYzpWBowHRYMOLmPlPzNVi646j tG1xSvVmLQGs633E7Yx4eeXzL2rsgWydWwQ4wo10Ccw55ctdOCnIKbXAt3FddE5werMYOOTxLjGi Th958RbAU9W1pIA1fGnnDExgtvuIGqRpdMS6XKRQGoh/L6yis4c4oPjewmFesy/NbHMeFfQIdWgj 4e++L0jJR/yxR4m6wywHcT/QrsfzWZueXFr0hQ+HD43kgLt2OHqj719UCmUDlza2FD4jWGAJZmp0 HnguB0PF1VlWfVhijN4a0zyFzyCzhPaOqfNemdlywHLknrm7Mb8+jTP8n3l5uqoNdkp19sRdBoHh cNmSvw0WwVDaOW+K22uIiP9ly5dA8m+gvSDSL/XHPxckkekrPZg1MaNaMxiOl4pd5vmbli00PeZJ PbNo9c/uOsz+LccHBLX0eO1xZDveQuPhxJ6fcWNRFf0KonnOiI14dAz3CIEvGuudUZb12b5hldjp 2DQflUcyHJsHXhKHslh8k464QXcIxfP7ApbkwgG882Gu5bXhvlz2CF3r1sZ12nC4gtnOfur/1fJ6 3seEYuI+UOAU1i0MIQDhF8GGPrZWMKqjxUTN4gu1clCiR+1OWalIfJZ1Goskll4KbwPbQbIdK5dl F8QHWxyZjomn67teGULgf633IFXdJy/y2U62Vt/vOH4HF6OaPHB4pd1AhR4ERtgBYHlQULmk9SUF lrE/oVE7WluqFpSXA0djndDf5mz4UNsGleoNf3b0fn3q23wNZjbn3D7eLeGIOkgx+Uf4N7P5VYnN yv8bam4IY9r7DIOle0retLi9sZYNVUd+hAmsF+OmWQvePH/pMF3eiuuUB+2vw8fU/6OPHIa3//SH KtkHHZ1ATFT2A5YBA5V7usXqHx7jl+TBrM8BSYg5GSk4/ns3PrsFH//mf0T7m7xx+IiJ50GaVnGO 3RvFHVUQxGyCdLF81AkVVfHnAgtAgnEoOI72m45yPbVOm2/xmgeQvLPyJwzKOmD39kWKlgJeW37Q mYa266Cz3jdYXumhzspb3TocU11kPcfHnvpELGR0x3RnCwKdDSg8UDa4NBYAAepo8REGn1yxk7yC qvMnaaT460YLqVVHOlpHFuHcwGcXCLoXSMGdzBgu3BZQitcazGN593pzHQH7pRkasZU+eBRIyCKY ahbGKTL0PIArjiB/imqCbztvbiknxOEOFaWytb1ltPLfOrgDdEmXghoujOLas5NEMlmZA2Pfm4oK W6dMy1krVtW8oT29/lUk+YFS54m1iclDvifxeeNqr9ELHt2juc3OXpI3+upeg+x3DTeEubXyxJpK +zYPCwXdv73qFNWxr3kPWxdHsos1okej4nfzQq5O/jhZVqxViQC4eCGjKoypKV1yUm/JiHWthU74 bDhGNaT+orS83c1CW/Otc0hk4/RslK3UCr+YBjWA+COeZwWT0xcItt/OVQpWFA96C46fbgvqDeFP KVJy0ctoJykfc7MeliQnFSp3Ou72sArPoh6KCeKCRF9yYTWMkyMQOQQHvR3zlq960d8z+eBxygwK 4Vhh7tELo81txKvh432D0leLoFp4cEGrqKu2GaZvlff8Uja9t9Xw9Y2b06gCPqPst5uMtOkcMXMX ODXAEWi3ChbcBFqE8+xlwiaO3yyj0aKX2Q+sc8DkP8ksZvgCNr4PLW+yPY2zuTMz46PRTHIpDC3W okJDFlY5hnfqiT79FJ8ytqg01B2GNJdFGnPsUK5fMDctIj2t06Age7jVIpWeiIFP2lDlqUMM4cZZ 6YhUDsfXAC+FIvYXKJl4dFgGb2xngMFwc9DsR9FW3G2DsY8JD95jQKxX0fKKI4/D/8yfqJqZkIzZ Chr8eraBYIlnz0BvJbdrtXOsjFqgULD0B7FlQ/ZucqfcN4WLzGGEET5+csVnR/WTCYDVUiCz0RIq Y6A3NLWoC1CDQ0y84LLnJASFlvT4qazwu7SOpYDzvHr3limVD1U1xGSOv8yKVFkHrbmPycaL1Yte KXHHEQG7MdkosVlw5KO8iVRErFoTNjrP+Zh2tuuvihv7x3s3VLMWlZiU9iq/6QHDbYb1J8tj6oK4 XMmc8fJxzhZ5OeFjh7T1mrODrrWxdCbAcwU5E2AaD0COgqWWCaMRCE+QMgAQnYwDeb/JWCxEwK/2 qYF8OMSYvtFh3Ni/wMZD/j3WJFaWK2/XEW5dmxyiW8xE/f1dd/Q6rfy/pDtwDxmXIs39dzxp1Wtx 6PIr7tl6OY4tWYrgdcBM0Qtg4IhC2mA3OJAD0H+dqhcXojgvyxG6ibQUfWWS603l7z8GrZZbhtw6 gkAtu0hbyIkRls/eMLJqxlXgPJ/hvXAwv8jDUmQY/XU5ekqtyAAqifs79MKOxksYmhsIGHZboHzw y4uUpk3ZsBJMhTlC0ntB44XU2rlYvj4ce0ooYAIFckusm2Dlqehyoiz+mxlTk+6pkKsWQTnH7Suq qTVDRe6ZJryGBOfcgqVf1hJFG3YKqwc5282NthvEIKbhdg6nPf5ICoPyNBXH3xAnAtPrD2prO0ma wgHg4GVBb2J7WuGP93Tt+rre8lFU18dPwTNoiks3qDsgc92N0O3+MMahQ0DtIXSBkrjFmpWscq12 BS7pI9StMxxZ7VHvjtHGmUL8Usplzi0+48RxTWGeXBUzWKp+ZUq+9VILVBseqFoKrjIP+outrfER cg0azrTWKjXKPQ1oDvX5HxVzW8qDG0RHwa4UM2j8yIp165gFR0KL87N2yC0aYN/KM7FJOucWwc2L XwzVCM+8UG0bEbjnMmIrtdhhyiwpCk8iH/y3eN+Yg5vg8Ny7V4e7G7VZuVsCQw6pVlMJnb7lOk8g guL6tiWlVW2egJNhEfeX6OL3y1n5ILMl0XIBPg2AoFlx0u/4e2p53jlz2P/VS6EH8Qcbor838YNb 2g6x7YEh8btglpL2DjSol74mf5A4s0o4L/HJZIxJKeXGgi6TuuACOw/Tohq3YLr5MZUuZkZx52+E RFvZw4av+m23EVlDy5vVQiPxy1zscMBSePkdoKGdamhVPNJw2KHrtRe9w9EeNpbSqpp1e9Zw3ThC MQQw3PsyVVHpDe3UcoLuqaKFjgzmFOiLOsv7EZmxBttS0Y/a+Kpf9+6AX2frnx8d41rQW5kxD+50 VL76AZYiM8Of7F7BjV+M+yLqBoJFKsgNAQMAXJnM1ReXIhtHJgDa3pxCbh760VcXv0tWZ7sQ9Yph 6zAFmkUiM1+UsM68/a05kdFf5ZcbklEv5dskVyz2w/94iHLPi1mwFzPClg5zgPFY1AlODQj+bVhY vZu7znDbGtvxwMmpR9uebUVUFrjBBz4ZSqj8uUwnfzEx0jfv0JIWSHbxsOORgm1FvDlRphr242pV JbCKGxyLyW18uH+s41iE5C1zNaMoDgLsVrdmJVrAwi0WjpD3acx8CCVAHmS2b40jPz3rwbmPglwY 6Q6icdJda8i+oUqfk/Ai3+dZ8WF2K/PRwZf8lRJc6kAFt237OUSmgUh6auw7iWVPvpHH1Pquc5dW 6rwkuegzd14NxHP/KELcaSzkk7lqnl6LYllIYOgJ9fN0e40lYOOQg5IRR/bo+rVZqbFxuCwhuce0 0+beS4xIbH6tsjPwG0aoaZzc8BMVxy/PxPnfTmdYXexvwfADFQY9XzImkv7o+2PfSTq901ga+WIa oPGEpKdwfVGttQ3UaD/bswey2I77MT1oEarf3kXmLG5E06p9/vJqorz6dptGEsueC8gcFJt00YK6 lq9/2rH3AoGxJniM2pLs3lDekYnE9YahgFOhZn6uAYqE3Cxa7q0visT+g63HaoeVw+72JFdH5e6u lpnBA/PMUHQ8iciMs/Akj1dJkmLZXsmHZP3xmPFYgROyy/ncc740wFM4u+fvFOv7urYAb80PDKlq addAAsXLW4ltPJm7kOQMkATieo4+cNHHJ/0js35pcmJxGxmpiQVulK773t3TFdtOdaJ8u2q18HUv VB2Q9ZlCqLSbYZT0DLC9wWvETh99uSKoeJFb2wUWOC0IzCUttkWoDsx76RcLWso4BkkB3GoZgxj9 Z85rTO1ldvGgVzaE2z9BkCaywbsJy+bENyTFxfxJEBHcq3HZCoKvkMZk8SMFeUSK8+zM2YPJwgge QoOz5vxaG/Q7YDBB2iAPdkneR6TwrGprYiRAc74Vbm+RnT7TsXCsGyKpyf0YUNz2qLMjsU37sWHk 1PVoOyfviybbbKDhC+I+2m2kkDy6CB2xPhGbkjKOSpa0Ek7T6iV9XNNqIFYW0rlFJ472rPMkLSnb sF6tzwicefn3kPtccQI0OhuZOh1EpnJ2wQgV8MTZjj/6gGJqZ6f6tPTzVq21KaNs/Skvbjnegx7y jNqVFnDMi+jjSxDX6IZezU5qWMCuJ8JVIzHiyJk1wy7kRaefV/ufZiHPCRa6VXNbRuWH6XfX7MlE G5yqgyyP92a7uylH3HQ+lRrJiWImEbS4nGwRhUQZGYkE8suRqQdI42B8f3U8RGO9Ah3sWVhUMzPm QdF6SJt+l5U4YroiLIy1I6YVHKyUcMeTMQa4nYdio2RENd9jcEca+3IcAKk1cgZhfpu/l58rOr1q GqojemUvcCzSl6cMFi8mO4S3Jxtzo/N2LL99HWEredQVIUlU8nObXL5WGK8MkAsz5F9VBH9qxQdV OWr8vq4IGHcNVh9EwsuxgehVwT+SdYaG6onBrToiNIQeTInJS1J2JBgdiFYE6ii+yI/an/Si/cev zxoht8yAFPR0kEmRN4N3dlzTOJe5nzO30GFKUBnk6jGWn2XaXDBLIjsg4jqRxoMGsm2gQRxPPJpq GnyMWqgXLhjhztUb4yDCBg78sDpL7v9HUMu6ud0aD6VwvjMQhtaTuLkh50CesAIEZ0KS98qcu6xD ywNv60NeZkF1WRXe3Blvkv7Az2I5qHQJLauKmhkuz7k7EoHWOOwQ8c3iLcWo1xl8mw3Sif55Zby3 sT9P9zUs/RyCFsuj5FxOpf+CWS2AAadoEMgMINF2rN0MVhQDdFl0hI+vkIc+/+tCL3qJnhOdofO2 mYMuM6HiTtnVUBuW+ugSFF7plnLHAjt1+Mw1gcTAm1VDxgfY12KNjrtLO3jSKX6JYqhdYPvK3MTP fDjidG0QcVereS+4elt05ZQ7hx29+VZMa6bNrAVfHDoYPkzoAoXAX5deY96TPGcSBToZuVzYsAmZ Rh6yiqIadcIXS2ywfIDnl006VyEDwYClUOXMIZ7Hbq6JOErxL5Gh6VnndpD24TT6vUJKkNtKoKsy 3XHRcTfes6TSs6fcCTPvoP+ZvsqEnjAL43aXXvBDnsbOT8oNaZ+d+pWot4bcNzk9j80Q2Vj1OLX7 fUovJJ6SIent4ue++H94PV8P10pgPEZV4Tkufa1kkI5p/Lu1sTypZK2FS1MSva2Y5084njO3ptTh 0LT2m+AOY1ubtZLvBZ0qCqbdE4Mq0cMZIPtXC/ZOrXuSp3qFXlYMDwqqrHuJzc1HTUgHa8foTQLg lXC2jZqAsumltNOiMljHvLf8lRa6tFlnnmqx3KR0jhruIntXN9Jmj1gL5jB+7EZn608DReL+XqHe tp8V/53INSzrY2fz9eaKk735xrPm7weh8GwyD+Dq5OpTVG5Yx/5N+TU0a+tOUcGvnaQCf4q7FeIh 55nsY/BkW+FCKs7NsaO7kw/mruZQuuDtRll5N2FSL7lrKsy9uTGXKaZIr/J7EMhq2ssWhf/GH6rU ogDiOO6Jmz/cz9sTDLqNavN6sRHunNTHDpcrTTWB4DIBf8VQyprfggItRW4Dr7sm8zlGAjMfZjLv eqbSSgBTFxLt65ANDiykK33Yoa2eFD3im7kqDhaGGVYfphj97gx8dUxUI3h8dgFTJjAWP2AGr8R0 COkfQKgOPGBAj7W0rWS7jHz1E0SgXW0bEyrE28zCoKwMCUQ5fpbSh/QOsoxDZjIfZ5MFVjYV1JtL 56eRW2XCJ3cbpx9LB+iKzWHdRKnByiMCUoPnsFlxvu81Pfz1PwEslFyIsR1xJl69OehWs3qAw/af i0A/kAhOG0ltFuaIcKa1MNQEixiwDYt42G7qgq6M4lQEWmzG+9xdHEfNXwEYwXoZUlyWytCkSq5e a5GohkydYwMRHfkntrAXtxLpr5prxYKNAb80LYr/UuYhV/h0KKVuGH21am/Yo/nCOvlZtr6wGw+Q NuGxZL05JF1Y69cBQnkWZAc6zQZwT2PLsnB4SBqOynCZddn7nqtjDrGPbOPL70n6XsyM31cJPqmL YULySsfx0n0xGpspthCKnPPKNmHiN5a5NEYnBuyfZr8kt+j6kqh83wSVXpnhlV6+3lok6YEm9RLO rB/bFVOWCU4TgdviXi3iVoLQiq5bgeozxvbbtHyBEgzViMktbAyxZiQLFiHru29nEIO4FVjlsD8H 4qXS2GgBXJHRDXYGEUUGmNZ8+g8nLmuFLerjWWd8Fnu0WGSzK0cNWvcI1vmACcqxWqn0ibDnXpbF rR87uRaBqEFRksknhEIMsOzlx2wreaHYy0CuHXE0byTQ5GfP9w38iAohuZGq24BwVBAF6QdRjAPF TjCDe0S5nXpkvAeKcNfqT4Ho+WC6uC+KszfB8zTofzMIh6fJlnSd0piq6/4OVkfcThOdu+WcHIYF EhbpCJPy8apFpCRK5NEQrDQ9MI5bg9fj8MJZ2Wj96IwhYrqFja+UChArMJtUZdLObLyp8d+xj7Vj KN/2mVyg9dfzUlPL2JNbzvCYe7O8oHDRZkwDdbUSh50PRz7iBg8yonZAxXvCFQGS5+mk/tpe81qA bOuJbtOyfm3NcVAi0URZFoBddixVpShm5uuXoAnKYqR9JcdYFIbqMXk8oYVagFzQi7DmP5SE0qCY BgmXrChWs3+EG7FhHHz+Ubu68YbCfOtMaWqhfAlJHPGaXDjVwlnKgJXtEkzgSWTCHFywNhhHF4yJ Fo7lAlHumMEaXCCRM/bplRsAQwOGpZ7yVZyw/aOfMRIYoojg3uXmxTT2S2wmlSYzM14sF52A0axS k4a1ztyaZ7IIGIwqZ3LiSXOKFsBEqL93WgGYtIfwTf66yG+xZW4KlJnnwExO/J2Nzka9a/uC6+Bc 3je3lacAM+MBIIYclGZyZ8WYEm5EeUUMQS23YudJwk4pL6Z+RztZq1ixmeCA6UXzxw+8yqU+8Nm/ sBekRoIaCmcIS5j3KjNVwZ1uE+mHcyB5k75jYNG/zkkLYG1juUZBTZJ8YDI+RMzCCIVdlQ501Kfo o9fRl8jNWSt4/c/I608CUT1c8DnVHMXb3SgDT6RP66WiztigvOPCN0B0PVCV1/h7PLa+q1SjNMUm C/kpFw4zeEZcetHWRj4oXk/A1yA+1mJ0JobPk9ymzDeufT47hC2kn2voEPabS1AAo1vujYxtjNSk RHN+MyX6l4lc+YN4GOFizZzo88vTezib1eE1E2UWV2mqQxnzcfZLRTXXf4hBdJQSDYTSACPliMWt VHLmoN1YDQDaby/WBa0jLGqjA203E9NljW8c3s8khQYjPcrbNhE5AkB8pZyFUh97KfTSLqFm40Pd 4XO5Qgctpj0G/6TRcIrv6dAGL7VdOz4akjpr9Rv3ybo4l3eT2jngWPhxUQWXHvt/VUKW7O6S46yv WY8O51oAp8iaI/UkDdKEGZ7/AoTjiwdxsXE9n9sdJZ62IlwiiH8S9RRHUiDUjsE4VYMXfN+N2J36 qa0dxUwwDm/n2ZItRQixTPXysx49Areyzfmb1hWB0te37Qi7LMTZXQSZ5wUQPFRIGxVVCq6f8SS3 qIKWnRE4mk5S2T0f5t1udKzURa+whXShjYmFA2/xNbbz32nV8jInhXlZipvgUuGrk0wZTV8SaWow kuXcN+if4IF6fYycGZwea+ucAW4SvL+xxCkujxe7rZdOSd9rnB/cgHJAxDiCOIwebuTFOkrQBMBj 16yKCL52vvfSDqLAOO1FjNPdn5TJvH2Jr5N5mX/AsgckRZ3ApCPqjW4YOiD3O47vha4sNXKykivY i5sQiiFAJrRpvtNgfIxL1vvqQJ769u7lVeDHBAFRsFDyQFsOAtFwKGqUhEfBW5Kk/PmXCrqadn8N A8dnBDk/YXCH2at9ibP1qDptwJrx55nKx++BghQEms7EFloGsyGnCBbYttIon1uIqpOGQ1pGXdEN nYs28KTotJFDgN/5cG/pLrxP08FBtW/ow7sQ/bHnNgTD4BulW9Il1QcWpDOnxnnM2+zSxQx47vyl cnDHUyU1olSxER6sB2Uq9uuRtJts/M1Ki0/6D3s/rdebLh9Rmmq6soywSGDxdtl8sMHs0OHg2FLO aN8qF84XihNO7rwsxPKOV8wwMGYDKuduE0Kr+413T3hCJupXp0p8ALBM967ShZSazNXhduRytkdE BjHPrIAtngWGrAElEXgrmhol3qmPOzikS+h7+/dWY84dg1fAQdzD3FFeU76qS0ZYFEcdHeJBz+UW VwHYeY1UhttAWao81YvcqslMb8IONdw1HAETCoj55asugrHruwXUtrAsP6tesoHT3NjfrdpqsAp3 pMUt5VEq+7II6mFN0OnovXRfH79pglHmjKWePUCIqqcXwhjPFqz7Lx/vQxYaLjUptAEL2824Fw7o 4i6AmvwUq4PG0BUgS6e7DQc2VasfDTVcupROvF2QMURsGNro0wr8b69Yq4lSFQgjfkTo4xpWKyEp 3/rSipzS4pixs9rTxjTLIUq20bCGBomyPULT+gu5YSomTZkl3x8nArY62WdiWt5s0mBJSau+tHNF xke/C5oXEE2feRQ0LS2iv0PFErOW/BXhwY0gfgFUAOMQPKqOKDFZXQaIyGSIBoPPbHjsNbuEIB52 QJ7HQ43kBdB/7myJpG2D8BIYj2OpTOukeC58JpP3mGqXT7rs7krkKOfs/wxrWaa97tjgwzliyrtj Ocm8LF9E0nlaWcvqRHZvq8CUn5YOwrCka3f5JdP8G96e+xccGtNe/gxpRzmANr1zDnKBMk8zFu/X 1kriGMIfFi10akFcDO7pyBLCvoNk6je1cWyhtHASBQonUcD3uvSNdLY7kkZaOQowA/pHCVyKW4ws L4oVDNVvCdxX3tNox+uHFJ1O+5yR8RnRhSspjiaLN+1XzQzOnSMbeF/h4kX0xtR3dkXeSYqytGOR 1QEle8JQcfYXR99QLybjKRuq478eVooBQ3iUFEnKXyThtE/R2QYs0s2WcBcZv0Wg0Cx6eTPxYEBm haFqdENM4ktT/tJyv7Kl/m0AWpUQ8QRwjwV8GzSV7pM4/1YtNoRhHFfM+1boDkkNRB+8Q1Fi+YCi P8Uk0pbp+0N1aoFsyLGpKgMi2RzERlBTeWqKnlwepZVVwpz2whpeUVo39A4pbphzdO4Xr5zgrRoC MwaqSr4dQRLAjao77Nrv6o4A4oN2I3lfqMFSpZJZlrae5kxvOVeKWsp6Y4qu+u42jYudfe7Lt89x +4JCsWYXA7FFQvUwrw/fcV09fOigkdWIIXbSxLW+Bu2cZ4Bdgz+aMIQz7OrMFe8e8Yq6mGJZvurP prKhXa8UwnX3iecjiu0YyLYkZ8wjeUI4XTEG5a7QsA9ElH0f5bM9io3+VyKq8XW/HUlZll2FKr74 xg2QfH87msd3tjheb9pqDDjNtxZ+8TcCxpPfa5PegCVs8qiNSC/NBHDuiaExZK6hacQMMWvyy/k2 mDkcZhGM4yBond9kDNTSXhOyV/aHb0CqzyTwTnEEz0uVGJuYcMgxyIzi398XDQ0heLlT+puYrifI SfkKTSDrRyOVFoqFzC5tBYhXJCe+gk5IfNbOtFi/ZQA74NqOBcoo/OcR/3t2GkPgh3UCxIrJhknd x87u+zqFOLHtR+YPT/soZQNTT/mIK8I5DSrkKViUwiVK6JEo+BfM1u7E6wotEWd8FXvCcCG387tk 5iTBSvysK5Ruc0yBQDpqfKpX6oIAE33rkHLNjbg/nkJK+zMrYztmRLK0LuMvyfUwiLzW3m1RvIHU 7TMz4TQLeRoFw2dnCU1kflT6gRjQi7qZHeLhvbUS5EJ/tpp46OlNggRhwPwmf9mM+oi1GAR6LHcr EHL7G6KhVnwEdl8NQlvcI/v/Vfy1V4Utv46SbtjnaBlKVFYowzS2HQ0Q4tIBJMgLcUCE1NGb3Fm3 xjF877V3ADKDoZNNvE5TuiiTGJCo1h/u/+iRAr1ZQNMPPINyrnU6nC5e+Laea0OlDO8mnqrkaLiJ Wk65BEOsMoX9yaJMuRlQDhXGn2wDW/bghKzniY5BSm3EYE26FjGytLms6FGjF1puENHY0jSwgC/S GIOJvPoyrwmMGIrnVrsYqPuDnCVlwsLq6sF4vJ/4UKZ0HmDFzMaXq1Jr7E5glGpy8r7L28QcjAlR gtHMNzs58NGfa2Kii19YHxTCDw+WB0w+IVGA40EgcT+iMAtS7fs93FfR6nbIlZU+ptAshYFpKdsC tbh+A0hZ4erZeBEhHiWypcFtffCBY6tmZv51XGXE+nc5A3Red93PuWvC0Smx3NYtLtPbdZutTZId 5AnONpz2mRzpP8fmt0mOafwm7Eujyy8AnqC7kaEV21i7X3hjqlojuWlwzHdj51ikPqtgXfm+/54O WvKfnw6KDSaZiL6UgliA/hHRX65uRey+qP74OR3AXC3bJ/MtxwvJJ+zZnCpge76zfsO2UwDo17AC TTpa+Uh25JehpHAd5NPsYwrvICdICGicXxNKb1Xc/rtgcd7prDkKdwmZYW/A7GJ31zUGa94WYLlM 9FqDPq/RT3ncW87LCRQ3eoiURwMtOlFg4Zkzk/OO9RKGu38Ef41SAx8N9GA648DWVnKXvPkKDmop eaRKiUykihgAjdYZfDfZ+9dKd8+e55JRXa1gwzhso/t5OKv7enExq7cBk4jNBL5hZtyBpHkFuT73 c93TJDXtLFOWI2Aciu6P3B0L8a7nWeVSTDrtUHL2nYqfM+RgnnoLzMVaksHlexlraoOoIdeB1TSB pLopxUJa9gnfHA9IZFb+iNAI6YEigBMw/jBroUPTg6I4pCt2+9AtHsxWtr1iJPdVASTn1nZOVS1/ t/0b7Lp10gRdDYuQGMCCfIdjnBBjq+vT/jVXb0njQVpRm6yUHY1Ks7HGb1OSk9CO7cdLtggNrZMt rSls3dVwWfZsjGbDejXTrrvIPTi85JuUpUKrHDoB5DYoqzepS853fyzXFMPfKuM8q2aFVVjAQkYH Bnr6E4m1MfMAozk3dA39TtE7EPaqLNlcBL0GK0Mdgw3g7pHPbWHTNBHqcv8oy/V6ta0vL+YfcU4A HiS3WHAOXpiNO04EHvHVvGBZPZN8RtLwqncxNdRSaIKf8PIROUM3CrhtFVVsFS0NFJ6BL4gzhbNQ iy45WME4c/MYOSVi9StSmbYlSxCQaWDwIXhnBFTjFU67NAMQzIP7MlO8sKJfdjWmOVGqHnpsUe7p X9wxOY/bYInK7SVGtVc8/pHmHawfdWvxasjY9TwuZgl+HYiEDykH+7vq1nRmCLxaQ4CtmUeUFQri +RaLpKAUJeHoom6COsUwXBtXYF8xzEFl34uD6uttFvKnWbmPmdb/2jNncFFXY3mtA0WVcWwq2Fq6 gW/bEUug1NRC0VFXXpsAcGzsxiw/EwtIgKsrnCD0iMlSLekzHB8fgOKEySqL/2r1+NWUJXOiXMTW z0Bl5a/l52PrPyeF1xLWJ4LMz/rkSIDhLA8LevjezB5BIcE/zEYrQ6OHGl4Bc7a5/sMCV3njG4D/ ZzoA1myFl0CPZLKEKE/vo05rAouoan64LXdib6DE+X1qaRqJELp4iH9ixtXzZkfcH+Fx2FezNLM+ YfEjkuSJ7w/AufvMjuUsDEnh5RkKwGdBzFDsNtseiFuPiQ5zDMgignRHPwBg/GfSi6bmm0Ib0a2L rPMjDncSCLisV6WWODWvzbv+aIrTMQu0LN/BJHaYXzynQh7ZaPkH+O7SLhnQFaSwmjfx0zSVrBYC quXWlFaGa02jfP3FCp5topGap3bVRd9l9UrsunUSv8jTx0iJhw6iinP/0pIkBajUXHCK2SlZ25Kb MYgtwKzqq1887sh4k626xXt4lbITzfE3oXLq2z0sVUPL2+3xmN7qaHjmqEt3Q20xbdZcktVlO2sT t1ASqTuasu86Cxax5D6Z59ExEAG1lLYMIOif+CCd6iY++xHT7um81TEa0FtdOTC+uX3Te+giT9XR ZSMRj5zieUA/dKMK3ye3aY49V8PzTgDVFfGDtt2/q7cuVNvlWvSRf3TxUu+lf4w0uvuSDbi5GN6+ TvM2epLorXjnXDG2oIgzsKz0XhgclzsaiSUk2erlISafAc5wrxRdIie4lG2VKu/KgeKe2n6YS2u/ G94PdVFKgz951p0/+7MX5XodqYqaUKj0mxW/dCrgSxIlRJRwQ5Fk0yckQxYXxhHLIstJteIJ17xf VjNQxYEnYCsjjqAH7ovbPj3KLZD/2HP+zEgwTcZyiru0KhgOuOKhDuS117Rrqm/7GaBEh9HBqtig mDUdT+FpuidGE8BpVxOzSrXHulKZ3Vq8Ba0VPRhp/2N9LSjIBQqx3lIc4v9Gdr0nJF2Vc4OL9CZl MlIJHieNeQnqnagyonRYTH1GJd5WdACtx09Qu1Zrb7c8KJFnebzCGRM7Lup5IGbVlS0fm696Qsg2 wdP/wZbYYnmxyovNy2mrzOR1hlfh0K47Jnwun8zK0XShrCZnPwG5P/7dBhY3oFW4Kvv+tfd/SiSP onnC1JX7V1AfUZH4PvxTHcckArvFsrz/arpgRXBFK9BnUKgc9loJXMkx0THaIXP0KSUuDnPoZ11h Mfd0rwmh5iEIf3JPdBEwiK5BnHFgqbX5LMr7e8E3p2QgEKnvB+EKGc2xGMg8uCRzyhIB4w9dYTk0 +H3JVwfsSwKYqrQPnx3eOrhnNo/UX3MQIzpPO9HrXlGiu57dABmWuMGKHwcPYHwm6woEFK4GRabw Wt6KLIJO73b2Y9+WVJt37pWidn3VNsNpJ2vPOijsupyCGF2Ton5tHevArT5CO2ogovI1e4Eip8TD ejAYOwy4oZIOD4mdvc+MJL+/7VmiV7U43bD2cG8IrBQT3ar61Q/DkdnpP6/3VHhrn/3zjJp3QfP/ XpXtvGT4xswzz8LqcpcCZk1567LQv0zmAq2uVxqQ93YF9Pl128xYiso8TPiEI/6QAJWN+ar7PFdC UeiKr0F0ciLDuUyXNlGrQJm7FevqOGv3aXfFBWueesMXbpgVAE9PLF/f/Al8S6lMW1/zJn3m5EVN aiVE8Rngt5v9c4Dv18848lRvRJyfgRl/dViICwv6Kq/RGpds0inbDpPO/NHRmc6CGlbzWge4p0GY WlVy4itSrcA6LlYv0F/dsjNWg1HZVu35M3cJl6nbDrIoEwFk0i910L3BH9R3pQjMs3cEKShfpu/V PlZO5FhHp01JXICyTnQoh0bTakz6J8eHQKNcIoiVHMugA7jpWnRa31EI7kScK9k+8aFpyq0yYj9f U7u+/jq4HRgS6mynyzGSDWpjhVnhWNZ806jLFhqFOb+jptClQabTx+2Zqrdok+mhm5l92GhNFiQk TxrOLk1Wh5HAXF+apxL4EPWXNGtIBgSVl/Oz8G4Xm44cvKPsNeSdC8bHqrxKBIVSYrvDMvt3EFZS eftrGFTNb9MXBXhYSbgueif+9lL92aHJ8d3+L6vn1G7+MeI5j3TRoTrlDKfS9yWm225lgash6F9f XsCwa/9j+B+oY9ACu0qqnM2pvWcH+P6ghiSvoMLy8Qr7xgfnGHYozeXjz4AUOLEtV3gh8aA04Ov1 O2xMRzFBtUxQC+ibJcOVH361ntwXrV+jw4dJhNDC+VH7thpTeZPsOzDh0tJnkInDqvzYX7r79ylG axYWvW7oZxH/5kF/P7ISzQRRC278mcdGbZy/qxhSrQulDzIzTi5rh6AHHf9xeunWRiQCir5QS55u sXBtXhnE3STkc+VM06cRrMU63eLcgYlXzYn3NJrYNDlWlR4PIWb2OhBVNSn+E9IRTQV7UCunUemK fsg7isI6s7TseXZkzWK3NF6qSQvsLDgjx4sfEpuSyGOR5PVVfpKQb6ntBzV8G/2wYL48AP2dkO23 Owbw77hNAu0xWPr/jSDLIPuKcPY0feRMYnFGXlrSuvGCyFQixKkZw886IUx17Cs+r/KA5j8NaBsq yFrwSgjZy+NzSaMmyUNSWQjFOBi8CwCJwhupaA2/VaFxnHwqnVsmz96Amc9KIivuJXT6vrbXigQk NSsMHuxuJAfp/iRmVfYT0v6o9n7/Uxi1nse9tTt1EXGjParAajjohB3wfEWWi0KWnOWJKSg3bEo9 Uca4vfPdIMHMPTSHIOsONY/YMNV5CdHQwJRbYRAeKs+hHmWQT8kBMafwuPAwYkivzeLCLNPQ0w23 hixgNC1msjFC5nFWO9kH1DQNcRAtI8p4VxATXrCpAiexrqUrOEYVe2FEIJZNl4OWPq/z4BAUFYXw FzkFxgqFFk2+AHfWTN/Ni2QFJ6aDfyiOEVYq4lvZx0igkWM55ju6U8FnbnUmpLhRS86r/m7mdjyr nwDHqSoJSu94B2UMTjrYtRJlpmPRDCJ6G37uEanFCl4iLBmyvpDRBGxdkORiSiPBytyJ8vRxs+tQ 6PmTCRt7wH6fyVWeI0QGyH17kof6+Z32co9GCp57RDRGXuczqNaB8rbMSdHjIxqsm/R1YMWsbATs aO+y2tTAi0XUs7zm98DpOCJcOLRGPTnkHKfuDHxStPPa6p6nxq3MG5JxJ86I7+l4GlDfJDYanrzr ZYcVuRmVshk14uZkCcBsZQkJTo2C4HKldr/EEXGjeGbPiqSPTgbezQttf6ASQdU6vDAZfCdjMHq6 hYHE7LBT6ugR/O7+3XyAcRtOJ0019YiOfcmY2/CYjTwRPenAHyDOpPx9x9L4ytil5kSowgc1clH2 24uIRG4Auw+LZnJd6cQq/J5CnvwJ6SJvfzTouZXDGRXUbldZJYm5k262ElqTHfABUbfzNviDa8SG ua7j/nTgyDNWGkVUFVhr5diwl3zO0FYn2DR1AEm8mckZb0V4nrNf+8L+oTqk4u3Zu2Y7bJQm11sj EZGMH2rTeB4MkWwSXpBY3bG8j7lTmdlCJEvo8bxswWUwN8brvHy13CiG2mZdjY4SRpyLH2hEMACX bq6GZYZA0Xzua9lXpAttWeJWKcwYo1jfZ/aZMMMFVTdfzQzvRM6eql35oke0Af1CogZJLTZAdmGy /HzCU/OCrirb2tnbZ27H0JgUzLhHhZdfkAqO6V2ImbeKHghoPnm7yBSwbZlz/xZ9SS5TVOAhkxKq Fo2wr8QBOgxLMiI4fQ1I2iPdPjkjs9yCn5IRgt8u6mvi4NBtnNvFBn2Ejl0DHIZsHZz2fRkF2Pj5 liSN1oIwusDXAcKzkEj2yyJ08U9OPs17yGbsi7CWZUSh2rTWCCaxAyTbgLLrqYgMtb9+BfHK8SP4 uzwPiR2hkAH9X5oUbPeuTJW8Xz3J7KLt8djlgHqEOKAOcV0IkP9jEmMUeln2NEfK308/hWoCzK8O MKyspQhyU9uET0fGh0kPW3TOrxHdA84l/dSjT3jSE16MKhM2r4dQG4o0NXjq6Zl7hx6VV7OV8MfS ypEYZv3DbOF68Bg+4vrNBtDWQDbNQSiRIk5me3mES0YWo1pn/1Zm+eMD+M+blZNAFpueVNK6kF5w JijaCYYYfOKyjgGqWYEiaGp/itPqmWepWnp6/mX83ENetgFNRpEitJatKo2xuB2gCqXq+EvvkHiw Vm0+Pa7ZGfoDJ5QkJrWQO2qOvaMF3o8/CDeWryeZ6KTHHsdnqMhxQvEnsSP9nOqZs1OS6867fReD QjskPw6hnjAmrCm7ZQuHPEFpw4JaDBgdfY2MAsCLNLQbfPY74PUaq0epzp62d5/jkhsg0GRbi0iZ 7Fze3BqfigV39lFsaYJLFmBQDpe/Dh2Uo9hdTMYPWRkXZrSjZ6dMl8o9Yt53AuPtF18VWanOYjvb 1Gbezx6Vnk5KQonuplxRuYtt7Xr9AcjYsUT9rZOCbS5pKCNdcLy8bSkUA9iGtZmMT2vTL32QxFhd XWPvDiEb1KGOtoER00uzdrZqpmNVf8x/C2Co+kw2jwA8CmBOvW34zr7MSjgDBUUHHoz2BKimsPzh jgpIBFANkzAP2IJ1nzyf65syLJEcoUxvCfwjxQnUpT56CwMsmI58CMwEVqlEYstWUYqYnbJl3hV/ YswIg/1T3HWCQhFXuuP++E3MSExPJyn8k3HTxfrV0hj4oFbrCFIxW57navGWt2D08DheEgY6OMnl BpxYfdQfC2Qnt0BmHIKEZh7TbKENAQr3BxLa3Xmfh0XyUX/18f4bIZN4SDXSoV3090abrCOL1e5D vH9oFhwNj+G/pB1iirXEW98AjKtl0zU9Njb/UmSoHm2A8ByZzcphFxJUhtKcNNS1JsF1owWeFIDX WYguM+SgM+vGzbWoS7qZlkhwR/IwLWBUc/cHPr3LZ4d7WbX6K7GAlG/jL/vjodLoTqouI7NU3hoV 0Kkq/MGtjDkyipcnwrzrirXcfwjXeB3coAK5ttSHLTsH5HY4heRPhMNM46YmrlAZ9qbPAF38y7Rb gAQ3104Wyu/0qSN/Yv1uPeLgDHvTPYgrU8uQKTadcJKEdCfqmB1ant6NlLhxpPatgqfStTgnQzH1 Dc+DDlzBrj3SthYSJDamXF2gcOoDz39XcEVWTOuB4kIfOxpwFneEQHAK1g7fT9Lb90xlZcTVpDv6 rKaWuBHEMeZw62o0mcL6sJzCCQvl4naCkYmkIAs+C1hhzLsPg2UjqyQeHfkzYpuZ8cuJyG5MlqGb iJ8NLawDw2K7WS0fdQ+xvHJR2urjRyHz8FsZcs2eZk32xoQeOvP30iHT9MAy/QpzvdxcuHEa+GEn zl+OlFuK2TMAy+A8uUV6F+s+12DEd/xR2L1Nh5LtmK4uNtJUa4j+bRKcGw2bYRlSQw6y/tVYkHEz 6G2cryISDBzGzFDDDI7r//ELWs9rquPRMoIH+399vWLS0o70eVCKp/l0aeQ16IurJix9X1NDJ7bR UGITq3aIKXp86kLXGx/C1EMPkDtLLK//F87ydao65Hn51FSlPXW5K6+KQTI16NqRQmkhNuSlOdld N0m5hkCDZYeMfXG4ngAFI7iPcqv0ShLIAljlO2r5VuD8RcAFpWf9PvuS0AsWPtsXdNFfrQo0QJlb zW85qOFsAdkMcn/RFFonsr00t0J5ArKjFVaLwjDTUW6y8FP2pwxeiUZOEm9eDrVNnFBI9uTw0Dk6 ywXHrycVHIlIs3kPkkE8r2uwES1d6FLcudHRQ19WHHhNPjmO9An6MW5oQmpzMImnAOhPs3XMHp91 LlCZ4OKh7jBlp5vYjtjhmWYuzIOD+v7y00d8p/3Bis7CnYpG6ST6P86vheFN6YmCwbVWGS/d+a6U 40jqXbKE8EMkh6wIWoMAXTZLYsuG2NS+m/4hU2JVBEZZCWX8X4SJfn0lBGDnr9swfv0SZjxWzfnx IjJYX5j6KIO6rZQ6hhNHj/AgVDjPg62fSA+jHgE9kMNOsXDTREn/EfJ/kKfClJmEsX8X6LSJK9aA P4S45FrelLrNk3F6enx/tbFRTCs7Hpj5nHjRzeYJuHCwa8jyOdZsQtk+UoNzOHhxDTh5oeUyQugq l4W3ayA64pz647ffGpcG3bfp0VQZey1OKEH92FaGtoXTfnNcjsyD1DXnsYNuuGOZf9kbIbkRHOXz aF/k9eAWI9ydR9MrmarogPtYFPljC4RXOFl1/TotBxqXaSiAY2sbuD3kw5v90SOXvjk9UrE1VpJj xlm8SxsOhFc2yQcxRziF6+0687FfA+7pDf53j9YOImpqSbtutq1YFfSbzoZbef6zCwEkADE0I20/ ImNvLaTpyq7gHFTxAbA+YgXQ+gLXlGS52sGaEd3zFNMFmWABDnNMuvUlJrTo+pS1IiFYfhIgT6wi R01VM+SgTBmztkaRIQiFoqUp+Ni5E93O5X7btZmmFyei3OH+vZjXBwnhqqcQmdbtGTAVOA7hHDfM H0rCVW5bcTKujco79D84g4kpvKBjNdOSLHoWV3EJVPy419au4Uz1k5ni5IU4tuIN0bwvfybKHiiG QG5G1FDgHCoEetlKFJtBq5gvF50piVWsEuhls3KqtfiqfpEDLBBCgDiYo1gxrnrK0gtXiegC0iLJ RVJYH4kWJRHdGpjo2ogTzuJjpRyNrt65DRDNznd0N5RkpIzoGZjzVHGrKMGwu498CxSr287AbYSt 0P9/SL9yJ0al1YXCJIraAb+w2Wzulpdeom4ytupGpNSYXZCzleaDbXIFuh+hDLh2RbZzoUQj5DyW 1IE6/F/gbgvW7jeQhBn2je8cQlxalWlmIw6oL51f4I2WFdCABuSmFCY9KgzR90iLuss85c16O/P4 0iVihpW5YRsJGH+ASZl3ALwQKBEFxtEWSbNYeg2CPhK6MFF5VYzFkURbmmJaD10+YQMCYZsDmoyH yNi529bUEciXSNJf6/QvPDIZAhkBsfY4t+R0UvtgZB6qiZYYf7Axzol+n3dO+t/uQw9O6mqG83qU 596fkqndDVa4Wq+0xl20oYK2FDNRBSIZNLBO3wCKEE1XM14jO+ZQMk0ZYf3/qDzpxDAewgDukrlB k529yuX+YUKjbjpXPb2x506vbvxsEs7M7CKNLfh/Jx3ZwM87ABuU4wScjigDIGydWl4pRXm1KGzS VTxZ2d/+NHtAQ2LMgt6Eee7w5E7da1f3CoE6cahrW9q85cW+36tlrCMrrCnusWvX/R67A7y5Fyiu abuYm9Nq07z3mMVPr0vc2idZhCgbbLmYeIf1wi25ekThon7sEAGXfxvUWY2/kf9+uUv3Y2yAZLQd azTlUCr+zWCfGEWCoZT2LHrK+6do3/DDPv3LAGAIXNyleppYxJr1boHV+VfFTS0LIVirOXXUoJg1 EGq3KU1WByUV2OPgAKSydrnMeenAiGUyBN2Relv/CTff3WAuldZsirsCiXRXR/T8cKJLXvPqiT9N fkkoapm4YM20/P55pkh56HIQ+iy9ZpX+Kelh0KrIltsSSf5GoLpYfASmiYHGfKMk5FY9Out7Odae w+9Mzk2sBCXFfuMPKiD2pRSUkOuD186WVcCjkAjOYtpV5I2y5OzvBMwLihToZT+oL7YZ4kRtMhN/ hoV2e/jUyjYaX/k/tYt+eraha1HE7cOX+8z126BwcZHrP//5S8ZTSu/gQi7piHI6Z55uNjQDAwR2 HwvfTDRJKjSyluCsSIe7q8QJOASm4cstSIIdlks6e1y7zhBtGE5USF13tjkwG2Hh6vrmhMdYCWGs mlzPgPAQ7zdJ6F6zFegFKUHxKMO8ssTBTG1Y0bJPDjJLM5LFcLEUEKYhYT/U0Znbj5Ica68u9uX4 dfcgXQMBIHxbxAu+Y1Wfl7KdqLSjuHQ3S62toczH9sgKZUPdyd3JXWAralB5Je52aib/Xr0Ee3yg BQ/yD7xx6gh6rhysaFnSkcs3JBmG32YpwaW+H77EfVzsI9kaMBav47jpQLTFBVuGdoTt+t/1MKlz Z2tBRa37/p9r7J4zeTS+uoz3mgRvWk+Kp2Q87ndygrq3wqsXED3oVFvYa8IJX21pPaSXaF7L3Tu4 Okx18FFVXODQga+RWHKF6GILRk/W8XWw1lRJSIcEpyDZf0t/ATfYN6a7cTB0STK/7KeDG+eZGpIP ySZ98gR3RQkOEcVDBUSNY2w3Rz0KNhj+UNhuL4wIetySM7BFECQZu7PrNc+uXFoVDrf+eZAmETvH iWyZ1o7V3+3+lv0II1JLfZRgCJnmfWihHggglmcLy/PipgNTH0pV6Od3bBfxUTi35+a+7cNC5bkL wkfZHpq0Z0wrtEqlCi8bUg2WBgTJ1/Ife50b0RhW3ygMz1JoXIQaONToChsIdv/ITWJVg0jF+uel eJw6cy9tbHZ2kwmXMAMsO/zvFVm0h876+GxBDbNn3+IH/N9FQEATcqFlUvOZbCfXeARRLnV4npi9 WJgffo+Fh46s0LKAlJE71utT77sHsnqyJwPNegifJVQWrkoOcWJ6U//GL9F8LBE1TtdZ+O0UaJ7Y fIkgkeFGMYOuwSSQtzRg7NRTFNfemHPHVEI9M9G3ILrgHme97lppLW4Ig194fUBGejz7TdDuLrdc GQeN3BffCgVO2k0Wg3rTJYy26gZ8GRXT5aAqUH9rLBL3RHOpFOtprJPzW3q2N2QYSfoH/ul9IJSx OxtLPBiPJUWlvoOl6ur1E9xMNpGhkTL6O8I+E4Rus73F4G9BjAUaIl/3t5jQeyStwE3MrTj9GeJB JsVROHK3IV9YXG/7oUjxZwDVTMkysJwfAt5OBg2si6W4IQ289x9mjhijfjRuCcxwdWDpuzyGkyL9 D1JpCrkcQpFhrhRjco1vLkCwHP1GkvDnS76otEJDkPC6Z2exnlq/Xjqt+pFeLXLudyVGJleYrUFZ y5zXRxmwwSZe3hoVqQYTb2Dl6I5dbp/Mr2wQXl3F1LxvuwlnaDM1u4H43pIsx2kzNAUqa/iykTUl 4TnfJacp127oaq0qGx66XezJ+ojHMDfjwXWRdQwh10j9Jq9GOmnbWoNXNT20m5XmNRmVzPoAyOJ1 gGvlhbaVGUm5bJScq/q+sQ2QLE/Ty5BmD8JSowO3DOKap/sPJnSP9vEUX6bYh16IfotvFJ3pT4nS fGz9qp+HoW23YBAHKssDCG8D8/4DapSKBoplRqvQE8Q8wCucR1+W1c5YgP/8sfzBvbbNPdip6eq5 oTRgXKwQ6ZN/OWhcXUq63G9tkM9ZWAzKseTWw6ZdKU6X7fD6rPHrVh3GLjrHlgj7EQpFre6w1nea uJfFunqPbg/NkGWjmFT9WgjS7ZKopR3fnqyhik5OxaCOPa2wEQeNf73BVBdh6ckX1yBgkqnVZYDN RQ8KOhbwbAwdquZYAPCPp/IbHTpQduI2h5tT4N4L7ThVB9m9XhoZJoWJUPbvgc1OIyBRc7FuajGP MhawhyKJ51NucT1rmxtCNYFrt80D97HB01p5l0GEK4IA+UAQDazjSNNBmDwg+rHBbtCBWLGgnfn1 C/rfKvXH9g4DUDv0WZyNrj/B/ZkCZKxxuqkDbKz//OzW/t2lTJ4qRQtXRFhbeO/eMed78W0aA9A5 91twohlG50e7eh2G2prq4uEgv/rWAIdoIPreMPi7RM8KdYjJMUW3qAUxy5zg2G7WSC911eW4MP1t 1x2TXDQIPvmNE+SbR/ljPSSrrdESDipoVWbJjGx0jDJ6uyNPNAs5AiEr/9XDkj6WLUQ+H4LmU8si JPY2BCeBZzQy/8ZLY650743LMIghTaaWcgHQe/rQCAMmvOfUUPr8D26UN0uCxzXLgxuD2GXBXlNN b+21jwJ6SXqS6w0Ymr7D5F5Scl7HVDxiV3YrDhv2qR+POguQYZwWF/gcZhpZAS7db6VUytRlAxyP V6f98f/3jP8WJXPwqhPi8giN0CC6IsUIiA2CZy0byuJoz4NBeyWpuGoE00MsjxfWEptiqQaNMLC4 1Zg05tNB6n2l5C2wGmcxEOoPE0+fjvtj1Hm+4KUXWvHxyx4pt6MJ/H0RcpBe8FGmmKVZmQnhYweb ahJnAlrqGbOVLY1o2y2yiMOcUDxepFT5OFJqdpUm6PIpbPYsBH+Kvk7PxWKwRoxuC1bInn1Vug1i pdzJKdP4cY7k9tiq33vPvxwfaHG2O03T31PvbikO+sDFV4MMEbUarVDekKegRSFWzZhHOiG7fCfa hYtZ0aOV9rPG/Xku6mwGtQid1UHXc7iLwuo6blUjTLFnGNI/WrVFscrUSCCBzHwxoJk80WtEYzi0 0BZjN1q3Vr0XXxNt6wDUfOBe/SG0KS1/C9FwnW/xRklIhPtM6BKHIy/DEU9cNfN7602fMWJGxN0z 8iwr/RoAwed9RCE051GgiiNWzBTzNxQBedTyyuC1jE5+dlNdTGinGyhIXwZ/rm2lfWUCIkdqiDAm fOnCBqDuWtxHKlzE9ktfpQbTmu/iPUtXEY6H6oAFk/qXcN9crUUcwxhbWq2Hl2V2wepS4p4DNtea n5BfyFt9kE54aNIJp1dxa9/jKgMjDSfqUQi+WcqcpqSHBUgbQCm95TfsivkJTlTTxhWKpnXbVpBc 3iEQi93Ta8ccqgB7WS0s8vj/qj6/j0iIKxJkqH3qte5P63AT0NC66icDYYEKD6nX8F2lubotme7+ /8OOdUwJZDAxQ0mzMGWOzdP/YnWHhPxIH4GZsIAzIpUdMJu+r/jzu29tzYpVUu+e9OuhvAKF5qeQ OZCc+1OtRPsogPzghWOMxvju2Is4WAR4pwImIPxfcURa33zewGWNHv5v0QrHNUqv/1oOL0Trey/o qE0PA5whch6oAzh4yeBHK1kznETdUOgSfhcHUCMMq7LYLYpEdyIkeLTuRcd1NasQaNUD/WOlZY9P fbc0MmxWjGiZC7iNuubMQxGNefssbRWIIH1Vq1e3uo+/zoFWv4dScUa3VUxTGmEXAEl7rnYQA0Ja bquekF49sMq4sW4iut+vTsn5WS1NQ0uww/+V4ctzbzSP8scLkC9+GBeLsBFePVf9DGfU646WpIeQ MiDnrbY3CqxciVgHrsDQkTWiGXy11WN/+wOHHMTutDhB5KSzMdYHoy53S3xAdYOHcdOeiKtmeNjJ APVniMBJmNIQBBEJvWFefSp7zcGZEukdhnJE1lPuq0eqpNVzJfwH+FC24mmvIIfbQQP6WINbUdQV nZ3CMk/3TfLFhfwy1t8X+5HCY6z+vnUejwPunduABqVGOsgZxY3eLkS0mUkBfr4gVwcgbSYNJWkM 5aYNxafpervshXwLdjKQtG6389N+PJmrMUf1KkZq/KOCcvO4gTjcBatiaY3fFlapR/Ou2DyYEx93 q9xVyBPop/UU5+QE2zpIN7x5sgRd7ntTLlUA62EUzHIsXe+jxeUEwk8MxNPPT15uLx/AF+MtX7+Q NLL/y3UV9CtROOwPcf8ZP8oNNckJxE9LOKV5gs9N+BojZzp8+zPsBgcVOcNlv/mO6s9gnpwacFee GOYqVUBmMr8Tig2YePOsWZ9uaDpWedps00w6RTF7RMTKASwdIlj+V57vePVdwWsrMIENAHPm1PLP 6QodDMUwPyhggVrVA7WHLKEFuqjb0xV6u2don6JSf5cxbTvPPqzoBlfQ/V8JSc4lm19SCeBTXoU5 CVzq5WiZ+9fGuAmlZHbr1JxR0aYASIIjC/shAZO470SKI+UNLqpN67hO4bwxqlK4FMI2qBx4QKa7 wNs0YN0kGIt6DWXrxCSALOnNXEJrDW6iB1/XKwo1txRaFRoAlLGKdZxQxOQqPpjHrysMWGg6SVoQ d0npLMFGnpDur9KVOpdzgupbL5+/GYZQRRmYzp3tsl2lPkc16AR7uJrS2YmPkEU9DORCUATuXnZv a77oZN3U16+AXanYquVh1M1AfURJa+iCNDQjjLJ7n0cd7r3PnFQHp+vgLxsgw1L9ifHSqxzpmCL4 SPRIBuEU+2aoGvCF4+lhpbABNHZfdAplBLYpK6gIR/j6YAr9hmzvomyTdNdpHd5POnvHMjpzg4y1 sqNHAfjcGmFw7eYVLe/d7L57RbfrLv4MkLgaRLF1DYRSmQVYeIOGcijxHkDiH4Cvpc0NJVVWm2MP jW1Y6C7AKMOCunlcyljS7Y/hqQCCZNlP5IPDnpoX4cumwQMafvogoDgDV++sOOCiJZKdUVtbMNPD ju+Saw/wuqTqFV71k9Q2tUkGEAnpwKjTvEDt4/hZbE12IlMralQgP7NecWIskmt0hfacYecERCAH n9h5aBMGnXBf9jaFKTZFsKn52hcvQYNbJ0Uin3/Gaf0U8Nwd2sBuzwVKOBi42iLibLu7XA5rO1mJ zH0NYVO2825aeZge2WnkjojSsshjimYO2mZR8+P6xd9qpT83mBzWV0mcL41aloT0ZEMQN4s66cnz /fcK/MUwmYtUKBi3UE+CEhlArHnWNiXdZevCcswz3DlXNYiJ4CXiFjo+WFyrfobMYxpVfX4ZL9cj XV9Q7OXTR7rOFv2oKXElk1OHsWVER/rM8887iIg6GaimAA5vvV/1qyB+87skbdHRp08WCQ2EVkWP MRgdtfK2EnDKqCO7DcMPQcAgw9kF4JYlMnoEpmvvSquEn1HopYNhV7MHy//RgiUgNtjTlp1sEmd/ G5YnEfvEbfUP3MG1ztpKbSBl1g76c0EkhSdAXgMZ6NZkVzk3JmWS4YeMEOXaNNCm6WIzVO8MyHhf 2pQCJOkW+L+z7IuoEV/bOk7DzTAEl6G7ediJJshgk7Hzw9+OCoSH/1xEy45BVdqZmFe73SGXhEFz dDfl9TxwTRNZ8YnIP5+xgnway+feuiLpFmlepmJ/6Ov6eZikcPO7St2uEgOih1a9SE30orSJjaU1 vWu+aHTHc6grci/P8tvHwQ7pdGnTAdQK6QdvJTcf1be75hW7fUXWWzujPy6+RnKerJDTWtUKAfiu 8G1micVkjGd2k1VaZUoGhTp4qWziiFjTnNKmITStDQ6A8kQknbKfCDtj1ZZSSz6Ej3+9+ohhJqVi 0xtGECyzXpiYOmmCU8zPrU+5FPTJ4e803gELDvAPic7dcffsxu5Oi5Uv8dhaZeKfMmvkF21a8hUx 5GsUbZuGWXkrYtv/Z15AqxGpGvgeQlkBN9kV+VJNfp3ypPpfoYMSaP9TFYilQvmYJclmpA4lNt1I 0v8pxoO+8fIMkklGjaBKjcGgMOnfvyHCFBafWP3MzoEcI8U0hvj0/0eamjMrVkXTGQB9nWi2Wk4d gQHnUv56qXrqszFipLXTD/TCjG7sSGlz8R6kBTFeBNuzSU3r11lYi/ivUk23JzYuGcFUte2+AxWd Ym5FvslACJ+4r7+K6hfAR482JCI0J+E7fzS3q2ZPNOJqJKLKuKnw2Qz5PXVhJq9yW9lrXLYyFlEz 0cMLV/nvivMKIJ+yW96u48wteC3fRapFDsUeEn6lVdbmymF+/KvJAKZzs4lkARrkUxHb5FgTj91h EZiHxTiKI9NYLPhz82AK/lQ+tYY+vc2v+F3XGyk6ua4A0qYD3iurodu9YcYXzId1rDkuTVHS1Fgs RM/65NAg0V+dADYEaDVfxMBzV7/5d/KwrSTx7tmU2ulfgD5PRZbXcWG7fuokn2bdSv06BovCP8bI yKR1jOT8N4c+XtS8Rz4SdzGklIDKY3+PH2TxCbEj6Bkil8SRiqMQ2o0ubW8EFdDc6DouteU+BQKD DQCdkW3rRFb4dr4wU4ghaFI9mKxlkKl1ixTJqeYzL1wVefxbhRzjFGAKMApObsOLdRqgXkAeH5xD rOQQF7Sq3z6lvwPI3mjAhIZQS9KXsJmUUdsIayFZhPjOlbmv4rlKUiSph3QTXUmxRV236icxx7nI bYWBdXwaaUwSi65kYb4/OBNYtHJAvPN75qBEQ9AxNQTwhoOVjbKb9Qy8tFKuewm5AEGuok/Xq95i 7sqWhFHxLZ10UVkvfshjooZ4Wce9YeCfn5JH+/ImW+WdcGsLc1qeS/8v/Rx8n1v7BIuQDl5d/MwX pdu6pEvn8J7HOWe7CFJdA1Yz5jB3pz7G0Js3rAge0Lxjy46itOpS2wPcvz9QCOCXu4MrxfPZjOne qGqiWft3il6HYgnYgd+uilBIMd3M5Kr9fuB2I/7zG03PcntH4sZz3I8Y2gsN12s8W/Mo9PCFhSTm qugXmmWhJ37f6lG+Gv99qooRE3C5PPyGpHLEmb1Dv8w6WPKIznffnZOJ5bWLHbeyXe8GRVrnuk6Z mfDLj6Wq6/f+LNYH9Rmv/tZJH+pXicTHVLov5YnlMu4KPeep0EWtLdfBbCUP+os91c06OHXZU2fQ BDWGVZwsGh3MgkoFgXcjpcfoDdhnw+mr8irRWoKnMMPwp2DCoZrWjQlRL/Ya8OINCBD4FZ0MFNSC 35qtk0WuFRcKGuIYKuShfljd0j25pST6kW2E+KUr6Rey41fWMWzssagqHPwgOJAfp5DzGsXXvzaQ jWFipv9m/F38PJEiWqK/YAUR0Q5w1xiwV4h7arlZ90A5vB+jgm3xq01Gt3N4MDcQ/fikIoEtc6p+ +T2zTYbCpnI0ijP+Rjr+vuB8X+Vgxv76++8zXbonRN7RovnAcwlvTwTonMS952Nxfpa1KI7W/ATq AUJ1KKPXwvC5Hy/S5A/WBIbvhNjAfrnp9ooNOw7Kxa1QWhp74LkQU84hWW0/IzYEtmapqDvC/BXo 7voz/BEUqK20Q//ezmKD76RD27K8JU+kFmFkY9OWrb22nIz+ldJJjvd+9wvZOZJ9Cokxrxl6fYuF dfYGLcCI0XmOAl4/6W6Ha0xHtwk3970TqA+lYo3Ne35O+z+8TtXvKANZbMAZeRfvj5Y3vZVCDyGP noGAcsmNjYzlvO4cpfl2WMfgPtgbbI3bCLgPTxY1iQVMbDg5d/2XOwM6M5OCES8CSVaKjg/tAVYh QwAmogXW4/KrN9swE2bcr81N/4qMoX9BbpbQY44O0rz+44kFc1t9fD7FdKlLZzdoDlFST9+a+OPh uAqaf9GUADYXwQ10+L8lX4vadbFfXl+JtkgOaXvM8dxDtNIXtjBJ274hBOPuo9YZgt45uyX8XFfE kJ0K4Y6P/AxeQahlvkFDdJjAZoUETGqnd6DM4tELQDiBMRO36IcYVrm+/fiMhS6626Cw/v1V/Cn1 y7cJUNqLYmOqxLN3wG+gcrtxv3gGYamUZ6PlmoP6AAKr3jC/SXK+rP9s54am2LcA9uJfnwrWajkw tc/1+nANzmoj8KVeAMmBsRgvOPYqs5FpX3MidF9KnKfu8af/GdZSXMUrcGvxtZgRGY8FvGCNdrr+ ov8Tlg0+jn78g8MWCK8G8IBgIGBgk34rMcU1ECj70UTvulGU8Z5rhQBFf2MDXSGu0DxhHkPwo47p DFEmMY3a1D3571zoHhxh143wfXgmyrfCAdGsIPtR/pePH1vwW8lLhqKeTo5TGSEH+pUxg3lZO1Bq QIakt8OH6uid8XcRfKLIHlc9ekBDXDDB9rB+soPRocdhJn7GVymIvwjjgZWSSKDpqC2H8B4FJq4k Dume9TOE+Bn8K2RerraWEbOJysO6bNC9IOgdZo85n4ZIiBqiJXgdXxXeCjVfJ12mqjk0n5IyFBtj YZ9DkW0tqqvosyw44rzeEizHGkz6qu1cZr54ApfFSFGhfGXzxNxFjoRrZa76XqntYA9F2Tu1o68H 9XSLbkghAP0q11OsxeptnlZUT2skEiTm5QumEpPe93pywtH2FfmIZGyZc4Bo1cBRotD/vregrWGk P6ocZJLM1DaIGWjxK11saIL8NU2RS7jLaArAuXPoexpnIOvMN4RNgEXLpxdZjjm+Cp63Nk9eUL80 BtynPpgeIfcg4fgZUocJ3mHR1FjqrE9pyGv6Jy1bjRPjlh/u8L/lRNWN+D3tj7BAWeNRLgwwy7B4 DJnyw2Wu8IGAMkQAigoRDuygoVrQdh7e9E0XiWqkNhNMnb4tf/oRzz2zRQVxDaiaq5ws0IlkNtgS S+bw3Mt9sGE2AqaFNOXDnz2Hz1g92d/aSZuibC1qMg7RQG5djAG5dxYH8jGZnHYXBq4pGz07X5EC LWcujI9Oi+ZijGZA/JXsww0x6ygCHjtf4iRJp6aTlc+863z7NFqffjsDMWzzZJVGgY6FbkGcZufr Fxw8wAzKEhhf4Dk4R+wQVLujxcPAjUI/QRYCAFk3EcekAujvqt3cHxcbOLvioKv62+Gd+i+GSOxt xmlEsSes7tqM6xq1DJVziOlIRtSLTPwFX01fiHQXxw3ce9nDLnwav8PTkLlS1h6EPJIgFMJ1N8US pTE3DRChru/V2XAkalYH8+4YdEmX594vVyiEENX4lMOZd3idoGOrPQ+yvhVHYxhDyvWQzfR0nYFq V4rGodtq7clZTAfhOXKqyb9Hv0rPV3Dav1UECCcf4+U0+WrZ+8XLERnG4sDWL0pI30oTJ92NBvWZ eHloClVWssPCEMskOLBNkCqKPK3YCbjgrV1NeGXoBInOR1zOUipfbb9RjhSrAt2i3zQWmvX7U41E 8sODOzsmLTiSfqRr+3qhZclde24WJ0aF5rvnCaeJbX7ClasxscCAIj/+wzvtBGISwdfHaQt3CV7+ n6Ii/CQuKToTqLQ1AiSoTH7TXSWyve+6jPiiz1o9qEICPXzIEAfGfLwdOYZCYNppzqyNN4QRd5Dv ebU3dMmjTFf3fcZiKj3TKO0Qh0oNxrwC6zrY9KvuRlDeRY9otOPMIXByiK3eFpyXfUcAZPF60zwd kyg7gvZvMYd1pD3AlBXavaDkxh/sjb0BJheisDSmasXQ3GglhyPKJrG4bjVWJgCO8mJRmqvWUgpw gM82FXUfIh3SGX2Mapa93Y3n0Uw5yv88hT3zjYIf1ZP1WP+TywzuDYBLf6eD1GWaDPSFsV8X/mzd q8npdh+m1jzXsomaXGHZJ/A3CUMQNOxweI9xiiaheBmfn4E8JYl1uN8i6Ehi2nvA0I2deqwLJSYp WAAO/ws0QjA2ByBR9NbzO0JucAnvcBh7mspAaj6aFiUKxvh2Gy+IqnmyqmQtw+IRsP2B7/UFJZ5K nYpuntuLMvZ4GD1pApXTfBezQ0B7UdCd731v+HM1NBYhmtMhtY3DFnbXroBLQWpj5Rc3+xCVIL4A SXsmtaKysEGuIVTxJuCyKfJiynhNh/52OF4+JcQLMutW8r2iW54P849Z+3q5Z8fpLDWtEbKiJDil B/A7fe4NuM8U81QPUwysBg2G8BHCYxjQuTpLKsZv+v5kfWe8v4JVLZbxOef6mGPMzKhoQHFGmviV RQvLBt1WaV1j8b8q8CguE3wGCG1DHdvK+gyQurBeCBzHm2fzq1tiUVp+YtQtVcV/Xhe8+W8nQwD0 occP9wAgdYUx5SUfy4Chn2XodVTpJivyEkEWKhZTOmdrz/wvyopj/lr9kX53/5KrFmt4E92uZQqs dANXFwooxeiBBlSuvqlSJfO/69iIXt6rrNlstFhIX6EUzlHqrjwHUwprVk8M3MuGQ1gf/hSe8S6v jLZ8NEjvTLS/XAJrWRCtMCoIn9is0b3rb3CQxR4bWyQkCc3JV30Z5ee/r6srXbOfMzK3QZv1vcUL y0bZCk8TpZ6TaszKE09Rmv3V61nZn8aCXFV7dMPYqQqke6uGn9UdueLQ87CHxh/vEkLHsh47ru4k c0x1kLcBQcCFgCTGPrmytRrY4lr/taibePzv8ZdCyozQ83105jqIwX+PY1Jn3W+xH4O7dgqbUV7V awxvWTg1m2VvDMMzda+vpeUALDRAOEE42GgAAOoTXz9QKZdIbc9OZVfjfLqVsaG+qIckukTQeZXU NE0flSi4aMne5Z1HiEQeKLI7Dios6orvbqu85815KOIMDh3JakpBMbuo9ZsP3TxQS6kssaoc54Rf gy75We9Hqw8bP9LzvJNIAdzi2df5s2+uchjLCs4Xsq3kQhyK6dTDCHm4kiy0JCy+Ug7fQxsWIKNe SdsPRbDjuDdbVtWCmijIXomRju5P8GZqlmfwLm0yiCs0PpCdHpsdIjKcPbWiXW3KG0YO7yrpVfi7 Am+vqkDb7v9Ff/Qkq+rsHPJpt6gpqrblaBIJ0zDnOk85v6gwebKA8l7FY4gTHXJPvSUjJcZuruFQ eJIqHgTKT5XSLSa1chDUjB902Qa+GWlQvzKpnQc7GYzYibeKIR5yvw5lAP3+ssHMIuPiF2/sZG9V Rtkg9rdJOXcMp5laP6blEeI/YmZ9j9881eDbnLeA3KYu2E7PzXH93MGBqsYmWM8pr0ujHFvoO22n K1ICWkNc3J5q2uCdIDYg2si1UZMd01terI78e6VSWt2mGN1H3eBNjdlCUCd+6d5HmZsrk4Cony0e UUtcqPgZOo8otp4GTmjmCBGIr6m6AJoVMmnYDaTLgOUwQUjotJHlPy4iq4wDDEoqKYxlefkxU0e4 WQkzCEqIF+pxrgEWGv8NOGvWdQzp7NJs3xR2ubGlGGCKnMDMHo3i91mTvTltyV9p/5p3NiAX7ClS +ZTIsHhh6+tYZ1Z61KR97NZZ0SkrtP51lxm4+3k7onkjm/F0OC8Mg9kSJUho941BYokWGihiFCSz mA7qwCAziKJCVWx14+f5oggn7q3Q36YvS/sukesM3J0X495B6Xn9urFM3pwA5Sf81PuxzAaGcPIQ lkDGUp7R2r5bqT5rku/YhqWo1xgsenQ+UpnO+ZbYQOxLGB9PeiDJrS7gGT+pCQTWfdgO+fZ05pKR sXvBYvmrCQSYR63J6bQ/gLkzr7LemSi4EaJtKa5HU7Zda3Akpl05IbX2PxFNaspBhiH8hJo6UXub 0u3tT2gqJihcHKN4wy2YSulocjvR7ZG0AMNIg0kytIDNnIuGGYDxMNib+q4wDkPzzCgARG0XGV7Z hobivH/NJB7s01hVvqFB+j1Xl9uz9MSqbuX7w1HaEA2VKi/Ctj0AU3EO79Zhey/aFXKM9rxBQmyB bNhdMeNT3oc9W5y9Ld0/JX4Ls4B7UlUFkb4o0SDeiljAeYlWa2fQa+neX0TWT8/OuRkO7lyNAino PYWAUka0jCsWaVB4bZMdrFF1c5ENpsWTZ7CfrEE8W6zVoHBTkr/0TbTUj+b2bbBqjVDrstq/mX+o 9RMFH+MwNuOUUO9X5joqE3PASo9B47ztN/EH1XGPwe8/oxPXsny4+9sB6cgvCL/c1zBD+2Ly2I8s OmCL6IG3NFcxP8glvDrYOda8JSR3G3iTZOMhfOlz9vM+wvArz+GJfFj9XFHn0jWud55vY0FLXUfk 335+VraopuwMDI6EHfnSjhWmG21h1C2z2OFh0jica2DMDEJmnaUC4T2QmX+4Bd2RK2x4P4EB2UDQ odBymO9rIV6D/Wk73HjwR4GOISODvW/0NMleHmLuvimijA+QC4NqTAR1T9ZeOp7YWVPaILcp/Okc DhtfHbqbdYD34xIAsHOiyaRLVkzfR6yhhwpya94v8zWi0bvrj+AGbiBgMh5eiz8JBn6Evlqw4XTr l/KZBRkr+Luvm6THaH6F37OEN2nyg77lkrRut9mtgSq0jP0daajN/vFxvsq7p0EBaSVhTAqCo5ND 1IMXy01q8+64Vz3HmU95DnxH0sN5ecFO992/CgS1yulGNWRWtXU+ldsppo+YpLm6yfiFysHgEnz3 +DQz+k5dqnGDWNtIMWYwpzr8mvSQLEKMThCohyTU6vmSfCMERJXKQdlMsRqOTMjSTOuMAd/3AhzJ Wq6ndzlQJrOub8QMwDlMurtjXm14S2/RXgWR3/7gO7B1L9yDL4ezTXSNW22yJr+Lpxl30j/ogKRJ E0gjnJVYpcy/sGkZSZN3DFiCxZkxP+40Bn1B9Grh1rZokkGa0WHsG6h34B6P/QPPzy4Za9VwbfSL hxT2UemUqMGk2GMo5rmehPeUeQ5dsskMA1OpEZMMVY0jPF63YbqPNWPScxYtCRZTW7K0IMBl6D/A buwZJsJD3jP/qa2oHChn8BN/3T9acpqt8lNWRQE0PyelLf06OjazMEBvm81ULOuyGWrrqOd7rLAd pF9sicmQV1aHuHE7xKc9Myjo9vexujiHXIhlTpISWzVDOvyERWfZspqczS+Kr//nmhBd4LQtrMzK PJA9CLzG7PjuRGXkYVXmt+yDeySHky2gqxVW2SOTNqYDaiaxNRsXChUzHhlpbOwFmKlO7l+c1bHh AqR+vQSXLMd3mpls0rVxrbTabC1qyMtBUdsselLtZ9VkC+QPovH3m95K0q7gNtL/kmPjC77eo0PB ucg7a4sDwJUUJ15sxw337bjHl+Ql5wBmklPKfuhHEs95h3Y2JdbVLxvE3SLxTvaxnSP8nsftUdfr OX/EkPmvkDGfJZPtns5jcGgLKzuekQx9dElam2kRweT+gWeh86g2CyP3D9uOgJh6e8WxtN00zkuE n1xBmSW8RZkUD8iwHjl1qUh7wxNQDmOI0EXkcJI0wSsmuGKAHWVgb8UqHHo/wmVgPWB3HqIwSRmJ hr4UBX1nLwNkVS75ZjJLh8tpXN6k5k8sPIY+glcxErMRWrLOcckS1FWY8EY47OHUf2aKSQRJJskx HF46HbE5IzlmQS0lrj1i4wNnfvBR5RSvNVmjKBoofMATc4N6/XeWPrmr9L8qZ18svap1KUpwCj07 PROSGD/td/Klntg57QwOC3rA8k/wgCnM7ibhCiUQSWFCzuKL6gIDdjUkukJXFn+K49AiUnhltf2J XDNNRSDzYgjAo3ypjwQfkEVo80pkN36tQlu+j4ws7FdIPEZBRBNod3T73if7IRc8Lkk4njca2Hhq kcevRtjltE99uUT1G6rrqgSgbT4eJgYCGVkFxqKYAD7SGe6g43goUomGhUpzrapNIg6c+UyQu94g NE9lukehtnO0tyAVCfrAlkM3dF6lvusqph51i/I3wj9OCKBfz8DNK6zsLY/mzrFikmI2PUMNJ4WH HyOu0dx12rCVxR/QqqrOyPIDQQxSCDVVPm1JZ3Guc6aV6eK7zQ2gFZNt0b35I0kZt9FcdmEtFReA Ycq71qvSZHzc+SCeQ+XIGt/nMNmUr3D0PtuINhbo8UComZEv6gE20yRj3Jzb5oZrs5Hd1RgvHfsM ig5ZInUPeixztdu8ctQ0RSaHKzZZLgUJJ+nS0TXhud+DxW9WX/0qI2Aw2YFpzfze1ZQrGaHHWnIS qCPdvOQOuKq+7pt7cVSe+bTq12pXZZMrABkSIEaMgPq8+OMMht/HkW9jVsv86ImkYKh/eTsNPehF uju7njaj7icg6H5XfUKHCF+RKN+8qhv9LrKqcFeUqLVKmUZ5oSAjg/2Gl4VjUd0fcHJKlVFQJd0U TuZ1ZqsMnfT06p1GdvkhzhMXRPmtgbTp/v4f51HpSY0fcSlVTCUd8UeHANE+zEzhdYagQERqjv9x tcq+QbDLKmv/vbqGO2PqrPjMS7rQAm95FT1jp26APGpSgyqUwHeLkleiWNpyRvSLObOBet2YqPU9 ZkDvAHnLx2o+NDvjcQcPnp2CS03QyXWTK8kk3pB//pph7JrmyaUSTPuItN28p6v0E5qUValzFGTe WKmIHBwNDTGB94ZOiRYWH/oFkltttht5vUsCB26jeo3tRZvGSwl9tVVkvz3RlID5o/Aj61GSXBY1 MezY8mBaUMs+/PvAd9VIXnb4seAJwbY3k0dHPqoyHfoZSPr6KkBdlZOyRHfNepdYafUYO1TLg+ui YQuQNgLcS/aLHtcYUc9YyJHFR24nQU+IyfJNJ203p4YE7QEyAykvVHdqUG/MpNGgT24epB/J82mI +vHt72Fd0+pKPVul4VYsALtHbcaoFCTghnY/ZZ+8FwcFvCqtgsFLlFS4YY/uVyEBo7I50NXjFEnm tgpNd7NobSiqM8YL+APIIT0kFyWPzhoiWMMIj5Ezc1/kuXHhqqDfUr1yJdBecUg0n+UvtpYS1biO ladrXs2MaoGm6jfZcFO7f6jxEkU7r7uxbYJ/EZhPlbU51FGhNXL9XME+I/wX8Er2h2PW/Xr6XzQl eKKjCmhJMHgr2zh43pPKFO05A1lzjkJfopE13pNOZMRX/M6z+7+upf+8EdvVo6TBTfowDjy1hdn/ ZdM8SrlKOPBoojyRmTfg/sujfydrVcmHmRwP1z9bk4kxc48jm7DfJ8RoTElUpKveFM3zbIb8Pxh4 dkzmNgCnSPmM0etx1TIkE15PiOTMZ5c9UefeT7YS7E7olMYSSw4awjGaafG1Hs6TJf4p7uTQy7E+ SvXIX/cqMItlHwH88e7i0HiQ2X2zT22HSQJVY8gmv8tnXZzjEp5+UjMWSN178CE1YAEmSXc5TjWo Zg1EZ07PDosFDN7PFhOaJxHxXzcRvutIWgC7UxDT+enl6cTHP1Au0/Ji6gN5ic8zjKh/sZrLOJ4D qYnmnacW33Iiz/FTPODkHauJjPM+AjasPkeFYG/OYFRNlscp7caa99/LtCyDr3JNdMuatoY3INgI KHZJmhOppYGRuOi4+74eZ/j+G2a+eXUcHdhq9X3eyC9P/n5tJpYfxeU196XfnukGalxDsonG3P/z 6pv9wpVZ7zS8IS+UWjaJFEBozQywMWIYw4b/Eqww+96cKxmnpBIL4fcMbz1Wd+ctRhVL5q5aI077 j9A6dbCvwRHO1EUAjFqqRZeC1pVa5AQVei3ijtEKrk6oUMj79sd1LDaV31ruEm0c+qIvvRyDv1Hb eOvrE5/zywjgqUM4kdyKKRpKQE1cAidWYxW7AiEgpCq7NCEVESKZujcC3cXTcxfO/kanhIOx2sbt +jb12xKxwkHAaXJMz0/8yTPLMPivKEXrzapID2+21J4ofkrZkhRpaqunx8yrY1GY9N3BZCX29AoE 1gN0nfFDxUnhhZL3QKA85s0Y3ARfOIViuMAabWVV+KGFCK+3L1/jgih6kDl6OIikhiNg3hDU4zkF P8LWSKCVFDMIkFK2jmoVbFn5CngbVZLAqq+iGBS1D2cIfzW7iqE0Y3jJ1cQJWmMxEGjqBX8A7pX0 jhnGUAzyzWVXc59DZ4s1d39XZ2C12PknqbWVYPjb4uUxcCvHrH8J4QqIOrbg2MYudD4vTVE5BVhD kSiw5i+qp5hRn407GkQbtdS5wAqkwtDcNlwqH7d9aX0+BGg5vIFCzK98DF0SmJ36DiSZs8J+hhln 8LBh8rHE4S7gRL3Av9jcECt/l+EHu1doisj8r0deA643llCGoXoX9Wp2Y0hIX2Dh7TZclYo3xRtO PVb+vreYYKHo3amCHMLUBNkB38FJbeGMxuJ1rMDY+THKXuFvN6/hApgTxgQhn/vLB3bpc4Ue38np XjaRIHAF50hk5PLSbWKzO8asE0Arb/7p5pv6Lg8ctQvxYxA9TlG11bWGn7RxsNwLhW8Wns5ZNugb 27ToBgdjxbrD3I3UqClmmDr3qpyj4lFBrT+hH8Qwnm8T3F34phUwv9KpkUy05aRAP3Ov8em6/mq5 nIA4tGbfDpKICikfL4bt0DnVNsdBu5HHbGmua6JeUtXIs/X6bRfOWNpXO3J3rWGq6P/B0PgvBGDl YNCLgZ9WWMyy1HrzsZVSpFQrjtpRe94TIanhZnzeF7dlhKjE1UD3NyPrgdlgtB3EhOmrVFV1EktT yR5hBbgFuOQ2AUpr69cqyVbBHuP4AyNZKqu8HvD7HA9CMwRDQwkpkmtjo+1LvTXltyFACygYOZMA SX6S4UYQ8+/AK9QoQT6bIUyLZejguIm+1o5puv9pn5kvMU80fRx0LA3y0T+Yr6M9oVdx9/hc+0g2 H5/6hVbIgtPq12buGjX4xjoSDQAFzh3Q7KzZoDZBFhlEgLF60On0iGI/6Ut4tw1WCF3pMFF/3yIn Hgq28Ti1RsAZPUAyYbH2E6bySuEZGy8gyetoaqW1+brOAhxx9tHjp7aTXbbVR4K4CqNche+EkuQ5 6dgn2BERI5yu/C7ssdhO++6ZIhkrcFXp8ooWYzikg5nuUsQKeD0yHdYM3qdwAuL34SxHQTF72M2f PSThq2gKgB3+nLQIjjTzoJkqy6oEoKiFcKb0Zn0S7D8mlCnZKPaEVINYe/Uan28l958Zsk3VnCwG KHIqFZ7H2PcTecfjPs25xdYLZBa3A8tCTSBxt199I9y7DmVbIATua6FwOyTsM//JOAUHCD3mANmi kvjf7FBnw4/kOwoIOuGTDaFfkS7vFWLUfYQwp2vLY1mSg25RrGdGKfFRY/ywGV+0uTDK6wS9k4+o kkNLUGlflYXlHcDYymNtjR9k990FZ9U4HGkAMUi7mPCw36R3rYgoH36zVOlhGVz7gfHkCUZKs9LE DKHKCLzfIUNhmjXIiq5AzDUeysZ8C/S2EjF1pK0vOTlwLo+CL1xiefpukmKA4vdJ3AVYVjkojeHC 7+mUPgetUMCGlbUFh8T15u7xFqIpMaCBH8iwV5lNHt0oA9e3Et5hNyh7jHk8sG5F+4s9FgCf3vIT Q8REIvVwQkXVvK5GQNemBDfOIMWGUbmLtgiUOJ3K9Bs5Bia975VBAWM5neQ+pq8F7xmj/B2/gHKF g34QxxJ9497tUZjGNzBuBDoirhTd2BTXR0M35G1Da6Qc/ThdChqCKhXmy/zH6eQCnfStlJfBtu7v aHVunN5J/APyxigeqpQpmaiyLct4i5WSnqi3itORcpVhw6lGDaTvfp7G8f5WNct6r4EfSK5ba1U4 t7gKyxn8VSY0rbbALKzPqvJwrYIqRw2yXZePxdH3/QWH1Aq1UEp952n55j+W1rO5WMymhTB1C17R hSPYLEXuDCmItVLDAgF712vjAMU/Yh+o2LMtPA/GAMngO3Wi5q8cDVL28Kj2nva5R9MP7YSNHiWe 4zqCfziWKZU8GZA/3rprVYNGE9Ca+nsxHfhvD66rxwSQTqkXKzYIN6t0misjhg3eRoXAJyq27y31 eI7aRwAk1M6pFq+rKSNb4nl+ebUTkfEm/+lCnmj5sVh8wlT6+us4pm7AweCrwb0s32LVl6ZF0fo5 2bJKfPanjYHc64K4dWmWGKqGdPR0iEuVA/sABlgxHpiGdQ6Y7bXtWD70l5kb7wam0iXHVzhR5Rlw s0WXsy1FNSVhWwWRCrh3fGN75y+XhPRyDTHr6B7KJ0OYlHQDFoa42koqbQnzd2VeKvjT4iZhyd5v tcBeYVB1VcaYuDjxEOmf1e0hoU2Jk3LtOjKIknFEpsvn+oL+7tULKckIvJy6+kJo6gXO6oY+ppqH 6JhqgnBd5ik8sfejKxP54iH+hET8/QgH9XzfcVgzeJukQwj/beEm86vC00KqJZvFbfEJqE+JYXsY qELRFhuZZMlz9kIz1PfTcapG7JrSKTdnFQ7PvNx+XqOoHPDzFyHqzv2n8aI9QmQir4bFiLLNJO1d nnjlbwfSC7KBeb8pRaYjustqnTcCl8m7VnSmYFJ5CwDYkKlETFAy/wEnGPPpyyCTnOOSJd8Njhdc 36Ahm53fohKbAi0csaSYFq5QJX6YVEkcF4OVGWkwrBld34+SN8ETz9u1eO/RkgAsAOXLZgcsiVbp YM+geB9aIBj9S/di4gZ6rSEre5hvwpdyrIBC6WEglvlxwrEacZ3xqfsIfZ/mPvifV/bzjD8GVwNh uPOu0q/m62yK4aD8GZ3BDLk3Cqy/BDngNn0YTNi4q4aND4tCtDPxUMa8hNl01sI0aVHprm+BUco0 6FFM8r/3EADuTEVoTxGcNEIldgGQEDfgmuOvzEopfpgBs3l9bBNoyJ0AKn2hVwLKv5RSCWNlWpb1 eEheRjGTcQAKc//SJxqMsM9sm5eW1q79frdfVs+kbncvT3rCy1XUzwph8jZNsP8zymtPrQb5fu2u n9RrKSvEaoOGMqtv/gxcbMBcuXWQrcRay89URMm7VBXH4/LmBWW2dQ9eMttJOuFsXPH0eYkLiHQo ciHe3XjNSJlEKT2ptFvzu+tV5LkIKhBJTMm6Zf7WX5YUYIK2XEkMXu4UyVdmkFw/8O7G90Gk3Fvt UGCimlhWA0eRURYkJoGJtUAVJPhKt+2z+A1/0x6dFybKTpbhxh/ri+NIQbY392yTUFSL/RQZyauK mmuIR00RZPF7moFGyON18elf5U8qsuFTPP9ZqdsIEeeRYQwrdAxOE/LGemQZsAXIpghSydUvhY1X 1BdiHciai3sOWF/QaqC4Qy+qpHuvYIbiLpSLvPCYBU5Ux4KIU3fRuh0O56oCVGqhcIRsVWuHVWDM +i5X3IIAuThe4AzkQbI6jYYcqFU7zW03S/UOVjUwPS4jOeyF3HDKiKqqqqo1NQRhbhLxba5dVtQF vrBQx0QLWSPwzuKRhGY8D+fYrPik0/E0XyPtOAGwjt4PwSIP+L+1dgAq5OirV0Q5EmT4Bdleg0AW uxsh82JiPc8UYsqFP5kZYIcdyjtH/1PtxqC7r9YUqg7U3Ci/CcP/mZp1tv/5ln/Lufe49qwhcRgn swloArxOYDf6LN+Iq0ayiM4MYE6/2ZokKuymHE+y5rEyclRVH/NsF8zMGI04BwnUPAbGlcbtwygJ P0qdHeuNXQGaun7ReE+ryflccocW971NtP4eFziUVxvBBG5gla8V1qEQBhPCvuR5TGB7CeWJzbPI +IResRhBYwEKSqzPQypuakX5Yg+ez26MyYrzO6+fMsLmkEQxtxahmqUyj5SQZY7B3C0dIl1WNbGy vovbXRw67sLnLQLRNsahjlEoCcXC9WObTNXkJBHPZz8MjuEjPE1Q2T7cadf+RSlJDjxiRQIkOaom johfuU+ro3fj16zCBVmrCpo++msz03fu6zS4/um5Xyn9xn4cLoxB7yX/ks0t/7PebLpc2L53Urti Nq7KPCJ/30mK/aRkSw9YqZcFI46dWn7EoXFS55iNNwGjV9agZggHBWMa9aXe3Lh4wSwDDTZ2I1DI niUaqODkzOJq6GEmbEqCWFOw8ZFn0oFZsdSAkzeTegXjFx0WPC3+alDLrXMKYPpBCFDhHca3n4qW UXRJtAvpnwOvxrTKwLIOVuGzFJkgwEiecVL8/unQKZ1oIolFogpI2iAXMFzIrC7/xlmt/9PJza00 iQ/SJXLbtaClkH1rwhKFK5wkfq6v69iIX8KjDRE9Leu2zGNH3zVDvOv1pf67VoqX8r1F8vXRu7MD Pgm41O8ENjohwHe+ip0KT+z5AYPmaLera0gj/hKv7s1ePb1VG3vbufGaSxmWIrR3FzZnmsaMPFWs aG643slvj3CEfOJE2lcWD0gR1RcE6LhEgexBj7Lw13CJ2HcEDcp1krQjhJxfgtZzNQJ1C34phQUY UtUsoS+jotVGH+IdUa8DI+46NlhKtvg+I/1F8q6CKpS3M4u2eqAEIce/dkN5hWv2dGaClwjL5rdk FwtEF3c9xTpPRlsbT58j5Hb4cMXjgZIvQbXe/7mq3SuQZBRMhxOIeGMtj2eDMxG1BidiqEectiQ8 qBR5jqc1FqoSndDk9gexROSX75YZHkasTeJ/ttASrq6mLAYjpuh0zFpwwvXrMVPCuT7EKetJeR6a Rsa95i50zxgyAtXMVLVU0fwJtysdfFMgwl0AiWfmx8xc6M6+8R1CF4uTVSh+9SmVUGvrz15M1sAz ixZv4XtOG+8Ged0oM6Kmwr8572QukrcaC9OvfD2O4fBPxuicEtGsEMXddC0Ua8t5PPxl97mJQ8mo LMKjZnxrudbLBHqzmXQ+UQg32eN46RxQh5zu5cqh2BcCChTkrKaUDgFDss+6IPHrIfbrDi6h64qK SB9lXaNVUkBowyDLbHazEK0R62vsL+ofxCgUWz4Lt7WbltUgXqeFkjZiygnCjjXPnc6pf0+/ViNT fD3t4Eqtu1Pn4rifYzoU4If82ZqCfiuIAFPHG3Qo+4EMoAS+N/bkTdRBBkr7JW18+nnLjes0tTni lRz15Va8uKsxv3tmq7VjVj9AMPSarOM5rFlRXvxi3mduE31pBXWdd5UFeNOpYemneHIw3DXcCw4l j/r7zaqmV8Yve47VoDpdRTaDC+c1YEiowu2bPDmrUNuZWhc6ZFRPtPwU0BRYxewPXIgiFbn5oUEJ 1K5YNt7ecHp86Y3PBElJPw83rQzZZNEZUE6BL1u0PvYKJ7ogBPbMR9a922C9jyTVyNX3doryOF+M x5R5wEutryAmj+/9B40ZFa7cOA5V27g+MM5/l8cEV7Zb+EOMOTr42HogkSNETKK2wNgUEqhq0llk hNLeoSt1rrHHJGCIq5YaMNMzVBNpqUvDNk5qu4conKkUyxqMHIzDLX8QxxXkcuK4vUqyzTV7TYsH rxWihsv0abDvWU0orl7RLK/MO35V+OxcgIYDp34td6D4EWXwJcI+ajuDD+sudYar1wFllC4FEUqm yVZBxT6WXMotqh4TXyIZ0ohNY+p7XvREUPOjMg/tyUKoklHgcWFXC8euSQ7vbnIXIL8Qb1sx/qt0 NrpUMcI+vm1FugaJxN9YAKwqFNLQ4+k3Kss/K3l/1YMxc3g6kTtfhCZwfQepEHhgr7mTrmGSvkz1 3csAkNABcbX2CFaw/382nYTa6yQ4IDm3KgQcIfmMWltMbDPXkBUCLIhTzBX8P5B+Jc3ZlfV23udh Q7iNIoEsrbtZ8DG5Vvapsnw02lbp/eFeDAZbn6k4y8d2gPFbLFoMtFoWGWca5zIUGFDIo2NHyqD2 VQPXHP6Mjf/CGfgzUQjg7D5YfTYsC4uUR5dAQOTBLinBLfiITdutx8LjTSOrOcO6L17LTy0d+D+h xRCQVWRfPdvsMiD+WcX4H71ca/tCZ5tiQFfA+hXYXF0Cj9xbk5fqUc4Iek0x8ehFkZu15/57h5vh uKXdJuaPg85fGUZoYHQeZD6yfETPNfbrXOEGxt5x7rWLfIMMTQxbCtkLB+14vS/8jHm2Ex8lVPGc 9MIpEsHNWdWuA2yRIpoeCJ24pJBaG81E1FKHCtn2MfO+aZwna4jdB0LBu9w0Macb+QyFpEP1a2Qx E07T1U0yGhD13x8f+5EMwx0ppBKpLyrU4mhV0ERrw3Z7cE0gg0MNSsXEXHO8YmUBKaaUDwjr/Znx 1YnLoBY4iWFpNopUDS3djcPQjWKlMwa/6zHklYnLXsR2zZGdVRhqbG0tyEH8E+U5LmPYLHBsrKwr 0FFiEmqSKsRYWvS16QNQRgnsXWxDdFpz8PCAKbtz6SEjLMILRbv2YFdY0Jf13guJ1RwSiXGYmcN2 CImiD+NVdR9zH6PfLEDL9VFYdoo/FLtu7/7BlTSKLFpnLmJC8BKzw8x60el6ZA3yw69tM0rAtjem JezKvUa/pelKFJlfmt5CK1DsajFAEUTkPK/QKEcFzFewyHA24l9ObQVoqulnZs9hbb5v3EkLbo70 gO5sN3vS0jeg9Pl3vbyPFpyuqwWbJ5nskfwkKu51oCqNEkQSiSNXqiU3YPZUUiOCQGBPmcJPjRwJ CQKYvyZ22kDsAP3T5wAyfXabpxaUlhaEphRkGxmz/E1LgYaoogTGgzQegjb4jqlpCGf3W3DunEzW a9PweuD3ziRlEmvbjeLH1/si6UPQmeN5w2cxzC+BVJAPUKvuwvjWWMVL4D497GVfP+RNVLZNgezj fo2+38SezjcI9MjSplkFY2KPMespzpQPjwIXTvkQxFF9X4abOiGqZnrdA86egH1Qy0NbwLUVDR2w n0VBHjorSUM23e0Snc+UAzj1fwyWlGxg925MhaMGIwuvT9AIXtJVzHh7DefEIcwzchAdWQLRC9fN UeQkhU9dCUqyI8nD4s/3LvojeDMv1FX+q9L2E5ixgGRlneZjbDqKE08kC+aCpVSKJQQYZDJwdtJ/ tpjcqew8Fq3POSG3+I3QZuapyfj/ssNdl9l1AFbuqHssRd9hCKp/Md+O7GGA+tG15swMKZNPuBhl SzplZjXlvP2yPhiAN5mN8zOqfc9rvDRz2D+WShMi2ZK55CcZAEq7DcNDpFe+UTzyO750d/BCeqSy kFWiEKFyrpmgzKQDfM6YwjAoPI0tZb0YMt0YDZE518MG64Iy31HJ72o4SALwWAggjHYhisQJf8hp SHszxjdsmf+hmGEglU3El1QN+IUWY7hhk8+11duD2Q7lFsnFfaMvBS3IYRdCOFsZustezz8zIUAu ggbHL0AhJ5jiK8pMLK0Fq0kJrL2aEZcrjRu61HupuPQ86u0tXxf5W7+pgDAd3krUc2+z7zwBIYvE glU+6DbS86u/Xik7BuDsIY8yEDlBvPqTpkHkX/n20R6x4glyf++b1oFdYxr6EMCEVu5w47Y/cQpf hkMyMxB5njAx39YWDXCdXGIHMXMFs/zN0NdDyhytuj6wg1Ms4b1KjiOE3jqDqZFxogG52vGY4lOA Fxr3Kcvq0nTf49gWoJ3Ad3FBQxS61kY+ejv8fcbUbemrUJQ69EdajLjaOdkGgoUAcZa6SY/d4llh rSXGc1E2KTmGOfln3kITvOp/2ghyNG9xF1W/qVd4w/bsrrViRXxT39M5FfFM/DSmG4cltmHNgLoi 8j8PFxjU+IIp/e5OqAW2JZVAodGWWnC+wC/rxs06RQhLqWCpoKEzGlKD/m9Jw3QCWYVm8g49zvHj 4oQaET7/D/tejoNq/RSXqgXoxos/h76FWCj40Qb3ACcn/mXAcp64L5wCdKGyGD3PVJjNnSnW9pt1 uuwngaWN11L4h4Z++aABMu4AL7kIkQ49ybMOZcYoHypvisuhF6nUK7BWdMwnkhZ+ZW/SpH27qFV5 eTLaKHex8od9q8fxfqsnlHl+eHx3ZtfZuP/85Rw0aploiGxtvG8okOBKp8y9pBtHfzWzkbmJDeeN TNJFGbS+GrG0N05n6NkAMmjaz8QlqChiMfOy7hl/8f29XGtkAZdaw2dtTgWkPpxPZf9sv3UFkiXT FnX1zdodWpJ1ruAz9n8V2saLdiI+qDYD8DoyF9PxsSJa5YWuJeUqfHuXiEUA8FSB+SrfvyOYYUaO rv1NBlYWiP7G4aA93yoFGHxItgUze58oR50fM+KjdjJkl7ApIuKMBHpLYEU/iNvg8ggFDSJ+cLeN nSxVHkd7eww+LfA2Qjgx4zels1tCzYvpb83d0Pv6cC3Gh8/GvbvjStYGl7c1E9JonKc0LdOJNcn3 3p8Nwx721PpD4yxhLxdIsea2QznTLqwFbIud+bWvKQzjdCLKNJDOhDoyg/DFp4s9qqdQgP6PRdkm VWitNolu0ffxdzlLw/QTZa/drD3X39X3girvTNonLt9rRyIS3jwijK9ftNPLE1MKAaoxjB6Wj5aY RMdLhuyVo/FNHjsStVte23iiQhNyYXLCqvanrtPnTs4piH+T9WLnxigRTXGpIvF0w8CB72z5hjjP lBcsh3rIKFWd7+l6nkutS507qgJwsbP6CIE5OJtpLcLskprKeQRrixUuizKO40wiXIHqs4PvTgs6 TMmydOUetH8prhUDQ4vQQzQdSLj4ruXeP03G3uaYL5bFx4WbdPwqQS5Ib2uRbqFdBpZTpLy6tetZ 1CqyLqwZZxagMLLNi0I2KBIbGGKKWxCDqhpesypeTJj+z+u8ctlmFO3oMMhs6ar7wqeVn1hGfJjo J3g8lFfbVJkFnLfpajr/uP8PzQfZuKoA/3QIs9KwVDXRacKVr+MHNNKdWTMvkZwmaEvihTNfpZI/ ENEAMlinI1DU0Db54FCWpcc7JAxllLgn0Qvpdn8rEBX+P+pjyl0seckAATOySRTcjJdEniPy+hs5 yXfIHZUidAvlHv44+1M70BS1KLXXB3k+C7vtEn5Owacm2AQ1JmIOHVyYcGjKKSnsyWgb0gTSPViE La/5bWY8Dg9uYmwb2K3DE5URKvng28NeFyLiTMtLhCbrmHjeW6MZzaZIKq88h3yL9w6cTXh4t/s3 aK/bv1d8oc/k4Oh3V1ebc/Vn6U3DmYHjYUHBY3lDtHcmGlwBWEJNVGzh3e24Y3GhB73uFBl9cQDF IoWpF19POmR3C3O914jfljKWk32sHtXNdyk0GSJGpFDwgUYIFbCFQXtYHgTG2eRKZyzwbTNqoLGi +hOCdx+eoRlohgYF53NPhkh1y7FM2dh42jCfcxXgwVbEYTt31xLejt4JMacinq61It2uysg+i5Ap jmmtpdLLCKm+Otr/SJmjkX1WUTU5LPTlXYOdNcfs+rrvVbj+gfYYXdcA1jvmL1E2NHQXAz/F/QvL OFaLYfA2LBh/zxA68WaYaOUOVpL6GefD1Gz0JVgHfAuop/RvPRvyyvEHDtqHlhtHmLggMSrpWfiN o/U/YNSpYw+avRVAgLi1zuFMHEZyWwMef19JC4URWkQuAoNhLpnqSKdNolf9zryrKaOB+Lj15gBE uWrq+sYwjYQDW/5ssQIFs9u5/xpg9NjhnC9Q8a0YqormOM/pFQ3e6I//XUtt/9qh1MyqOJRQuagk iTuObdfOKJc+KU6GQOr8iVRqIaFfeI7ePBJN0gsenJxxmq6qrJjpzK3rlHF2cKLxCQ7hHNeWeKq0 zKTWm9U7he5wr6yktAiOdWz82pgCtZQiCc3ytgRFpEg+nSCfH/IA/p21xy0dohaMaIBcPFMMrXpP nFt0NnUui0ge82irS8/JGTx9Q7XaYVvSBuToSiDFkhUf59XZS28UwyyGm1WKUh47gRtCZ51ZEiY6 Wg1OqnIyvW737Gm6Vl/6RUlAXmxpZ+Fk+YNmBznqXsigQMqEVVyIjJDb28G0PNHmSc7w1LRyJiU9 tB2DVUgJY7nShiUYEjVba2HOeD8ERVp2mFVu0yutQqFYAMVq5O94M3XjKW6wADrOO1rk3mUvpinz WbP3xK+BtqzpgYfeH8fCtEMMbiEzlCjQLp47NuGrXm5N1IgPwG1aNUuTTkiLq4IEd3lBZvvhlOCK wqsDljGLVuT2FLuVPIp+xyrK524m10pmzYpHGopNDtbx2jRZqDQP9UtWKoEHel0/wiv3B8PX/1Du lBWiWZRjNUHOMfENgH6nOZJJzbVadauwbc41PVsNCTRWJBGJcKhKEBA/4I6VUW6RaHOI9iBeMOVW siRxQm6FZHS3bdSmXgG6rkKd76a55l/pYB27Yu45HvRTahTqWMBtaMZ6CKVeNr/FfszrP+1qKzsw PjfzwUg/djHVOhqzgAMrzRfCFmRnjKwzW/sWwmn352A4dn8Ly2nEtM2tv9ea8atp0nPdRVhdOhw+ cGH4i78F1An1psP5J7S4LVj7Qe48AtyHrcdeU2AlTo+O1JxxcQNNluIhNyFnsBKR+JrJNozjCse0 T/qHRxYWJEhUJUoojRTloYwAbiSiszHIpvxR+gdWmfOVSimsr6hvmhUywZmBvZl15XAAv9skKLLF A+Z/V+saBsO8kW02ywQPQUByMsw7fi2Gflx9SPw3QAoCz5rlT/yRxwI30IynPlkB9gKmE6fcePIT nK5+rOL/TYAjz9ylYUBjF1Jn5zYaEqWYEMGDdVTwLv8048oTe4hBXyVhPLSWAmWGLg4DYqV8Msan Qopymr6yUBJZ8HOxlAeTpoxdBrpGfLQdcQTBqarbOdEaSYBlhOpSdCgwgEEDkvKuoKR67m4h4o+3 h9bIUkU4AyoVXDFS2YOTuXgk+3s4ze86Qd9D2NDy8q8sDbbSSlZIo7fpuhdNGrOqd1mo2EJocKUP kPEAQ+pwHpbeAB0pB0ZcpTAOCQ3h562sgvYyZH5HXIoOEoj5ZgwmRjhrKjrouO0BRQ5VxZqZ/lP3 KlM+O537Eb47uwRN2YTQ2UnJp2tq/jq3xCv8vfP72yAoJ73debkryODZSmqGVdMEa9tPOUPnOIrB PMgpSbVErC78qoRX0Hl7jML+IKGiLMIkHQJu7FOdCFW6pB/RHwJAgOKa0Kbc1aU8NbtZRXsL5r1W uPPzOKEAf13YegwSaW20amEI1j1kI9b6mDBOr+qmClvaULK9BWqTRIrdj6+UphLBgDPVhE0js1gm qjotgXO2Oyu+oQN5Gk5fKp4ZJtpXbMP5bkPhzz+WRcKgf+A6Zn37GUSudqzKtOLwYoQAZBLbZi+n LvmYfS3D35SouAg2EiE9O5algaEpegQMn+Ha9mNTz2q+nnlR5SpUu3iQdaTxUC7hz7HOgbcVcqlP Npc6uhHA2ZV++Fpooed6jZwCfCbR/BcMjs9zUYFUbM//lpIjKOBMxCxUq+DmzBy3YkK8VnyVYHHf 86qqIHE3sBJR5GVq6Nx7o6xNhMg8ait5t9c0AdKsGlWUtm/0s4v5bIuEbeNgseeMVI3DJ8tvjmte Jyz8EUUAv9i7sw7l1X+99XfGFxkrn+ZgmKmZiPLI0JzBkQzYdBGd4oHELo5OV+RZ4C1Y5Udwh5dt JJExg7WBihQXnZpLyAT0G18n4wJBxs9ObvQ9/Jgiju1/uuxLc8FTAMUK9Ql0y6H7A2raI4wHi+e6 YxobEYvJrQviTXytVTB33h8FwfUnB6dmKHXS/Aj/IpFzLuVJ9lINCWPYkraYgH2lwfzYxVGEFf0/ KLqRy/yKG+1kklv5Q1Z4b/ICMHFCu34Nlg3Yppeu+FD5pri535Qlf1AEa1XVFyiYR/NtcnKpcaTP orAOVTdQ47FaXKU14Ys7h4johwaRaDMOOlFvVYRXQUeykn3m5mi/ZwVLbzDs0bLOoIpv2+r2l95m pAmGbKwyf7P2XyUDxzDNE6N9KFvvBH3QdLxfg/CaSocX3Z4kMfpR7G2BPcYVl2nN5VcSKBTLt2L4 qJ0dmbyGW6bs0Mx5FIZcCcry8GDS6oHinkW8Zsf9jr8iMp/MruY6z/68Ppb56M/2hLMfT6P4o7Rh /xcLRzYr59aPoeP6nvgjv9xBpxaJOUE69C7iXrTN+3qW3fA6kyNm/e5JfIU0NTw1iPArMJEx2qUN FejOB0jiKh+MdhnsFXN5+ZAehEvoaXZblNd3coOCJogbJ1KW/g/lcYZJZD5Xqi26NYmLw0MkcO00 PRKCnuVuh9zSe+kus8nNnyBeAEAIVR3BMkoPBfL4iUMGZZsiGABHbUKnz+jj7u3ooBxzHYXQEOzT eneBqBeRY3uJ6ALZwa/ZcEaM/0mrhMGaNqGnHR0CJk1X8BESw1/yvx9ujmqmwzJoz2p2afz5nuBP jeEHsEikDp8ZIF0e0WzVUplW8/xvTW3r0vEqw5qOfPtxSP7I9h6a1KXmWuS8lY/G/pLWpKzwynbq eweBvphoHBgTUUjfYv4EKotNfmgltonWRop3JWDebg0DG1X6JqVojCj4CngIv1y+CMlMnW/jjy2g TuIvzPtdzxQDY/csyWR1gi6uDqzpJNrhp0VTdCKHEkVGmWRQD2ezOoRSKkVWlnRJvQ4f2sr6rznj yF7BVkGzfCkhaHvzSZubFNFtaUIGVe7WXm0ROYy89ieu8j0fKyKQ1pVVODOYvR1ovU1twrm63wpv VHPS6QpG9pBoZMRw0xUi1c65db8UHlbsBt+wySPO+NvOk1tv6RXhi+a0Z4kMpTwcjj5rDu3HmVYX aevkJ0PGFa3xVg3e+PylTDe+ASZMrad5iwzth4aeG2ihYRttMiq9Lv6y8OcUmQ2L+cAoVzOBzCf+ k0DyepD7VAizNUodAW6MdPmbC0DJd1ASxoFbEvVMJCNpI9uDg8NpHHGua+jry5CXgBCJEUr0i+hG v1JXw/8mGSH2OaXNKehClSxlyFnArL125F85aYDlDsjWe5ZcPBd7B0x16GEgZR2J0U3icp9jWGEg T/je6KhpsTa2QmpWdvUN0ge0qZUVfT3GBFqx+i88aTSEtPiNmTAzxyobZVNgHsXH/6cxJHwa1W4Q 8B4Q6bE/ppe0RU4P+4eMnzTIfrKRoIkiy1eOk5sEQ0tsl9qD94bdQEFtwmesREJWOTC6v1RpWetD Ab2PHjTWRVKyzJ06c6gWI12ur+jD28yx6sCzeitK0RkZ55Jvkm7NYUtPWRaSjvUOSB0mp5FM3p1T V0jKs9rj/1OWe+VHAFwC41rAcT2OPo5/R+dszR3Tfojx27b0zHpjkq9slomdq0Hm1mLK0dOYg96F RFgZrOiOsItaitb+lZbi8oSPPuJiWNoxNAHsN4kjBKroCxXOHkgAC/UoMuJADb00D4C9g3SyO2M+ TopmF3xQHRVhUUJY7aHqm2VbliH0rVSjgAwkb65TKtfrdgPtY+mD2aOexc3q307Q5w/wnTDK4SNi ZppAAW6J/JPiSFDvcibdO8fLgw9Aztdkd4TPZW0XepTtJY7c4bUpYxIQ6gmbJ7J9sViSale+iJ01 NH17GNyGJJPKJySumELND0QOlIZ7+JJOLIFzqR15BPo1Dt/C9+Q7ENXAgYJQzl9+l8G8oTc3fzDE 07WYsociun+huOj6GN6NbBaRbX891+T7gmTHeK2Zqv3NiliMwWEaJToPahPef6eTu2pORMXu/W8H QBCL3MlA2jvAWG6kPZHMc7kDlaS0A7RhIP66cALghns6J/K3MedH2GJtm4FCkIUL9gpm3d2PIcrL bVLV75k4CcNDHcHMB2oUoiRsDbF2dhOndswXEP1/cuSjOQCFZ4R4O4bxIJD6Xf7dJ9js1yTnzUjj ixnMRBiUZUcDRuEWB2nYE3raJtP1KLddl0ogT9JPdnSFamZ933zwTLvva+EdKOQbMz7zPi3iGcAl APW1EM4l/6wPqG2HaRFEUChcIRrqX2xFpy49hOmu2d+I08XAWN4XDMw1auHk0hbIyo1D0RC4WwC6 JFnZMYaGl1/GCyk+Q3KxWLQSm9Z6cIkRl0HCGkxKDhj0od2Y3HMx78ZAA5PuoaV3n3SLRcet5zuY IgKPpN5ehBG+s9Nf5NDa+wo7FBSlwRAFd3TML1L5nxLCaURDAFHemieXuPskiFjMIQ4GREhp70Jm 4vPyOUCa8X76c9A/PSA2ThBJaWQbXuyxKhK85EFhCR3VuB/mfVvgvV9uZgzNb6kwkpOwT0JuT5Kh su05W1jadB3lEHEjKvi/mFnl+7x79DiV2jUX6TJRWZAB+RvE8XnY/E22/OJ8pn7PJmpDCo8axmN2 k+RRdyKq7C30ksMoWAoSEFs6BzgFeRkNWb/1E9anBJSj2zmM//bbkIMu5iMq6QREbdaJL1oiZKOR gwpwD7KXxo90YwcenncY5VPdwl4leU+DYsSjsl9eJRpyJsu2wE2swPc+lB3Qt4/AOkxaAwJVAhiN JtwREynsEX8+wPkStBGvKgfsD6VXEYKpVpvwWtzHklsvtXKIBQ5SxZk/lXFax3eL0HlGmIaKADqT /Hozpag0UBUjzKx2wAswiN5ADHeQ1mzVXQ9D0aeIk0eklDjkiPVTR/zhlC73q8h+gShrZm7RQVZd x+dfmMrio7Xr4I/lOPuWigFAzuPBgKtYt/Mj/TKa7lX5BJ1KFVywFF3q48XmkOcEhMZzAtrS5ENu dBR0HDFE+T+JjSKw/cJgh/ucijedHd6lVvXLYnIFifU9aL9T3yk5A7fp9xxmJ9/rVmIPxUu/BsEt aZ3y8mmxGjCRFv5BRX4R21G51VBnXrc/8/CgSVnj9CZtBxU5hVgtIo6K6puBbAb0agN4g8kURL+8 +8YceVX8y0c5+pfwlShe0uBa587f2nWL6qmsnfZc8Qys3GblMm2pr3kLPwNtOdCruEynnvElz/Ch SH3DomWRhOoNv3StXOJIDxm9FcPnaNGpMpT0pnY9mACZdvLAaMyS9NWgfmUcFiOwz50JmG1dHnz+ 197etIaYSY8PZlfSwmzzTESp4E2kIRAfk7lJuULTg7sDb+uj1C8vWe2k8HcAfyl/XQSqWtJT1sgw 3hwxO0S0y5/y26aEFSbBWLfFCxLj6TDKwtwXdJHwvfP1gAo+yNlcvPTirtoH0re57I1WatA7v9hq Kid+m8xftYE9KU0HmtmIM8Ns5gyfnzEiGLNznPhTOwJ7LIsDYr8JJh5vZSDWrygAtjqQgVFqcQhH hDNQlSbM7WkrKz1s04YmIIqOLQ7ozw7Vyh86loe11fLsEPK3cgE9nVcJoiFcyC2Yfi0ytqcdTmMj yO0YLVsm+9dG3jhvpxtGeoqPnsM7f60WIEGUgYLRqRt/ibEMr0OZg/2iHjzno/6U2fB8f73kFoFz DjaFm+hphIA7D+41qy0qR585h2DUrKQ93HlBAkiV0wtifKyTn0zcT/X2ypsuQvvQsuzOX7pRia/h FjHCz97BSsDkBtLhLbJvVU95PAvlFSN+bIAOPGFBWmOTb49I7jkrAJubcabw6K+o5ZP+R/SWtZx6 0VKqhD/x0IAxRFgoFWfw9EcFmY7SBn5PbtgjQdjSKNq95EGeql2TuC9yqMHtmZT4ZrEdItwEdR1B AE0jd8dscgZVAUanc2TSi1b2Jf2+S93ifKOIgAyGpcvGsQWiP1c1yQO0MXT/MJTqU2CCTEIAbj6B VDm0ThIA++iFsuSo/C5Y/7WHKB1Xwn9YbRRvvbwbjlfAREEDobQ30/7mYTrc0y4w203edxv3OmXL rC1n1MQr1VxX+OHa4gFQodpJHE3ibOSSMxr1haK6f0vxYaK76X0incz9Y5UlxaLjfknSGIyijNn/ pMvcC0gOSUmGNIYrCDUQWGYpJMBogxNNCjzvlXJsDMR38jFsYKqgkMw5cZPeLNoBrdLYJulZte7n WxBrLFyE+gR8nO6bfc13ZG8y8qlGGjZygWB6/Sw4LEio8J93yocOwIDNHU9Q0VTBNvMI6cYqsv+A 7XaA0OgZuvsmeXHGMUIJyyIScQX5p/6b/lt297901vyUUDr6UMCnlQX0wSwz3lYA1vtns2BsL7pz o46jL4bczGDbZ0Z1v1xTvfkhnVFLyqytrHGri36oXIzpVmOh4UMhkGOLHbV6Ah2Ya/YyUNzS5Afx 6sROV9XgwiFyjgxS+mi0gzRUIrkjFwDeP41vd0UKjhnj0KxJ5/yL0i9/tVzg+FG9lMTya89AOaak rkWV4uo81ryppHWveFfGJILnL1EFs63I3tpad2/YjP3GDnVRwO0X9AYOP8Cy1XsznvJZWICsCBzH wD+A8Fdtqo35fgQ8WL3t14uXemi2B8kuK9HnIGCEGkOeWw11KQPKKqabulPG4QQo3jgm2SEIp9Fk deqpaPps+31Kz0IkxFZm7hhE1935GvueQF+DKQXARjBafNUCG3Ct7G1MknBw9RKgm3rSm2YV1DqR AnSXiNyD9aaaxLO8NKZBlGgrqP/Qz/kfGr+Mq0q0Azgl0GMmEs0jKHe+zBsjqOLGf217N3AmPe90 r+og9tWD5cVp95fp7r09P6VLE4Ygpl8epsiO9je9XmKG6H5ey1CuXMBCGYO6lurugnxFuTipWP5+ HYDQYa8ItwJHamtsvi19rrdw1JYqfro5yeSfqPO8DCoWvAmG/YiYUALX4PZVFy077sTV/1tgsr+p PWGGBNi1S7eP2JSPM8ueI9iB2YGkxeUWWLT/6ekABkFZaIcsug16qhE993b7opbnwtPdtiLgv/hx iEYaXrbaQETLO0FHC9v9fwE6QJNhiPYyJVAO0DFBKBs7Wfd5yRVATACaaUcHXaWFOk2oDDlfvhjQ 8aiWG254rcE6/8T/sHrCy8tF7OPbZ1+5gvPWLCZpfFRvGhXSKgKZrgCfrdfrC8ecKCx1JuDfpOiy u0dM/RLtOq278G23JJsGiUL+w+LOvUX6GjTMFubUGpsGgR4gVpvlex9ihwFbecx7tycQ0niSrOY8 EG7Ef4kLJ/ya2iAoHiWg2M+EDMAUGG1aNBylHNI4XhUPP60K2aIkLPQEPZrbW+7sh1r/HCX9uSUs GKbTuPDDqRx4ryUsVeFVVqNp/yBoI2r+auW/Lpl6ci98dQJAIPZhmKuqgpRXTiE+ryF2ta1/tbF9 TyK2Lfxtdk8LKt7IqjnjW8aX7AOQf97xUBwFGyKwLRfIfhOlQkpczB+3ebOCXQbrSGskXVn0Fgb5 G72bexcZj34Xu8IEoboy3LpTRFcbArinTdxuCtR6eeVI/NPmNjMMm1Nwx51LX6FlzhSojaktD2wx tEh2lWBeaQjfAsxas9FnOwGwlEr/HKYsAAfFRa0o+bwNoiaK7x1W5etWUD9llRrS4A1t6ZwOv6O4 7FdchXjfU0mkorgC+DC7fuXs6GndbG/x+Zt/fmRPdTnyEGsQy3a0hd7j6KJXQCfa2K2QGWL2zhKN M/1hdzhacN41WXRUjlTerp9lMcFgi7K1Ub8NSznHkXsAjE+NP/y3HpAvqJIH83k7K7+NEod2hqEP zC9Hw4V7xooPua6LAhvPsC5PGr6uSJigmCyZuAg8j/LmN/pBZB+NqCZuMVezz4VM43md3Cpb4xn0 5dtCOSEWDgQbuGAj1uAw2olwJ141hy9UoavO2cQlP2RcjgOhTZ4O8FbC36jErshKkSGRtVujkCXe MyPLWRnWH7dEprwBOdgkarJdpPljJdOelUqMuzkXsHvrdTsPHiUdhTCDdghzYqPk6CZxI8c9IKDq /+XrAx1kq9wkkpQrzYSHp+h3Sg1q0WHuJ5Gh+asjhHAVJF5MKcXw9d1pJerpwAHRbJS8stYugseY Mkso4MqPGeYCPVHi/CFxvL6uCdomzLKlR7IS/eOexqDpcBeAAnDvkne23Iz3Q9C+zYEc7fg4r5UK k88BVrqKkCdLs+STDGwBtZ3Etcclv8Gh2J5Lq2+G79yRDiSUfA9UWHVpO5c9CA+ouuIuMk2JO0pe glYp6Q6+2b4go/KHygHcWEo0vkpUoQj7CPzyHeMpwSi3nmsukCKID0zT+YwqIZgyJsXoMomxel8j V3MCfVwuAlZBlZpI1ROnCQxGhIbWmutbcADiEu/2RMT6f6/fjPjqouA/btRdYEKMdUlyR7gKWl5F akL5scv+EJC3XT7q8vs4h2WFp8v2zCka3s1d178P4VbM10vI3MMsogtSqpwMDvod1pwuNtd0ggFk 1haIOvZNGLYrqBvFHHOleR5hGh706PZrj3R5+KS7kmsji2ydeKlvvuAkxLg0V4X+wYtWemboPbPy QDFi4jxexLGNKfZ0CN7zt9sdlfB4Cc+mOy62slNJvA2C95n5MymtSbZCZawhCL/V+6H5LP+Gzpte o63mwSZnMq9r1hzLCqaxfq5+sSHfR/lMdV6eNudWv0miJK3PhlhOt05eyqA5PXWLumagXaruKpFO S1+kywopp9x7FIXfzYKbtyGkRyzswixWeDbOZ41kKy29LVFqTAtnx/OXz/bEKxdcDJWzSmoHRS/K xhI0+qTmL1svfWIFqIIdRal3dsOl+ZZbJVNsuUgOMy9vuuL3XAw6tGq4YLULK6wo7wyNw8ZZjU/D D5F9esQ6/brp3Xu5UeNsRkFFzs4yHs+GLD0TwZaZST1QNixCk7WjEZUhGQFiXLcKB8MtFF0iAtgl 2Qip1AoW/sRb7xkNC9sMaBp/lfCwgbcSrE7iPRnV24cMxq4pRzQ1ovA7DtCgAAweEOwV6dqHwVi5 Wza4oBWc4OVzLSbLpSspB16utMxJl4DxixmavgNI+8GzS19eH3ulhkWdcNlCObWuv08a0chZMQcD H7hBx1II/S1FCwgpxnYwNf+9WfVeCd9ow57DxM8XgBa7PYbIRbMnFBT1F11o7/ramw9VtpZTMS75 PfXDZh0nk/9HMgSs4DUkKai00XQU15Gjm2fqJJKuNaPOnif7+v0M+2+1KXfTIWke8HJUcq8jDkQm 3DMsDUr+rGj5txuEryygZJNWtAuWOUwMH/VKUTb1qAxuQZMzzQ3NcetM/NJrSKpBqDidCQVojb0P MJpdn8jLYEDDpUVwezrG6yyl4ECY89WxnfglaXTrTI/gdY2uURPX2CLqQLiCIPpZrTvpxdcK/8a3 oPYW+pbuYpKf4MBK28KkQC6WQax8FX9yxNIJCharJRvcCWYgQQDsqXaz2iMenGKP5Jony7zDcKqe dvq/z4Z26CPLIUAS2VLwhuXgARLP5Tv0CbrmZejPbkX8uBz7NccbJV7V9pSSUVdlLimf3CHeo6l4 YDE9PqckRm+8Yt/damuQS9OG1rLrRchykBQ4Tl3/znGMzup4vRBEh35TiaWi+F+NPog6luOAF7Ji ng/d4oXRsXRKe8iQMcN72aj3irfuj4R6FL/A/0xWCwSrC21KRMQcaodueOId/NDhc7ZaO1vB4qV8 NQrOWEtyMxZdjxF+X3g9lvHDKAUxbv3APUBMMOXY0crQu2TmUK5cXoP3rgDB5bJm1ptYP2dFuL9K aPHP4SwLC6oMT5soovkV3ccGxnah/UPAzuPu0kyzWTWXCftWu3ibHoJDc0pjzSnHZFUjpXgRbHXD O/dfd3nx2svpEdrpAfjFGGwGnFawepm4IlhvhKpbuEd6CyBbgQqLM2RgZihZTn4nktoE+j54uW1b MdbTYMUg8754mZQ8ecUyy8LocN3FMHUqWoRgjYQaZ0Zi7B0vyB0QcGPlNLTC5J5HD1r66RNbiNKg ab7aJ0+fuyJVR0DWbuEvb9xe+tuJhkC3wz0OiPAcsQ6pLAUz/NLGAD34DTc/yDmBQDpq/wPUWOr/ aU8ud5mgVWrAv6TVu8fdOEUO9Pqg0eh9kosbogwdAeqs20UoFqcbwvtG+8gIRYYNcxAVwmgDWrhG QRCV6AxwmExJNPTMm0CMUAyvhZOpda+UXpiDSYVhbfxwskPy5IeTMLHhxqM3ubxa3SJ2+KgmQVjW mf+HC44oDg7W/L9+NrL2mySIdVwRw5d8eykfi6HXwG/g1VB2QhTJ0dS9BQrjYFNc6T8idJMGkFHr OGgaBPsvrgJPDjrObWPFpHZrWguJgt7qGI6TegKx6FEHYsJp30xUPVdQ9/uzJUppwSG8ALm8owKD lBKM3c0jhAB2HUAXhf0B48Dw2oeKJjfW+YNKGXU/1X9IZMBiuvTD+bATBZNNmv0XSZVNIrdcXTHF gSn7MtQPpfov1zD8nxdjC44whLG5ubVJUWd3eAZYy+Rbyt7e7/a8J7G7ctDWGbjuIZaSCkUsTLMd kDofcLHpjzsv6ZZzoxk86KdAwZDOG8rkOQh4I/FeP7V8sBbLBFyLURHW5GCxQ1A3IlT5ILo55lyK 63qwTrbMSY6E3OaWicKoxMuqrVzetD7LzEox9XOX7lB7vwubKXaYeSy8+tlbde/vaPj+PVe+odjv tBmQ9bY+u4je+4Z11sUCGnnvon49OVhBnNR0lro1FhknzepNDUM3jPThvcFBntJP1Fw5JipsnqJs ZkMJPSTiG+vzjRnLxsnww8PT9iFVtWk2rXv/zo33rskxfCIkAKJ4KVSwZ/AZ/H4N5xrddx2b4+vA +P3zf/ZvZxnVfypJ4Q5jcOaOeShyHja1lM1/aLHV4UVD7QvTFcL2ilL9PnZV8bc9kcgTQV04A+Wc 4dFciCg7NCm2Mq6zoDPd59RxmQ8v0aPxuBlQwn7QLa/mIo64y2pNERYeG5f6qUGrIq3It+Pa8LBL xDxDqXLRRoQM8JvDizPMth3jjgT175lwodlk0SzRmXE2iuj84fRX1c8QOTXGZdePkO50Oneq7hI1 ebkB00L7vCckSsR0CJlgP03Mlu4rf6BCTbJfipAIozHwFCs33pREF8ob7oywx3W7I/fUEKIzNQ6n 0U8xP+/TAgdQfESiG4Cr1ELtT2U+eWZ6En3eKbCALtMPlK7iuJDNDYtneOs2sREOMXya3+/+B4ET 15UnYVPCGE3N5diL41wWCyv9o12ManjofT4yeZmoH73SlWmIkmDDpiDaASPZHFPO+zbf9+wayAa3 26ehV65PYsIoXT5myqcip+UpfRWU5TFebgDTXbSUmycvYFF9y3+VxTNtBdIuONnn1YQux7X746Y0 5CrSuUQx93IPWbyCtKijLu0+g5V/fZZhA4pdwS77GBtSMBWYuu3BHzx4JSAS1NIBMwZ0omnfZixF Y8PKztRBdxvEbmFXCpHnsj5ZvoTkA67/QEqTWg+TacKySBFiTqGUni9khYzC2Byuf4O+TrCOwwuc nddDn+1MAoxc6Mzcol/AcgeOsuFckmTvbLgl6gWZT6JzPXNIjfCnTWWj7ktcZw+cBwXZ/0G0vClQ 2JT3DaLA+S8e3mHG7cN5wBVwE1CAkD+89sq7zFXG9+PfYWD16iQciUkatugq+r6tkCfw5sEFkLRS sV/lAIao1476rrmTPat8Cb3/5R44FuTAWr3wd94CqYQ0GBEYWvpaumyT6bV0z7XCWWnXUhO4f8UA MU4v9AcufvGg3z7JhNjqQdD3jZh41YB83ibT8sJUm9JPX+haGn+1H5Y+DOPF6fEyG8pcGcieeBWT hMYPxbHLOt+CqzxNPv4QLWwt9WvbjQUfOadZV1tAJYa+T+o8sJabQIxeJq9Ps+NgcUAlFTWLnHHP vVckbi2xOSF48T86u9LH4FT2ErT1p9dCEhwzd65uVD/k4Ij02XnQQ44x82paDcxhOnMLNtaXQBa1 ZWcGOC/DAZVwWnN4ktE3bd2vMzVWJdjFwQ/q2dPWz+vmX3Fo5esXYWI+f82agb1qIrBPHepnXi4Z X6llZdlaiRIpfGVejGqmCsfXLibitAiVfQpfpCByP19OPLtz6+/8JZmUmnd9zXZPJlTpOb4QGsdL VEQB4cn5AkDJkhzmr6Et0C8xrIGzcclqIt4Bb/3pEMj4T6Tyu3neZCtn+CzTQEJ8BrAfCG9eUJbI ko6Qm1wb7oEG1sxMmN+1f6O7A1BJfRdxDgyjkM0EajQ3RI0drx3cReY4YqkkIycBiXhDkD/A73nE DqZrQSwvzQLcZvRdgLotw8PDFdXMccL1uPlySaf4T2jOqORKtGpmNSS9H+v7QrJ0YQMwtB+V7qyM wP4Dt/RSIQBSyh6I0llvsCIDBc7KNJAm3NE1WPg3IQxU41u5ZchF+Fc+LU6lC23ws+2Mh4RSbzNL yrMjX5g9Ca3dc8HqY7m/rK9ukJkD2Ul/mOKKYBotZDdfg6Ar21pnwnb4YAs1sSkMeRus6zE+Q9O3 1Vxfi9IaO7PCsHIuZlKU6Vaz/1JFsh3YrGs2Nu4hKkbuTzPXw9NxDCXHBeklsy3VatxnafFMUcZp e4Vp66dDvar96eL7yR2HBDO2osdoeoYjqS9lPwljfwY/o5FfTpiMdKRadEJ6IloZqfFIE9gCyUWB nOVP7/0MeSgXvUwMd2PDc/cnqxql7ZyV/CT+hMPYEUlCOCvvUFCEVm4kasKKvOF9nnahX4DX8hDQ t0iiNCge0MIPW3zLzarFw8FSI10AN2uZjIpxh+DMx8FOwc+Kc+Us2lvwesIGSVPmDfgPwFJ+lwX0 q2bDMiXrUL7q4ljaXHru7loDY7P4PE8t3GVzqDO3bgRvLafl05pw3tI3GBIrR65Icr/SrdQrypjh HSDPj45BuO3lm2na1abjHVLFR6pXA7re6LCv/SxsEIdtuWzeLDsisEyS9zi7vRkxGPMMb1hOSSvs JHKIMU50vBc8i4veurHbGojc9pcCG7I3PAXBzWYUj+omafIMkQX32rBQPR6xGp9EKyfy3Mt+ZnEe dxKDR8KqNgz/DS6Z0o+tl1auOASfKrPMy2SMWQwnyHDJbuj5Ivp+055GBlU7OILjLKNSMv3BubJh rD0b363ckWMaflbBN1ZSwHGKPJAN+A16v+bSdaV4sDV/n2h08Urn4JgVz3McOFf3nbNKRq/qa7gt fxtRib+Ga1xjgaxk3pYKooYp0cvAinMAauFh5Vi8r+diXeTmklOWOgitejssLXiMssOvycMfTcGJ TvDuF3HVDEkKlk3DSM3YPNlPB6GRr1OYbqs6fpsJa+08sFesKb0defVZ55UA0qPNLURE+MuhXl6D MMGdLQUAO/3ZszPwikp4eBWFeXuw43Td487RumH24drUhSKfHVPBpY9GPqxNOUCszAhz3G15Nvjp 8I5rZN7Flu3aAFTOVDAylXAVmG1JwIwx8jYRhQ1gx4iNqMDw6ubPNZpCZutcH2HkRUFfCTnw99eW Es2gNgYmMeX4/JKq7YQZtU5GPIasaVaGCNb51PE8K3Pseqq81PY9adS361Fbmofl5VwdHjBczLA2 VPuWC/N/7ykGeHzYwtO89oUiBj/hf65qIasgA5h7SmNdHk7G0FoZ/G938qMlTn6hYUo09R0kcwQu zIALTLefCW0hiD9tDucuW63y0C9UmM77JM5L/2BI2TUAdQSkd1XYjZTGh19SangZdxC+QxNMjr6h +x0gfwcB/CAJRAmf4vYO4qtf8qW2FTjqvZr5gC/vsqC2lBHT+NZPTpvxpkYJjr+lmm6xlTmv102P 8U0pqzjfPsrTnEc5yHZq56nqz1BC6qkLy+NMweSxBrVCrJA9WyTBzie0fJIv0CGFC4Zd0pxNFlMe 7qqfBiatJW7UEyN1EyRqEaV2LrW+4IvLog3rP6kGWQLfpPAMoQMxzVEKwurou8DjDO27zfUyeaiO s7YABojG9twHgH0J5YnrU7Kpz4TeD+bvmCPH0Imt9p7wGSOS2KUlEP3osUt+e4ICZdzOnx/7GsqI Zg4RJDgYERcY6BFTE+zJsFrOMN2nm5J6jvxDuHwh8u7SEB3ciRpjD3Fz/Rxl94qqkiP1CYC7s5vY ciSn5hCOBH+7tkPeVl41zm5TJp2wAPQtBlgMqSFmsuTjYZmQ/kR44i7sUmi8tLW4CJMElBzyfe6o CPbjwjHEMWUAP++Fdebe7AAUX04QGdsTDGX9/mZBsAXOzR8bRrYdNb6MCsPsi4dtDTgk559LUfJa gggV4s1FihcmWqArZEc33OGwUYiQAzePZXa7l7ty9WXHio6m1BSP/L4ZDmZuVOevyCerCl2Q0k0t Ewcp7vGzCJtCljzy3BoZjdy22xZiN4XU74BQ73w6sHFqzHd8ZxWhgouuOTuE+fXyaLio7+IPNB9N J6vLQPtRgKWlw5T71oWGsBKVHC5YvKAGMecMaNu2v2N5/8bj56Eq7uDbgtezEnrq13qb+0Z7xYtR sdA+ysdNec1dfxZy6lr3aSDEQrmOPRNuDE902LeX5eCm6Uvw6FbZmpVTp4hBxEWVTahRNpoVnrO1 Rtz1CLQpC3rH4RYwoUR7NHdGDsEV+5iDtQ4v6u8H+Yea53YY5anoUmsBe7Pt1APk5kZRuI495dh/ MtpfVolZgw0VzYsJekhViMJeA6/OXpxpTJMiIzgvU29wn9ufqTWCeROpUXEQv1k4nl1aVG11UPY8 9nG7l+9XT6rsDLZnXqo3ivgE6tz1AJ0obsROwOfm9jcyWOQv3lp/W++xiraWoc8hKoVg767ii6by eiazCm7oWyZ0iuaVfbIBlU7T/j4WBni8ITg8gHvC5aUXgJ6GNGV93Wl5X9HysmCmSwcnIlkuPOVp rUKKnljKkHF5dUAa8d4uWgmvWp8jV6WnERIGbfoSFZCBAZvtd9UZabpNVnDBV3boFH8tbXilvbSh RxlL+IRHYY5h93jTF1EL5Urm/UGfh0jv/RCNTmmLYDcV+2RAr+XkhrdOOZAC1tecASdZfrRuJPjE Pf61eusaEWyFZPYe9mSNe7Qu3jeMyF0kcsOsgdim1aK50FcUj7DqdDPFeZ+1O20AjDf1eP9W51eE jQK9AEUwsBRfHYxYX92P8jt8GLOyphiEfRNPpI85MUXdZ7RMN+OQN+xihCWdTHLWPW62QXFDXz3X 46+6/G2GoQzcQacpCMF5ZeX7nlp+u4PqYkp51hye24sIOqQKGrFtYWDd9/YkxCpguAhjO6ZimC// 9fJWCT0cy9YEc6TVQlDp36EsWEYmHEsPwG0MppFIm4UtGOEVC4Wep6JFRsl6U+/MlL7WNDVBY2eU 1yUN/xWriyCc+CBie8red2GtNjkyrrhHuR6MfEqtFpAScBDY2h6RJhTl00PCtdtkTHq5v9NLM+xe 5ndC/RcW2XN/Fn1adzW/EzRAGFsheeI7SX+WD86JO97TwOSNFnKcJzD0DMHsyNQAq335pRwmerE+ 7gQ7HvGlWoCPKD6/o46NITac+qSjvDyB11N08pMkR9vT4KRHBrub5IZUNp1S0i4b8mu5n2NE5ikp 23YXISg1my/G+0wdHzU88UqvTN8muFnKyLv9shqD1IOnxnqux1nIE0e5PuMvxag+g3N6dHI33q60 MfK0QrZqEIrmVEt7Osgmx/FcOkvAqNIabFf6alpTTVWlimasYAmO8U4NqEnnJc3lYu/j8aIUvkUK bQIf3dAkn05BYxKc7rjh/ajUrdaf+Dxu1sOVeL9GnOCnGYkJygVj6t99XqoVCoyxMr1n+4rGH62I 5GdOYHpJzNnUOy2kUf2ZFQoo4NICIuWwNYHdP84ag6OG/xSvI66kzSGQXWO4PT8feHT/k4iwQDZp 4hSAHi5Q+xyj1h1JTXMZ8fWMWdZwJ74pTmpptIfSI5ST0i0gq01PBk5wFHcOfqhHyn9/cgtS8f1S k/g2Uit9cjgMxnBYt+r8qB76QsqTl+1elKpDBK08NLnRY494J7ygJ2qxzUOvn1+Hfa3CHtikT8Co A3LpJ/sOUsBmE2l49rMX7A76uVcQykCIt/35MdEeuBnwC8jN4tdsOB7n/Dgknex9+xs4NkAbqRRm dWLG1xLh/uuDZE0Sh2SCh34HnI84q7q8XGuaa1S/souNQvXoCWqCshF4cghT5Hb4M1NDkzCxVFkz Zrf6TdQEr+LvWQfl/UrGevFFvy+ewPxf57dHOUZgzDA1Z3VHtmMHQhfB6vXaOD3OYbMgxYcun9cW Zcyvm3TyaPrUzLpbRROVVmNQYeykJfWeXfGP566Gv/IwcB+VkFT+hS5sU+oPtzKhIAJQFepcWt+F euxqFUOylhBxWCNG7a9jfvWZJFyf53sVXQmc3h/wXaFh/RujxQ9Sx4oAvrb1+u1H2NRiU9Dm14N6 QECT3bzj9dt8uuSzMbrx9LYJtjbRJ1/y4n4oAlOsMhEHUqdQwFxml7iPv0cGhA/IjETaruhyjz3i JqEHRvWXWX5q4+F5yoTy8mUo1gmPTQk5E5udGuoOBfQncQutptIEQK96Ff1H0rymzAwYfJUfLrCZ JOuqUswEhhhd0NxuhCFmuxGO2lgjfSh4HmCGdKN0UzG7yMwhX5N8EV76oVgYuow1xh0sGxzZ3m+u Mk21JT8lG/Lmbz2i+ZZAlRpkt+Z5DljDmlHJIWThdeVJ89Ksg+qXE5sgjob2U9QoOP+aO45B1Muo rTsrmVfekjSeOKjqIaAlzkYhAi8x1m2y9tuOKkNGuqAhre6aOD/V1VXYLb2R9lCJJly1R6W+oLCY Soc7RL128Sf+9wbdGYs/zfx68b4VtVN8KmxJwJ/CyuA6/Hl+Tyh9G9SsZFUCazVjDABt3Mb1N9gJ E75kYZelD3XTM9wRtq7FyJBiPeaa6eAu7mQoEHS/t9GiqzreaaoyLqRXwctRUhYsY06c+dVVzC5q z0kZAguzehN5cEDl0DwSjRm5MFZIXOnahsb4mAoC2xkzY2Lrg9Xu6q7wKwRzTCpWjrK/wOcwx0FM zVPlzCnBp3Tatwrjrd8vBSZjvYYC2pCksABh5oBPzQ8T8yxAYARYissJcAitMOGxGmExZQ3FIKTT xHviSzyae8FtJJqecuWgsVEx4L/gR+5T0gXTARTVgTxTYOzYwSd2GB8/LCOCK7WgFbDtk9wEFm1X O01pKjcLy3XziKTd6QinKbdLfKxPztbtw5Ps/nRRSXPxMb9ASfbgyUtjxs16/bUAY5N+FrDW0qmN 0rdC/uZay58qs6Ezse5MMn19bjoSFE6YHYgGy6jWEh3jcxmzwSHw4XL1J1HCTiW3jZ6oHRpoQax+ j/U3qZpAFZZggkwXp5IFO3bQ64ZJnVvciPRCznhfdLV50OOZ0LA0Q+nLKv3v/vzJ2ifFhSP4Izwr Gh+vCnFM36UivT5ChBsI+a55YQ5xDtqBLwfRk7hVgiWvR3n9fHH0EAyleErfd6fzHOL0LVIukW9N DNh4mokxxh7pFkEuNgjo8BAMDllqd2M2168xneX2lcOX8xZq5p9X6xb/SDo/fW4FmcU0htiGVYAU Z7XgVGmIEG0uPROxfOwFk81oF4eJK7vf4YOWXkpN2sKjAojbs02MX5A+zj4BIoZC+gRGCGMV7N72 5d5NeChJN5atns33u3NzuqlWIcQOF6gclSYYMCs6Q9622R5WuF9i6xvCuijaqTaZYtaQgLhn2WwP unhIlqCT1HD/ANZOGXH28W90PoAhQzrusNgM8ON10qPm/oZtszE2cYDPgjLsJqsWw4GrYKgM2MLt yzjLb2vpzvtlebc2Ouz77/tn0lH0v0UbwapMdeZRozUzOu1Bel/hgi2Egq0vg6p0BCPAnIw11a9I QkqrkfiyWXYLHuGHNaMMfiU7mD8+XQxMJhulwNipDQCixOIa/rbmgmPw6UamC8Wg9vo3jvBstT6X lpt+xzMGJPbmaqOj6fP9HGncb9TKh4eYEmPaherClyo7pTeYcAr/Yq/apjy4KNnwjfnbeebY6EVt k2kUF/lm/RI2bjdPN2OQcZT+9IFsdPurSb63hkgswdeIzBJ5pqIBwGfZkq506R5cQWXmNDMgFiIE joEi8NbS1aQ+wzkoRlj71QSuZ3v6nbSUJyFjfUvPC4PBO0dY1W6WAdVWZwWlMchggJFIJ+UajLrn UAtFmH6i2e4WWnHR/TkA6EVAYNRwlfgsRNTOf8ivMlsUQ0R6aH//Qt7l11b7eAs37sFyWR4iMaHS 2aJXVoLDYe+Z+AsLq1svXUk4pPfNMFIoEIm9zpdNGn07/JUZliJcETI44m3WP5qHDdoq2w9ACIAG FdJMe096Z7edblnqpezPN52pUZNCIwjQHd+mlojFaEUydAjcp+W4kEl12i3evsc9NDrIlAEetcPj PejI3kckalsLcvJA2HXyinm1VS1jwiQoUZbVl6tbOwxWAyXhLVLqn8X+qqdr2kvgQ7lYts83B6dQ QrOw9eZB1IA6GlKvgDK9972O3R+EMPglwYEF27gAomZ8tWdAmJnPhIKHNCVxv7mzqLxVDMcHhuZj fyjO8gBeRpDCNurTN3/aUzxz+0yDius5T7nFT/6O633zlAcYnUe9Lw2gtsaK+rPGA28lK9R9DCZS G+MKguSRDj9LTLHJvRhEZ7qpOqjIbBAC4iiswAK6LgLsBXHFayYiXREd9WiIhlDyZ8edhgfY+H2g k3wb0+awbuOdCshQsYDG1vm1THyvOaIJxJFfWHLVwLJFv/w0vnQSE9HWbefEaoKqsQsuknxDOzr0 MvT7DrbUqiOb744SDjUXx5tIo2iEW1tr5V2uGRc2QPA9Do+c/14ivCn6k5Dw3wRUCHfgYh8Y4W9X REJ8xTEZwvmDCVuJqd915VXNOrrn60/NePnVQqCBOZ9YokyC8QYQWz9oOu7LDBQbcwta/V3EjGOT EJ0mkkKpk2aFtuK1PQPiz++rthSTTtN4wnDMf19SI8FMiQsNJmU2dasyb/F9IUbPJfca0/AohaH9 ffCeYI/CVhd29czBJO5VaxrwTpZG7ZrYSrO4wlrRR2trUsKn/2IcpgPBFsXFdmnjyEkLHjwkwHkL ZRE/WW7t3WXPxUGPeByp0hnGIZYDy+wdNiIG1RMIcc3pW9AZXtkoSnH5D/rG3kzXmDgICEC0hT/x MkM6sO8EQjlsfiZe9VdA5BZ50X2Lz/p7qagK2THoBW0oiiV38WAe0gspPH3q/JqHo5J9nKZWyfkq HtIoXsF1tMI6ApPHbyl8yn4ASk1l1z1GhwYMiQaB7oCvDjSiRBMcpliKylwcCYu8RN0QM4lghoFj XBPBrblAYDSDFUbPXKoTb7EU46f/d97Ar3nBQt4tK7m7tTesbkS9LRp0Y4Bgf3f1IskAbhibT9EI rsdeuJP28tpIh4/kH8UKAeZf8aOfeo+MUUEb6oZQVf11rBm1lxkwzop5uinpdXqLnPFC30C7e7ni eddZsGvuv5iv8b7BrChktoI3tyQIaRxOqyAZa+ovPdmYSdAY0aKoknzrt+gmARKnIgSVtsG/rWEi EkQJQlxwI5z+zt14HnJTBpGyRFDlXFyBxTBb8JnsyxN19TSphdRILCyxk6JpeE5irrlyCEEzNSbn SL0wLEjHR1R7D8wW2rOxR/nu44fiVW2yl5XDZzDPOlJBqESr5aqiode3Uj1kDvsOsiDRhky+12p6 3cE6Qxbk2pgSexLHRGhl5LcjboT1ynARxJMd/oicF1FGCOti7Wzxw1HV3JC5l2XA0BK5twGmBR2K jyo9nEINSLPTc2mmRgYHwJHzz9xwNZNwBGE/879CB1ZFJ8OFeY5xkW8ILwv4U8lC3/3rx29XWfoQ xptUb/6xiRicvmEzwjvxKL4Nsb+d973XF3IueCFM9EdNvRGUvZF+auobStmT4VtxPVStCsbM2e/4 TrvegfVC9enYzoaWmyWeJu/+lzwQDuzlHnr3kTnm3NicHM1DuYvg0l6EJo4TRiAffEBf6uKEZZ1p fN3dM0BY7i20yVEZNlmxeVm8N9iG0wKFckzVKGofyTT3Rot1c0LwaMECsay1Ta7oU3TcTc/Qz8aM okMiERlkjCjXVS2WloWYRkYYZ/FkQL1OG5/w2YBtUZNBDIi/KfnA3AjxTAjMCFkQI0L+cLvovtsu Xid8Q0DX2kma0/X0A4kmxi0jKtUSZS/LMQ1AIGioSrR3aV//Q48Ioy+df//356e+tdDhdHR/6OHE Dh+2ZA+waxbw4KtWZh63LP7eidXn5JEFFmak89aNjEvKNdBXT/8vKOK2HSHhaOgB13OIpRjzAeVR 6KUch8i7cCZZLkR257ss4KBjrXjc1AfuW8tCl06f3Wspkli66iYQnPtsronyoPwCAkNIBpf95TO3 c3+XN8+IoqqfvlSf+n9lOj6WFH19MaeVYIuBisk8t41hUElgShihQaOAMyOtahTmutZcDjvqrn58 s+REEinSooxLAbAyxvVdDJwSUEcO5BgC84WnicfRD+tEC1tYtxTkF42R8BHu4gRJ2JI8oK8sC5yA 6PbCHzcxyy4Dmf/oqcsFgXMsJ8RNZv7GKGfeBQpfZ8GrDJSAiGzdfixmkopijjpEvXiFAh+vtnkA RuQsQDVUGsYmi5NoNWStGX4SDa53MCud6nKWXbBV9yUPk2OMQqvVTDMbVH+KRN8Zz2JzRekwcIvz ZbxJNAShEUCgrV6eKVy4DrBssscSCTKmYT668yaHiq5UWqYa9AE5vSoAdRahMwL3PoInqleAG+3B 1vhq8sXimEzemHIBNchl0tEfShWQu6CuUqHCYg5AvxdbPTrXyCWikYbQaO0ChiS3UmnbrCikD+Nv pFW52s3brzXHn9GlGIxB0GRdzrvQ575Pn116XY+NV7UIVVuDcM9cBx1PTV9afuHINJWc3v+aWg/L kkuz6xW8KGNgww9grdT3EhS/sEgPVlrm9KvqOdNtj0bdZfIBJVEeULYMW1kppj/0+A/bjbRxXUSU 8cGt23cA9zg2JwYGDNkdM89YJlCYk7zRAI/FAZ3KYEt7boNoBUZiGc29hZe4iJ1/BSaNLWHu7MDH yxh5RrkVK04FKpLEdsIHgxP+ZSoiEHdbVmA8mTBJyk4zbFu458TSmO0VmBTWLFtxP6ri9yq/QB+l FMrFij+9tnnCHdmu90jk8cpLjUUS8wXkFS+o4989WfIkqwHcDU24FWie/eoevVCILDqm7J45KHrG NEtA7zB8ufGfo2eMyraGKyQBsgIMTNFLz7eLUiNamm+LQgn1Rj0/e7Gu+R43OpdB8OYnIS47FON0 I+B4L6mxKagxDhXPDrdDCWCKwaaUt987WqYHK2mFZRspp59uJR0aSvJd7s85b432DbquSVFXXtmE NdQFcIoXQSCOiWKCvus0PeJ9sy84mtXjo022J6CBYFh7SylUCTl4zwRW+zx2yphTnb8noU04HYCV 2xmlET8Kg6F0zV20DmgoV8lHe5ktUGYQvDuKtRUoHZ0isQS6pdrijSbgHBH7LNEfXGaw4D3SYAey PFg5FLpHuV/KMIUbBhDGyYJUdruAHJD0EPDg4SaiSg4KrCn/lbzf8rLg4Fk7OvDwnt9Bqu4XyUxN lTTqrUbh2/d2YacqqB4dC3Gud7wSQGvigKASBsEdYPg/DCwDQcU9WcagQ/vCkoj8GY7z4NGQwHX2 NZdIynkFek60IljenCdpq6P03bGU57jgDlqEIQZUhzvGCY4yAZogKYNGbmSK9JfyHDdcPFgPugPX beAPIQe+j6dQQv+wrHi2MVbCCBqWqMZbpfyIN3isYLdDXuNgwRRUYDyeqDRukaOyFFCBTeBCfnzD SDEpxqPlZmVR6NR+KNdC7gSeV0GBvcF+SJQJbtb/Dz5tPeUAwcepYwS8roiFHWsw2tWf8NKDR6vm 0ZjgEJL3Kx3dCg8ImslMfp5ubSsYX55my3lcoCUYDq4LnJaGmMu6y0DksKyT6MJtH2ea3w7UpfR0 mE5C+KkxAK9PF2VvtWxqSmLka619HPswhwae2258EZWrrlcyHmAuVQkWYnQ53KcL0a/pAvkkM4uA gHKdfWmUTuMUxuZiYcAaHH5v0wsAxW2o4CyRRFYPCHjWwtcLaHKhCogzLHrGQUA5axR7SEW5brcN yFGXTNbm4cd7hDnHW5aaxsTKguiwDdZd9UGAObBchHr7qdaB6KyC2YYPhkWlHr23gy62w/l5w9nV 6AM4dWU/9RBI7vGpUWdOqHF/jnrV2W/ewNqH9hJE4gpvTVvFkXx9Wk7i6Fv4sZP4hKi0+ldVKi/c eJDwZOh+oiABX5P1wrThPfxXgMrNvPhNnLBrNlABnDjuHjxCAtCho/ejxXgRgwlfPck1woWya7AI mwSoFCSuIYBzY3ZDbHODA1L1SHqJPLPFGf8NzdIdUYphi1J9xlQmxDI5qQPzOsAw389at3G2rayD qjxG3lgDtE1CRXgWn89l2mPxl3OyulITJj+y2asQ/HRqgATSsEcEd9EyeeLzrYH4lxHJns7rTsC/ itilgxJ75b/sMQSzlI3Jrug17eteKnjRQFUoaPM9XWgw9IwJlw5xvjZkq1ZI7DNwuO3LUY/mzael lq8MpzIlYh8q3HvBnnCW5Evvsd/YdOvD7epriG/6i0B2QfhP6LyExuytQKRUIAg7kM0YY5tLfFR1 Hrqta+YQZRHALpGlaWBvgjftpVMxHAnvCiFwbC9fKiH5edTe/QA3zhSPyEMCFIjWKEobJxuHyDmp vY1qI+fRjuXv9t8F99SMWdp1/RPQ21rh+PHb+XadNDca3gNxm+GV97/klHaGvpd2cnXZrTqoTftx dZrpSzU6VhSd+73mGhAJGO2qrQTns8vjTdh1BaIuOZtcILy62I539IsbKMdOKaA6uqcga6hjQ1Na AzLdNcj/TV8fZ8tCgKCIA6OW8TVamxulXIefQxj/pTcCQZgXFrKzJI0B56iJI2vnpU9j4qzFtQZK yvn2FcVPJu0PSuKfOE5QYhxyIR57SurXWh9R1u1oyZfJmsxYbXPFHb4q92LUvFGVFH9gejru3GiM vTBw5gDc/vASEzzXbI0LTbMCyqHMv0GTPhUZEweVxvwIf0hJbYWB8Jk8ASq9+CGQlrz3AsETWmJi ZMohBdvK1wH1r2riYU4BOv21aGSaPip7eKyzuUJ7Qj/xoM8QRYRfzxYpeoJlKs3n+PO1IumYCHny 3+y60XXbnZ7xC1LhkeJGGfgcg2TLax7IlYQPY1jKpNJeGPJtvE4wq32fLGDzQl/zwE6crhcfyfsD IDckytob6iRyBMwP5NW1uiH4kf/OulRJeOw4Bw5SF0jMaamE5H57ea97Og8YDDR6H6iLkx+5lBX0 xfNP8RvI57pzABgv92qwudCautUTRlsL8+No33Iv+N/ouReJXz1CgQEO3Z+q/xMAKv3hPjUY7MxV 9U0VMq9FffYNcD5WKLc8uBc80GNTByGS0X2ZuMfrGeJZenwulxwAN0YZ8EiSy04nHYFHBOkN/Tcg R6jEZRS2prJHJSq8+jl4JvnymfcEaEEv6fMp/O3bg57reka0nRlbnSMQnEJTKxCfhms+jKjfmZR5 qdg996Nm6XoKXcHpCKsmVtYEv333LBE4KFDiyB27bOWXY17LitoVh/UFwkfwC7IkgKWQvIBfKIU0 DxBZPK2W2pGklJ22IVn/Lrlv4pyYQh5Ddi/D6W/mfBz9Zif5HD9M3MUL8Ol595Fy90M9CFeAz93O mUSnC9lWrY0t/LyByrxQ5Uqh0mLk2Ao7zfVtAtmn2HCJkHvEDRKy97HHeIQ8M/lH8Kr0bhPki+Gg SehCM64KtqVZcbHxBHuDUUEQHjtFTxiH4fNk/XmpZBjiUuO1l/QlQ5ehKzlaLhKeapzTescytxYu F5a/RyQaWYBd1l4MJNJAa5HOgmUN+zKzRrEsnhn3MNVK6jc8BZ+Ce+PDQEUqB7f9g453DaNsEEW2 ZxcanNa/wG7djSPI/roVjrJwKRapORveR2vhxmvrk+eeMGx4tX+e5H1SA7utQmxppYPc6F9aNTWq yi0Hh/vGKaKBnA1ZT5Nzl6nJ57mmo0G0Y6DnoCUzwfXehbBAeKejCnpm9oHi5rCFFVZEWkMrqw2H fhO5yHD5wFfS+mgRPi4ZG24PigW+TEhrYdmHgT0d1CWfcn3qGPFPwWXsJAtR6IKwtLJM2ct9VG+6 Qx7dNEgsVdjo1C4pYfNM5cWTXEHbxJ4P1G+JPioMeD406iGFYndiBlZlJZv21IQeuwtIhDSQEYcP vVE4dS8Pt31Qbq+qA0CgVNh39PFRGrhtznCiXWORPVwm2JoprlZSXIAr3ibMYHhHnjH0C7IHrD90 n2+DwGr5/2+yJqxvh8/ELZ4QaTOq7dpD4uD1kmlph1YPampJfZjcWwSDupQPTjpS6kJlWDGphFzH fkvIm/bL5rrsVTXk4WghiqwLIuZ5BDGfzZqc7//6KwcYvfH9AMs2Rnf/5izOPVBQOd+hN7up80Nv 1iIy6CZGgCNiUcQTZT9UMTWQSAK7c4HDq1gDSXagG73daDfIHEWsmtNkW0mwDpBJBAnH2fAMHcAt DnKKeeINL7ndZbwBuKV8vi1Qu6pXLrwfXlKlQZ83jP6ThutgUkxNG6s6BLTW3n5n16Xn4CwLiFGn 21eM/YeBVBoeBRxNEJduswzhnzh1NFfYlyaqpERFPB/vn+MnDbt80PyVclI/Nv02oiT6VUexfKji viBqp+uIrUugnoyU+TyMhTS+jM9wEOkFLuYzEmum1yeSYrK4MzlcR6VGJSX1SvX9bzkzH8TE2XIx FAlQ0s8DKEfx3sSOHymmRd3dntG62I0v+J9rMpqft7zERNx7B6pKl3JSjPLtjXypqru2Mlt3gX02 FRS9hyxM9UxzYhPp5P5nSGn2JtQ0oK9FrpHb/mIFLM6FIjezobQDSzARvR1Vmo/TQT+UeDbF0/42 sS3+r5kNiZgToNmAgylRQoXfS8lnG4uyJZ4KxpJHY9oUPaHKA1G9ZMO29KFz4K1J8P06ylrgTrzR 8NmqYQ6fuRGSykz3mnnmwvHLqkppGCPbZiMynub2W2sc2Yvm0vp4dMlWC2EWVfy2TQ7UrfdtV1rk LLNgq9NTnuiGSLNhiZbkjcdy8ZHxFqnFD/Qz5PL0xt9Z7kWxdOqYIDjB5qxweD52mKEzFy0GmAUp sSQBiAHIRmHpF72SNl8TAEknClpR7FEKzkUITMD/h+e9hG4J2uTWXw+1jEYG3I8FgkinBapfDbMy yxgHiE+wTMeG7CQ0ysATe/Wk1LCz1HLCMmyvR+Wd42ya/mSaP57vxsyDnCyod1SrsFqVfIt+vt7s hbQGz4gWcFlo2/Vvryj8K89LBJ++jjfu9XKZS4/GRfdJ32WjtBfmByxZYU3Qt8CLvXFk/CCDh6H5 LfC6QCo8jgB0VVUJhXAyjVt9B5jvpuwWlMcAwd1tgadB5bqoJFDCGPKPKYNBzziQmdoMykJzLiZP hV0be8hIHI6YKm7TbHJSqNAIIRjFJ+gCzUm/xEk9ch/21fsiHv9cPe0YFNOJZGETaPIxpT62gqsk 9eP1rObzGW/sak5bSwWiHbuMNlHd6Du11UcnZCRuGwYaBlu02MNa9cLPmbj1nkd43f0nAH4cguhh DcuevVd68RQhtoxHIpysXmqTXKz6O2bCxVKNc6ri1C9yoDDV90e+JZFcXhCTnFBumLu7y5S/nNdw hUPsX2P4GTSZgVMVQsDVFD7dn2ySX+QbJOI4jfvR9SIx1SX89eaCJhaGY6LGlAgFwlbXtejGnZAa tjY/BRK+rMibY0ewyzlAdpo3WPxVB57aOWFZqRRrW9z0TN9Zr4O22gSwY2+FRMnicWgD+7ybmALw yLj4yinq/t/xiit12Xngk7k8xCX/Qsqzka8IuRFfYmPs6w/ajmRd5LUOVpU65p65dW1wF6MJfbQ3 xEl3YKURrjcJKDMIOUXPXDZEHcZKxOU4K+xZLrFP8fiq3QWvztRcN08FLkdTKwYgVDxKwsLLRAD7 doHDQ/8Gzgfafbxrb6Xp/RgHQSW7nqvYY0qFKAgkK+Q50VqALupr6xFa1uazisdgzpXGuDb7YDiY otmFmjXSz8ZmlOSjOp2AgWSMylTdRVAwyjKo0u9In55Cjb0j2GNV7xuSs0PSLNgK0/3lRkfUyp/P WVQ+WxlruQWFNJUxbWeMQl+MPysobGIaHojDK0xN90BDNESbTVqPrs0Ygx+89+sB2I39yg3Zssr1 eF4XSSka0WAFFfNczJbbwhBEiPN5iVka+PFXeTwafjBhzfAi9YTqIxhodhwEsGjk9BZfgOfYsjNN c4TPvfW6TzcB3FWX0yQmc3hhzhuy8ZZAyK9SbdDuMPD3vlgaQ3XZkXDI/JvXLILXbbT46gXLgxA3 VlGOAHABtVQxMnPrRd20u2iavgK8VNn3CQ5CoAu0rtbr+W92Gw/0XbjfiR/qRwirQjmlZUne04dI CcMHso/rq610xP/DYtIqdqBIuLtLqEKZhluj/+9Ydy6H8P1bAnBWxW/m12o6mVM/hcXVjp85frTB qACjKYuwTam+qR+rdUZpi5UETX0vWHuBUdtx7a5y/Z8+A70NkPnCF/VAE5A+fMiKTWans6Uka5Ew Aay/dbOC7TJ8UAN1/R9erlD4aTLUu/9qKQFB+AGQnmojcn6FE7lkhrz8sy1f3W38gylu6plK6MPu MYyIkJm4g7DABUJBrUWnAFe92nDx2IEZ88GqyBlxupY82piOs+eno1rrwleZ4FQQ6X0U7khWezuD +SHeZiWkGpxc8qpNMwb36mLrke80OWopoFAkUpDJE2PEiZX/nqEgJaCcjKCa7c/B72BDoN9ovhMA Z13hlM/uxsqLRbWByJA5yrD4q+6T8Fk+3Jmlj9RLMDTxO0iW8kXA/w9V0ELEliGyuGr4XwgMgZ+A tCsZV5TLOtwwN/9mA9DUU/hzJW2ZxZ0y/cq2BTGLzO0E/IyPpGsU+JqvJZ4aRgzQQJFnoXnnlYHl F/w8XTljIK5paQqkN+qmDv0jsBEJ3zADDAflvA0buBhT/BICQ3bXkXzTTlVlZf7GD5/+cVAYNTGu 98Lwwei7rwxqBewKJPEcSLuDvY6VHJYyCegKrjRAtn/D5smWTeGRE+M24Swl8xB29oBYzyPT/cqq VnR4eu92PFaVtHdZL2m6r6ELJ0NOJtV6PFBqGEW/k7wWC3DpNFsZwhvah7/TlBVwabLDQgmDTxU0 QiBjPLuzq156SzCKEgCylJVo6TzjQulzfSnov4n/JS66otRC+zkYQDIDGGtXtLnWLSyPofJEtJaW hch9KNmh7CxfpVL9gdt8GsG76uQPJXegyNwy6JUNLnUCK50T8gdXjkhHBUaRKyFcNyKaWREQUKCz mSTr4JRYjd+FQ3bb3PkBOE8A4L8DotBk1gBZVpd8+6oaC1PK//r+Ym+mgFTGrhB2GuayYORcBrak pW+tSMYX84Ye+UYKpEk02JYBsUvIRz9Wjb/0aoGx5Mz1nB8rGSrE6J/daWQ9LlG5ofkD9CaJNNXC DEz2lIFNj07ITlewUsRTddoS2f8ByvhXUoRT8JEFAu+2M8cVrFVWbrPsDoB4Fz2oZRarLrcdmoND dCR+GqSHHieZvoGDGiHFO0rXSn6i490vpnZnkXwTLxZDRmrdaB961GF473/HGpBa+Bf5hOTD8icL d5+w55b9jkRg+o00Ijs74W7Q/5w2VwFSRXbE+dmtY+onoWYlxQkNsn7+3qgkvjQ85C8FN4ZcmL0x bQRcOs11KaufM+Ter1N65ncu6HZVpc05Fsud5MbcdzaDyws6I9vdL9m2mxMZ7ZUKGY5jTtoTgYg1 5dfH1pcR+U10QSg4PUHAWgStBZ150/O4SjjlPFoSVnGmsCGm3Tr6DeljzyPnQnO+LHjGt6fL/TqA NvzANjcuDSHU306ynCVnhCSB6pcOrPEgGu4WWKCuAM11j42sEo8XKujgVBCPU4aCQB1jeSxbvH8h 6tS4Sts2/U+ily8xOy7np2LX/BI4rliSlTh3R3KfwLemSCzRD3sWd9rTuuiByT0Hp11Q/4cddyth C01bndIKgpqNQ8DJiOjhEBc/tjcinz/dDj3/CeAnQg/YTY178oAQimZPeUlMlJpzSg3NUUKRmTfV Gp3SSIm+QF1hTRrl0HHb0Ouip01hnfnklmTGy3HXeb7O4Quf31vrRmL84NqMxWdnaHtUUwuG/+mr YhH4l2G3DYUE93HqFj1WwIAbR3Q++6Oq2cBMRXiHZMDtxRmsbHJGidRPXKdF8pY14TROW2wB+nMF czlOOKhoaxMUP/Nc58wnISkpSR+scgjGHV9ZQ9jIhre57DC0K1a2QWgi9nR+iVO8dw1nEue8jjCV FscRZFkxHwEgvPIQBBajlH/ocpo7NS7wX1oPB/Mi9UAD8cQBxHw81jy45XIbZ2NMxCDQdGAWrwwB W6jrNJGB8hOewYJd9ifa35EYtqAn7JQ7SAiaAVPL1iDJXYGB09HQJy4YSIQl6kF3L3vv2x4u92Kb s/fHsmkNxWgZJ81ZQivs+ICcYjS3EMbC3Wx98jjLx2DZC+VruJ3xC3/06oQFxtpfWp1ACTDZOw8X G00UjGHU61IwktVx8j62GrBVIekKlPl4HKmuRJ6p+iDnHTPVE4Ms762GTh2+cyy0DtEs4UnxGW8C /PApDzx8ePQpJIhcHdlNx/abrvgEy9yGXZ6CnS6K2jukxeCiOynoTn9yUYfzlt7f/tP8ek7jSU9Y cpMufHNUnKab6/aPdoJBABI2+O505bJYKH/XxjGH1hMStarrAxOSk6ooet55Un2yAY/eSQL+ryds amnI4cdM6UViJyP5J7OSrzjVvxwQLYO1x7R0OO0B3IB4xAeUT3RjwxBSgJSpvNe7U8WhB/GpGbFx RvnOehhPxPV9gAA1tIN0gJ+yESN2R4Baf6jWZqim6A3yaVF7gv20VqPicuXQ2ueOcYWaRgtz721V utIU5jaXfTHVncF8uTTMVi6zFGm/OBOzpAbRWkR0j8AS0yHQeGWiWjnKPeCwkq8Hoa5fpOsoSEl+ Ot01bOEV/t8PylOa6cQjbZWfdkLZPkA7+uyyIAN6WJZbT+plSaJ5qKDnVTe4f612x4bKbZB+xcvG MdW12emJsDKUHOi2LsBIIC1ve+ZvwLhDBqx7eHXSIBi0WHenPJ2dtbLjt5n+eApYrwWBSkMyRv3i ALnDbz75nhLNKCWSQ6dh8kBcgGJZJpeDQr9VFpuEiG5sErRsiXt0/lSDnV8zkJnvHUGTLAhPWt5x Ge65rYKE47+J6Nazz3DQp1o7FlOjiFd2BgzPVcWn33m8UkXcG/gw9Rv7oycVXh16/qEUEGaFKwYl kAQpE8ZxnfQ+vrsql+TJldSbpFOG81rt3fQR1KbqjiVN92Cro1VL7IhyslIF09dse3gynJwLzOAV qhW94rpcqGppskZGI0OvNb0A/8GP/6DMOe+74/RywoEKV9V4v+Iw6UilCH1HSqNVqUNQkh0XvbJS Gywb+fZerH37HgIgzHvWgPPrA5JfPvpx4WKwsdEgMfVt07L6M3Rk3GgN51e8OUAHeKHq8cPr5B3j KwN+n9QugmNOE51oSELH0U+9Qnyg1yaa9FWuV89Wj/9/MwaWDjO9OpG8cisgDq7sGR1e7e9BpuX1 Sz2ikPh3YKk1g9eAmPNcUYE3uagbHNLYxHGB6LP00y2iSbGn8QI/YsWOQr5rp+HizCMZqFTtsyOc xKICk5k77+kR7h14A+gafefoi1LoweTZ0QlHcqJWqxuAiJ7H5NPSSiNd+jJCea6b/ObIlK8ODNYi d6jqOvF8hvFimgpmWhwa0+wvcWKy429+a2b9H9/ojPuSxHDN+Xn5ui8ewawbuHGTOQheKIknuK1C lLiYGDEqMproY4kJldF/PCczUpucX9SXj8Z8Q3Ca9Zlc66xAlBo/CNUGUt1pHU31aNO8XmDbJol4 PM8VPBgZgB/qL7gDFKzGvdPRDYJTlCUuycblIkjSbQFLxL/DZAMSu701OvizVgJBFkIG9oURbQUf 4BVwJveYvdl8y2MgUBv8fY3Lc03QSQD8RhMQVyVh71tY/zfS1gbGt56/MinpGX6+FJxZ6QfHXPeG jsvFSBvIIMGx7DWRDOA0M8BM8TRH5co5DaEHJ+hVyLaQyiFJTLlwl6hqBw+CgWPt9OHvn+qdfqWX mvyBglq5k5soZkNMxfCbrqZ8VGX2SgGnjnoH2gY62rezs1F0spr43FH6oZUTSBC7EsRHJBS3X9v3 sJ/7QVPweLl5K1pnojgXAnT465ovbjN3oBtbMPFOD0kEQQeSKUQJAH9h5Rbhr5X6G76Dlj6pw2Fk e9hRK8qlcxbk6rD9DWQ0ZwMn8WJmBnRsNzYuj1zbrsCd99fLiuV6JCdHjwUUnpddx45mFeZrJA1e PhJuEnY9+gD37mQ73pePkhhuHTvQnlV20lqNP48qcCIl9WQGUYPRBdQ0wps2GH3LZvpfPesPt2l8 qvMPfWjZt5faloZZ1TycsoE3QkS/uDZ6QPMrJcjLUcug54v3u13lJzNfbozK3hI2RrtB+Gy9KsLS z2BmXwtjePgRA22IlbbV2wFDpTi4OD5/9sLX0NyHiwBPpFmF7zPWyytz8kVBX7deHBqVT/0HEIv6 abUf/Yj8tpJWoedMoukQlT0m2XTemLxIM1DxFsYUBUIVPw3096EP6hEbL9l3Oi4w+Qyt1xj8kYkP L/n/9Wez9GbGSLX7wv+vHjVKMhD3RRcmZCjr4Yz3J/ZSvIuhbyBA1+QsUnnmem7Ru1gFXQ+dO0XG sXciat4pyHZMj6Sj5OOGE+8mIevqI4lHTspC0RErcX1v6hHxWe7yy4uh3Clh12GF42dhDOYRCsjw Uwo0y3pbUL+0daEeCwXl2iPofeMBiTVfkvi+ZFUtkOQgjANMIC9YryZu3DTrYuOEPbzacKTrrLVJ LQDVd/8LWHGU1o5vVneXdylZOmEZfUzi2Doex4Y2M/Jq9+BhXwEOlhtSLx95sUzNlTg1WDpMNUlX 7IMjM8Wj2uvJhYLizvypNsvkZ5tpBIENqJwDh8V2oBoscko174CpptbckO0FYbsca3ixQPoDf2Bh 19Z7uoc4+cZg9d+tVRknXihvVW2+3zrYd1hTncKNbSuNiOG/WpSrb5GB0JI/KPXiWSl/SNXtAE2g TivwzMF8byBYR7zm5/kWSW+lVbQPu9s/TA7p3zNpFShtIgfffYC2Wseet6icrjg3CpHr+h3NbdiI O0DRiDF2spCKAG5AQ+aV/Hn2+GozDUDw8AswWzN8hGq2THYTCa8EqAavseTRhNaEcvW1G2waDwcv PWUvr2ZcD4GaeYMT234nob2XaYMSSh0K5Z0jQI8h1oCQxZ3bf5KXNwhmPu/QSADK4Br2PBY1FpBa LrVt5com0A1BQUB0QHY2nZ20oq17NNmrYN5kdPDDyRVwXiRPeaInajEjvdC4B6YRLUywEvUpuJzF /zyGwd+yHzxPfrArj9+oWgnJpUmRmxypv5Nv0k7GN4eXl6u5ze8EZffFVZ2uh71Negd/CJyDbbhu 6gzYV+TrJeLbcoYYU64l9e3IsjRWWkGOCznOsWPQiNYBa5EAZ+K06X3Du2XM1j5oBgBqd/ULnLQ3 rA2bEFyDJ/OlSbIMAwJkEinnRzVZnLNBPrJd2u/ZZkkrenkkvyvhFT0bepfGej9JiptgjdZJqTcj blw+Spvjd82NZEEVzX/wipkWuK3NnFeT1ir4+6nmCqMBg92VIvD1qpv6t+OnztBo4rxtlASD2jfp SRlwd6W+vGVGZVS7wEZrUc5u8KVC8T9dMaisnrbbxsrwghpJzH9y03Qe/lypmkVMuH+ueOIdkVsm eynbJiDzVvssG4ale6lL7CsEN/nhNZ/b2wb3z0Bdm8uhL/eVE0jI5SOfTRXQuO6lJthvCnajwPTS LYY5e1vYTlJOEhKCgFZJ12Pd12QtT/iFSkf2fASVKO2bOechmErClCd2KBYWDHo9mBXGgWoKyzhn 3Xj4URpx1gVA4QLCoVr4UV9+HZa/xfLanZSeor5c4gqfgkPj8nGGoo+tanA6wJQmh35rbvtL6p8c bu23sov4DfOydwj/FVtEZAmGcxxBLsQYU7NkZYja5uTsQge/am3Ufxion+hTqB7asMXLCWAuef2I xgyfkPzdwlt508A2KcyWUmLR+Tv6hwOzD9SGEhb/UdppyFVXI0J+0GMrdHIJltiWEwV+7Dpovnui FZdNvXoBs9JzlFR908zHy9x2/mpUcTkNM+SBO8+C43KeasblyIy7m1rK1A8cJpfq5W6O33gJpti6 /NwG+p3AmRF300xC9nqXqPGd1Zwn2j82JXYksCehienAYMVhRPQYaDg16t5yPz+FsLwp8mgrD+lL IqJ808qIopUPYjuRvPLRl0ZecruLfPoUmTXdn+HgZnAfwW8rH9M9Z5euI/yXflceAvQjzSPPPc8Q AdajFiG+O3wmNHcELLMzxSwBiUiw5feRkQE33q3AYYATcHtH+npE8qztN3PL+SHfmc7v3aoPlTAK V0JJY02nsXfRH7IQtN1YWAC+ExBxy+OAkjdP4I+Ko9P+8DKMYEeIcU7XgbTytaBIle/c8WzwCSJ3 UgpPfJROtzNLJInvxAbs2GOS7e735r422dL9lOAGt2fo1G/hk4qeI9uZfBK+wSEEyZ2Zm0LCEApw 9W3LXlRrFwEGj9/bLpLQ319BM1PGcPSEpUFaf1cgJ+fSBAx6qqjk8TkeXDkr/qnbfScy2MHKDTf0 Zk3YM/ltzhSZWY2MBVo/cVA3ruaSHdq5feLNr4lPVtdoErenL8AEZRB5V/D5nwG9Qr37k1u2YLWJ 5Fsqyj6YlTDsqdn61cu6WxxF65qqca7nD5VEDx/0zcDQRdBikUSVJeeECx7x0I9LX+30zj07s4k0 LKN9Oh4YaWpXVZlZYHbiubTibsyrAcARIswyzLJY99elV4wzV7FVULt81X5amhvr06j3QfrBWfr+ ljAOLCvY77efzW8y/KuSf4Z+U5o1DtsA5FtNWbAppIhesRl89zPMUsyA/RlkdkQkAwU89qBzhR/a kevQnFIRaW5NYYGbccFsbfVnOnqmFY2dZA6rKiT677nYOsR6VoztSae4LICHJS1C3oQTT/p3VPVo EByf7rcjPU3NCRYxLZlTVKWLGHp0mGk+gDWcxhnZKi9QSGBUdGATw2Dej3OewJJORT89WkxTlZE0 fF6weXi8SKBcY3zrGxVoAEgxhqu8zOaa6De1E6xkXuXoyr8DtPvTSRfQkyZ5BvB6BiBr7/m61Le1 Reag/jX1rf+ckv0bk66T7IlxYTwwa0o6BJuXDMTNhoab66BVBSWRXqmu2uUSVKshyTY3FyqS1+JK lDNamHsDUf4irmzlfxcQRUb2WHdYIlW1QxH8uH6KXgcFuNOIkTcU1EPDLeyGAAJO6JwcUiNedqgI mM9l8kFeWPRSZeXi8mSuxInRG94D0x+brYyBhBs3kPHvYXcxvBwnT9d0lOG8l7dzEl8txcfsTnvW hxlqNppiQdzmsNPB8ElYDE/PMWpg5NBLL9z8FYznfOZVSdXNCjlBjvhMId01AR84Yue7AKD30kpe v4D6We6ukuczCDzoRjqD4jOogZJ/1ibPGjODL0b8iEne+Zuyhjcez4xTHHdvj6td8omNS+jAiA7J kdTiWZIFXkPCwnRTeehvSM9YudwvoFNZ8ms+nZ5AvCKLZlaJZQcK35ct9rUFPwHGHq9t1T+mEApt A8+HJ9RypSNDFyeDxPubYE75VaEvAVIM3JHawpA/lS0UT33b51aptYJ5xN6VIGL03wXm1v0ERb99 Rg/bIXQnObx++Y++KaDxL51eJHZZ67SrMVjlhS3jdVCR4Wsb7L5zcPrWoFwknAA1YUypR0eEQxfe Mynsnt5Eh5cJq8uCReAFrq3zfcQnPq+RfGc4QOg7zEQq7EtqukYYFlKIVJcbf/6oPFuSoHjNEFiJ w3sb3S5NlFwQEyczawyICir5nnm68g1HfLN7ladcYcPMf+cTEFak7V6vbnFcJpRrdulOtRW9/kOM rGkSKgRwKEwfPIE/uUeTMNbPHgHpB81gUOI1KEVSoOx5CAYooAon20qwZq3qxO6VX9tKtjDFW/lQ cZthmL9bNKrU6X8Gn8uBVcHjga7xEO+wxGYD9ZpG+rlOTyLklssotFNa85tk9fctV2nT3zI65jw6 xyVi8kBMOmBVjasvmf+en3uqHDKWFddlmBgQLjxV5I8RWcJY/Bo6z7jETDgUT7xdaw5DGvoDnPao gvCX/VybH0HU7jNoerEPwGu4PFOQrpJdg4rVFstWezSApxKXa9Fhz4WVfEYW34FszCLF6/syK6sT BBcTn7TNfdlMFk+2y4ckZmIkhG6a98WVdkPM9rZV0HB76x8IfFhUB+19jYcCo/9TCWu14So/Y5fJ PFpz6BXxdKEfExGDVyFibkh/oef36VCCjh/FLlhL13vY3hn1QF/ey6DjpcQpXu2OjqUSftOfsM/5 cwZ/9YhEkdO7nACQQ1YY98I75/a5iGi1P6PNl/M2D9q1rsjMq/qXbSAbP+rId5avK8NdQyL4Cxz6 vjD03Vkj2G7FZe6WHBNVd6R9VxiGLRCsPX2mtW+ooFdFHw12G7P4i7UtfB0hWuk2u2186/u4rpuP OmCZ/VHSsS+zCP7D5WgdIEFVFhwMZAGlZ8WF1M9THhy3zmaRv7OK2ZMal/acJsdTaZ3ptIss9m7k CgOqo3iMpCll4aC6hmcWvmEoyC8y2ppnL0PaKwSKvmpPyA0yaL0O6k5hkGuf5EGbo4Zb8hsHlriD DfkL04skRTwff/gJkl/8ixEPe2tlq8t3dqSe+L6FJBqGt+6s0Xho+00TqhYyK8k34wifGNN2bsGX iap877Qf2DSvybX0V6pkNerPB4XzMti53S0RvM1xfwjvMf7edCj3hZgpx4Z77l2qvkKliVg8WE+1 VZyyuB///cqUJg+6Tfqi4O6pqtPLN4veTbwun1CRi1IkFM9lefZVhdfmBdFLcPyBxbT62qJZjNIS uXFh5IYc8iHk0EtYIGta5cRO3o/K8v4UdO2jIRMIkwxa5GvEm1CAzqPspSpgcT3a/XjeSs6pZ//y v/I8wE4zMMe7MeJy7nkifbu7FeHl7WwnaYbGr49lARAWqBSoGZDY8eSjBMoFSdZfP7Jyrd4ZE/I0 DlWfgFcdo/jV1TgCNAD4JaBuV5TWRt8eGIlq2z/wckmO2W6X8dpWknl8R7dNXWJg+nKU/ct9Gz1n KIIdBtyxkcAkCrojFAYz1qkKPKwweKTF57CXlgbPU7FCFIgla6lzDyWNcq2dk3L4+cZCnksE8703 79mxNGjr3lVT5C9E8upO5KUZxcTNA76cOTTWDhIZtNUJrQ07HTRkkqsobNU1oLMm/uSfuVnXZGZj ib4XXv5nQWI8seCObhvHzf5+0F6nfix78ne+/DhG0g04YeC/vn3aVzXmbYEbTfYp4/CiVBJidOuZ QI+BRvXpI8p+vw6wQaWwR6eh3QKrp40Q54Xorw6xXc9IWuRNWBNhvYsP+v8nw8+yQjvO+hn3uSOj mlXEM06B6Alih28niL0cje8R/h0GzPZQkvk0shXLaYAMRZPyDNzoBt1les7euK60vNX0JpkH8/+p RCVdVKhLZMe8v5wKXERK7NnThLID8GVE+6FOiuWkAnYQ5BqEPdI6f0lo8ACdcdimWdM3YtOobPIQ fYcMFrczzeVP4NTdM7HSwTZzmMGlz9rNgqHRkE5R1uqnR/IDAt6e9cgg+uKFyz8jQxMu1rMXp/zm ugQVeGY7Ygsjw6x352OrpZrOweJI43FKpySX+wolpcrwTsdnw0o6hufAUkaZ2tekOyvtD/eYh87d Ygk/3oJN9Bu6BRZnVwhzrvyVGEGcFhCO7qx8w7EzOtOtnB2sFcOuG1O5AI2xqkomiR8u9R8Wh+SO IroaRIKSOiURJnluKw3RRyfAFd+DMi7vRJUWBfAZFQUvQ+pgbP2PmBudmO+SObBU98lF9n6Jhhlf oRAAAISBpKurnd9Jb59/zSQZORF2/R8FJE3/N1etmnTJII2KvxISjIytpiE4QAv4zmElUKeWT/fp bBU9SRgodI6s9qQy2eEv60gjncdJoujrcyo/sjBMYBk4L5ExKdduEg9YG7nj4uIJcNTIFL4G2Wv1 Xt8hFzbhqfZcfjvTNJ0ArcJliwSRAeskyclTXOvJn0GZpYAJoh9j4XbVVlZB3G2asAz/bb8KkdCD kf7ELkTg+8YRt9cv540dgWxodg7bC3XW5GPGPbXJVH7TxaJRiWeuEKLPWlf7SIYMDx3i4TNmMo/C JRJODfcOVFcOa+9XKN5F5tt6b2zwq2zq557gYnjT6krUPllcpMBlRkD2lRTWZauiAdTwmRZiK6vv zP4lh5ZtA0DlNjg9EZOfDaBQC4HcJrM/kXox2DANYBlmSQtlIhTqaWD5v9YKawdqK9+f+5GGiryy 2xjXwW+2Z86qKZnmFi4ATNa00RZpvGj3gXBBFcfNUnbC0C7SxKuyimEDk1LpL2sX8uiMnKbR/cSl 6txJsdIhjPkjtieazR2BZ7o88xfC1pULwVq6UcaJG+Eocpq6teDa97xdlDw1O/NXDMhXOsRNgQhM mootRvbt9JgqIwug9nMF76WYjul0ZGIapaXl58PqJwRtqCEvunw9zI8XQLI9YiV5pMh8moqz4VPk 8baCC+MJxy9NAK5NSW8Pr8BmYBQr/8EyCBQ5MPCJBCSOv1SgtxE+sroa240Mc7bue4L0qlkZ7ftx jBSuv1En+/XdsRTbbtUac4pFyMtVMfOlTD8k3M7PtSVdvvI3H5gk9S3J+6ucykaRUl3FdpNa/0EJ 76E00VzKzrEDL3e/umg/gpagIPmKaDdmP9kM6+ppC3mQ1fBrQB5QDx/H/9ebMxNumJ3MWyOQ8eh6 ToE7o/ZL8HsJ9O8sxJ/jw0F+0yiZYvaZSGXsbiTMJ6DkFNFf5zYuSq6eId0ifUANWSDBBMx4diuW TVzjwSJSlHU6YwE1hVqe6ZGmOOY6gP33ltsjp4IVHvqPafPEJF3qLYt9rIucAfjo/2GBYwl8iNEk CyeQuzGw3mYSOzzxOpRXAybM6pbmtXlS/C//glpqyvrB+Rya3P0QQ4oI2oLiB/Kz5XwGNdD38iRc PK39doIk+4SfoW4HjZ4qA4wUK/1x/+Vus7RvTfoGK2w1lwOZBRjaQvlgmcmYlxqfpuhJNxakSc9U GFn85DzkuoGEhOnHwWqsUCweuRIibiMiCflm72CeJ80LiWmoYJCbQWYarcnKo+xcb0CyVuIRv2BU oALp1z6osp9x5fc+Lr4BmEw/ujqzzcGfDiOwW0/dLT5dXEAQkMFQsUPcdX9ufklIenHVKD+OC5hd jy+4HugFMAoBUsGJrMUOAsZMl/GW9AaX8AQZg2X53FBREuUINZ+HDQ8AkRF4ab1pNKRHUJ4Yn6Ei CdpwHL9uRUSEE7fxOqcBuXN9zPNYcQ7F8Qg+Fm9ElmP1l1nm69u5SjQwvbMmSWoMW9dLpBUAo/4o XTPnAe8clAwlgoZV5s7hbyemeFtjplciuHOKYLJpbhiM86CghtzA1zss5wvlbP8D3I3rxTfGaxAI /+258BZOM+tbtg9YLybzMZ2HW6LPsrggJodwXwtcSmBgatCAJr/4rjm/mzbyF9K9VB+YR4l334qs c9WoXCQRb8bsalMomQdHatjrqYZ19VNCf49X0hC4LEuSCgI+i9myHHdYfoZRpnDFe5KsmD1HVqR3 hh906raVnBc0tyhQri7UshAYGmSH8ehgJz+pJ1Xlt7n00v3mSk9lREwnnN5oFBoN3QGQQgLRQxrF 1IwBsalhQXmlWqaHSWSbLpYIv4Xr7TVLvFgZFexZpCA8WTSXHefNJKgZXp7siqXKaIvJFqvmMuAu RaUKCq/GSXaC9UY0j/UfC2vTBwTHhURlaG8xTfCzQhtZdO2GbDCuzpKeJhbE2yFG2w3rNpV9RNZ3 /XroEsV3T9SvgAd/hUP8J5PmhS112TsRl1auAHNDfr/rGVPzNYVo5goBWMUBKQbC/bKGfmszP4nF zqyyU9ZGe0C4QwTsUDxZqvYiDgewAvGZ6qL59ylQnQG/WVl+niVYZGUPB+tTBqGz0gHHFATFT+/E iKfGFkbhft5Cfo9AfVRPWbiWRyxexy1eczfqmFEv5hbN8LWlT1Wg28zgdV9H2HCgm/KEgpc8RxRH CxsAKYhdnjCuDleA3m9R8A9S2xgI16q2D896UrPENEA+Ir+m11v0g46LFMOACgpVohfmzRTr7V9y OEcXuaIsOMwZq3qnjdkGmVorL16T+6cosVvlcNfshgSUp0qDqcBunvh0/oAanD39Xggao/JuVdS8 0QWvthImtcMi8sYrH5iUZLBS95ksNnr/NcVSDRmyGf51OlEhEsu5RF0vVX6iqqNkYbiMbYNK0Xwu Btbw/AO0RbLxCudUKa23oFncRYdkj4Ec4vQzyqLMlzA/dxfdk6Mt6TjRTxO8B7ohOS3LTG3AUJYv vYzZTyux8WmFKjrWUmmFNeOWSkn6uvTJSjEquojB7ZyfLaRAFPbJh1BV2CLqweokJrPR7D/f+9Ch EGDCB+B28BMEfYGgsn3+0iW1n5MvXHbhCXfP2xK1ZYgl/Je6ygDkeTZ5nScMlA+UjvS/S6NaGFIf dxa8X6zLRKNZVlvfyjPZilIbxbrG7fl7G4BAxh8uDL0Gjh4nGV2En3qr3+Y1DjNyl87oC20OKHq1 gAan0TYH8S8PWAYiRoy0pjyqQ7Zuf9a0AYSlHf/F43brox71ZFTldXX/mKEopv6s4gjR2RMUrRZW /fZ5xVGx+GsM1Fj7wyiDkCaihWRfKM+bJRQWRPBioXVBIl2dNU7wqBK2ssabaNtAnwHhGCjQHJAc UTbHXeP751bxcC44m35bCqJBdFTOVTYQA0twVIUVGmzyXobd5Q/9VAkk7tP4xKmmnEHs7HaaPpo1 qVj63OLzwki14c6Dox6fUqQH8jdeNUoWqX56BIM4d8UnzFikiDVn06tmftVIZ2GJq2ix1dZrZzYt 3CG2Ut9HCKsjppfACMYUGLWBupFoB56zqxGUoey2bYE8+CDRBIpe5meAKK+MsFdwEB8imv8GYlWP /I8u7lcLF7QxtEkbYd7VbCbPrt693TFOeP2WNtfC2KvctnJ0NclgsU4Q35m54ko3lnz6PKiVaOEm jHLXuTIIml+cL/0g/dNC8xZ0/3hu27orSNaA39ThDOs2KI2fhAISfX/4smGlmmDRf/tU+AAmEYQW IKTEC+THEdDSGbkUo1BLfNMfSwGj6aRbDseSdEE43YMNYhVRbwVNWGSywnO3E3tD3K0VgHdYdjca Ovs2gtdDwljfP+aPoW5hIO9U00oeC0lWS2VvSpaSQJ77iGLP0cO2VwDGkCewoasWGtIwJOHsL9qo NLmUTjnLhsUV6sXsPI7RJuhd3AiywBJsugRUfAt9o+kv9AjIIs8ixsFCHtxPC82tJr8hYJyFOhDw GwFLkJonWMpntx4adU7HV30Vo4huoBy53KVGH/x2FGMJBnn3gJ+lU+wiqUo+SYRberu2Tpf+MRhY xqiCuCnsuUkO5oWFXlQTUltmL35Byo9oBEAt+n4J25FkNfFENHanYMQYMSF0n15FzLarmZTDLbLd v3SAs7oMnWiNsz6jcDhJFQKFfpG6BZwUT5AZB6hHFgM8WaQip1oBwWvDhUhhj80I/MHmcEnJGojE w9/9Fgy95koyXdJU1i2Zje+i3z3BQSe/ou3qrIbUGGaId8ChWtUgM7OJA866pXZ4nYuHd2aIruy6 69OnWiwOTgrFbCUvF3CYUeEzc5Jq1nsicpeMKpstu9xCbd7fcO/E/pfdhWqxmAZRynjRMP5q+PfI Aglc7bWR8jyhZbL35QheTCRIdYH1EHJHcKdMm9+/h0aZDKXvgVSB+KhtwOiALyMTT3vMJrGSiQ0a 6B2HP+n6cs+yklPIXeaFcBIeqRl0V+wEUOhpu09gYcdYeu8KND6snvYY4gaBWGIspRvg9hzWB5n2 G8PoqHq9Ftn/azvVJSrf7DR9u4uZjmMPjKJn3oHqwKo4ounE5228+KpCvzl/hVInEprDlpzRIYUV ilcR/NSH6r6nxlc0YbFxpJGs5W7za6Gt58lraADuHOM9qelggEqBcBJmJPgH5EaYk75Y/dN1oLlX E3JuFrpL4CUBGrRMNMlipt7R7qRvpaQGuB23U7nMn1Wa0MOxeXCFlV0KBcQhahqSJivtaWpExYqp rpqEkiZEimDnoQqVfK8dEQMe9xUOf+IP0qbcLdw3Prr1RPYoW1dCSpN83CFNCHZuzVHceNx0bXXC F3IDSbLDkZ30SaR3N2S9fNYQlQCPH9RIKLayagJvSW5yzkTJG/I/zhTkQgcw8TVv2HR00UD3sTkY oYUNhENM7/Qo2trBu5yjkUWSMSyqsTYn4KeH08/XKn8uUYAMPmBXx+E6wpuUnYD1IBCLUnyPmzV1 EbJMqtxB3CNcwluRHbSOGOEJINVGFtScD5HbHvbrzl3hE4toBimaTYwUbkRSFEBFXGfO1jhe3wGj LXcLbXTruSBZdPCw7YSo9FPiLLfcJ/42oa6tBdNXlQF1xXwF6IFgJPOBC/pQWb2hYlUauiiLOKxX K2ZOAs5wqmQho37L/AFcHBhmSG0SUxfYvD5jTeUEjjy7eAhJxeP1JAlbZ1UFKR42R/B1B9ju9UKB riVSJ99tEDtD/wUHU8mzmn30scf91+iLotRRZXGtl8oY4aK+T291XEF93FnDIc7veN2twTMyxn/1 gyocJ6syD+nWsmuvxPBOPvOZKOu1dpAtAu31Nr1c6lIbW4FW3EmiWwObWwoq+RjboG9xSzIH9DUx 30d5Qr0JdBm/dS9TUAh0c+SGpS6USmnndeCBkRfZ2/jYQ5KnvQv7ZAe4226LHg50PA6Gjo28u1Uf ZbyTP2s2PImNNwwR/7AkXKHIwpmN/0ojHMMBYP4qczvYjLraAQ7qcDolaX+HG15CbRYgp0rgtVYm NP9YblF75cODruBMeDLLRYXpPrAORAfR8sVXhj1JFfvQNimivPUUWngFh77xxlOkdGOX6iPdmS0n dQmNB26zYVOCQwVjkgt8hwTe+z/F/U8ni7ma8+ri5k4aoyCp5JF3ONmRSvbRtPjCv5BmkQnwE0Eq ipMilvW0lj1fVv3ejtRcVGNaN1UfA2Ab2MDkMVs6ETzITyBQDzWND84BlewwKSpbMkCghVCGnbDh eznGUE3oE50vcbPr+t9aQWPIJDh9YxJ4hpzepVYPWgujFoW4kzG3qHczsnubjM/5zcNqfe7e6lnK A90Ys36darLK3wZ2TxviQ4O06Nf8p73YimA6jAvXiGEWvFd508FlWMG/j6UerroM+17EadHlSMch FBc9hQEpyWY2kgQflWPFhSdXztxJsxSSy2KzaDzXzq7wxF69hjrpAIcgHZgEozmbGLdV/EHIJRHv UHljovIXaJg3aQa1awY2Bi+U1d8Vt9kO6VGpaEld4hnKEzujg1DOKy2LWYfiy1DVr5bwhnjN4HHO b4IVyalGS9+F+Hx2SWqCvCIh1vo2Ts+CZlnIZW65r2Pb54Kn9sGtO+XJXfzkaqrxWZpO29exn1vO YwMCR8bClJBXQ0quL8HFKW9mRLpjVHYIOTAINLh1dY/uZdcIjSc2drDbkTS+E+niNOluWyJTTfCV BE/XewwHHoTlI0pt2/q63gYLb06USsgoFLcqd7+3y8pls+JVpixJQ0z4d9Le2qi0xWoym73cJw4P mYFWRb/H9D8bG27hQDJoofq1qAu1VeQHTc0Ji3lk0+SIWNkOroK7vxZWEHgXbBR+4kg6lmbfHdlk 6fsaMBOnwttQlr2Vf9TY++CHcrQF041sbpCgKnhigEHSYRoQ2Bn7fJbv3Ewbp1wPc7t/toFPBAU4 kDeRttUow3d/av2ESVF4KuJdgM9OUwB+SHnjJCF6QU6x3mnNc3ffGAgdHiNCSH7nWWU2YgFyj7ek oXaprp+E3h0bYAFvuvsPFlhkdLp0GxankWV391GsxAcCzQw5nOqTAgFUjv09pmeoWFANva0jZ+ri r+T/Id3Y3IsffVvuJ+fPUs5pY/jJkdtBWfMG/dWPnV4YM4xQNaH5rjIMfuKE95yA/M8I4CFGMMLy 0MN6KfC+m4Bge5mLzVQyVbiTKX2EjS0H5rNjWYbhnW7Ajf06CVdZF471HW71aG67E3+nX8DU0g/E lHrS/b3hjFNwtGOGZRDOFfGoy5oI/NnWDvVM0e6T+57lybsUmqoObxAjK/o66JhnVUqa3FfxpGpM LV5dS2rSCwvu6AJbzYv99n0w5+IbobawlMaNDvIilEAVxG7oTNvgqB9FSfqh477btvWleY8buIzT rzw1++CzK3p8UjdwA4bAq35NWFA2VUVk8kuxwYI59XEqQJis+37/ah9HJoqzXQ/dGaROSrIFDLSZ pdbPgyAaCJVOTeeIlsV/V7MrEr2yCJD6fIue2WBVi+LTzLHnt5V3CHXYyd9C6tZJBaYV6isNjmhy o1/01yonUrrS20TIZdzHHFFaIsUordFkYrQgMJjKo8MD/O7d3we8/wB2O/qd6A3ZhXxT+BD6dlfB b6JtCASXKHuus3B9rphvBf8KVFuvSbKGMnGP5gASPZZMIouvMI4DOglyOVhisxt4RmUlWjtQj3J9 jKAgqnlewgnLQSKCDQfqOWrvT9ErpbG/xH9hGyxFKnWmTKkhdxHKc1dC76wb3aTIeM2K8AQ2+kNJ W97Dk0mshFvA9mNpiUI3j2oHiw0snAj2zgjp6AO4c9pQnWFQ3Ky5P1CUinM8KTp7rnoZnKcj0Xwz JRq5YLtdaw+3b6Lrr9tAfgsYw6E+AD8L4C63iDQWZWA+lqY2KHsGF7l07HffWKtlo+rMDG/hKL0G mg5RT6AA52UgqUDg3K1fO2Xq9dRh2iFimFmZjQKYSNwoEugMbqN+gJEyTZJKoJySR0P8cdOIXA11 4r+X5Kro0hWsAP/yRS1hZGiPtA/p1V6mts9VGOv0Jk+5i0iWXt4jseV1jsPrTPALNp1B/NUQj13T OGKT3nmWkCIF03sLPMQ2UT7HMFSFNnQhAvR91MwBE4aqanqXK5cR3rsMJe8aOsEYFH9nofni995O hl50LcCam0SqiNzl+Ch3TTyIoXWSPkMOQlpMacHNHtiX1EYLGs2D1ns9J8PX3uzqUzeDrG1sR2zL +2K3tuRWwMAj+euQINdqwMNDSFzsj18v0c+6fibxbxmbZ2aCUiL7Tabx+Cy56V9rylBpkh4qRhVl wu12lc/r4jW3HvGVomGyRqG1h4mwsnUtj1V7Y0EixA5I1btF0GMrBNRh85DTvpsixyBSh/0YixgD qB+4TVtHB/ZCWFLq5oRTdQrgI8KejYezXCAdqDZ7x2SlqOBqkYKR05H/9ZEhH710z92siG825LOR CAyA3ebU0RGe2KT1VW0SRI31pCAoo6WzuMy15WdwMk0S1wrzLgvCm3+OayepllkcghA45/HMZepu RAacAbS8hTF2KVsHImfr2L8o1ntL0PnkPvbEztGz6EZPlPA9gxQVOCh/tnTEmCNZ5jAr6lmBgrlS Ly/Nx0mhTQUXRg4Jg57a2RL3OXD4ideu4fgOqPQes+WeaiP/qN0crlIL9Vj5UzTbT2i9jSoTFAjV pcfVOOLzgyjjkpc0LG/954J3/BZIxf+rvQSqO6smGzv+K1UqS1HrJR4Scyqkc4k20d2fYlPiBoQV IsLuchsSyW13vj5K8fZQTlLEDATyPNHnwz5ZS6PT8xOlsXPWYRZG3n9V1sIjudsq1dY1pk27uECQ 6C0BoE262daEZSFbSYwFuwm3yFlI7s5xRi7eLu6JHN29Y/nY0pHiNj1poIREf1nGg7dCytf09kjX 9y6GJH7/kCJwWybTECetSwiBrFZEUs5b1BFD/7liTz6TMEDr7uZ9vA3n3VUZJSOictEyunvs1RE5 wQgq4Ez5bxGuNjfLKRV6S6IbOFFGcuaagMHz7yMcBBRclmMKIOCF4UmIOfPK23729G0GasJBJRki CcHBhn679B45TE5I8UKQ+W59e81ofl0l8RiwG6/MRP6GGUvgooP45iA/clO90v4EhazFVblZXwZ1 ym5bqA73fLGH5BdaAWznZrVgKQ0tt6yM2TQtMjA7sU30rDfX3a4+2JdrcxY6LBZh0TjIoV6Dswck 6HQTevAynbT8lasl7zOOFsirL0rsUpY+OTuNx3tGcDCT+4ugXiGfIkSdtONGZsM8g6NFy1ApFsB/ 3ltnSwKPcs9ergogDWKavWffb8h4VNX+WzWaW6m+vSVtu3aOBpGpwwN27AlMUyYyryal9FGbfjde +GFMf35qGS3olhVjrEAB28RbLwF4RRK6PDJn7axOEqF8Yu7XQp4aTjdMK4DBY4yupsmVq4HoOKJo jjzgt4Poz0G0BRYUuQGbFRW67u+726t+Q+Y2tw87DHxr/GyIfZknSX8xKH03eZ1xIinNJdPKmFzl 2rtWnZDLJIJxNw8vI2KSyMBTobcwf5cZKNlyiEguAbNSv7X1ADKUOnuwmDY9ZjZPWDM/MD3yYv3E E6vPo8ksLj0xtwprMbvCT+N8rCBkEjNMkZYqOfVYXKG5QN9PYft0lPI7RFqGirvPe6MQUUzfQ4tA aYqPhBWBalYAaf7+8NM+68MDCF8Xuu0Gp1MDRWQj29oVU6FJoSS+vxd0ANQAgKGowV8Lb9hQclnH BozdEudqurAQyHOQFjENXs8seF/IAJLLpula3fZdDZqDF+7mXvYeJUWFAwrB//6oEwGmpEKC2O4P 4D2A8PTxDzyjA4qKtl+X9A01Y5h3fzBZsnZWOXNkV5+Imqr3EwOqYYIGZ3cNGWUSwVVovtN8DgW+ YWP6zVoriT4idJO7wC48P0tbVs0arYxqi1VaV+BO6qwTNl4+gInVuAIgfUw7ajh0OWZO28G1RUiO HPa+1DKVVGuKBW5E1dVYdMWj7MVrRZdxV3vNgC/DxyKdFP/dLoMY+uO3/s8vOcCtegy0BbLuZmvg I6O9k6cNcFTldkJm4uX7afFE3JPWDhyC+Lm4Bvu1o0bDAQeFE7WHRcmOH2ao6ScD3aBN3UatqZO/ RhAq8wYLuIHaKBSjV2lmmaCsCrgeT2x7gioT7A9I8cgApPYn+PFAPHdiC3+PrrQuKWxMuLPR48Xp 3hshvNJ3dUglpNXOmxo43mFsVVBliRaQqAAyC3QJVLEk0JVpaiKXhnTgWxgj38gUSgnbFGlILliU Q2DSdOunyDFj2ezy5AP2vNUCxJBgwHvpp/zErzn6nTnq8Ywtg1DJBZ/D4olK/CB4nffK3Nsf+dEH l9Mpt+TgVe4b3UyAtQaKQP4+uGVIJxgcLsrLLhbfc0dSuB/al++XDUnqA9D8X60RqxMBQ8qmZq1l 6E0cO1e61wSqej6BbrxKyKKOUb6dWPki5SKE72An2Rf42Hal5+rvyQnkFvjbEw+I92s0Sf0ninsL vuoPJNN2nEcOeK31Ki9zJJ5mkjbsXRaw0ZxyT+dkX9gJNJEZkanLDKTgTEvsD7/hzy5lmQgm1aXz T/4Ys2NWEHM9z1li5qvr7NBS+Df0PiwpLs9Gc9MmCWR4XpGkVsArOsQkq+U70Bk4YTKMoPwkQ3jh hmzYiyCGNJ8Xh9lEFadI+MKCeclaNi+Fjk7ef95QHvcDiqkGE6loQBKICfB2OwCocaEGwNp1xoNi X2dnEgO22z+ymMKW6dmA1b/E/sXynZZ1zVok+B/mwZGnowqthveAFGiYO7zfFaI4WFeX8gO8Dgv1 THEwCeXIU5e/LVhdYhI/xGOVEU8FJ74+emqateqBL0V4nmgd0zTVSIY2quMy4IUvrZZGb1XTvBt3 thrmVk8+A7IYDLE8ot7+XFq66LfLfXC+SlBl+HcdAs+57UqFlJrBCUih7un+viJHRkIOoNxJuGhD MF5zeOuK2kjN4npfZULfv1YakMyQkKGfTLEzApvkMsZ0/ItujRPDMF8/XqDy31IkcxJHfg== `protect end_protected
gpl-2.0
9daa0f863e52827207acd2aa09532ff9
0.953227
1.815564
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/c_shift_ram_v12_0/hdl/prim_wrappers_v12_0_legacy.vhd
3
11,470
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kbjNQJpSRcHv6PeNmxWxOzXyYYOBz21dn5/uNXJtnNFSSX8GkBuuNa4m/pshdJCnETrmj9GmL8RH Fmf9vFq6jQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RS4o/tqMXxGhpON6Y8j6lAO9jHkDRqhso8dW02e//5WKHGse64EfvQ1XuKxQMuJJ6ZWagQtOujud f34N2M34raJIYoyC+nwkcRa7pFd0aEq1QxLlf7lahV14mkuYLy2FdhYR5HzFWBhLJZk/D9384Q+f MEY8dcsOo4u/104MKfg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sDDnpOiY8qQFyqt0/Vc0Cy6CVCm59hx0V5a04qI3yLWEaKpVT276WDNy1pMqUv6QI6/Wji8AdCjb 5gFbzaJUfIIa/4rKU7I7W6qqurxxvFrVmAfUnfwRXHmUXLxRUALkoQ6Whv0LXN0U7LUMFdsjORy1 DX9NyIGmsPO58rk4f/ewWi0pvPJfU9jkckY8Kw8lDsvhHVMTup/HBkPfmGz9vUOVz5dh1GDHfnZ8 wUW8le4D+ZNnM2PTJ60J6ysja21/6n11xveqC5GrTaqcBgrsZ+K+UgE58R9Fr7mYN3RWhBJmvEBa tcxQUSwuHM2/LfLOeT8VrnLldNNtSVRpmQiu7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P030LMx1sYOP33sWLvARAYscgy6sBwn6MDTra3kCgC4hLTRwMzLnP8BUtVvgx7m3msi2waH2IZoU jGnu96op7DguX371eeM92VsbBt6Xks92RQz22ZSv4AVJsOiqJsuniAV6pv9ELZ7DnAyD7l4A2Eqo ebSQ+37BEXE8tfNaUQs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Yel1Q+ul/F3v+lL8D8EYh1gKXKtLKVKLu+HM3dCSx7PgD4HQj5ygUt53p/REhGkvhSdmYsJxoWPU Kbnbm+focJf8HRQY1PEFc6/GH2DgF1irR+HVET8rQrby74VTag4KB15jFmFGs/GIBQ5Q0KODIgHE 91TiIpj/XbCZ0Qzc/LSDN1UczXB6X1mvq2H2Y/WBT2apGkcquTur16WlfOuZxqLXO8ujkonQdDrT l6EaE8xmhfqyj7AtzVlxa+7VMF7BaPui4A3diBsaeYGuB25F3reEXP/yZVHc4DHbvvOg7T8Q9cnm 8ED3ONvRourVpvQPctMyM3m9sc3c8m8xyUDshA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6752) `protect data_block jiZpktHhbkK/bW41mPO2zL3fjLyEJLiwoJ/n1oV0fE6JD/KWdKqh1uDQRaEZoVIFKfeZtkEVVVrR QiTNyLwtNIdF8WgvbUhW7/kzEvqZkEeZZlavMZla3ISLzZ+gKSrFjoB7zDJCyWOB9fbVoh2Dg7dc /GetPto2AL/IRcN/H4BfqTecmE+9kM07w1jgNG12tQw8BJAKA7BCmgOuO+/2Qkbe/efswvXYMF9u w1layAdl8zuBA3Ds1TrXuTlOlO4xI1Ev1b5TlwZkbuLRN7aZiIDOM66vNhYCdaJwyppefPvRrgoh qGLLFbqi9+aT9SeX+gm8wREFiMI3QZKoOmFQbcJp4JY38TX3KBisxhxE7yMfOladCNzKQickfcVT hPLW0SQFML6P04kGIyUNkMWhVjJYLTkN9+UbVe9MRo9GGksvmQD++f2XwzJBu1UZNWxfsMr+iG07 /OJlaD0itTTnVRHS0Bco5VpmSlJClQBc/ETUy1xnrpqaDuqOgig+/+eLFQ1H14jvm8XSV/xkNZCx sUJcl0ozWXbNdIlH64EF6uSuYo5xfbbqAXcvUcvGNsIQtQRKzSXsgIwtrPs+qHfzj03qvLeX4iqE hXGHiVJ9Zv17OAma8p3USl+mqfVAV/5UzaDT1jK9GaZF96hxp4peljpOGmK6Qd77twuDZRFKE2uE /oJeowLQBG2qZKwZcGG4OPmAJ3IvCklmQro5ouKNIjtwWGQpmiSLqJJAzRMJaBt6hmmDy8Tlpuez h38JtKnAIlpNfBvCrYm6YX6WKZWa6uzJJ4GE/54RSsLoe1xo6XCRMda7qaU1pqGaClUJa6YC6O9r m2vj2AEcXgUbfCseppsAgfiMGG6xtGq1P3L3ErgJrKDNNJGXAdEHiI6CdVGzsRI9iy/01g7hBUfz Pqy1qHZXCYrkLb5XRAwCqUQYN5wzb+I/KV1wf8dY7L4rpPhpSf4eOP4AJwC0NLk/IUOnNotmE2b7 /dS9zHhd7gHLh8oULhnHR1jUFIQ1RRmQl8yka5wluBPfmC3jhgVt74JCl25fQ6Lmr4Gt/h9Ufgw8 plZIyUhNBk0i0deI0sb1baFOWHBZR5dkA8OJgrJRzIZtqR28j6LxyDh7UvP5syspw9ywCQPe9nks tpUPRfPIj0Z7T7QEXqWGeV8I1J+RKbRxzIFLqt2tqT3nCGsb4n3KPkPmibkAfppwiyPx/RvXMNC+ wWTrVunnOkmutWYLb3PmSrdL/NbE1+iIk/kXzKqzgWog6a95lE1HQv4DZJzoNDWtWkswPeIX7MG8 chHI+lhke7tJeEDPvU5svC85OoMh1YsljGA+2wjCb9IBsbWs0mNKhBDTD6Xy74AHNV+Ih5a45Wa9 ofwI2EUlZ79kDe6paG5uQ4mhnrMy4+Qytqcnn26ZE7fjqMtMy2Lxzggssi//x3LAeb94DApxCmdq fbu7bGZGY4KcFC+mZU1tobR7d0dFMyK104yzynQx+9jfEAJrlZcz2RsldELO295TCofaqPnjdD/7 QXqz0X4ZnGpdsnlv1G1czABz7XsS5Ed0XdLjdCQgkeRV4Hm3I81IhraJ88MPjTsSJ60TGw2A+L/+ b1XVeOMVqDIeO75gW9z98UgCPMwU+SP8WD/e2kByLfbxR/KDT0nb40fxx2tS/6wgcTP7J/1Jq1ru 6BaLzTyet1J0TvORBr4LosFcVXdidiSwWKhprqCuJLZ/oyZtRtDcNpSv4wRwXWXL4oeRkW8FSCmN 6Nte0jWHGmAs7+7vAz7QENzuoU0oSc5SivA85ESf5SYdQoXYbFbFJWrDdm3sBJncXMvcXFTDAkzA 965DU0+GHRjQTh/nMFcWeRH+xeLUukEoKaCEcgEhZENA2VJgvoNvT8qv7UTIEnVzurBpXlIEboh8 SzImei/NX1bHNsEkTh5ErmsvYhjW+FfGVlTpE/w8GhvQAEQxr14a+ZACwn28Xrn4vRxlrsm/pLt1 9KWWLs8ANsl15DE3BJ/cS+d0w45AYZK81L8d8an3TAyK0IO920Etzpzq9aiZvEuA24gZxp4nBbC4 USspzPD41ng+xEs8NSh9DsAd6sn9zdFn0YpK/Q6+ysJfkEuj0w3Gy8Va/8KGKZTLOq0SGJO+fMbS hxLiAurs5TnYfHpUIm7UCsvu8hFbsOONcLk9gJTSYzYF69eBsSGYOVw/BClQv5EOLMN0XTs2NZHv kCm+KSQxHOw5OVtU/AftVetUCnSBh1muyVRKD6mJkpziz/Lqr9L2ysFaOKM1yi/HoaOEZRjXLkEk sL3QuduokmDztHG8eEnu02yFOEahay1DfNqmaP70jyAlFYTgxucZltOKk0JA7yyK3r6iQ3Oc3ROZ 2yKyVFL+0dBC0wKK5aDS3TkcAokhwpQ33zhqYbopo7j1Goqfb2zMaYNUfnuytayx2orjcQe8FMwz yZLumWDHmfcpkbfqoF+mPbAofZhoIH5EBPPwu/KdvZob3WRGb+dvP+8tmSn0WLGuj18UBF/dq9Gq i7ZhEBAsbwBd87fBb8tpx07Dyj77f3j0DIhaQU/Huyx0KIdDlnCvydIM08mMKmBTQCzw2BHfSu1r NVt03g1kVPbTt5Y4kII1cyU+xWbxMnE/Zsz/DuJ0qkqTNIJ3Cj3ycN4zRI14bq6rz0gCqQBRDdwO Tp+zxjTsEbkziS3ucpwX7XOuGQldLTv26QDAiPsILcSdwCM/vYz3GkgQ1PG7r6j5f4cB5lIMbSiy hE7F65dnrv3+HrONmvwgl9L4ASDNo6T8KNtSiu0fs+bhzH5UQe9zmnrzMYGvC7abUjpg3EDNuMib bupxqQRULM8NGat37UEsz1M9KawkX2Ho43X/925mkDMr+3bJjKkILvxLtLluOUv3W67s6qwue2Rl OinioGS5fNvgC2n6YEoVysn8dsJ+lrlRvzFtKlE/E8kiV1vhizzcIFB8BiOv2hGHY7GyP8P5wRhS 460/iZTTfNX/R16wy7+TxKAJdpw03jgS7T32qnVZzhxDrEWRAj+1tCcVTl9kQqmb1renHWQOPGA+ /0kjTPrzUPfklit3VpYKoeOlnsut+DL90bYn3Xwm1JC1Ol8/QNKC0/qNR2BaVbJwPy6fyd3PK0Zs ODQMgzT2tECXuxRKz1F2u8PMXNq9gKYxi7URo/p0n6RH/n2Gk62gKhm/QT73tM8cYXZdGGkaAn75 GIJjvJnZLZNhLTerBNGViaCvZp1QNJBaPBS9Kfk612K9kxJdZAQzFsQFBQtQ9wCGGK5AnEkzhP9i Kz68c8OUAbb6DUXd28rOTIbOMtjLvpB62DPNi5r9rrOqrg+iuRXWy/HRZS+hG3Jr4/azOEqAThFP I79beZWtXDA1SUSvfXslVnUySw6Nec8pgBVfd72zuuAlG58/m8YU7aTsEU1VkI/xQEXH5DR5Qatj q6Ve9M+6xCtNlkpDdqeCtJHR/Mev3iHMsTiQkSMwcc7HPeKjw6RELym4AoOGj6Hlpf/zdg6F1F/3 ZXRAVWk6ZZ1DUFQG1uWBc7HhS/kOvIvADiizujqfP8U/DWgTqjTwRkSpn3mSWaUW8dgfWFl3oq6J wc2XGwTXTtkGv7TDHStXuBxJBcAeZT4Nv6coczJVnrlon9Qj3g8po3AEiTLaWgkKG6E4lIq4zS5O ihFuzI2aNWtasdK6oI9Mn6LRREymNmhdGeBGAEwSsV9A0f+f9SEVjek+6rmRtrc0PHroaGneZOHn ewHdleC92wOfSSif76k5ZWMucfev8ONknJuAeK8m4ihF8kQGUE80SSKz9MDLHUWuD8wtYzVm6vq1 J/GwnqcwYuVbLkPBvMfQTIBYrti29/pHQugvT1K10nXQdn2d9nHX9cpo5dwnkDuhFgIK0ddN8jXU E/zOS/bw/fxoIZKXhcTAoHpVe2Z5xiwtDSWObZ+2Iw6a2WZlFgmpgPwWtxCfA6r72wJULUBH7yKe RGuh327izg4PgnY9ZEXsxZlxJufJPFAWPxoWNi6gZ4I1dUPNrr4wOARiAoy7P3R1+h5KXJcuu0l/ 8+GfSML+OeLF1sSAB80qVQWQzdkxga3ehzhvNn8zQwIYnohQJxeIJVClv6FkwMdAWRJWSde0W3+l BOMMWPIJ4oxIrWYADQBihTMd7UkN/+vQMrhfhfhlMtYz3dxngS3JZhaZ6jOqA//2kU0WArH1hfRZ 8FejjsD8qVNUKnfJS6dkZMB1L8g9x2vx2n6UpwJ5WcqhhOB7hZCfiE2sWfK7zPhVHHk6tN/S/8qP MKfgcTyVmM8gt8VX0//+1GdPGHBkOJqgfJEHC4XEzlU/Rm/JAs5o/6yliO92NXMoCm4+OuUVPmzI 3nT8x1gZ9hEsHttEpVi4KcsYmtWt9Lq8KCflGgxCnk48iLZ0erQzZJY+sd02VGgZ19iPZeGZ2CLK 1QWimx5Orh4ejC/aFt5UoOaJRz+sByo5Fhr216d4wEYnvO+CkQAFr4c+7a1Csy4hWC/yzUfZrgIG 6ZIMk/ctIE1LpPbt35hF/TAk9fAwQ+UpCc2LP/c8sK23edxLl6N5UrLxsyibKFVaPm5RroFH1E0i DSBhal5hV57SA7ToJrN70ei/GlkDhchsWglD4Mv238Mi5o4+ggtsWk3ns0XUy9+R/cnGDqzM4sVR sVHjTMIdNjAdAEhAYgelFrMHxhHJLJj21Ik7u2pcajFCZYefZN0eBlVAkfgeiVN08q9hyJjoQ68d 3UAkG1Ekby0/SBQE0YlJuFxKwS5J2TZDFH/m/1nSpwi+m3axHGPSBw6zxkRnutIT4x0YFR7phneK XBxmdXYxBd+diqO4vNVlqz8XxaYsdEArt0EEOWow5HhhkU6ETyfG8fhQRJ78VOWHaXfR876/a1AK Hcsuc6NSlEY00GQweYBqtAnqYLuOWPTAft9+yKInklah1m3F5kQ4cyfR6/wg6uA8YleCpEzByxwC kChJY6W2kg5LO2WuTlp6Az2VWSvz/7QYndhwi+yCbieFNsUdHq8At85pALkk+A/Duhk77FeBgGDY wjx1RYcq4e6g9njKb4DnGDrEASHs9ioBwcNM+RVKI/mUEUmXvUXwrE03PEKbKkFhMeHT/rrBEvvy DMkKS4ULhQfLJGfU/EqJtOgB1eYKMePfj8O5S/7bPyANql5six9TazHJYnpvWHqZ6e4WkbchVJyp waudIESKA2JZnYHaw1vp6hSgoHH7/djJcP5maC+zAnq7pXTRC20WUBBJndCFaaiYMIuyEh3i/sYu ZkRYaTsmPdVOIIhym8yo9iykBcd+E1oGgMkrGQPH7w8nag0IPyK9qGBgW+UPjPr2YygXlh/3GzvT mnkdrXaMHc4jRxVuiYRX4MrIpXnGmzPTFtm32chPgrvuK52iBXcLbC1FWMD6fhGcNSitukKK+h+L gu25lBMltdBNVyvCYsWvY9R4ziq9rVxRqhzCv4gR13z1Z4cNxpcIZBWwgxgJPbf4GSQrOCRSY8dx brIJqrnC8v6o4VpS26LsYp10MJ7FySD3oZqIAw8HWYdkdaz59L0rWgAchROpWP693lA74sSg15gh +80Lmn+zzsM4IKOi/WOulJ6ipleOY3GME+W5U5lZ00aN1BtbbZAdKQE0bpjso2F4UQmku9eHrfJX x6bT0DMC6iOmcU0IMZnjKj4dKIzpE26tj/zCDWzfgI4bQBbKVKb+blWvFNz6v2fAbc99RjN3EfQ+ sDBxBF8mITsenhWHJHNJrjqD0LOAiUrz+1wY4mBwn8Heb/P0nj1ULFXrmADcwnYdUCcHYTrUZETx 2P+ehc9klXKOfqMjvp6NjxTKXSLqx32yMfdQfu5Yq0VLTQSuP9uQS8L8IFagjIwLb7J/bwGqss// XdnAT7oRb+3axqHvs4X0arvFpztSJkfLDP6U3KyP3qtOVbpU2owl1YYUKR7oEEjigYHFtPHh8Mh7 fM/ALzMkMPAjHJ/5eTwXfJL/ZtdZ2P3dSf721Alt0QiRaju9elribI7NQONJU3Y2N+GK7lN1oevq xuxKBtbwk8S92IU5h9eZHu6+/uUcmLBiKnk2ueSoHqj8fBMkIPHLpV6CgiP/sKcNauy0WnUj1m61 UAXkOzDE7QMhUj3x49OHvpeNy3KhcJymgsWWp39ZvNefyo2No7NKS3NRvofDn3egyUp1br8NiGDH IkMBVbDQltYVwmteigwPctVlv9xqttlRw14MP1oKqgEsfGSuIjihu70o2PzP9c/SgzJNQcwX/QAD 3Eq7SkEJpOLa8mzoNDqd8D8BdoPTc9hgn6+vbvFdVsa77VTxE5h9P7fk490dQ9J08DpaJGOeJuAg LB6cLStzusEOCufRkkOVbBiaCQeGyaDIKhkNkILJCuZQGeHbcLDCUBeZHOtM5Tu0VKVbklTPSciW xWFPYro9Xo5c8mTh5aNyz34MqvgNAE3RzdxA+qYi2gzkcLe6T4RDQfHu8so+lTCZH1T6MpdFl7m9 Okb1M+9JGDrcT6o80e3FTMa7Kh4XeyKWmvtQugFBFr1RqGXZcUz3c3+pJtd5pwry1MHB0diTeNoF VkJVpTDaT+HmH6EEAOmv4M/gP52wtixB2uVL5k3eOvb9o0Dl7TdeZ1yyA/SubWDpLleA2kDR68OU SDowAofclDQElrhpWUJ3ynQVY5aq5E1Y6mmlqD+SaiZ3+y42kPov4ufQcdwugPFX09wBbsfcBf/3 dVTlEXU4RAx2ymo8W9N3cc4b+FTmd214ObzSg2zrbchYBHDKpfnK1DcGnrF3X5L4FXa+rT9PJ8t+ uQZFj8gOWH68I/XpJY/KXHoxjgk+H6+IQviEDhB+InRaPGdOUu6MTbHqyj8F4AabqrY7g7psIXsc Oq+jL8Saxz5k6DiKzsn2AKHtBqMtImPWx7TgfqgvQtANE9ikYEFkLiANZWCpBan7GBZp9Rl8bMOa vnviGVqmEEbgbCBB1Luvfldbni4ARv+c0RdrphLSPGqWdDnaSN4909Mv5/xNAYficRmcpF/tqZZ+ m8cQ1GMX8a9McrISou7JhfUDS+O9FG10UIrmN0HLahEbqBIb/TNz+38WfnWqE39d/bSBA3USt6zB A2zjgul77WLhd+NObMU6pgFr1j5B71p2Zej9iCeah1ymCPybYQSY4IhSZpj7tvCChGgHiSTghX50 WIYczk3nhI9EItB4kb9EWyzE+q716lVqyQCXc+bLoIyP0XZoRzg8A39MsAiKoRZKnE81BZizsqSK R47GfCIDHzTOCzdBLtd8091810qWiWs6OyzTuGes4lRcdDdoXAFkHYNZb6qNePdcy1swlBD50eIn RzM5bBvNWKlRnwiB8uJgU555HSTMdL5g58qu9OHcvKn4xKqtr7em/AfFkCPPLCeSxtvvlePl/QB0 JhziUYWVyojhcqSVVG3yWfzt93aE3D7DxgM1JS2EpFOTfH2ljy43zJwx6GRyhiXgaQtMGV98lyR3 d3irA2q61rj2cI7Ge1RQKrMcE3oXHn83eOFkI4E+IX2KH5WecQoh5IxxagOCF5l3Ujy6MpgETWsl U7eJ1Wy/DQyLFKHGxNOklagmTfuAJFUt/q1+c5AAVBvkexvBY70M9ERbMTmed3Rk0HWXlso8Kac1 tb9UCSpv7WavZpsq4L2NJot0wNieJEYlMtKdJ88Y9VryXeTbet6fTKGoPn/hSoxhatjMsWGpOvZ3 pgttrfynER7TUQ5qzSbg0s5MEXUtMWGfuir4Kv7wG7Mv7K7cgVNi0+8JJR4dZEAz/Wx1ovim0siu QiH+Ex314uq3cZGt+7H4tGSHINGYVBXO8u4jzU89HBfL55+7In+Kjrazktu/m57dAIkfBA8xCReW UUF0p+IxrXiuxvFgk0vIDL3koquIn4FRG0OuCWCY4MRmoeJ05F4InDfVnwqfLKrhJoebEN02NPjy TuK/cQAFNDmrEOibeT4V5Ojn8JUNb5R1fAXpxdlRKHBBSfsoiV4pTnCvz//ug4axRQlbPwtkJsSI KtUXyW6wphwXQYE79zdaB9o3e8bwpseF9NelOYSjM7KM4FNuj/Rm4BYthg38y1pN6gPhMLX+3u0Z ZtzOwn9E1knbwH+TTHcwFY2i1+r06GHHM5Eq5Sihqi4zHrgoUrWJ44DLfRWKngBt9e4zuJVLjO9F DXLSZeCLJa+nNOmi+kCbCyV+xSPG1XQDUnT5was/LtmTciVPBUnin1XQoglZgx5aod5v/BlK6QKG DyfhClRqBGRdW8U/1niV2K/P71uPG/IZllbkK4XPV66kefeWy4vHujvrq6BNMphnWAL7sqH0HFs2 hJL2Z/QVfLEtvCxkYntqXLqJKJVnwUAj4MOOJYKMhSMhBIeZcpSPvINAVPbh/P1dMBli2jy9h2Qe m3eRatWIrR0uIAQlrAUP4wMtg6YyrqxS+vynEBzrlMLK2dL0DhKkQqGIDzaXmFMxtKfTIO9s65Gl u1w1TNQzF/4zL34N5dPgbkOCEx/jVVmim+ka5OJdSi9MkkdrxEpBMfulo1YCnNbU6udWyooxJ2el ilN7QYBgOLC4/EdUYA4UIvP68e9CUHU8EsstnXsVW56LdPiM+iK6/LrA3K/jXqOz9FBJVABvrYpO 0WtXmKd8U2bOB3voNlygYy8X4sFZOimma5I/LU85KpjUYsfFc1TacW5jWN8n0b4j3GMC9bjtYO7j jKi6+JyK5ZZfAx99xEy9+0rKEWp1mM0XPZuQf7dOL1Sm036TB2tqO79zK9nbUUSzLuypm/lfH6Zd dLlXx0aytz3IfSfCvqLWbfmRq8N07+/9jFexSpiS5ntG21hS7CKgeuk3eTdlqurd4MKo/KN3kKGs BVqlxIpkCZEU44FmmR6+2SBfdPkfAvgX/hQDZ2Z2yrWvBDY1npeeOJwQ2G/xlPEc7WGTpIUB82yy 2vjXxb6yP6vwSHSidb8QFomkRVkir2ATGId0ZeJWKbf9T3LEJpKIRevnAr58LpXUw6ABW9ktGXtD OP+IE3FKC6M8qA2Rxd/wbzLPTc8rHDZLh64= `protect end_protected
gpl-2.0
6b5294e39816e99c191769f9026b0d9e
0.927724
1.886203
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/NewCombined/ipcore_dir/Instr_Mem.vhd
1
5,602
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2016 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file Instr_Mem.vhd when simulating -- the core, Instr_Mem. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY Instr_Mem IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END Instr_Mem; ARCHITECTURE Instr_Mem_a OF Instr_Mem IS -- synthesis translate_off COMPONENT wrapped_Instr_Mem PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_Instr_Mem USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 5, c_addrb_width => 5, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "Instr_Mem.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 0, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 32, c_read_depth_b => 32, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 32, c_write_depth_b => 32, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_Instr_Mem PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta ); -- synthesis translate_on END Instr_Mem_a;
gpl-3.0
39a12aeb3059c7972e2997b3f17058e3
0.531417
3.942294
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/HardwareDebugDemo/SevenSeg.vhd
8
4,031
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: SevenSeg -- Project Name: SevenSegmentDisplay -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- -- Description: 7-segment display controller -- Will power the 4 7-seg displays on the Nexys 2 --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity SSegDriver is port ( CLK : in STD_LOGIC; -- 50 MHz input RST : in STD_LOGIC; EN : in STD_LOGIC; SEG_0 : in STD_LOGIC_VECTOR (3 downto 0); SEG_1 : in STD_LOGIC_VECTOR (3 downto 0); SEG_2 : in STD_LOGIC_VECTOR (3 downto 0); SEG_3 : in STD_LOGIC_VECTOR (3 downto 0); DP_CTRL : in STD_LOGIC_VECTOR (3 downto 0); COL_EN : in STD_LOGIC; SEG_OUT : out STD_LOGIC_VECTOR (6 downto 0); DP_OUT : out STD_LOGIC; AN_OUT : out STD_LOGIC_VECTOR (3 downto 0) ); end SSegDriver; architecture Behavioral of SSegDriver is signal hexnum : STD_LOGIC_VECTOR (3 downto 0); signal segnum : STD_LOGIC_VECTOR (6 downto 0); signal clk240hz : STD_LOGIC :='0'; -- 240Hz clock line ~= 4ms CONSTANT wait240hz : integer := 104166; -- (50E6/240)/2 = 104166.66 signal count240hz : integer range 0 to wait240hz := 0; signal pos : STD_LOGIC_VECTOR (1 downto 0); begin SEG_OUT <= segnum; --convert current hex to the segment display with hexnum select segnum <= "1000000" when "0000", -- 0 "1111001" when "0001", -- 1 "0100100" when "0010", -- 2 "0110000" when "0011", -- 3 "0011001" when "0100", -- 4 "0010010" when "0101", -- 5 "0000010" when "0110", -- 6 "1111000" when "0111", -- 7 "0000000" when "1000", -- 8 "0010000" when "1001", -- 9 "0001000" when "1010", -- A "0000011" when "1011", -- B "1000110" when "1100", -- C "0100001" when "1101", -- D "0000110" when "1110", -- E "0001110" when "1111", -- F "1111111" when others; -- Invalid number clk_div_240hz: process (RST, CLK, EN) begin if (RST = '1') then clk240hz <= '0'; count240hz <= 0; elsif (rising_edge(CLK) and EN = '1') then if (count240hz = wait240hz) then if(clk240hz='0') then clk240hz <= '1'; else clk240hz <= '0'; end if; count240hz <= 0; else count240hz <= count240hz + 1; end if; end if; end process; disp_driver: process (RST, CLK) begin if (RST = '1') then pos <= "00"; hexnum <= (others => '0'); DP_OUT <= '1'; AN_OUT <= (others => '0'); elsif rising_edge(clk240hz) then pos <= pos + 1; if (pos = "11") then pos <= "00"; end if; case (pos) is when "00" => hexnum <= SEG_0; AN_OUT <= "0111"; DP_OUT <= DP_CTRL(0); when "01" => hexnum <= SEG_1; AN_OUT <= "1011"; DP_OUT <= DP_CTRL(1); when "10" => hexnum <= SEG_2; AN_OUT <= "1101"; DP_OUT <= DP_CTRL(2); when "11" => hexnum <= SEG_3; AN_OUT <= "1110"; DP_OUT <= DP_CTRL(3); when others => hexnum <= (others => '0'); AN_OUT <= (others => '0'); end case; end if; end process; end Behavioral;
gpl-3.0
037676c3b2fd88616d06a33eda81cc18
0.465641
3.850048
false
false
false
false
skordal/potato
src/pp_execute.vhd
1
14,208
-- The Potato Processor - A simple processor for FPGAs -- (c) Kristian Klomsten Skordal 2014 - 2015 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.pp_types.all; use work.pp_csr.all; use work.pp_utilities.all; entity pp_execute is port( clk : in std_logic; reset : in std_logic; stall, flush : in std_logic; -- Interrupt inputs: irq : in std_logic_vector(7 downto 0); software_interrupt, timer_interrupt : in std_logic; -- Data memory outputs: dmem_address : out std_logic_vector(31 downto 0); dmem_data_out : out std_logic_vector(31 downto 0); dmem_data_size : out std_logic_vector( 1 downto 0); dmem_read_req : out std_logic; dmem_write_req : out std_logic; -- Register addresses: rs1_addr_in, rs2_addr_in, rd_addr_in : in register_address; rd_addr_out : out register_address; -- Register values: rs1_data_in, rs2_data_in : in std_logic_vector(31 downto 0); rd_data_out : out std_logic_vector(31 downto 0); -- Constant values: shamt_in : in std_logic_vector(4 downto 0); immediate_in : in std_logic_vector(31 downto 0); -- Instruction address: pc_in : in std_logic_vector(31 downto 0); pc_out : out std_logic_vector(31 downto 0); -- Funct3 value from the instruction, used to choose which comparison -- is used when branching: funct3_in : in std_logic_vector(2 downto 0); -- CSR signals: csr_addr_in : in csr_address; csr_addr_out : out csr_address; csr_write_in : in csr_write_mode; csr_write_out : out csr_write_mode; csr_value_in : in std_logic_vector(31 downto 0); csr_value_out : out std_logic_vector(31 downto 0); csr_use_immediate_in : in std_logic; -- Control signals: alu_op_in : in alu_operation; alu_x_src_in : in alu_operand_source; alu_y_src_in : in alu_operand_source; rd_write_in : in std_logic; rd_write_out : out std_logic; branch_in : in branch_type; branch_out : out branch_type; -- Memory control signals: mem_op_in : in memory_operation_type; mem_op_out : out memory_operation_type; mem_size_in : in memory_operation_size; mem_size_out : out memory_operation_size; -- Whether the instruction should be counted: count_instruction_in : in std_logic; count_instruction_out : out std_logic; -- Exception control registers: ie_in, ie1_in : in std_logic; mie_in : in std_logic_vector(31 downto 0); mtvec_in : in std_logic_vector(31 downto 0); mtvec_out : out std_logic_vector(31 downto 0); --mepc_in : in std_logic_vector(31 downto 0); -- Exception signals: decode_exception_in : in std_logic; decode_exception_cause_in : in csr_exception_cause; -- Exception outputs: exception_out : out std_logic; exception_context_out : out csr_exception_context; -- Control outputs: jump_out : out std_logic; jump_target_out : out std_logic_vector(31 downto 0); -- Inputs to the forwarding logic from the MEM stage: mem_rd_write : in std_logic; mem_rd_addr : in register_address; mem_rd_value : in std_logic_vector(31 downto 0); mem_csr_addr : in csr_address; mem_csr_write : in csr_write_mode; mem_exception : in std_logic; -- Inputs to the forwarding logic from the WB stage: wb_rd_write : in std_logic; wb_rd_addr : in register_address; wb_rd_value : in std_logic_vector(31 downto 0); wb_csr_addr : in csr_address; wb_csr_write : in csr_write_mode; wb_exception : in std_logic; -- Hazard detection unit signals: mem_mem_op : in memory_operation_type; hazard_detected : out std_logic ); end entity pp_execute; architecture behaviour of pp_execute is signal alu_op : alu_operation; signal alu_x_src, alu_y_src : alu_operand_source; signal alu_x, alu_y, alu_result : std_logic_vector(31 downto 0); signal rs1_addr, rs2_addr : register_address; signal rs1_data, rs2_data : std_logic_vector(31 downto 0); signal mem_op : memory_operation_type; signal mem_size : memory_operation_size; signal pc : std_logic_vector(31 downto 0); signal immediate : std_logic_vector(31 downto 0); signal shamt : std_logic_vector( 4 downto 0); signal funct3 : std_logic_vector( 2 downto 0); signal rs1_forwarded, rs2_forwarded : std_logic_vector(31 downto 0); signal branch : branch_type; signal branch_condition : std_logic; signal do_jump : std_logic; signal jump_target : std_logic_vector(31 downto 0); signal mie, mtvec : std_logic_vector(31 downto 0); signal csr_write : csr_write_mode; signal csr_addr : csr_address; signal csr_use_immediate : std_logic; signal csr_value : std_logic_vector(31 downto 0); signal decode_exception : std_logic; signal decode_exception_cause : csr_exception_cause; signal exception_taken : std_logic; signal exception_cause : csr_exception_cause; signal exception_addr : std_logic_vector(31 downto 0); signal data_misaligned, instr_misaligned : std_logic; signal irq_asserted : std_logic; signal irq_asserted_num : std_logic_vector(3 downto 0); signal load_hazard_detected, csr_hazard_detected : std_logic; begin -- Register values should not be latched in by a clocked process, -- this is already done in the register files. csr_value <= csr_value_in; rd_data_out <= alu_result; branch_out <= branch; mem_op_out <= mem_op; mem_size_out <= mem_size; csr_write_out <= csr_write; csr_addr_out <= csr_addr; pc_out <= pc; hazard_detected <= load_hazard_detected or csr_hazard_detected; exception_out <= exception_taken; exception_context_out <= ( ie => ie_in, ie1 => ie1_in, cause => exception_cause, badaddr => exception_addr); do_jump <= (to_std_logic(branch = BRANCH_JUMP or branch = BRANCH_JUMP_INDIRECT) or (to_std_logic(branch = BRANCH_CONDITIONAL) and branch_condition) or to_std_logic(branch = BRANCH_SRET)) and not stall; jump_out <= do_jump; jump_target_out <= jump_target; mtvec_out <= std_logic_vector(unsigned(mtvec)); exception_taken <= not stall and (decode_exception or to_std_logic(exception_cause /= CSR_CAUSE_NONE)); irq_asserted <= to_std_logic(ie_in = '1' and (irq and mie(31 downto 24)) /= x"00"); rs1_data <= rs1_data_in; rs2_data <= rs2_data_in; dmem_address <= alu_result when (mem_op /= MEMOP_TYPE_NONE and mem_op /= MEMOP_TYPE_INVALID) and exception_taken = '0' else (others => '0'); dmem_data_out <= rs2_forwarded; dmem_write_req <= '1' when mem_op = MEMOP_TYPE_STORE and exception_taken = '0' else '0'; dmem_read_req <= '1' when memop_is_load(mem_op) and exception_taken = '0' else '0'; pipeline_register: process(clk) begin if rising_edge(clk) then if reset = '1' or flush = '1' then rd_write_out <= '0'; branch <= BRANCH_NONE; csr_write <= CSR_WRITE_NONE; mem_op <= MEMOP_TYPE_NONE; decode_exception <= '0'; count_instruction_out <= '0'; elsif stall = '1' then csr_write <= CSR_WRITE_NONE; elsif stall = '0' then pc <= pc_in; count_instruction_out <= count_instruction_in; -- Register signals: rd_write_out <= rd_write_in; rd_addr_out <= rd_addr_in; rs1_addr <= rs1_addr_in; rs2_addr <= rs2_addr_in; -- ALU signals: alu_op <= alu_op_in; alu_x_src <= alu_x_src_in; alu_y_src <= alu_y_src_in; -- Control signals: branch <= branch_in; mem_op <= mem_op_in; mem_size <= mem_size_in; -- Constant values: immediate <= immediate_in; shamt <= shamt_in; funct3 <= funct3_in; -- CSR signals: csr_write <= csr_write_in; csr_addr <= csr_addr_in; csr_use_immediate <= csr_use_immediate_in; -- Exception vector base: mtvec <= mtvec_in; mie <= mie_in; -- Instruction decoder exceptions: decode_exception <= decode_exception_in; decode_exception_cause <= decode_exception_cause_in; end if; end if; end process pipeline_register; set_data_size: process(mem_size) begin case mem_size is when MEMOP_SIZE_BYTE => dmem_data_size <= b"01"; when MEMOP_SIZE_HALFWORD => dmem_data_size <= b"10"; when MEMOP_SIZE_WORD => dmem_data_size <= b"00"; when others => dmem_data_size <= b"11"; end case; end process set_data_size; get_irq_num: process(irq, mie) variable temp : std_logic_vector(3 downto 0); begin temp := (others => '0'); for i in 0 to 7 loop if irq(i) = '1' and mie(24 + i) = '1' then temp := std_logic_vector(to_unsigned(i, temp'length)); exit; end if; end loop; irq_asserted_num <= temp; end process get_irq_num; data_misalign_check: process(mem_size, alu_result) begin case mem_size is when MEMOP_SIZE_HALFWORD => if alu_result(0) /= '0' then data_misaligned <= '1'; else data_misaligned <= '0'; end if; when MEMOP_SIZE_WORD => if alu_result(1 downto 0) /= b"00" then data_misaligned <= '1'; else data_misaligned <= '0'; end if; when others => data_misaligned <= '0'; end case; end process data_misalign_check; instr_misalign_check: process(jump_target, branch, branch_condition, do_jump) begin if jump_target(1 downto 0) /= b"00" and do_jump = '1' then instr_misaligned <= '1'; else instr_misaligned <= '0'; end if; end process instr_misalign_check; find_exception_cause: process(decode_exception, decode_exception_cause, mem_op, data_misaligned, instr_misaligned, irq_asserted, irq_asserted_num, mie, software_interrupt, timer_interrupt, ie_in) begin if irq_asserted = '1' then exception_cause <= std_logic_vector(unsigned(CSR_CAUSE_IRQ_BASE) + unsigned(irq_asserted_num)); elsif software_interrupt = '1' and mie(CSR_MIE_MSIE) = '1' and ie_in = '1' then exception_cause <= CSR_CAUSE_SOFTWARE_INT; elsif timer_interrupt = '1' and mie(CSR_MIE_MTIE) = '1' and ie_in = '1' then exception_cause <= CSR_CAUSE_TIMER_INT; elsif decode_exception = '1' then exception_cause <= decode_exception_cause; elsif mem_op = MEMOP_TYPE_INVALID then exception_cause <= CSR_CAUSE_INVALID_INSTR; elsif instr_misaligned = '1' then exception_cause <= CSR_CAUSE_INSTR_MISALIGN; elsif data_misaligned = '1' and mem_op = MEMOP_TYPE_STORE then exception_cause <= CSR_CAUSE_STORE_MISALIGN; elsif data_misaligned = '1' and memop_is_load(mem_op) then exception_cause <= CSR_CAUSE_LOAD_MISALIGN; else exception_cause <= CSR_CAUSE_NONE; end if; end process find_exception_cause; find_exception_addr: process(instr_misaligned, data_misaligned, jump_target, alu_result) begin if instr_misaligned = '1' then exception_addr <= jump_target; elsif data_misaligned = '1' then exception_addr <= alu_result; else exception_addr <= (others => '0'); end if; end process find_exception_addr; calc_jump_tgt: process(branch, pc, rs1_forwarded, immediate, csr_value) begin case branch is when BRANCH_JUMP | BRANCH_CONDITIONAL => jump_target <= std_logic_vector(unsigned(pc) + unsigned(immediate)); when BRANCH_JUMP_INDIRECT => jump_target <= std_logic_vector(unsigned(rs1_forwarded) + unsigned(immediate)); when BRANCH_SRET => jump_target <= csr_value; when others => jump_target <= (others => '0'); end case; end process calc_jump_tgt; alu_x_mux: entity work.pp_alu_mux port map( source => alu_x_src, register_value => rs1_forwarded, immediate_value => immediate, shamt_value => shamt, pc_value => pc, csr_value => csr_value, output => alu_x ); alu_y_mux: entity work.pp_alu_mux port map( source => alu_y_src, register_value => rs2_forwarded, immediate_value => immediate, shamt_value => shamt, pc_value => pc, csr_value => csr_value, output => alu_y ); alu_x_forward: process(mem_rd_write, mem_rd_value, mem_rd_addr, rs1_addr, rs1_data, wb_rd_write, wb_rd_addr, wb_rd_value) begin if mem_rd_write = '1' and mem_rd_addr = rs1_addr and mem_rd_addr /= b"00000" then rs1_forwarded <= mem_rd_value; elsif wb_rd_write = '1' and wb_rd_addr = rs1_addr and wb_rd_addr /= b"00000" then rs1_forwarded <= wb_rd_value; else rs1_forwarded <= rs1_data; end if; end process alu_x_forward; alu_y_forward: process(mem_rd_write, mem_rd_value, mem_rd_addr, rs2_addr, rs2_data, wb_rd_write, wb_rd_addr, wb_rd_value) begin if mem_rd_write = '1' and mem_rd_addr = rs2_addr and mem_rd_addr /= b"00000" then rs2_forwarded <= mem_rd_value; elsif wb_rd_write = '1' and wb_rd_addr = rs2_addr and wb_rd_addr /= b"00000" then rs2_forwarded <= wb_rd_value; else rs2_forwarded <= rs2_data; end if; end process alu_y_forward; detect_csr_hazard: process(mem_csr_write, wb_csr_write, mem_exception, wb_exception) begin if mem_csr_write /= CSR_WRITE_NONE or wb_csr_write /= CSR_WRITE_NONE or mem_exception = '1' or wb_exception = '1' then csr_hazard_detected <= '1'; else csr_hazard_detected <= '0'; end if; end process detect_csr_hazard; detect_load_hazard: process(mem_mem_op, mem_rd_addr, rs1_addr, rs2_addr, alu_x_src, alu_y_src) begin if (mem_mem_op = MEMOP_TYPE_LOAD or mem_mem_op = MEMOP_TYPE_LOAD_UNSIGNED) and ((alu_x_src = ALU_SRC_REG and mem_rd_addr = rs1_addr and rs1_addr /= b"00000") or (alu_y_src = ALU_SRC_REG and mem_rd_addr = rs2_addr and rs2_addr /= b"00000")) then load_hazard_detected <= '1'; else load_hazard_detected <= '0'; end if; end process detect_load_hazard; branch_comparator: entity work.pp_comparator port map( funct3 => funct3, rs1 => rs1_forwarded, rs2 => rs2_forwarded, result => branch_condition ); alu_instance: entity work.pp_alu port map( result => alu_result, x => alu_x, y => alu_y, operation => alu_op ); csr_alu_instance: entity work.pp_csr_alu port map( x => csr_value, y => rs1_forwarded, result => csr_value_out, immediate => rs1_addr, use_immediate => csr_use_immediate, write_mode => csr_write ); end architecture behaviour;
bsd-3-clause
6435d416f525c82bb476ade994c96a1e
0.654139
2.865672
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_rdy.vhd
6
11,385
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mjqwDtDJBeSE3M87QweLB9euADeU3sJ/t4mKvuZ5dWVR4TWgvccV0s+z77M+pPNWgrUlvTlkWZLr 0C8nQ9/2fQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q3pYotQXEgHOB7xp0A1ceQ2IbcIe+uwwvo4oSEmqFAlXFffFnkoaFEJF3OWTtVqdCUcBLv4XJ5Pq 72ZT4/yqMItQ9nqqLSVaYuiaICcy7/A/F8u1a3udcU5V+Zw+9fSIwf8TuxhiLSgurpl3JcxhLhYN S2E1rRPKCPHedHv5dgU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KqqvBSYbomIbfK7NCuvnKu/VUWeGH96FxKRezjOW1TybnDh1cFsVWZ7Zg0hPYyiSHUXDBGiI0ZHs uFrd/Jeuu/tZCoPS/7DtvqrhTWk/vuH4NkcwNx/I83wkQuRGsm0xWBD3Ic9xpCj5Hc20TiLPWJdS gmAB9rjidi57R3EZHbdtUZiTUWJ7hSxU/jei5+RGWTRtzFmRuza3VQlMc2cudOl/GwvqzF7X6dVh syhgBJqB57kt0UyWggm7Q4lJ5t2hhViYqc2B6YYx0zhsS2WYJv4pXQXeURDUiDhGxv2Mrb07nfug TPsnW5gAeU76s3m1O17T2MEmSdpsVGMFQTmhQw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CDNPfU0SnTwfafJYwWuSpf9FXQ6YwS32m+Es3+I8C7QpHqu26gNeAFvJk/U0gF6UGL1jxgOgTbSs A7pYRjS77NtS7acHMHEvS2es9ySDJ/sFESt0Xk9CfbsumDAWRezAWk6nbAF+bxMW3rch+zDqxBJe DZP6P0nA2uVDM8SfgzE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BT6sfuk1+lcbL7fv/7mpdBfpk9S+DfPUdd/b7q7/LpF8faIIh1UltamQEA4GyaHEqtZSPL5qriAt Xb0Socf+zyHWnXU7IgXqJCNbyiJf3dDYqG3x+qCq+T7UdL2BWU4EDgjt4rs3dyYKR9N/dZZ7sanL LsasGvHbcXrXTp029lHkuaJtpjkiY13azKnD4jPINZEbvnFzuG/btTWuuoKEvXRGDhmQqH98AKo1 4oi73zv36HxRqweSz8OqMgVMvJ2v1qvcmANVJGyYvfOYYHhQL9FdeK6Q/41Sx3TQQIc1yeF0vr9l q0pS6cwsZEWn7Ii0YC8SnoJNj957mzAJ0pjKBA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6688) `protect data_block TQhHqgkSeWgAJEVFaQoGKaAJsZdvwv6ybtoYgLiBDZw/MTIBSG+iCAeV8W/wjWplDtkA1uLMNrdY IDzKzbWcWJOiNivYEGo2Dh5bs2+kxZADDMAOl3mkNMhtKFMExt8sXatKYu6IRYQd2gvVpW7zbi8E EaNgCzFhLjdvVhATjm5C43iEFMXxLzhtgieS/W5U8OjHfUvslBPyRyl1sjhA+VT/2Vh4Hpx9v5Zl /DOnCs1WrP/sBp0KAJDa+BN/+0cx0ole1/uLpiYq8Vf9/XBB44zx/sCQZsT7X6MPTb26EAzifAPr 6HJxQn/BQbZ2bwlD4H7ZCe1PIJ4BcU+YG35wamVrZZZrydk0FdkLfV3pJwu6ieZXn1Zxow8Gl6aG wW7AaPNqXOQiUwN/CuBjMWPcYwXleq1mugdPpHdSUhV6bNcyZmjcZAzYkRPMYbyN7YovJsbszVYz dT7ee3CM0r1jKwi1Bw21/tMS7alayZCg8o5n0ME1syfqknpmovEa6MEt0PELrQGZAltzPgAyBJo8 kZPLBKIGoUJlxhDJuxOWKI+NQAUJcChvyFKz1VjT4sJG63GxuOeT7zrwX+6z5IrBzeU8d7TUILZ5 dShwRRgKvNSqYlUFRkhc0IKf3dla2W+95Z5fprcOIF0Uayhm6bN5yVv3TzdGgA0PsKmY0HWY0uLv yaCYg+v69eYaTMQFKX3LPlm711HzbtEkX52aybQ8X486t2ffpI7T99ybXFSL4V14AVyDJ+CHM8qG zf+PxQij6E3OqW8UkkpFYV7WrEuVtqtlRmFtudL6BnZS2fZN7IE35KlBl9GEBq+BToN/4FzvEvJe 2fYtnieqStskRTWsPxikMbfzNv+CL5aubDEbRs+GAFTmHTVQSvb23wjJlltuZKqmSwk8zXAAXaMP IZXFXM5RHfqgETZcMN/fk4YZejPdfbz/GCf5Nx3EPNyCPSUqUBY+HFS5a8KptkS7m4wIDFqGnkLb lVg4liJXf0zbEYn2PKOqDLs3Dgf3c4U10DgqYuqxuCbuaMitXQeB2dDCfakaeJX5ub/kYbqzw0o+ jl4e9CW4D2HYD8qcMYXCY7WgW4k3SEbXu+QOaKY1mXEKuTCF+nb+yzFoK4GpXJUhRunQJ6p6So/6 QV1zTJD1ptGp2f43rHuQWW6rbWwEm7ad41AZi46Dl1WkGpjzoR/PaxSuF2n8SzDuBuQHYRt3t9kw +wDMD3ii5Fnrm437p5vJWzntJ81SH4ussLnYaibY3J7gmRitkHtIOvlqVPNtSLughVEAXpIiA5Fx HaNcroSn/AnokDberEdnz4XgyycifSyhhW9iQuK8bd3DZtRpmwjvSLBIbY108uGibKlmnfTXdle6 oNAvaU40NbwGGTVYJj9z36pV1cUSZTscdgKrqqppx3vQR/wDNr7H8OGeW7E9d95XBiiAfTB7y4Et dEzdMh7rTp9NkKoxTqY/nBMKO71nKOv0JK90GTpX3IHs0baTxQTz8a+5so0YmLomLJa5Sl5dfoiA CKLl8PMlPjq5/OPqi7xZZjJKIqXdrhEyLu/xKyxbbYKNMSQ6E515b3GaHkrKZzrKCWAKffsYr81C A8QYUhc7exOb8cFjol8cgYs5j6YjR9n86w9nejmpNj8YTtJTE2Eoi5vdy7wHgiQq+gE2N371KaPz IoKdgkjCgbc+MS9aTziHYTp8vkNSZl4JTS0DQWm4jo7pZpETFRk+kaF3fj2UQ9jUx27aRUx4NeGS /yIA2Z059ADkPI7yOgPlrx/v+++RSCoRl/G9SE89lu1l2+B0w8cP5wT9NqYfeWTSWimkB+dGbJZL 5z80dYQnKEBIyWYtcdes+1AOVlNCXLuLPiGaIlkDhlstnCiq5cj2oSXTr9IDBtAgj6dAM2OZWuwZ M73i9VWEZcXwfcSAaA4/SD+g3hAlvw3atp2gAS7pW7NRBKGubamm0BHtEF63ocgG6+wiqh0XSTtn G4eQrZNuBZpJgtuiu2QGJt8+tsa9vWErMvIvgWhZ7ohHbKlYkr0TQPmeVF5e2sGQ00sLwf0IjSvX gOAXoo9+81XNRzCToYoJibSt3ot66M5LPiCCZx4HUY6hnxTKRDPvI88OpwUEPXsVLqbzFUbYU2iV R6hYdc8xE1/fvJCk1mn6iR786ZTi4evtSi3tOdJ5LYZcbmU75YddxEmCzMVX9lHMfTnrtGvrYvPz ujXbR+5u+rSg3dH1BcQkJluRHEWAYW3hSjwKeaze3JGUjhxKDDnKt5du5qbW0X79uvFjlCs7a2Rl QfWDNVer1LQLn2lkGVtZpRp7d4dTnMx1l/TYtPPQEe2r8xw6oVGSzm//Ge71PSOfPzWAu3o8GIJY 7DmBljMYT2sjFIIjXtu8m5DsB2GcQqMxmMNLcTBerZ9cVqIMQWNcN2hbXCEGmY67+NC3gMnvi340 l15o53qYKb3kDcIpXIR5/ADCKqVoqTjwfTuAIisTGgUhdLpWCm3mRJOZIHYOuKCveF6mN9cklHxF oFO9XL+ryMsQa7qwZ8jDLV0f8Im20pDAe8Up9AW58PdqyZRjyKyl8AEDGm/m/f8583Yf7xRXpx26 fwqnH4VFk7woCYi3vpPZX2fCX5XPZBDlbCQqUpRvLpzGG0UQY2Tm9HdpuYwvZGdyRCyObKhetYAQ kIdtP7vt0T5UFHBtB74ygUe+ng6N2U7XxUvnAqLJxm+EerRJ0YU2Mj7JFkacYhvFB2LrOYP+VQyq IJ0TBFq4QQgsioRYuBzAn7sHeHdr2FeTxxQrltoZP/6RFk9UqxZEZFh1lTo8h1jOik8AQfieVETh 8haO6+wCCKmq0EguG94th75q9bkATzQLfluzEIyaLAaMLSZ9mpl7zWcz/XiOFADKU0dLTD3U9brE bmHGa/PbbSFFTynhyjU8TtVc5aIPpAjwaS4WZ5W8hUB+9IzZyD8gh4CnAgMNyzrRz0Er4q//VHch d2YlIGDlbBxKYdJUSqg0xjkUSeGgR4NwNEkbT1FOct9KWIYY3asPaP/FS4RuL3FIYK5HxqJm/Wv1 xH/WthHY2EU8Ea/OSsoyFXHxiq4ziqohN5HCtM0B4S5JiumKnS5IKSm/q/PMl5NvnvClrooG6J7P HjEoo/1ZsCnkIy35Fc/H23TYitxGhBaxhio5Q+0hJ/6/R6dpELA6qCygrah3gZcBLR6LuIxT84we q274jG+EtUp3mNkIX8Bk/9kd4z9eY5YVMMYyT6mcQjDiv9luA9fVcHcTZ2VRBNmLIwjApqUhzaxs 6Yn3+gBpDtjeKP6gumDxRxshi5scFV8XX+TAmaaLKKU43ZuqtU+4mBks2ii3X0DRVermgF8bMc/U f822oXGOKa5GUyA49k1UPc8nJ3Nj+opHsAWNHUUyeLkir8fzpO9DzzkYdzM6OW+1gkX2pjs+QGbC IUaAAdsV6PgoCeKi1dJXTfWSTw8qotnAzmX9oa3DVe/uOt3TXApDLu2eMHjqu72o7NxdK6hZFMJl AmPsxzqiUHTfduyJikPodgh4Y5VMShlFubyMREn2iAP/Ol8WVHF9GG4Gw4ib1Jsd4V5SORX9JS9g A8gcKuaq5iJpjVDXU/lmLhJgUxepKLKLnQPluNyLk2uetWzTFElUWj1J8xrVIF/8DPd9/PEMZYrQ 3bDn4YFRwWKIy4q0KV9SfNO7Y9k29Piy4+VgrjfJv0p/JvKkOuWP8ORbOVukdONpDm6B07YWYmfV T2gfyOlZl8RV62ZjRg611DlK5/P3P4DN2yECVrqBEOLBzEibtZt/6OdisPefbVuWwB6ZbdSlLR0t 4nqVSDuPP7cBfd+0Mt9ws/1gFRf29H2uWDraQ3QuCRMweABCV48IHF81tjvagq+35gsJzVnbv5yf cB0xbDAZyzAZdYwnLRXrxRXMVTYnJtbaj4t47dDhywA2WuIFpwHiPwSbMNT/RFJ/9ZhQ55QTL99y sRInwMgaQeXmkVRxjwbFlggO5WVkvUosIUTQPaq9sW9xgZlNsLKeP8cxj+FyhViCFvinh6t64+hf BfuAk8oAwZ63lz55eh0mcPB4FBMyAaPoKV0TZSvZSdJHDlg636TI1It02P9s54HmWQyUdRH05Q2I 371YVOJSv0kZeBHzZpY2t6JJ71vCZfLm1E9rIOuXJsmxlOCXfe/1YlV02FB3z8qSYzMOS2tpfS+H atSVPIU5VJdlsjGpH9nIbSeoUcmd9eAK2BMzOf1OqvFeAet4J/IVzzgVOwiOZxzhlQ3wdFwo6i+j 3NdHp4gGaJ/R5AEAkErdgOqj/RD0v81mEytyJF2NXNSh1pTX248jFJ0XpY/tfDWMfQRiKrV9htax kG6E268c4fcBy4xlzmOaiAFBdGl2f5s1kB7I7+Kbq9YsDJMmLWBUsu52eMDR0r31aNOatJSjsNqc 8eCVt0HEip7cjWCHzbLZqjXZsT2zIg2B/8VetL9EZrxc9lBXFcBLmfnP79BGedUEmNVIMUJngx06 gcdDXgeAfT4/z/Y+MlzbqcWm+AhYAo4Z6tPrEHmwuAIijC+GGHW5lFyuXBPJIuwJltJQ0TlvQ0Jb ptq6RXsXWW2LnEt1syOncCoFUaFLtUoMtmSqSE8jqvH6UjKoQE5fsI91nfAtP+F3HpyxML2D0E+q gw61P9SlMEjgCqlMve2tuE7BSquSpSrGLH1QjoQZMqfDfGPYrdppT2pC/cL4SZ85L+5XWCOc5c10 22VEqdLVitNz3p/WhEHuIq/0Be3qZB+0OPEcB9js5e9kcVPKH358K1rdHn3+yJOjQPn1IzAv86Ft wx7CjH7NQQ8CHOirZW5o9xeE/FEs4HQvyYrcYDtuGoBRUyWxt2iIK95w0uj8jx48fM+05YVzVcOg v3JbCgwgoPiYxd3bzsBHmep+L3r2aE97ZZ+JUl8W1/hTq9sGpur1qHqG/Rr/TO8xWEtP9SpWpraj ecdbb7dBqu/8+FEEnyG2QAbs4pYh/ym/e/FM5YJOjH11n0hV4OczyR3B+OIswI5CMUcbCNGcgij1 JVZ5vABD9r/4AhERZt05amadxhNvJl5Kj8qqvXoPXjHwjBzbKxb7ikcGJwJGpNe07buRnEAUEQ7a bud+9ylRLvC7EpNzFB40XBVNyZX3xzJWtHPdo3N155HTdn969uTOJTTT0UtgfkmIPvXOLqCw58tK du2tU3ghhTX+jXIMDyeNWDk+vzUQCS+sY58Pow72XN184IZVSsngyzVRwdBAAAG5a0s4tiNT/BWk +mg2aEvomIjFOOXt4g3Lyf0s1ZmPBqRVZYjTgz0uLsBwqkY18mtuk4jvuXCx/gK4fPLpZaWxagGQ 3UE+X/s3aQo8teNRjag2KzPVa6JQjwNVnDQ4IOj7YWPTtFKVVArIYpaMfQxvwsYJZB6xNwUGHqRn SgFo36vvTGEqWRk1zdQzFKucU00AmIeHcCy8LU7F1nKEWrj7jPkjIjZALdMh1GfxYmxQ5MQ313vA UowoB7adjawkqNya+zavatqCnSx8FZNb+UM9vBZ+MgVcHwRL8oja4DsamYQyyvVt59QqjXrAJv+f x5jQ75EYRieX1Qa8Pl2r7fAmFbkr0JPGhkOTx9vRBNu/pZuPl6Q0l+QVgfPCAhJ2c/EKMqJYPR6X aZnhcAkqYJUQyj+cG3n9LIpNdACfmzIBdbw2lS1B39w9YzB+pvZasmNtjZsimH7SezJiV1Pz+xPp 2X6Pp5ZkCbza0gh14gSMxXWczampzu4q7bgJ+3yPzj7mpn3d0thffS65EjpZ9J++xDdcNEpjez45 +CUoDrwASvfQsnBtLxDqcum8INYn1ceWL6pNSXhPedSNyVa2sAIktFXFMRMpxE0LydfQtHAJFrNW 2GsB9AfT1tdKkO9eKNtL4ffSGLSacJ2ITIl0up+ZXO+1g7Ej8fs3VYIDpJbmgKOMj/BmkC+GHfXg 9quYrEmkPCEJZzMf/7rVxdp+pkqANs/eIYt0gz5KJUzmMBlG0XzYdFtjqxSww6/o42ANHLT2Nf/W tY2oPejC8Yp1g7Bmu/8oE34Mlh1bnVCzaOxuYUWpXhF+GbJXVD4ifpJ741e0CjAwX9JMFc6Y5/SZ 0yTJ4d0xdsaGA4YCVKAJX+F6fqWCaatXf79T+tglz4yeXsEnSyQhMKfS2vn6Lu+XrXS9Y25IWvIN n6YUOlvfg1q8TFgKpEms3D6dVUXxg5KGxMxbzmp2vODal3BFP90fB3V5CvO5zOYpDRBLo7GVEdAi R38f7G0wx8rfV+myKuTtMCkZ4GTUmKUwI9dhEd5fxYKAxfRVTqbE0KgCv3mCKsymUO0tjWStMKyo oyqAKtfCTHtD76/xadEhAIiZ2+7QP52s2sE6Hh75drlFTWvAynDUY6YhqhorJToNio/KEF+22MD0 iIJOCxb0sgD/mQnOg/Hi3gJgSETS6qR3dy0knkupkZgfM55f88sfUMoIfY+6h5BS8NT+iHODkQep BPNHk2cbGbJE7+BIv9Qsk4X1dvy+e3zA1d1RuHHRtY5Ljsp8QmxZ6mN4DeDleTBfAhdvlb9CDhbr +CmaQsPWoJ4jTT5e6N3wypji1BYED7GVcqEK6sSfUEUOhfy4pv0v+ePEA0KnVgdB21dVlcXqdy91 WcFNs8HHSAhgUi02j2bddS7xztOAiORDFINtEENudItmdAMOCr0r2+VkPfUMeMHihvczW7ylGaln Sn9dPO84RKA5eKpltO7x+ND+oSbBPn1aFsewOuNzol2jMqFQGoc++OspooV5M3E7x/L7osjNvZiM Y7j+LB5aozmzeiQ15mUlmS/IjQ2kXZE63/9NmfqjBWjCnVZ/bMYoONr39HzErL0Ywfy7BXcN50fD 0UiIboAOEoRSAgEh+RlCnuEzug1eCScR81Gfqu7lVyw6BRMIGFxKEKM9f9h2L6GRwzrzvskuQoo2 9mPZtelgQFG7PFvLkxAXH4OJ8U+kGye0h/DZ/CQc1amYMz+N1jysGjX6a/eJzPB6ys7CosM+VTH3 FDQEsGB2cAm3wNjRizZVAdx96TRQrRwdxiwh0rtN51bg/WRJBW9nQPInS3FySMfuhCl7YcuVw5TK kWVUl9uPK54tIc/ZVSGpHtj0iinIx1ejMJqgQHUhbM3ZC5PykpcKAkM6rwoXfwiqsAXfwQkA+8SZ lyDB9gaqGutpRlD8dR1Kv2nZfFO059GotK8c+UP8zoOk+m8r9wMKaY2e01FDmzV1qrCaQfOJwH4V SkIu8mnfollYKMpvMLOl4tFkhII31F56kvhp924Oi6rowAo308rDSPzlf3LkFS+frJ/207INd5ZR USKlnR1uoEcsUwSX1A4rDEFPlXPiRpsVwCXcjkdk8WEx1I3haxPZPMhdV3zftSrG2LDOMbQT8WBZ WpHKLtsXzhDaigb+1h4pDF9/wV605rhEPte9OAffToBqTegdrv8PSJ+JR+9lX8v0LwL+jzQXP227 iFN64N8DGvsPiSPsB4YZQ1Gv2aK5aDE6RDlfN6w8QWF8wAohmhdZ3zqDw7OAHHQ6NRuY8BVtYXGZ mbzy+lw/bsmjiJN2zKHp0ysYLNivOPlB2WAatDG56EuMmwi09E9HOHInxBzw4BTqPWoH6Wk+Yfqr goNL7ZKV5lzWr3kKbYdOXN6cBHyu5cuGG7AE+2NC0RxEdw02bU7uCh7wtBEXhc9E3FZFahN1Ogaa MqHYs6bn0eoHWdAN7AqgGBYoHT5lQZlRsKPQukIb+IFNwtKWZGRMmyHjNo78MIe3+pybsCknUWpm UIp32OPxrHojAjWrgtNWnYFN2HGDcxFX0QeFNCjn7R7rTcKXi6ERNyXbsZYzgmtO1JzSNGBQgxJg 6dmqfrAH4v6Bhi7NRrThxjNkSJlINzJV7ekzJdLwqqJld3gKKvOk23z0G4oSrcIWp2ISurkLq/D/ FcfCyL6+dMUAjV9Wzh73RCjUUcDO2qmQ6MZc5an4fMS/P20KHSY/719n+bHm3X1RV9Ubsl6klaOY h0o08WQFkBT3vQSaXDGD67WITbLF5bDMrjWn2HUQV+VBrZAE3Maf0daIRs9/gP36/9IsyOdFv15z /4sirTfE5K4nzvJJN/JuEavDwS30NY+D9gmHboq8HCw69JBBysDeizVN1Mkr5+VMeqrsr6D0bfTn CiQd846FWtp+HZPtUC3hMoLFIjoK67I5Jo5bRsCEuHvd7io+n6VZWSt9CKbIKZ1fEKlS1XvTZhRL 59mQ12TwSdgR3m4qg1zHnvRIcYQrv6XZSjXOZ4S9zVlezta3lqT4vWEtcKRqccv2itST8giD5ZPr i9jlLOrlmvbE2FymliBE58h897qZWKVnMW+Yd6CB6igCsd1w37PyrpqB5y1vERB3SNtXX1wU3TyI UBuhQzCXu3TqeTAkSaW1r5sVXnxTM5VYbIqgyY8hbhXZJsg/j275OCZQmO6R0l02QE077BWj7T6t Cof9sLLXrr+NUDvCNxRLgQZQkITlG1gC/5s6C52qgNmjwGFoAfHzSJM+M9zqUqWJh5SyenA1jgZH CcRmBkpFjWms8WsE/qP5sVoDuN1q5UEcXwLKsQqTNlk0Jvf5CjNdkqMtppdh1t7rpjhZn3RzB0Vm NgDxaUJ661Tvwl8fEeBN0nFrXRF42g3JPfL8waTMEcxtla0e1HgBGi09bqRvGGcSRvNFmJfxDfs9 OWVfXKszpcI6jlk0cYmZyonBAgSoWcicKydLoDitQnaaIlijUMbgeXksXLC3CY6iBTZ5xTxh9FJn 5G/aNxwfKETUf4zyLUPHImMOand3giN5C3hqfARLbP7eQhXWhKWImlB5boZ2AB3F2tDKqGn9y/6A UVUkwz+Vur3Yn+JzN3ZHfB7tmdfajq4o3+gHBtptGDK/9a0nAEGbMvvdvUyqaEW5EKIiD7VSYDb0 rNPAHiuwHW02FQIvMqwpUuoM2Q== `protect end_protected
gpl-2.0
5a4e1654996e39918301ae524a854ed0
0.929117
1.893713
false
false
false
false
notti/dis_se
testbench/tb_mp.vhd
1
48,062
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library std; use std.textio.all; library work; use work.all; use work.procedures.all; entity tb_mp is end tb_mp; architecture behav of tb_mp is signal rst : std_logic := '1'; signal clk : std_logic := '0'; signal pdata : t_data2 := (others => '0'); signal pdata_rd : std_logic := '0'; signal start : std_logic := '0'; signal busy : std_logic := '0'; signal mem_addra : std_logic_vector(9 downto 0) := (others => '0'); signal mem_ena : std_logic := '0'; signal mem_doa : t_data := (others => '0'); signal mem_addrb : std_logic_vector(9 downto 0) := (others => '0'); signal mem_enb : std_logic := '0'; signal mem_dob : t_data := (others => '0'); signal reg_addra: t_data := (others => '0'); signal reg_ena : std_logic := '0'; signal reg_doa : t_data := (others => '0'); signal reg_addrb: t_data := (others => '0'); signal reg_enb : std_logic := '0'; signal reg_dob : t_data := (others => '0'); signal clk2x : std_logic := '0'; procedure prog_cmd(cmd : in t_vliw; which : in natural; signal start : out std_logic; signal pdata : out t_data2) is variable tmp : std_logic_vector(VLIW_HIGH downto 0); begin tmp := vliw2slv(cmd); start <= '1'; pdata <= "1111111111111" & std_logic_vector(to_unsigned(which, 3)); wait for 20 ns; start <= '0'; for i in 0 to VLIW_HIGH/16-1 loop pdata <= tmp((i+1)*16-1 downto i*16); wait for 20 ns; end loop; pdata(VLIW_HIGH mod 16 downto 0) <= tmp(VLIW_HIGH downto (VLIW_HIGH/16)*16); wait for 40 ns; end procedure; type int_arr is array(natural range <>) of integer; signal sine_wave : int_arr(0 to 255) := (0, 26, 52, 75, 95, 110, 121, 127, 127, 121, 110, 95, 75, 52, 26, 0, -26, -52, -75, -95, -110, -121, -127, -127, -121, -110, -95, -75, -52, -26, 0, 26, 52, 75, 95, 110, 121, 127, 127, 121, 110, 95, 75, 52, 26, 0, -26, -52, -75, -95, -110, -121, -127, -127, -121, -110, -95, -75, -52, -26, 0, 26, 52, 75, 95, 110, 121, 127, 127, 121, 110, 95, 75, 52, 26, 0, -26, -52, -75, -95, -110, -121, -127, -127, -121, -110, -95, -75, -52, -26, 0, 26, 52, 75, 95, 110, 121, 127, 127, 121, 110, 95, 75, 52, 26, 0, -26, -52, -75, -95, -110, -121, -127, -127, -121, -110, -95, -75, -52, -26, 0, 26, 52, 75, 95, 110, 121, 127, 127, 121, 110, 95, 75, 52, 26, 0, -26, -52, -75, -95, -110, -121, -127, -127, -121, -110, -95, -75, -52, -26, 0, 26, 52, 75, 95, 110, 121, 127, 127, 121, 110, 95, 75, 52, 26, 0, -26, -52, -75, -95, -110, -121, -127, -127, -121, -110, -95, -75, -52, -26, 0, 26, 52, 75, 95, 110, 121, 127, 127, 121, 110, 95, 75, 52, 26, 0, -26, -52, -75, -95, -110, -121, -127, -127, -121, -110, -95, -75, -52, -26, 0, 26, 52, 75, 95, 110, 121, 127, 127, 121, 110, 95, 75, 52, 26, 0, -26, -52, -75, -95, -110, -121, -127, -127, -121, -110, -95, -75, -52, -26, 0, 26, 52, 75, 95, 110, 121, 127, 127, 121, 110, 95, 75, 52, 26, 0); type int_arr_arr is array(natural range <>) of int_arr(0 to 3); signal bflys : int_arr_arr(0 to 1023) := ( (0, 1, 64, 0), (2, 3, 64, 0), (4, 5, 64, 0), (6, 7, 64, 0), (8, 9, 64, 0), (10, 11, 64, 0), (12, 13, 64, 0), (14, 15, 64, 0), (16, 17, 64, 0), (18, 19, 64, 0), (20, 21, 64, 0), (22, 23, 64, 0), (24, 25, 64, 0), (26, 27, 64, 0), (28, 29, 64, 0), (30, 31, 64, 0), (32, 33, 64, 0), (34, 35, 64, 0), (36, 37, 64, 0), (38, 39, 64, 0), (40, 41, 64, 0), (42, 43, 64, 0), (44, 45, 64, 0), (46, 47, 64, 0), (48, 49, 64, 0), (50, 51, 64, 0), (52, 53, 64, 0), (54, 55, 64, 0), (56, 57, 64, 0), (58, 59, 64, 0), (60, 61, 64, 0), (62, 63, 64, 0), (64, 65, 64, 0), (66, 67, 64, 0), (68, 69, 64, 0), (70, 71, 64, 0), (72, 73, 64, 0), (74, 75, 64, 0), (76, 77, 64, 0), (78, 79, 64, 0), (80, 81, 64, 0), (82, 83, 64, 0), (84, 85, 64, 0), (86, 87, 64, 0), (88, 89, 64, 0), (90, 91, 64, 0), (92, 93, 64, 0), (94, 95, 64, 0), (96, 97, 64, 0), (98, 99, 64, 0), (100, 101, 64, 0), (102, 103, 64, 0), (104, 105, 64, 0), (106, 107, 64, 0), (108, 109, 64, 0), (110, 111, 64, 0), (112, 113, 64, 0), (114, 115, 64, 0), (116, 117, 64, 0), (118, 119, 64, 0), (120, 121, 64, 0), (122, 123, 64, 0), (124, 125, 64, 0), (126, 127, 64, 0), (128, 129, 64, 0), (130, 131, 64, 0), (132, 133, 64, 0), (134, 135, 64, 0), (136, 137, 64, 0), (138, 139, 64, 0), (140, 141, 64, 0), (142, 143, 64, 0), (144, 145, 64, 0), (146, 147, 64, 0), (148, 149, 64, 0), (150, 151, 64, 0), (152, 153, 64, 0), (154, 155, 64, 0), (156, 157, 64, 0), (158, 159, 64, 0), (160, 161, 64, 0), (162, 163, 64, 0), (164, 165, 64, 0), (166, 167, 64, 0), (168, 169, 64, 0), (170, 171, 64, 0), (172, 173, 64, 0), (174, 175, 64, 0), (176, 177, 64, 0), (178, 179, 64, 0), (180, 181, 64, 0), (182, 183, 64, 0), (184, 185, 64, 0), (186, 187, 64, 0), (188, 189, 64, 0), (190, 191, 64, 0), (192, 193, 64, 0), (194, 195, 64, 0), (196, 197, 64, 0), (198, 199, 64, 0), (200, 201, 64, 0), (202, 203, 64, 0), (204, 205, 64, 0), (206, 207, 64, 0), (208, 209, 64, 0), (210, 211, 64, 0), (212, 213, 64, 0), (214, 215, 64, 0), (216, 217, 64, 0), (218, 219, 64, 0), (220, 221, 64, 0), (222, 223, 64, 0), (224, 225, 64, 0), (226, 227, 64, 0), (228, 229, 64, 0), (230, 231, 64, 0), (232, 233, 64, 0), (234, 235, 64, 0), (236, 237, 64, 0), (238, 239, 64, 0), (240, 241, 64, 0), (242, 243, 64, 0), (244, 245, 64, 0), (246, 247, 64, 0), (248, 249, 64, 0), (250, 251, 64, 0), (252, 253, 64, 0), (254, 255, 64, 0), (0, 2, 64, 0), (4, 6, 64, 0), (8, 10, 64, 0), (12, 14, 64, 0), (16, 18, 64, 0), (20, 22, 64, 0), (24, 26, 64, 0), (28, 30, 64, 0), (32, 34, 64, 0), (36, 38, 64, 0), (40, 42, 64, 0), (44, 46, 64, 0), (48, 50, 64, 0), (52, 54, 64, 0), (56, 58, 64, 0), (60, 62, 64, 0), (64, 66, 64, 0), (68, 70, 64, 0), (72, 74, 64, 0), (76, 78, 64, 0), (80, 82, 64, 0), (84, 86, 64, 0), (88, 90, 64, 0), (92, 94, 64, 0), (96, 98, 64, 0), (100, 102, 64, 0), (104, 106, 64, 0), (108, 110, 64, 0), (112, 114, 64, 0), (116, 118, 64, 0), (120, 122, 64, 0), (124, 126, 64, 0), (128, 130, 64, 0), (132, 134, 64, 0), (136, 138, 64, 0), (140, 142, 64, 0), (144, 146, 64, 0), (148, 150, 64, 0), (152, 154, 64, 0), (156, 158, 64, 0), (160, 162, 64, 0), (164, 166, 64, 0), (168, 170, 64, 0), (172, 174, 64, 0), (176, 178, 64, 0), (180, 182, 64, 0), (184, 186, 64, 0), (188, 190, 64, 0), (192, 194, 64, 0), (196, 198, 64, 0), (200, 202, 64, 0), (204, 206, 64, 0), (208, 210, 64, 0), (212, 214, 64, 0), (216, 218, 64, 0), (220, 222, 64, 0), (224, 226, 64, 0), (228, 230, 64, 0), (232, 234, 64, 0), (236, 238, 64, 0), (240, 242, 64, 0), (244, 246, 64, 0), (248, 250, 64, 0), (252, 254, 64, 0), (1, 3, 0, -64), (5, 7, 0, -64), (9, 11, 0, -64), (13, 15, 0, -64), (17, 19, 0, -64), (21, 23, 0, -64), (25, 27, 0, -64), (29, 31, 0, -64), (33, 35, 0, -64), (37, 39, 0, -64), (41, 43, 0, -64), (45, 47, 0, -64), (49, 51, 0, -64), (53, 55, 0, -64), (57, 59, 0, -64), (61, 63, 0, -64), (65, 67, 0, -64), (69, 71, 0, -64), (73, 75, 0, -64), (77, 79, 0, -64), (81, 83, 0, -64), (85, 87, 0, -64), (89, 91, 0, -64), (93, 95, 0, -64), (97, 99, 0, -64), (101, 103, 0, -64), (105, 107, 0, -64), (109, 111, 0, -64), (113, 115, 0, -64), (117, 119, 0, -64), (121, 123, 0, -64), (125, 127, 0, -64), (129, 131, 0, -64), (133, 135, 0, -64), (137, 139, 0, -64), (141, 143, 0, -64), (145, 147, 0, -64), (149, 151, 0, -64), (153, 155, 0, -64), (157, 159, 0, -64), (161, 163, 0, -64), (165, 167, 0, -64), (169, 171, 0, -64), (173, 175, 0, -64), (177, 179, 0, -64), (181, 183, 0, -64), (185, 187, 0, -64), (189, 191, 0, -64), (193, 195, 0, -64), (197, 199, 0, -64), (201, 203, 0, -64), (205, 207, 0, -64), (209, 211, 0, -64), (213, 215, 0, -64), (217, 219, 0, -64), (221, 223, 0, -64), (225, 227, 0, -64), (229, 231, 0, -64), (233, 235, 0, -64), (237, 239, 0, -64), (241, 243, 0, -64), (245, 247, 0, -64), (249, 251, 0, -64), (253, 255, 0, -64), (0, 4, 64, 0), (8, 12, 64, 0), (16, 20, 64, 0), (24, 28, 64, 0), (32, 36, 64, 0), (40, 44, 64, 0), (48, 52, 64, 0), (56, 60, 64, 0), (64, 68, 64, 0), (72, 76, 64, 0), (80, 84, 64, 0), (88, 92, 64, 0), (96, 100, 64, 0), (104, 108, 64, 0), (112, 116, 64, 0), (120, 124, 64, 0), (128, 132, 64, 0), (136, 140, 64, 0), (144, 148, 64, 0), (152, 156, 64, 0), (160, 164, 64, 0), (168, 172, 64, 0), (176, 180, 64, 0), (184, 188, 64, 0), (192, 196, 64, 0), (200, 204, 64, 0), (208, 212, 64, 0), (216, 220, 64, 0), (224, 228, 64, 0), (232, 236, 64, 0), (240, 244, 64, 0), (248, 252, 64, 0), (1, 5, 45, -45), (9, 13, 45, -45), (17, 21, 45, -45), (25, 29, 45, -45), (33, 37, 45, -45), (41, 45, 45, -45), (49, 53, 45, -45), (57, 61, 45, -45), (65, 69, 45, -45), (73, 77, 45, -45), (81, 85, 45, -45), (89, 93, 45, -45), (97, 101, 45, -45), (105, 109, 45, -45), (113, 117, 45, -45), (121, 125, 45, -45), (129, 133, 45, -45), (137, 141, 45, -45), (145, 149, 45, -45), (153, 157, 45, -45), (161, 165, 45, -45), (169, 173, 45, -45), (177, 181, 45, -45), (185, 189, 45, -45), (193, 197, 45, -45), (201, 205, 45, -45), (209, 213, 45, -45), (217, 221, 45, -45), (225, 229, 45, -45), (233, 237, 45, -45), (241, 245, 45, -45), (249, 253, 45, -45), (2, 6, 0, -64), (10, 14, 0, -64), (18, 22, 0, -64), (26, 30, 0, -64), (34, 38, 0, -64), (42, 46, 0, -64), (50, 54, 0, -64), (58, 62, 0, -64), (66, 70, 0, -64), (74, 78, 0, -64), (82, 86, 0, -64), (90, 94, 0, -64), (98, 102, 0, -64), (106, 110, 0, -64), (114, 118, 0, -64), (122, 126, 0, -64), (130, 134, 0, -64), (138, 142, 0, -64), (146, 150, 0, -64), (154, 158, 0, -64), (162, 166, 0, -64), (170, 174, 0, -64), (178, 182, 0, -64), (186, 190, 0, -64), (194, 198, 0, -64), (202, 206, 0, -64), (210, 214, 0, -64), (218, 222, 0, -64), (226, 230, 0, -64), (234, 238, 0, -64), (242, 246, 0, -64), (250, 254, 0, -64), (3, 7, -45, -45), (11, 15, -45, -45), (19, 23, -45, -45), (27, 31, -45, -45), (35, 39, -45, -45), (43, 47, -45, -45), (51, 55, -45, -45), (59, 63, -45, -45), (67, 71, -45, -45), (75, 79, -45, -45), (83, 87, -45, -45), (91, 95, -45, -45), (99, 103, -45, -45), (107, 111, -45, -45), (115, 119, -45, -45), (123, 127, -45, -45), (131, 135, -45, -45), (139, 143, -45, -45), (147, 151, -45, -45), (155, 159, -45, -45), (163, 167, -45, -45), (171, 175, -45, -45), (179, 183, -45, -45), (187, 191, -45, -45), (195, 199, -45, -45), (203, 207, -45, -45), (211, 215, -45, -45), (219, 223, -45, -45), (227, 231, -45, -45), (235, 239, -45, -45), (243, 247, -45, -45), (251, 255, -45, -45), (0, 8, 64, 0), (16, 24, 64, 0), (32, 40, 64, 0), (48, 56, 64, 0), (64, 72, 64, 0), (80, 88, 64, 0), (96, 104, 64, 0), (112, 120, 64, 0), (128, 136, 64, 0), (144, 152, 64, 0), (160, 168, 64, 0), (176, 184, 64, 0), (192, 200, 64, 0), (208, 216, 64, 0), (224, 232, 64, 0), (240, 248, 64, 0), (1, 9, 59, -24), (17, 25, 59, -24), (33, 41, 59, -24), (49, 57, 59, -24), (65, 73, 59, -24), (81, 89, 59, -24), (97, 105, 59, -24), (113, 121, 59, -24), (129, 137, 59, -24), (145, 153, 59, -24), (161, 169, 59, -24), (177, 185, 59, -24), (193, 201, 59, -24), (209, 217, 59, -24), (225, 233, 59, -24), (241, 249, 59, -24), (2, 10, 45, -45), (18, 26, 45, -45), (34, 42, 45, -45), (50, 58, 45, -45), (66, 74, 45, -45), (82, 90, 45, -45), (98, 106, 45, -45), (114, 122, 45, -45), (130, 138, 45, -45), (146, 154, 45, -45), (162, 170, 45, -45), (178, 186, 45, -45), (194, 202, 45, -45), (210, 218, 45, -45), (226, 234, 45, -45), (242, 250, 45, -45), (3, 11, 24, -59), (19, 27, 24, -59), (35, 43, 24, -59), (51, 59, 24, -59), (67, 75, 24, -59), (83, 91, 24, -59), (99, 107, 24, -59), (115, 123, 24, -59), (131, 139, 24, -59), (147, 155, 24, -59), (163, 171, 24, -59), (179, 187, 24, -59), (195, 203, 24, -59), (211, 219, 24, -59), (227, 235, 24, -59), (243, 251, 24, -59), (4, 12, 0, -64), (20, 28, 0, -64), (36, 44, 0, -64), (52, 60, 0, -64), (68, 76, 0, -64), (84, 92, 0, -64), (100, 108, 0, -64), (116, 124, 0, -64), (132, 140, 0, -64), (148, 156, 0, -64), (164, 172, 0, -64), (180, 188, 0, -64), (196, 204, 0, -64), (212, 220, 0, -64), (228, 236, 0, -64), (244, 252, 0, -64), (5, 13, -24, -59), (21, 29, -24, -59), (37, 45, -24, -59), (53, 61, -24, -59), (69, 77, -24, -59), (85, 93, -24, -59), (101, 109, -24, -59), (117, 125, -24, -59), (133, 141, -24, -59), (149, 157, -24, -59), (165, 173, -24, -59), (181, 189, -24, -59), (197, 205, -24, -59), (213, 221, -24, -59), (229, 237, -24, -59), (245, 253, -24, -59), (6, 14, -45, -45), (22, 30, -45, -45), (38, 46, -45, -45), (54, 62, -45, -45), (70, 78, -45, -45), (86, 94, -45, -45), (102, 110, -45, -45), (118, 126, -45, -45), (134, 142, -45, -45), (150, 158, -45, -45), (166, 174, -45, -45), (182, 190, -45, -45), (198, 206, -45, -45), (214, 222, -45, -45), (230, 238, -45, -45), (246, 254, -45, -45), (7, 15, -59, -24), (23, 31, -59, -24), (39, 47, -59, -24), (55, 63, -59, -24), (71, 79, -59, -24), (87, 95, -59, -24), (103, 111, -59, -24), (119, 127, -59, -24), (135, 143, -59, -24), (151, 159, -59, -24), (167, 175, -59, -24), (183, 191, -59, -24), (199, 207, -59, -24), (215, 223, -59, -24), (231, 239, -59, -24), (247, 255, -59, -24), (0, 16, 64, 0), (32, 48, 64, 0), (64, 80, 64, 0), (96, 112, 64, 0), (128, 144, 64, 0), (160, 176, 64, 0), (192, 208, 64, 0), (224, 240, 64, 0), (1, 17, 62, -12), (33, 49, 62, -12), (65, 81, 62, -12), (97, 113, 62, -12), (129, 145, 62, -12), (161, 177, 62, -12), (193, 209, 62, -12), (225, 241, 62, -12), (2, 18, 59, -24), (34, 50, 59, -24), (66, 82, 59, -24), (98, 114, 59, -24), (130, 146, 59, -24), (162, 178, 59, -24), (194, 210, 59, -24), (226, 242, 59, -24), (3, 19, 53, -36), (35, 51, 53, -36), (67, 83, 53, -36), (99, 115, 53, -36), (131, 147, 53, -36), (163, 179, 53, -36), (195, 211, 53, -36), (227, 243, 53, -36), (4, 20, 45, -45), (36, 52, 45, -45), (68, 84, 45, -45), (100, 116, 45, -45), (132, 148, 45, -45), (164, 180, 45, -45), (196, 212, 45, -45), (228, 244, 45, -45), (5, 21, 35, -53), (37, 53, 35, -53), (69, 85, 35, -53), (101, 117, 35, -53), (133, 149, 35, -53), (165, 181, 35, -53), (197, 213, 35, -53), (229, 245, 35, -53), (6, 22, 24, -59), (38, 54, 24, -59), (70, 86, 24, -59), (102, 118, 24, -59), (134, 150, 24, -59), (166, 182, 24, -59), (198, 214, 24, -59), (230, 246, 24, -59), (7, 23, 12, -63), (39, 55, 12, -63), (71, 87, 12, -63), (103, 119, 12, -63), (135, 151, 12, -63), (167, 183, 12, -63), (199, 215, 12, -63), (231, 247, 12, -63), (8, 24, 0, -64), (40, 56, 0, -64), (72, 88, 0, -64), (104, 120, 0, -64), (136, 152, 0, -64), (168, 184, 0, -64), (200, 216, 0, -64), (232, 248, 0, -64), (9, 25, -12, -63), (41, 57, -12, -63), (73, 89, -12, -63), (105, 121, -12, -63), (137, 153, -12, -63), (169, 185, -12, -63), (201, 217, -12, -63), (233, 249, -12, -63), (10, 26, -24, -59), (42, 58, -24, -59), (74, 90, -24, -59), (106, 122, -24, -59), (138, 154, -24, -59), (170, 186, -24, -59), (202, 218, -24, -59), (234, 250, -24, -59), (11, 27, -36, -53), (43, 59, -36, -53), (75, 91, -36, -53), (107, 123, -36, -53), (139, 155, -36, -53), (171, 187, -36, -53), (203, 219, -36, -53), (235, 251, -36, -53), (12, 28, -45, -45), (44, 60, -45, -45), (76, 92, -45, -45), (108, 124, -45, -45), (140, 156, -45, -45), (172, 188, -45, -45), (204, 220, -45, -45), (236, 252, -45, -45), (13, 29, -53, -36), (45, 61, -53, -36), (77, 93, -53, -36), (109, 125, -53, -36), (141, 157, -53, -36), (173, 189, -53, -36), (205, 221, -53, -36), (237, 253, -53, -36), (14, 30, -59, -24), (46, 62, -59, -24), (78, 94, -59, -24), (110, 126, -59, -24), (142, 158, -59, -24), (174, 190, -59, -24), (206, 222, -59, -24), (238, 254, -59, -24), (15, 31, -63, -12), (47, 63, -63, -12), (79, 95, -63, -12), (111, 127, -63, -12), (143, 159, -63, -12), (175, 191, -63, -12), (207, 223, -63, -12), (239, 255, -63, -12), (0, 32, 64, 0), (64, 96, 64, 0), (128, 160, 64, 0), (192, 224, 64, 0), (1, 33, 63, -6), (65, 97, 63, -6), (129, 161, 63, -6), (193, 225, 63, -6), (2, 34, 62, -12), (66, 98, 62, -12), (130, 162, 62, -12), (194, 226, 62, -12), (3, 35, 61, -19), (67, 99, 61, -19), (131, 163, 61, -19), (195, 227, 61, -19), (4, 36, 59, -24), (68, 100, 59, -24), (132, 164, 59, -24), (196, 228, 59, -24), (5, 37, 56, -30), (69, 101, 56, -30), (133, 165, 56, -30), (197, 229, 56, -30), (6, 38, 53, -36), (70, 102, 53, -36), (134, 166, 53, -36), (198, 230, 53, -36), (7, 39, 49, -41), (71, 103, 49, -41), (135, 167, 49, -41), (199, 231, 49, -41), (8, 40, 45, -45), (72, 104, 45, -45), (136, 168, 45, -45), (200, 232, 45, -45), (9, 41, 40, -49), (73, 105, 40, -49), (137, 169, 40, -49), (201, 233, 40, -49), (10, 42, 35, -53), (74, 106, 35, -53), (138, 170, 35, -53), (202, 234, 35, -53), (11, 43, 30, -56), (75, 107, 30, -56), (139, 171, 30, -56), (203, 235, 30, -56), (12, 44, 24, -59), (76, 108, 24, -59), (140, 172, 24, -59), (204, 236, 24, -59), (13, 45, 18, -61), (77, 109, 18, -61), (141, 173, 18, -61), (205, 237, 18, -61), (14, 46, 12, -63), (78, 110, 12, -63), (142, 174, 12, -63), (206, 238, 12, -63), (15, 47, 6, -64), (79, 111, 6, -64), (143, 175, 6, -64), (207, 239, 6, -64), (16, 48, 0, -64), (80, 112, 0, -64), (144, 176, 0, -64), (208, 240, 0, -64), (17, 49, -6, -64), (81, 113, -6, -64), (145, 177, -6, -64), (209, 241, -6, -64), (18, 50, -12, -63), (82, 114, -12, -63), (146, 178, -12, -63), (210, 242, -12, -63), (19, 51, -19, -61), (83, 115, -19, -61), (147, 179, -19, -61), (211, 243, -19, -61), (20, 52, -24, -59), (84, 116, -24, -59), (148, 180, -24, -59), (212, 244, -24, -59), (21, 53, -30, -56), (85, 117, -30, -56), (149, 181, -30, -56), (213, 245, -30, -56), (22, 54, -36, -53), (86, 118, -36, -53), (150, 182, -36, -53), (214, 246, -36, -53), (23, 55, -41, -49), (87, 119, -41, -49), (151, 183, -41, -49), (215, 247, -41, -49), (24, 56, -45, -45), (88, 120, -45, -45), (152, 184, -45, -45), (216, 248, -45, -45), (25, 57, -49, -41), (89, 121, -49, -41), (153, 185, -49, -41), (217, 249, -49, -41), (26, 58, -53, -36), (90, 122, -53, -36), (154, 186, -53, -36), (218, 250, -53, -36), (27, 59, -56, -30), (91, 123, -56, -30), (155, 187, -56, -30), (219, 251, -56, -30), (28, 60, -59, -24), (92, 124, -59, -24), (156, 188, -59, -24), (220, 252, -59, -24), (29, 61, -61, -19), (93, 125, -61, -19), (157, 189, -61, -19), (221, 253, -61, -19), (30, 62, -63, -12), (94, 126, -63, -12), (158, 190, -63, -12), (222, 254, -63, -12), (31, 63, -64, -6), (95, 127, -64, -6), (159, 191, -64, -6), (223, 255, -64, -6), (0, 64, 64, 0), (128, 192, 64, 0), (1, 65, 63, -3), (129, 193, 63, -3), (2, 66, 63, -6), (130, 194, 63, -6), (3, 67, 63, -9), (131, 195, 63, -9), (4, 68, 62, -12), (132, 196, 62, -12), (5, 69, 62, -16), (133, 197, 62, -16), (6, 70, 61, -19), (134, 198, 61, -19), (7, 71, 60, -22), (135, 199, 60, -22), (8, 72, 59, -24), (136, 200, 59, -24), (9, 73, 57, -27), (137, 201, 57, -27), (10, 74, 56, -30), (138, 202, 56, -30), (11, 75, 54, -33), (139, 203, 54, -33), (12, 76, 53, -36), (140, 204, 53, -36), (13, 77, 51, -38), (141, 205, 51, -38), (14, 78, 49, -41), (142, 206, 49, -41), (15, 79, 47, -43), (143, 207, 47, -43), (16, 80, 45, -45), (144, 208, 45, -45), (17, 81, 42, -47), (145, 209, 42, -47), (18, 82, 40, -49), (146, 210, 40, -49), (19, 83, 38, -51), (147, 211, 38, -51), (20, 84, 35, -53), (148, 212, 35, -53), (21, 85, 32, -55), (149, 213, 32, -55), (22, 86, 30, -56), (150, 214, 30, -56), (23, 87, 27, -58), (151, 215, 27, -58), (24, 88, 24, -59), (152, 216, 24, -59), (25, 89, 21, -60), (153, 217, 21, -60), (26, 90, 18, -61), (154, 218, 18, -61), (27, 91, 15, -62), (155, 219, 15, -62), (28, 92, 12, -63), (156, 220, 12, -63), (29, 93, 9, -63), (157, 221, 9, -63), (30, 94, 6, -64), (158, 222, 6, -64), (31, 95, 3, -64), (159, 223, 3, -64), (32, 96, 0, -64), (160, 224, 0, -64), (33, 97, -3, -64), (161, 225, -3, -64), (34, 98, -6, -64), (162, 226, -6, -64), (35, 99, -9, -63), (163, 227, -9, -63), (36, 100, -12, -63), (164, 228, -12, -63), (37, 101, -16, -62), (165, 229, -16, -62), (38, 102, -19, -61), (166, 230, -19, -61), (39, 103, -22, -60), (167, 231, -22, -60), (40, 104, -24, -59), (168, 232, -24, -59), (41, 105, -27, -58), (169, 233, -27, -58), (42, 106, -30, -56), (170, 234, -30, -56), (43, 107, -33, -55), (171, 235, -33, -55), (44, 108, -36, -53), (172, 236, -36, -53), (45, 109, -38, -51), (173, 237, -38, -51), (46, 110, -41, -49), (174, 238, -41, -49), (47, 111, -43, -47), (175, 239, -43, -47), (48, 112, -45, -45), (176, 240, -45, -45), (49, 113, -47, -43), (177, 241, -47, -43), (50, 114, -49, -41), (178, 242, -49, -41), (51, 115, -51, -38), (179, 243, -51, -38), (52, 116, -53, -36), (180, 244, -53, -36), (53, 117, -55, -33), (181, 245, -55, -33), (54, 118, -56, -30), (182, 246, -56, -30), (55, 119, -58, -27), (183, 247, -58, -27), (56, 120, -59, -24), (184, 248, -59, -24), (57, 121, -60, -22), (185, 249, -60, -22), (58, 122, -61, -19), (186, 250, -61, -19), (59, 123, -62, -16), (187, 251, -62, -16), (60, 124, -63, -12), (188, 252, -63, -12), (61, 125, -63, -9), (189, 253, -63, -9), (62, 126, -64, -6), (190, 254, -64, -6), (63, 127, -64, -3), (191, 255, -64, -3), (0, 128, 64, 0), (1, 129, 63, -2), (2, 130, 63, -3), (3, 131, 63, -5), (4, 132, 63, -6), (5, 133, 63, -8), (6, 134, 63, -9), (7, 135, 63, -11), (8, 136, 62, -12), (9, 137, 62, -14), (10, 138, 62, -16), (11, 139, 61, -17), (12, 140, 61, -19), (13, 141, 60, -20), (14, 142, 60, -22), (15, 143, 59, -23), (16, 144, 59, -24), (17, 145, 58, -26), (18, 146, 57, -27), (19, 147, 57, -29), (20, 148, 56, -30), (21, 149, 55, -32), (22, 150, 54, -33), (23, 151, 54, -34), (24, 152, 53, -36), (25, 153, 52, -37), (26, 154, 51, -38), (27, 155, 50, -39), (28, 156, 49, -41), (29, 157, 48, -42), (30, 158, 47, -43), (31, 159, 46, -44), (32, 160, 45, -45), (33, 161, 44, -46), (34, 162, 42, -47), (35, 163, 41, -48), (36, 164, 40, -49), (37, 165, 39, -50), (38, 166, 38, -51), (39, 167, 36, -52), (40, 168, 35, -53), (41, 169, 34, -54), (42, 170, 32, -55), (43, 171, 31, -56), (44, 172, 30, -56), (45, 173, 28, -57), (46, 174, 27, -58), (47, 175, 25, -59), (48, 176, 24, -59), (49, 177, 23, -60), (50, 178, 21, -60), (51, 179, 20, -61), (52, 180, 18, -61), (53, 181, 17, -62), (54, 182, 15, -62), (55, 183, 14, -62), (56, 184, 12, -63), (57, 185, 10, -63), (58, 186, 9, -63), (59, 187, 7, -64), (60, 188, 6, -64), (61, 189, 4, -64), (62, 190, 3, -64), (63, 191, 1, -64), (64, 192, 0, -64), (65, 193, -2, -64), (66, 194, -3, -64), (67, 195, -5, -64), (68, 196, -6, -64), (69, 197, -8, -64), (70, 198, -9, -63), (71, 199, -11, -63), (72, 200, -12, -63), (73, 201, -14, -62), (74, 202, -16, -62), (75, 203, -17, -62), (76, 204, -19, -61), (77, 205, -20, -61), (78, 206, -22, -60), (79, 207, -23, -60), (80, 208, -24, -59), (81, 209, -26, -59), (82, 210, -27, -58), (83, 211, -29, -57), (84, 212, -30, -56), (85, 213, -32, -56), (86, 214, -33, -55), (87, 215, -34, -54), (88, 216, -36, -53), (89, 217, -37, -52), (90, 218, -38, -51), (91, 219, -39, -50), (92, 220, -41, -49), (93, 221, -42, -48), (94, 222, -43, -47), (95, 223, -44, -46), (96, 224, -45, -45), (97, 225, -46, -44), (98, 226, -47, -43), (99, 227, -48, -42), (100, 228, -49, -41), (101, 229, -50, -39), (102, 230, -51, -38), (103, 231, -52, -37), (104, 232, -53, -36), (105, 233, -54, -34), (106, 234, -55, -33), (107, 235, -56, -32), (108, 236, -56, -30), (109, 237, -57, -29), (110, 238, -58, -27), (111, 239, -59, -26), (112, 240, -59, -24), (113, 241, -60, -23), (114, 242, -60, -22), (115, 243, -61, -20), (116, 244, -61, -19), (117, 245, -62, -17), (118, 246, -62, -16), (119, 247, -62, -14), (120, 248, -63, -12), (121, 249, -63, -11), (122, 250, -63, -9), (123, 251, -64, -8), (124, 252, -64, -6), (125, 253, -64, -5), (126, 254, -64, -3), (127, 255, -64, -2)); signal reg_file : t_data_array(15 downto 0) := (others => (others => '0')); signal load_cycles : integer := 0; signal run_cycles : integer := 0; signal cnt_load : std_logic := '0'; signal cnt_run : std_logic := '0'; begin clock: process begin clk <= '0'; clk2x <= '1'; wait for 5 ns; clk2x <= '0'; wait for 5 ns; clk <= '1'; clk2x <= '1'; wait for 5 ns; clk2x <= '0'; wait for 5 ns; end process clock; cnt: process(clk) begin if rising_edge(clk) then if cnt_load = '1' then load_cycles <= load_cycles + 1; end if; if cnt_run = '1' then run_cycles <= run_cycles + 1; end if; end if; end process cnt; process(clk) begin if rising_edge(clk) then if rst = '0' then if reg_ena = '1' then reg_doa <= reg_file(to_integer(unsigned(reg_addra))); end if; if reg_enb = '1' then reg_dob <= reg_file(to_integer(unsigned(reg_addrb))); end if; end if; end if; end process; process variable l : line; begin wait for 10 ns; wait for 1 ps; wait for 40 ns; rst <= '0'; wait for 40 ns; prog_cmd( ( arg_type => ( 0 => ARG_IMM, 1 => ARG_IMM, 2 => ARG_IMM, 3 => ARG_IMM, 4 => ARG_NONE, 5 => ARG_NONE ), arg_memchunk => (others => (others => '0')), arg_val => (others => '1'), arg_assign => ( 0 => "000", -- r 1 => "001", -- i 2 => "010", -- addr r 3 => "011", -- addr i 4 => "100", 5 => "101" ), mem_fetch => ( 0 => '0', 1 => '0', 2 => '0', 3 => '0', 4 => '0', 5 => '0'), mem_memchunk => ( 0 => "00", 1 => "00", 2 => "00", 3 => "00", 4 => "00", 5 => "00" ), s1_in1a => "000", s1_in1b => "000", s1_op1 => CALU_NOOP, s1_point1 => "000", s1_out1 => "000", s1_in2a => "000", s1_in2b => "000", s1_op2 => CALU_NOOP, s1_point2 => "000", s1_out2 => "000", s2_in1a => "000", s2_in1b => "000", s2_op1 => SALU_NOOP, s2_out1 => "000", s2_in2a => "000", s2_in2b => "000", s2_op2 => SALU_NOOP, s2_out2 => "000", s3_in1a => "000", s3_in1b => "000", s3_op1 => SALU_NOOP, s3_out1 => "000", s3_in2a => "000", s3_in2b => "000", s3_op2 => SALU_NOOP, s3_out2 => "000", wb => ( 0 => '1', 1 => '1', 2 => '0', 3 => '0', 4 => '0', 5 => '0'), wb_memchunk => ( 0 => "10", -- R 1 => "10", -- I 2 => "00", 3 => "00", 4 => "00", 5 => "00"), wb_bitrev => ( 0 => "111", 1 => "111", others => (others => '0')), wb_assign => ( 0 => "0010", 1 => "0011", 2 => "0000", 3 => "0000", 4 => "0000", 5 => "0000"), noop => '0' ), 0, start, pdata); prog_cmd( ( arg_type => ( 0 => ARG_REG, -- i 1 => ARG_REG, -- j 2 => ARG_REG, -- r_lut 3 => ARG_REG, -- i_lut 4 => ARG_NONE, 5 => ARG_NONE ), arg_memchunk => (others => (others => '0')), arg_val => ( 0 => '0', 1 => '0', 2 => '0', 3 => '1', -- r_lut 4 => '1', -- i_lut 5 => '0'), arg_assign => ( 0 => "000", -- i 1 => "001", -- j 2 => "001", -- j 3 => "010", -- r_lut 4 => "011", -- i_lut 5 => "101"), mem_fetch => ( 0 => '1', 1 => '1', 2 => '1', 3 => '0', 4 => '0', 5 => '0'), mem_memchunk => ( 0 => "10", -- R 1 => "10", -- R 2 => "11", -- I 3 => "00", 4 => "00", 5 => "00" ), s1_in1a => "011", -- r_lut s1_in1b => "001", -- R[j] s1_op1 => CALU_SMUL, s1_point1 => "111", s1_out1 => "001", s1_in2a => "100", -- i_lut s1_in2b => "010", -- I[j] s1_op2 => CALU_SMUL, s1_point2 => "111", s1_out2 => "010", s2_in1a => "001", s2_in1b => "010", s2_op1 => SALU_SUB, s2_out1 => "001", -- tr s2_in2a => "000", -- R[i] s2_in2b => ALUIN_1, -- 1 s2_op2 => SALU_SAR, s2_out2 => "000", s3_in1a => "000", s3_in1b => "001", s3_op1 => SALU_SUB, s3_out1 => "001", s3_in2a => "000", s3_in2b => "001", s3_op2 => SALU_ADD, s3_out2 => "000", wb => ( 0 => '1', 1 => '1', 2 => '0', 3 => '0', 4 => '0', 5 => '0'), wb_memchunk => ( 0 => "10", -- R 1 => "10", -- R 2 => "00", 3 => "00", 4 => "00", 5 => "00"), wb_bitrev => (others => (others => '0')), wb_assign => ( 0 => "0000", 1 => "0001", 2 => "0010", 3 => "0011", 4 => "0100", 5 => "0101"), noop => '0' ), 1, start, pdata); prog_cmd( ( arg_type => ( 0 => ARG_NONE, 1 => ARG_NONE, 2 => ARG_NONE, 3 => ARG_NONE, 4 => ARG_NONE, 5 => ARG_NONE ), arg_memchunk => (others => (others => '0')), arg_val => (others => '0'), arg_assign => ( 0 => "000", -- i 1 => "001", -- j 2 => "001", -- j 3 => "010", -- r_lut 4 => "011", -- i_lut 5 => "101" ), mem_fetch => ( 0 => '1', 1 => '1', 2 => '1', 3 => '0', 4 => '0', 5 => '0'), mem_memchunk => ( 0 => "11", -- I 1 => "10", -- R 2 => "11", -- I 3 => "00", 4 => "00", 5 => "00" ), s1_in1a => "011", -- r_lut s1_in1b => "010", -- I[j] s1_op1 => CALU_SMUL, s1_point1 => "111", s1_out1 => "001", s1_in2a => "100", -- i_lut s1_in2b => "001", -- R[j] s1_op2 => CALU_SMUL, s1_point2 => "111", s1_out2 => "010", s2_in1a => "001", s2_in1b => "010", s2_op1 => SALU_ADD, s2_out1 => "001", -- ti s2_in2a => "000", -- I[i] s2_in2b => ALUIN_1, -- 1 s2_op2 => SALU_SAR, s2_out2 => "000", s3_in1a => "000", s3_in1b => "001", s3_op1 => SALU_SUB, s3_out1 => "001", s3_in2a => "000", s3_in2b => "001", s3_op2 => SALU_ADD, s3_out2 => "000", wb => ( 0 => '1', 1 => '1', 2 => '0', 3 => '0', 4 => '0', 5 => '0'), wb_memchunk => ( 0 => "11", -- I 1 => "11", -- I 2 => "00", 3 => "00", 4 => "00", 5 => "00"), wb_bitrev => (others => (others => '0')), wb_assign => ( 0 => "0000", 1 => "0001", 2 => "0010", 3 => "0011", 4 => "0100", 5 => "0101"), noop => '0' ), 2, start, pdata); cnt_load <= '1'; for i in 0 to 127 loop pdata <= "1111111111100000"; start <= '1'; wait for 20 ns; start <= '0'; pdata(7 downto 0) <= std_logic_vector(to_signed(sine_wave(i*2), 8)); pdata(15 downto 8) <= std_logic_vector(to_signed(sine_wave(i*2+1), 8)); wait for 20 ns; pdata(7 downto 0) <= std_logic_vector(to_signed(i*2, 8)); pdata(15 downto 8) <= std_logic_vector(to_signed(i*2+1, 8)); wait for 40 ns; end loop; cnt_load <= '0'; cnt_run <= '1'; for i in 0 to 1023 loop pdata <= "1111111111100001"; start <= '1'; reg_file(0) <= std_logic_vector(to_unsigned(bflys(i)(0), 8)); reg_file(1) <= std_logic_vector(to_unsigned(bflys(i)(1), 8)); reg_file(2) <= std_logic_vector(to_signed(bflys(i)(2), 8)); reg_file(3) <= std_logic_vector(to_signed(bflys(i)(3), 8)); wait for 20 ns; start <= '0'; pdata(7 downto 0) <= "00000000"; pdata(15 downto 8) <= "00000001"; wait for 20 ns; pdata(7 downto 0) <= "00000010"; pdata(15 downto 8) <= "00000011"; wait for 200 ns; pdata <= "1111111111100010"; start <= '1'; wait for 20 ns; start <= '0'; wait for 20 ns; end loop; cnt_run <= '0'; wait for 140 ns; mem_ena <= '1'; mem_enb <= '1'; for i in 0 to 255 loop mem_addra <= "10" & std_logic_vector(to_unsigned(i, 8)); mem_addrb <= "11" & std_logic_vector(to_unsigned(i, 8)); wait for 20 ns; assert false report integer'image(to_integer(signed(mem_doa))) & ", " & integer'image(to_integer(signed(mem_dob))) severity note; end loop; mem_ena <= '0'; mem_enb <= '0'; wait for 60 ns; assert false report "stop load: " & integer'image(load_cycles) & " run: " & integer'image(run_cycles) severity failure; end process; mp_i: entity work.mp port map( rst => rst, clk => clk, clk2x => clk2x, pdata => pdata, pdata_rd => pdata_rd, start => start, busy => busy, mem_addra => mem_addra, mem_ena => mem_ena, mem_doa => mem_doa, mem_addrb => mem_addrb, mem_enb => mem_enb, mem_dob => mem_dob, reg_addra => reg_addra, reg_ena => reg_ena, reg_doa => reg_doa, reg_addrb => reg_addrb, reg_enb => reg_enb, reg_dob => reg_dob ); end behav;
bsd-2-clause
36ac8dc1fb0240cb3fca6b7e0fcadaa3
0.297699
3.01897
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_input_block.vhd
11
45,404
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dx4v6c9vYvnygASNEz8Wt9YQDwnEzFYVIAcFDiKIl6IJHPQhwIfrhThphA7cw0XGZCiW3Ti+sHaH g7gcATmSFQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jQUaZcrD4lrreCa/AI+vVRPjsaj8XGL+l0O3lQjviya17HBpMdVHt87HDt/2g4V6uw0mR2Tj74Tf F6Ad/nOtd+o/vFSACZ+A5+r1AB/0fClmu/2Fzt3kOUX80dg214rrWDmxSc8/eXiZzo6FSOckGlzd KIQOe45QmBQw6t5uWY0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block H1WIk0GYOBW3hj8vq7cNJi2se0CigSbj9zDeE5/bvzzSDq/r5nY7ZOJGMTOOWXaavQKPdpWSXTsG jTY8ATtNvY/EqGnQ8Hid8EAUXPZRWSWNQl+3r+1l57f/J3P03BH2ZYzcB5HTxd8umm+eVf+n+lwJ +KsilER30PDLwBIUCeujtE/Ul6S1aUFI2QhngbEFeRGceALTb/CFiOTqcGFC22YOCOBYNMnIRmFq 1qgJeeCv/Xz71bfhEWD2RmYWA3TFru8NKofca03HRHaxWyjMPbA6/s16ZpER58CpeMd5mXaMTBLi JzjtDS9hOHRwAO1Di9/qjHT0DFG0auA4xI9ZNw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EKj79D+0KVH/6ULSwK5yivwOJ/tIwb3kcjRk4TjrYUGSjCVc54FLjdAEd7KfBgL2TBPz7nBj1hHi TDQiYFXmrOR+2zUiSCwO7kU4ToSKXckj3tfqtrpXZplZIgc7LKaVD56u2q0Dnm0h911vSou1lQqS OTA8qJ/wAOLQ8/HE+yo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block V1vgE5dAU3bFLw+cOfYP9905c4uMPNnOA1LzPC5I923eSOcE6YtRhf2p3os0BybYkU57rhzkXYSX +TM758npt7m9mXIefQATfe4w3Ih6C/vGXgt+s/7xP0D8aLU0sAX4Buxyx6EbvBr6KrCp6h/l/PmM zFS9uwgUh1NumTwqvvB3Q8Nab1NooYuz+0K650gJKjbQpKvp9iI9xSNBG8phF7sW2UT+oklxTuu8 K37kaKdnLVfhoYNWvQhXnUYU+Ni4pmmKzPXHfm/Km5pauCNog/Xf4bNKHDaynxRweVCDub9aYVDq MdQ67fEKSvy+g9Z1bQKqPfmzTSqi+3GGLQ+fwQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872) `protect data_block CejqOhuB2eRTvExa17JH1CufcrBuHV37NqMPpeReb7RNIPYRU5x4ky0++THIgV4P5742/zqTM18K 3sY/g/27goUjfKXtLIRru76Ku7fv0h+wLvjnOXrCWIzLJqY35uZYp7Wzm5enuVxBxEx6buQy5Tr2 C5ErFQj2Vx3oKA6JnPwO5eSenoFsddS173QAbGUYj9/u90eIZFAecaFMgUXX95hpInlfD8lGDRjo R/06rGa3Zm6lxgfibSFuHKke8egKEVFu/hIkHYv8cMnoeB9YsAB5l6XLc6jM84xxv8eN6gbWijN8 cf26aIfhjqAF7Z14146m1bGebNoLnbbw4+I1P3M4c/jwLZTMSfzfQP17SVUcv0us9ZiKYoTUQsoU mW+bcO1mH97Gx4VMSG1OtaYRHd4/zF1jNcQdbi3jD35kabZNADgrbYH8wc3R7MvKDJm7rfmdfT8X aOzJWycLRq9GYE6kUzN+DXHKNqRlXgT8u+oyIiY7p121MXYEniKtQH2SkDsUQ88QMgbMVw0y8flk sS3hjZ+Y1+v4leVgiTxQkic7uEb0c6LLl6dR31z5Dw8iF3pCsbv/aCIMBxspoKyWD6McbjgiQGO7 rLDBja32IT+AlkYt3vNE+cXqirGe4pwbLF6NQB5qV8KJWmQjvx1CxXf5J/RypKWQVi3v8T+61V48 smu+T3YIa3v9cB93M/U31tVH26eILtBYEd4szvleDtYbWNgEnA8+0uchu0dAMMZ5yK5B9BQCeeJI /I4BsZZD06uloRQPJjEvHGU5DhKhawtNOpZzS8hhan4XA4fxU2dBTpwlNUIqV3B0k7KyCvVBn7mj BSn8VtEUCQiPlKyoduQGQY6lQYlbeH/AfgG7YiTdi1TxMyActvbKQJNPFlhv8jEjZFVhbmLeNvgI N1vjZp9doRiQc0XJEqxgoA+VPIpUZB/ODYGyzbiMJBIP3o0wM5JJShbbhUeCIu02fP/an49WOlU4 9ox+K0pCLC9IGI1fYjwu3dXG+RvBubhd0RFB4sjXyche9Z0qHDai1KKKWylE2BEdSLSnSDO46lC/ U4PcUX45SPrDlOQ+dpwhHMYSc7Rwsv6hgQfY8iQ5zeqWsDszmLL42Fwug0mMgZJ+zWlihLP7QTxy W6i23LiXEVJTPhRGkVAtv4GsS4DzNPRGnC9QDeaZjWv29Or9slgN8QS+TWI52N8n49U2jTwMFleB LT+EBeClW6HxkF+WkKwrnxa3Vyb9Be1fRMdZwr7EhclM8E/QpUXRIc8LmbpK+ldnOJSa/M8w9OtJ lQX2e83sfBpEDlfgkEKiqjyuPQR2n5TfAvbh4EIhjACkDgj3xhXH/oH7BTwvzVxaD0V/vpS+xoZF PIVtbC0Zc454rDCDkRjn2Ryj/7xekNlhFliFUq952W2BEg/yh6kxxHM6Ur5AJL2qlYjjrHSKN3FK IE/LkcswsSJpkIF1f/hF7LF8orTscFGfWFBrVTb+gAJCqEmH5FUJ1e6elIltBs1ifokEv6eVOzjN Z6KgSF17aMv3hRqWvZFcsjOw7d9yP29A4yd0UdNrkzFfrBAsCmVaNbMJcD478NKjo9bWMuOIBqBZ xhYozTrd6TNRtb62M5WAEHuvbvr6o9OE+QZEOpgT5U2lJEjZBsrPx4llSKVJiwQV+4ATcuQyqY1M 7anUcqF+KLq+LxTXUA4RAElHA8vTauwiRxU2IXMbUdmQC53up7sXrXKOr7jijVD/EIIsTUU3Fa4b DBUfcK/ZFAMnFe6x65yShUjzmAaqYflY+B3TozR36ERC75ZvWS0Q7juOaaOBoqP7C7hF2apaeBfj uNe8f01wBvkM00H0P1JhbYk3qxIwYVK5hyB8hPhKj4BZ2pCprgavVgtJ/8Nyi3cHaPjms5LbFcFz dGFWwkao84AX2jYKMsXw+A1LbeEHaGMLPe6oriG6anpWnjTkOffdO139q+H/NKjPZ4GDaWk/J6pL HSEQ8HAhG0aIKrcKZeF0NZWsI/d4DsIlagWk7MyROQJqkI2CQHzxBs77Q96Gll7+3NNnx3/LpPEe Njxf76S8uYe1+s1Q/dIyhCHBY02QtB4AuCOP3MISR0X7HtAcYDm2ubAjskezpOr6XB/eP2x/icwB kPdINDOWxTJRG5T94mbxujn0yVkXaMn/ycmlRKTtVulve0YBTm9XLcyTM1899SrJ7VH9/ugt8GGe u8kzWkhHapTv8vtz115zvtSq8vnXvzq53j6XJ4th7Z6YEGNBUo836XmjAeq1v/O3YUCK64d52cLD BXKvKtSZogWT9j9X7O9VWizMP8StahwgrrlxQChcHSiQXmhBElQS4Y2ZVMD21zq4VSmbSVrEHIJZ qqtoU3BaEZekM5zi5vM09SnIUSIOdYvux94EE2aSDf8z90xfnirC7Qs5ZZTkgbm1k05rHjJX/KVr Fxlipou3o4kXRElWl8XdX49QVfXeMRYgCQAtPt05dbhYSgWTS9daeJRzTMW4lflfvtUrpkLbVMK+ VjhX2E7xwBC9LsO1oAL5Cb0hpWqZ/AWi0UYWJf68w5yp9s6sGNHYrSPQSTfBUo5FGqiZPsMVb6fr Y8imIokm48Cbw+4pJ4owu3m4rRrkyqgmdm5XfSP4F838CyBWNdM5qxwiwsyTcx0h/Ra4ByuP6qtC P/EtC/PJI5HlXOGHExRUhjkXV+xwNiizvx5neRirBu/2SqvTKpfNgL/k8rcnCGfQTFlJD9it6m3f OEX+qfOlieR8l8vN7T3PIFULxS5Gq7P0LcnMT908b3fZhGFYJoi55ZEcw5hvpvEzvBAVou++5Ftx LQ97XxMmX/mOU+16+Cw4G2g1ZDzIdyU/n4HrYGShLgoPPZNgJoqUfddiGDyP0aSXD7pKog1VgWDn BN2o1YMwdHxeTEXbvC1591e75RypiL9oxV/fNW8RP96QgCqJkZLXrN0fkSCdj9jn3YzjCtDVVCk9 0mrvJaaRDTHFiXFunHXgoaWss2XpIDlIjUgFbvVfYHRaxNloWtBYtjch1D4Rk0Qb4JjLUc9OYtXS MOmtldT9XicY+j20l9jrz87sjKNu8fKDF/GCGo7+Zq+/JC4j7mc5zCjEAmMWo+hBzWktv0nLA8+T +h9dLFh62/jYH/PaTLWP8g2o+sI8Potmaa09F7uCJVskegWsVoi6Wte5NMCGM+WV2rvUjKcNHFZU 0VIfqqR8H633NVN0g7KxKg4sHUKb9w/LkkW9Ot/A7JJoKhlZscHF+3Q8VftGGu4wnBTq+pSPYi9R t2ZGAye/AsxljsvGZ7SterQWbkJwzsehc4q2LGTt8xr9NpSPVrzYS368YAOPKupGqbsjz8p6ACyr o31AFPA8/YyXYKrjwZLXKSiyftFXhuK1SNkNcLPK9+PhtW3iqCKo40Uqj1qTylTVYejJU5MUuv9Y OowZ/udR7MqGXK/RPl+Gaygr4FMNUxCCAsi+/DUX9e0JCoeDIxV1jQEoQFBNCxqzSiMWrQi0LuKN y+VZ9MUovcD4QWpunF7beHO22lwOJNkj/dRb/7xTEwP8DjtEWS5O8ukGrGXwiO4YoIwxzp6ZLTvf MMQ+vDzetTBz1Juv2awoJ8QoZisTvuQT/w2xXS/NJGOXzlSeM0Jb05byRnX08xi89XRNM/Pb7Zwe jRkXUZmObRu2cnf/p8JpVBzmiCfd9jLg86DzS6dlxKr/j/ibQCQXfMp7Dx2yvNIcJLj+wJdO5GCm oPLZ9XEW0O9ZLEFqEUPjn/OCktnxWAYnQCh9ctXbysv02rM+5yRF+Mk/Uxqh8DELmuObrzrYl5+s Xrfgh2SpD1G6vX8g6Jem2++XMCTM3btMfxAoU8QTE9zqHTs7hghdqX+wrYUWHDKrRrXvJOzUTDaf mUadWdxWhhVcpMqlnOBz8iHBO7USzMdCCd0/nyPGQSXTvftOp46ED5Y4TxBXuwVFaSW5x2LYDobi CgnJ36NXrlcH6S8qy+jCA0cvZBh1Y6mjnIG9ChihZZR1lpz2YEJKx5xyNndDQ6c5P6ucwmK/gWio beyWqM/g06cwNtN7ZzGxG8dECuerhouVkbmEqYfVM3MFjjk1bUYK7fDDAC34rr+0nqf5FWmZT6rR KkSzekp32ioiprv0PeUZOxYJgLdqqKbQN4Xqs/aQ5/53mi9oz3zrxwJG0lQmUonNYRUAWWVeVGYM yRXYeWlNg/kkLTI7kJHaRQAKpPPInEIIaUtGLF+tQg4IDSbxxmomuBTeg1pJExyA1JcgQy3oK3JI go+L4/R9POu6EyMMQM5unPhYDfqrUibrh6JUphrzYSHNT2+I5/J7UAe9mZIkgfmtno53R4/Ix8oM 4vwxrk2F9PuTHAyYd6pP0VfP7QdNsFhCwlbcz3TlRsVpYZz/dshIILWQdyvoDxshPfUx4vlxqWT0 wmo/UpZoFbuTFrL8uMxVdhYcHKbgFTikoG51zqEkNSdAUAREr15ZSMhsaVFnrFth5ySszcom9xqP iwwdUHVPjxaJPPGZpPy3mIqYOLG1clnb/vJrJZGeKYFIyZ9AEpNL8BY1PAEMWQ6md87e/p7Yo/wi 1xLHxKgiQSSaYrvg6qJm2H0OkG/NI7qOgSck20pFgwhPqSqS1ccjF4nkbtuvTj9dvIj5roYHjWSt UeItWUWcSedFDEECe6HRPmoqdMWGlMfPZYkPINH01+MmiXrycuIUNgYbcmvgVV29Pef/MWZUBENd 9m/qNZfRbsppvhWwSrajbWvK3Auo3nLkNEG/kj0H5yeYSjNkPzwV+b11oxycrgaH/OASplubDLxS io6Hj68CUVN7+WC9w4rRQIlq0M787GkGbsVLQEZpxDCH1f17YKcpe2Kl1Sy+6Vq+Zt645G4yIiZT 7yu5fTGvhX5bXfCr0J7i/P4i3v/eZsUdA6jXHhGUpf6aQ8IMRCJZgVRE19v+Z686Gm1oppTKkaJJ HaR6TH3UBxdUWyyoaNG2bFoTbwsyNf/vqnUMORCguW7mhDlNltGF0W2zxKJCr/JpC7c3Kbo00W22 yJu2nGW2DApk0gI4/OyYyCo2wuNpFxfQUTEd7GUCxvL+a/BpU+rdmP9DaFgx2McfYGLIZxJRlGkE gCW2brmqK7OT8s4N+5AHOIUt3IXlzO+SjU+r2v7clhOSCHJOSMHJfjhfyK+mo2uHEj9X14KhE3Fp zrXkOKo3xQKISTC2NVDQslBhwyI6Q24gR3M/y+Y45t7Rt4R3omzkAKWjIgAWoIxVUaC4Mjy22Ogr 0QmMKy1KadnGY4Qc3lRI1iiYbfGScnVcP30zBAjRYRoW6KdZUfYVJqpMemZd1NGvS7XJVfbGwaYR c8qnnzD1JZqnbaNlWmYjbWweRuhOILiilfNvOl+XTKdTPhRO7qc5OVYm3Qje2N6IKENpV5Johe9A /uc/ZBW5pjXwvgfE2bGzS1djHW/6b+KkRHKFKz9fZfJe0CwHCRbF25SHgV3OBf+uJfRV8Qq41Q1W ojpb6kQKhBeqcegImNWqklIwN9cVat2qSIbCPNgxtFrYwapayd9YOvrX7jI/h5+047yTHVZxFprr 7wNScY4wKA/gy4GgAQW+ElGnbbng1/NI/tcGU1vMaOQs3ZKJkaqagDyhcVO+qhsd6Wx1jWJxEe3x z/RAQ3Q60Y0NmyzgU0TNY86ejhYkJeVaOryZ0sB44dNu0dDnKxEIxf1SgnFtFDNh0jyvNi6y8t8s 5clPJRfSF/+4fPxPc3iJotcqKtSnauY+k7GZH2Mawhb+/fsV+6yvLd9mk+fznwiHcxMw1m2i98YI b+I3iBYd6fkE8rUs9r6dqZKfcwyV9ZOb/T7OijLHOpQS9Sn2c7hvOaJfFmhiMuJRnX2M60aBl238 r7vG2AfuUuMZiWWGi20XIYeWRjrTnE6wwfqdw8CrW4hriCeJIin8ag5aqFgZcNLVEZTszecLS3Vw JepbJ2FliSbZNfwz5Uhh7psYFs4U2vF87k/8UucTjVcH2kw8qE4Hs+bYuAYpjAmNStWg0hiHSCVV 3ohX8E5zQ7J5wMea26yX6nyT+X2PZw0nJMxplujbqDIp4L9cPSaXFfv04CtjiESWz1b+6pVPHxqo 1ZAo7DZ3xlsaKtd75NnsOkyrYNRHzC9huXkSHiJ+tklPnD3XoiOFq/6KfA3oiwIzOipVTnAQ2V6e 2+ODjeSbNK6Cf6QuDOuorh15ScdApkCOWJ+4BDzlqKPqJqFTQJ8SJybp4WgvicLixevVS4U1MJnh Q4/ghWvArGpnIis1z5zwhYMDP7C+NgE6TFB57FSE4w/TZG88cZB853PyeLtT/fiwY82P53G0N8QY ttS36NkYuX2GXVe3YFwWAfG3BBFadYCY5bWqCtBxnFmogBzNKlECPf4aSBY+yh2vcEdCTlg2HKAX ZjHCQY+quboCN4MSabiYRt7E8DNCSUgTbe5JTk5V6PoHt8QAFIoiJJ487s5ESQYSZteUPBiwdXJH jClr+ELa0NV6hWpxAmBqgyX8T3LUNSt+Rn2qRtvLIfhe6ZEr3HdgwNP+kERloevNHaIGZaJBqvFe oiOa8U7u3ra7LTUd11/fWHqYU75BkNbn/xeprLaBkIxwAvz/WacyOFir76TSw1dejOQAfH0Ku+nI GPCm6foRzYl2qZ3kQD4YvI7wj6td7/Fij8pG4hEmd4/AHVJkRkNKTcY+PSLSheA+jvrPYx1KL0Zg bd0qSEnMuDjvpL36nrFqySdjbcw3bBYnyjVzY/jI7LdVmmbadIbw1N1ulRlt35djb/7sGOUVaOF4 s3V2RxSnm7v2ndjTdlKxPh2e7mIb28NICfUF2hXeA/j1bpH3hTDxtlVTNDJ4AJSrkOBY3J8v+hNR RsiMijdfxVDTx0m4zYyKinc0SvW6s15vLkrRxQRyFq6Ani1Ushd04yfSyfNuHduLx7GMklQwj++S fEeh3G7kGpSLOeQxg/3+jG+93MLZrHAeqqninl2/aIt00EuXPbjnm1PGYznEdZVS/r2CpC1kQSpB ikwFABzDspkt0QPlv4gnMbhB6Zo9DrD1EkLBsqyD93hmMTLII9XdzG47Zi03tuzEHr+Gd1pSrvRF HHRheqKEoDcrcM6yIwiUW9bINFXsD0GI8ATi/HvWz2XpRLw/DgqPMa9JRAREGsJsZqCk7982Bxxq Q4GXLs/OMhDH248WHm2KOLL9cmiuzD06xNpLtNgv0s1DqoWfU39NAWZ4/4GMYWyl6jJtT3ToB5z2 XNjpXSlTUsHYxYZGovuAI+FDngpTeQ1r2pwNE/zf2C/IxbSKDrRNuE1l2jkmv2P610PnQTI40SK+ VoCVJTmrzFCyZr8WZZcAX3wociw9vGaDBbGjTfAu3aBao33sK+eHShv7ieF76Yeq+OzS20u/Cufi VT5Rfd7kS3sZ1A4iB4FewuScq+Jk1+PlyjYwAVXKqrDPHPLyATX/zcpZy8PEvFN40duALNpPB7+u gC0lsnHgVhLE2yiZVMogKo4Mq6Q3HPGxoQ5hZnIQnHeE/sLex5QOy2TpX3kCQEZ9ygQsvuaCF1Bi T0QEU6ua8SM0LzrRnt7taVPQvvd3BpMMb/paUrmO3yB0L/OHS5fDjDXLNXfTbWTumaulsCXz1ALI qUs4UqZan4L9pMfRalNVs3Qfg+lLSSEAjgZAxULHM0My3UUfMLiz+prLvvr1FKZfSXpNpLsqtM3C 5Sp2CI9YoL9UBnr4pxnxmmxDamooYRu+BrUOYECFjbA7g7T0R6aTfyP9Yf4mpe/ktEmcwx92Rltn cC7Lic4hyP/XoUjdPye9m9o1dZCZnhmADEXOTiQ/egq8yEeSTS5YNVWMPwUITQB+5HlRMfmYcGEn OcIrkJCUYtgSUz7CsKF5xdd+EwIEESkVEIeK050ylNp9i/nBDrIAZKH0wVytdAM17mHLxZtJa8l6 RGirZcJ388iZXhRUJxhOx0HvaeVbNBHrjPp6lJzGCRujiViInuijdCKXMM36S+ExYq3iKCZ3trjx srqCYlj8c9K4S2Wkdaq1DGHolgV5g09XJEIn+faeNBMItZzXe+vPODlXr4MHu9tUAtKZ+DeGErWy YMx0tKrgOcTToQDI140Xi5NXbyjF8cRMrx3C3GoLMqO3IQ+WxbHulpzHtgbSrQAAX7xPJb3/1xkf +1y8x/DgaosP7OlOkOjomjffn3S9/RZyKfKIaLBY8gqIG7xx3941IdnuZWnNAlQaFEU8/SfOTWP+ OlH3BAodXUOATgwtCzx7BzNGpKsYGDGsV6RDIfZQsOLu417NeGZNLPp/46Nh3Il6tVxOmVGC1TBk G3qa9SWW0OKxSbVedeyCDcTaG4N2kpo2x2LdnAy0FGRqlvfvChZfckfEHOPmIQacKCjRpD7liDgO gez1UnbTm7TebM3WnDagbVFvinnnbLABkFNjcct4uVtE6lsUR4do1EphDBD5Njca5jGB4WtJhIoP Lxm9/zUvgp93AGxPob7vbIApDR0S/qGb/JnNQh5Zw4e/oWZ6KvR+TL82VeP3pnMQgFam+mSG1Zgw qGMPz48Ijrs/wOt10U3oXtv2k7PInwK/LAbK/khzSFJB6GvkMHyoazvSPf+SSif4whsyc6vM2QHn IsZxDobp/2q8OXdiu7EfB4NIw8WA2LvAa8zy/+IWuZjPEduZy3I+Y/Cdf8rll7F6L9pLaa4q7Uf1 GOqsX9KPbX/ngFwvHY6RdT+goNm+RPFkXEqo37Nb+9F5LL96RMHUt9JVjLWvBuv2r6f0jYllXHLc 2eW+bpgcVI/UMl2oclEUfacj4QYiNlhS0+DPCSiNg1749clAw7jQ7LXeYnY74+Gbx2ZbA11RTBUn eb39dgKKNKCzIKj8AUyuRujP1t194CLz6rOIC5u8tqyEC9/azOYtLHX1GB7/aPKVn7XTK9tXZmT/ 9DMqgzRrFmxHOeC6QK83Z7+ftiTMvqjgj3P4MQyR53piymlr+SzTrk7nT/b7tkkE8VFZlMZPOKIl gRCr3LxG3asw4ytI9tL/oXfauDC+gbkt9Mgcie7fE9hDjmaSUdFKsKTrF78yqj32CYdG5vXl8x5u q0pQReXCt80GuBVzmvKWUSMcT0nOZhLWbLNd40xeDAZiCOXmpfqUuLSnJ0kn21vk/57JmwguRAXt pZ99j/wLyTbRNC3wihixILKnrtUEg/lUKth8GLfeRbG513J3WLhQrstmqWlqFsebV1fVrUb5C9XK Khru3zhQTWoaJEHDM2SLSGDzQxrs5mTSeuHgjW71NcMx7LCXuAQnqbif/ppy5MjYSR50gQYfA89M 9GNqWerlCqa/1qNbUM5LrqHLIp9nj5fnpOAQLuMTBPpFQLPrBqa55YA+WaEoa4xcxaHJGI6LcnOo E9H9ZbQaQ3KMNoli6YZ1bLGCWxe1AbaAj31ivyW684L2/oClUEru3KHy8yvUkkphE/en8/0vinBm Wm7BG+xM+YHQAAAak9KF4o841ZlNyPyq6O7dwBWElyjFNxFdTGVPsF+GN9a7ZpFYFr0DF3fJf8Wa e7bh4khCP5P3KcQXtvrHknI3Z6XsCPSiX8eZwEwJXQtKREyjktLeT9/IGMiVNpmzJ+1ubklbH5LQ tDTphwtliywKXU+VqwQMBNIPyr7XKKmZ/ohgUW58WtcKFOWFuZtdG4JC7flzhYVGD1juQ+PndLC1 xJhxGOx448H1hMgOZzq6Jsk8Tnun12kY69o9a7fXQzCw512oVCRpL4BGaEmrqaflox98o4h/Ted6 GkjivZb/0Tv5Bs3p5WaN0+WC/BOujNu/8vC8aDXPmu7LRWFfCo/PTLEwPLMUEs9YqXontX911w0g W8+02b4ZWMkmeIoRMXpZvr/UmNmV1pInXLNFeYhiN1FHcNyMHMg4IJsqsclPfddGKuyEJ5hbwzze UvIbhtwdkYB+OCn95r0TMqetD+u9+xRx6OHVW0v6865DftxZymnDkLUQTmbPjzIU3DgBRC3oANI5 BSpnU+z3x/kYkRkKfHLmbaIaPluUI4XC/iLLJsqV4VSFHIAxyGBSJSFarwgFttYgJxXwmFQPFXej NimNOa5b4Pv3/z0fygxxVTyrqUYBd0lpB8FfzdTvXLL4wEhsWs8HP2CZhGIck8DLAnubGlx1EKb3 ZXBBifiDx4TYmuijvEA7UzugFM22MYG7AkQw3mnJhDEuRNAEzuMVL9/0eTqylL7klW8Sf1jHBQAW Ky4niy5xtgbjL3O4KBckVhAiSGi/+wrXFwwdGDEWkI+H8SLHp1WjJuB+v63XPA8YJu3yvU+HshTI 5vHP86n19m9U9RIkgvxz4MDiFStvNbk68pOQtZ6FIQuH9ScgWWWJHCPIV6Bnb0cZ9kdEp1z6Zt0e eH2i+7u+7bs10t1m8VXdb3ddxG93pxjyt/BafA2DQfFm/4h9zSBsZBtyuToEyURwFuNqAybTaUQ5 UDWwuXJiNFsUZ80D7xMjGqfEAfgBM+W6e9jRZ7HnGfyCz+aR3GcdwzbyPjaXXivmwax2SE2i5enB F3V4VzmX1lfcs27PQp7AjkJ6UuBk2GCJ/zBDULw/YhW16agviL4tN8EkHY5F5nEibIpMCKCCTf3O uPiq8ThjdYP3GoXCB3eiB1qntWPQgOXgnEtH3deQ/wgGg4NJEhp5l5o9WMVSO1wmkAruGu7u4iN7 mr0ua+T9fo4Q6Sphs1BMZEMrtHpkiuII6iTYaR0yFA9ivu/j9XohYc+fA0AzYbKgbl5y4yiS/zPN Jzeh00GzaJ/OGPmHFXEw8W5vURsZt015I9vAHW855DAOsDazXBXkeUz7YI9VNQ9KXrr1YA4C9r5N 2wuT15Is3rdhsPKi10SOj0YuBvQXfSDQvhctM64ziRH4gK5Tn5POzTfY2MC9simWSz7gYHD5KXAo YmJj/9fUStZSKDAiu8NmHvg14iRSegPqv4uycy1vIA2U4JwJM/pmpw/GD2YFiCEbQy0pVSpHfo3l RBwjYEGdixGtE7Ty31qVNPhea0VEB0fm7/IzGIhebKINiuzwFjqwvR/F3F5HQyAunt+8VKiF35/r RGfw1ug94d3QQqaiKMrOr0XJglC1gDNw3lsy60C16sXhxuw4WYgf024Sz3LOtcx/ZQKO3WcOEQyr 9aMRxD7DTYyeKYpYbINyNvbptwmn+rj+p9bVyUTP++zBT+5D5gIay+kBUCYtfr068sO3369iWV/7 HZCsLRSKB/Nuwa/6Dn3rjbxizx0UIqnMgubmxisq9xVt1KPElWugKtRaULU1rYvd6fUjcJ5egq1n vF142OivZ9LUpKhp95f1SM8tstFr4I9+R2ItZan4BgAUcznCDJtNYtmz7FUoXy+WgtLv+2YbUvYA Idjmm25fFJFryTiq/man9wT/h1nV7uN8dLY5X5Qko2fAZxN9B2lxhSb0hucFZdiY79z5zevLOqKI 8UX45YDMZFMRmjaz9zrMwRNLigtI7Ap9lY7XRxRMnkjmFDiLMUipOYS39ukiAuaVEM2dLNVTByDx coZbZDeaPPbb0FWMkTmC3qEonxCMVZNKF3MMqPEuIb5BTe+kLQHhLSvMoRqcUhpFFx8qNS3ZLpTU 2zAnT2NB8hwqKdaCSNSWtLQZepUYQcz0dqy2y9dX5H4JmE2InCWG0PK4B0K/ucirlG8yKXRqn4Bj w5etH4fU6rNQOR0lVmsX4VCwHMhZilzzhxXux6yPlBfl3Z4o7Jn7+mqDRZtBO+iybnFgQ8K0JOKN d4zZBxpsk1VEDunDbNWON4ESH7SGaPWbAJpiAx/P5keHbGzOXpKvcOF+hiV7RVrhmxMbiIH4NWJo bN+yoYKQv4Bwuu802VwmxKuBfCwJdklVMdLzDskVnHUNYWeTSyvriQB/MSZUtPrF3G8w3UMFeUQL SJgPKOE+OgGJHi9l8wZqRR+c68AnU2qkl7IJq1kSxw9uTK6ezJWNFFPC1XOzPEbdT9Oxr/pPnZPW juuA6GlGk/fIxShhJk6wh+bVGarA9a3x/7+Re1XIXI3BvgkBz9dbDxgNpZMBO4vJd/YYSF5//4jH z4L/0qz8nTWCzOKvMZyWJehAMBf/mRxKkil7ooqBeIeMYzwIsFmQqE8IDuKaADCfaIZcTfAvxL9/ z6CHQkKJ2mhQzMK5IZ5bxhh0wGNdU2WwQui4lBg08NzuljbkhTf9CTCeTXbQ2ajgAHpoHyB4YYQe jrpGgU+6jrdZBQrxpWYp5mgkwgb/7H87eTL0QDmqLBeLZUCztyhiUY/xblE/b4K4Tt8/ANoRoOux fvCWQypJq4WHOEXXSFR45lkVLR0vFcxEglmKj+dhF/3KoyAtYuyjsHuRXyOfV8BdLCnPtL8P1E7o inKIFrMZa405qCTiFyo5Ol02RR0u0dYV1UIVI+9a8cNoIPanuvX9dY/2L6DcI3E++PMv/l4q9DRf pwQiFk2b630Pt3SkWtmkwG2peNrJkP7TqQY8UqClx3o6/SkB8FvCFx3TmGSSq6pwN+z5m/tFxD56 9CTEzq/KYvYI3sZ0nsBfte21SBo/vMUM0DPeNpJWnwqQfdfdPaZ7ro+uVfO86ehsjdDC7M4CNbXn Ezmy0so5zAWvxiUJFUJU7GLymSg7fwZwnlsndVSxy7vWDfUL2UMeYCnIRUkHvt992t45L/q5fIEt uUexmXPYwLj1wMiz/IrUXJreb+paaPlv1m2IwWBIjTOy7cVZ9m8k40V9b/AE1jUcq2IFIetqsu35 zDkKzdj7bBzGo6yRVQaCEO/AXy2zqJRH4yxaBIhXHzaBRTzwpTiXPvas34NxPypLA2cD+Gv6+LN3 UH/8RBzuj8+cCR3pBkNyYx3+axTXgCS+s0ouyyAxNcaBmvnwfS3FUCBjVlnS+gIxIVTpVdoSYBfZ y5siSRnIf+Iq19L2/YwqzfxfwWFuqmnq6SB0FeZH6T9fr1r7Mr7zAVT2fIu09B7wjvtc/fdnKTX3 5p0sTP177BnYDKEkT8hlqBPBNqebAVS3Rede8BHvsFgBC2w4rjikMvgiP5WZra4crkWWoaJU0qph nX6NL9icDwEj0TBCEsyo5PM1nxDnQSm8ZTN5q/W+cjCj5IEpABdvywhp/RY4Ehd7nzGrRRvQkV4U r7gTXD0P6/lXsAIuboannK2PM6DNl0NK50q2Fkj6y/7/u9qdF1isTiOnYZXgSf4I6WrdpDq82gIA Ie40pJzSY7VhpgnEYiIhTCs4UygJP+bbrez0fFHTWcGibbGHTYlMbDDYBGskRdd0+akYwiQ5M2M5 zXUEKKkBYKAO+V9F5GNXT+tQxitNW5eDxCVt2MYqnte3hb8gxqDMR1Ylygs57kSD8x4vTp+xXrif br6rZFyIdyvoNcn+QH1de8nP6BQhuvCiRkVAuuOeOzkZuHxblpiXBNf2EwC9FpfKh9L6t0G2Gms1 VFMEbKHOjnATZDny5/1zN7sNHdSKfogpwu+U9N5RHF6tgAz5iRCM2kY7hgToQQsvPSceZIAY8XfU Jb4X2GPhswXkqB92Z6DM6HMlX4BUM/EJQQfqmkKUsa1UXLOWNIXGKguysiGzNQDn7JQ+PidWfTei gJZ6o0yz7d2ypgSnRqYXK9XbRbgDzyRr8O/3j0tng/Olojr5vgdZluHBFIEJ49a6RsImV1t7RQKX ui42six9LiaMZ+fOVopnvY042uPKzwh4CTVotdAwYHfrIk095aqii4xvEhkbMZ0lm0FkR6UfRjDv 0EwOG9TwpGlaKsHj1DNT6NIHFfKi944UbsM7DbLQFapYAL5KZ8OfXhPJAMK4GMaXZPDVYyhi816j J1hNDIl60DSeToECD9NHKZurORbeUv0A1M9aOD4YrcTr7NxGAMQwi4+Zs9b+P99BNM23axf60rXx CJALwZpyPG6dOBb/Du/UaBF5VBomkQhczZMxckXkmcRP1tLZ1jpkdI7qhnOj4H1qSOWyWTrB0Ke0 xIgsCg4lv7mPzSp7Gqa7HqXxAH1OHpq282AS1FfMes2cNekUsMsjr+X8bNcZagKDbm93YfqnXpBQ M3oj4wAnZGK2xuT0Lk25E2llN0b8aKDjD1IuVdSSJPn5ADtrS34rv/MTUWnrBj03kxggg/KvkDio qYNfN6iRT/JyIy4LdIUpt6G4GPZfR5c4zxePOhHQAtc3Xh0jAfcLz9ZjeAzvsyQJ/LCDBAIzaLxT LC7dQ5GpsyO4G9cn9ZGeB26VQDpf3KnuhbVALOYlIrhyaf1/FteA+pM9nPAA8G66c5cQB3aHtO61 +iYfNC3ZpnuuY0rjHOKZsZnmHOBQhh7t1b5wqFgVK+u7A2lPu/KjXx9AObihKw+/ZuqRq9/ci8SV kHHyHI0rzTxafONLMD6TDJeydfrT6hB9A3VJfD7p6PgjSoxbj1DKhV0cA5dsij5Acb9MctdM8h2g ER3p1DoTGFTakZROyvPUnj+oeKcbhcisCwyTIikLlumOHwx2t95F/bWWmfyUszqr7MGH612bjenf ObjShtPI1FDyqsORl+ktECkaI5B7EbeRMSufzP8Cotz80YKkOEuvoLt8nW0K2ElQVxnX5YAqcwlo /KuQ3XgYNHnfvR2LkzLQpxv0F8H/yqB/xitl3X/VtgAvAjKzjN5PsSd7077uSreHJ9HxXSU+Bmfb z9MtlxCxCSJc7AQ2xUZy2Z5egiRXEPB5vYcdj+/BU3JnhssS+4rcIePVp9vAuJeMy1UuTJZEbuaW aghzjf8wbPwYK+DO7psyYoIZ59KTMH9eyFcHHgSaL3YEfNSeitlrCjRGdP6IKsLiQlKlE81lvDY8 mOABOjDOesoIHIjSExpMlT5H5uu8NHo9lk6g5Wiba9Y7M04lEta03p+52JAD2f6deh5LSsQ+9lkK sRJVCNHs0VcQQ2gOY1OhzZZuFn2XY6apJA9ADsDBb0oCiyQ656N6PYitMlSL7fBrmeK7psVBP2Lo GeyLi4tDZeloX1rrthT8mJNbmdwmQjvLFwKpr+uQUNQDD35R8NdZ/iFOU175rIZEEdyPa1kF7yE5 zPH+KDtAAsCqXsJLjgVROSD7athWCF8uKd2KBqPF9pkNEQIfa2EWhyBEB+mfFfGvRvqeoy1yYIL6 y3r9u15WL9iec4+HsRoB5Uorjwl3emXzJvHjhx3iKTv7ShLMs3QMo8jIZBEUP7YRxZolZY2zxsZE bl0XiMg0CzpZiVBhRvgvr8nDKjBHbC/+8htqstjB1RxpuukrDpPGhMGR1CM3JMTreH77Gl82M8fL 1bwX4BbYq8QC/phYOLKBzyRiwowzNculQbr6aH5BvFiUd22lI8Kg5Iv13gSRU5zkOf0tnAoU+sSc wUuQQEbS4achiSce7yO5ihnAUzLxvK//Y8cdGChAuZll1rAuO6aC1Y7nABv6orRDf+9SwTz70kkg BeKBBGyh4dudZXKtm7n9+8ZO1gug7jqW/qm3ydWPVXNZWj28h7AvidMwBtUGTyuyD5k1EK0M1SEw RlPathFM9wdHwBNX+XxnDu6N+qONi2kfaEmmGzJusI5RKVXOWKLsMfUslGNmEfqJXKKJ7FRacxJe 4lQ3coaVs74umZjhPNDtbty+bZ+hrlD/GIPPPUlUa/3yfnZhLE8n/wOi1+9DNP2SrajXLdDvxIeW Vb1BxDmM0o+PciIv03SjJ1enfbpvPYjcYxLwTVEnrOBvFOJ7KOSfjvjZcp51yCUEOT00DjwK0Iv0 BjRK2b/hxrq7b8xaZgtav59nqhQnZIS2asOfHHfZyR4bJImDS/lhNTgUkdsl6GWnCDlFbO9tds7P sx/3+I62ccVRTJHWdDaLsQaAjDzeHY5KIH/QGOze9KaepWAuQ39A5S3r1AneML8hpuDTecfu0ldH qpPKBKT4CUUefssCF/Y2wT5TrU3AS5bREnbaovXBieCmjQMHbTPBAZ0+VgUpae3qf9eh5uA8xYAW YmIWbFn38dMNhj4ljweJ8INUMnjpyFv6tD852cN7QCSlo0vkiPwys87kYj6jwmlFUP/C7gB2o3CV Tyq+tg0JWUfn+3oeWE7fZvR4q23XYKfzckjLPmDb53sjjnT69+qARTixgPTkTUYxnBYpdfzAj3Hm b6AW2dLtTqw7tbb30IM0g6L5v2PiMVHgeP3rADsTwStcg1SZ0syCay9UCpWsrefEqsjVxxqTFU7h 05+v/frQvehUZfYnryOhXApw7Vz4wo4iRyI39Cw0ykHOgQZ/OoFmx6jPC/YO9rarWGy8cWMyB4Ld FbHbC+SpTZu3sFKR+xo/TNKZLBpkFdAvygo8Kfm0bns1WGqFHhYgC71CPLFDYkt0ceEnOhJyeP/0 9N46qiXpD/3HvOKKuEsgplCAD4cXn98naxyHBhuBKjOVP7oiu/5arJlX8SE5ayQl6FTnPk6TtUXP r96JhCT3NWRXUTPsBFbU0AIjRg4j80bf38iXzX9IzMry9floX3v7cko83xc2uprKyu2XKlY5vj+f W7iFKgNkBjInHlncJbkJZIQ5O/V9zPF2KA6xA81UMqSxe9FbrthJWfFTliV8IWG8mD6JecwXTCUm EZs5YgPPDr822XCHsdJm0FNAweTiFi+6k5Q8qD1S9e9unXGgsnCwwkVaTXX3c5RGsgclP8n3Kepo CIO/RP1WoLIA3ZpoA7tNlctVfYLcGLGMfjGiJI8Q7x/8g3o7RqXXJBu1ZqdAevl4DdDf4XsZIzXU SNfalGbjy10VcELkNinvmq+aIGyiVJ8l42QhLKV455sjHqHLh//gZaZ5fl2e71ZWowb99ddb3n97 t4oNcuR/wz8NOho8HooW4sgR13wsM3Ts86ZUU6J56c1f4qJl1ro82bOZgJeuWW23hFmEZUg1ozDA Z9e18SWmueXfo63208ISpuIzJfD/uVHsOjqKw6I/EBKmlrLh632xEVFELjNC3p2lrN/oheBDb42e UTPb1f1UiokDmdj/JD7vLTD920b0oB9N7hX/Rh3rhMopbb2xlg6Czusx0d9ajfjpaW++dXjHYNaS haw6QlDkshrcdf7jArDS80i3l9tj6ScJ+f6nu0V2Ou/CD7v+f0d2HwfDVCfdYKDatMFcBIB5Radv XCOaUoLYgAolc+HNG15KI82TUL9ZIv4pZwbGqiX2TMgVCRksVhE4oHZWNcFqyfsq11lgHrpGPBaB UoFgOGkRcoP/lOJnVpgGV1BAHNy61RqgDtzhBL9T4FznP5oXzOGo6WxM9unVWtZmeI3wNduZyEDA CaSxzU/+tKIfxZDR/WGhY0hunk16zOcr02UUdi6fA2PBCRHRke5EcmR73BpbECxP5wnZqDJZVioA P3ul3ZYih2dFkbktIRilR2y7da4MDRmH1bFvWx/EIiPAP8gpEhC4rxKmqj214EQ1WOy+xiCSys3I OYkJi0YYmF7k4Mkuq0sz3hu8BC5ajoiWhDpXh+UTkwZzYNEpgUXb5kzjaCPH+Ml/VFks/0Ciuihu IDrvBzy8TrWm7fTrblWBI6/K1nw+/EsSZoUvfkdlB3bv0ac7F7OwcXZtzkhEULi6stOWXrTLWs8c 2KvWZgESuMaZ5oXKb1ca7+Qye1PUyEZlDijmwyLkTUpG6nLeNRkmSASE0WTluIPHyfhlJhloQlCR P7gGlwWWMIHgHKUniMIvmarPVK4euGViC7AgHl2aQzoQIfSYztAs6p+i5Bxw8KoZSEckdIdv89MI rrj0HPy2ewc8RnldCpjmyaIRUEVBtEXh9IEk1KKY5TIo/y2w+wUeWVTINAF5RT4J7xHOXBvRuDFm Yn5jA0HX7dWc7NIo8WJVG2PCPjBd1+yBXp5PiBG+0NDcyaBPVGdqh6DsToD9iuhKbHlQJr9CF5c6 b/ctTg1wtsAgUI8N1iHagxjnQ/H8qJGnU2h1atdDe2s5SwFshY4rRh2ziN5Bu+KoLp6tBHkAfnhF UsfoO9fu7Nze0Y/RBn5d2W3zUtLmeJJLVBkdA8J+r7EGjzCubtlP1m8FI3YnQ6FSyTKL6Us8Bdud TdSACmkHW6whBKRh8nzOsIUFN6V+ubjPAqG45FOB/vxk5/sKSwmKhGJPKYWH1AnevIKiZmxGk4BP W9qITjhACIwukM2tyv/GvqKojRbsyoYY6j+SPnbW4Mdmg9UzDKXepYV5+fXNvVFd3fY0TUA3FS3x XDHBq/UX73L1XhaaecBTqiamVC2QWzGpP1KI1BzlXdYZ5cpeiHd5LJqq+K1wmYkHh49xfwCXbvGm H3oIzd2U2A7NuYWMo2tKDmwmS3GyPeZ+rkJ2jT6UhptHvSGXZEmJDEsqKBsuSMkNI41yQCzLbhFV TeopWHigePwDpkc8PA28zN2eTos9WbBJaWnKHMVBqZ/lvjQ0gwGZBjrStSmHZUdNoArqEZ2xrcPD XWpthwtoRB5N1BBcj4TGCz6bV5nh4KG43ey0gMOLeZl/qcFxPFCI8qqLXQoD62BVDlD5Aa+tseWN 8V8w+zebHSWXQ7hal5T4a/tQJ3hkxDtbOL4c5SoOMigUt+Ne/tvqGIfpGsfvj6ADXF5ypUerZyVT 1AhjT+zb/bJpnGweuNPkucR0ftmutiU6N7a0rMKSJC4Han5Dg4Nktr5WvM0yze3mPCtxoD1MDd+m kCGTzblaUINeS6rwFtVPegamtQfKddIWCeU9JVpMdmJNyLouMsCipGG/6qsVbVODv7RMNxt1NZCu zDtnVT2fZv6nk992d8WLlXO+VQnfK6TdCOG7+Gw4VzxgxANfpl4MmppTicykA63Zoc3m4huIUWFF ZGQfkXH2Wa/LOTNxhpiSzoHGQ/VLypys4s/iXFB7EE8TOP7TeFZKzD3D08ZSOCc5uYlWqgXfBUCi l6w6Tf8VC3ICGj8vqAqozQCq88/zMbvQOw4JOWfj5RX6WSyNJgapelm9AU1FpMhI1NGPtbjaEYb7 fYDMwIRS88LOfa8ey0bsO6EzIgh8eR5zb+vWhygbZlJ6xzsuz+Zcp7ih9YaCkznPgL9D/U7LkAzz 0OlTvTm28ese8Hwxd4VgMM1MaIJmdeuJbx4Z1DtDNqkmvQbSrOoXgR5CGKjGI2+GG+o7hG2zqTC8 LcA/JLe3u0Z3GaDky6SrY8oGB8wkuFdGuVVykazjBaXwTqP/utwnyZnbjXlzTWwkRMMAoTx+2OYB Tt3u1i854EBz1m91gk3xu40djWtat1y1Kxnhhn4Q44GcfLm1P9kGe6/n3YD6qYyv7X5E6/My79tv P0h4Ibk5WU8+wT23B56OsQwPOGxu/fyb+thPEZCnCsd4n6SxJL2kQ66NSX6kgrkv8Y+qofhSTe2i 6IqvL+2MreUFKhNgu6nj5qvAEdK/HcKEX+MzhU1LaU09UMH2HfBQzLzAkjciGD/RAKw/dCOrTY5I QSKDdr0EhLdZzDMFbi57/cIeoOr85x8K4wwDNQfQpEclG2EW4HaXL1uuzbH5VjNcxNDL5+sWvf/p JQ/+Hn1FgKqeDs95A/YGon9evYmq03hmjnKBqs9XckStEgPFVjjjo9YlbaDpF5L+g4vS2ZnB09vI Wm2RFm91bIfRCjjs2/Q0uXyrTQp7vhWqFBrJcY2xxyy54UVBIbDJxPl9SIwqEjaqZ8oauDG5DoYO 50Ueu5fZxjpDd2n0loMYlZ4xvIH57giRkrA5JElixfndSRD8n1EJdKUB/jU6JqV0MXCK225WXeEL SSD7K1GsWZ5yhdQAfmhs0e/kV59Yf+6Ye0dBTvJstZrg7hISG8A/etpvD83eH7+V4V2xWGDwDV1L reWjJlkdz6uHO7JbRjYXu2LsHfphC5dmngTDkvljJKBRQWfQRP0vs7lX4GHtBbJSKpjNjYPMXuZo NpE5APy6a4FgIjPGw9NkX/Jnye+CTl3GLJIyMSDDHr0Z8Fb5vCcxU2KCVg+3tiMCAX3vtZ6anTD3 nz0llXFZP16kFFPQEfkNxxIe3bxx4YAI4zx1MBekJkLYNnd0O9lhZjmnweWTQ0/3QxJxh34meGfh XUiI4RAf+iGDCp0DSZ938wgHQcVr0PYol5wl/erDoBgXkpvb5ab3zieEHleW75dwR3H38YKay5N+ cLXHxZzcMgbQX6Da82b7GmtZIIm2ilDDkBJTBuS9XAcq1CVK9gnylI82IKLrPZoWXeHu1UIrpUtd 1Ny3eDBu2IWLCoWJtPQNHOhydMEl1BMFgIPwhRWqPbdtgUlpW9XGrZMgYl427Xo5S9MFjuV4KUbr OCHyhyeE3fE5rwBRwCF4+Zt/rI9RoT5G+XfulVRFHlaMh1wfwGeOUJpDfiipgfNuEbS2YM37TTFp 0zvTRS3Bz4jhRF5gBDDR+6Cfp8w9nA7r+0BU9HLojhtrqz5C/QICbg1b8Y/n4PQHINy7uAwN/qLa G3t1EfK5rJeFfW9EfGRuR1svT/yPCsBwIhhylPzvIcofFCuVIm8XGRKV4c+Zmo/2ljnh5oRn3bpv 7/Un/MuZ26ZxauBELWNiDvuK2VegBzNz0c2fIsMHjSsADVrG9KFqZJZ0hA+1LDOF8uiB4iGQLJS/ Ag27rDhHVUPZ58WOm6GiSmxh3qwkurHa3xUN1knDUZJRghzoX3yg8F9Udq7OzCufp9ryWc6odD6S HLbEa0aUieczdgh/9Be109JJDuq+pJClZzKy2N2v8h50MaFjfyRuRSAuRJxQN4GXmFtTA6iauyjo 4gQXyI2Wqh9gqq8063XERRQj6xtryX56tQQ9z8xNEcXZ9eCNwMk/LwQlZPcsy0aPfYObrvA8AWBt ylMp3Q9NVeZiwp2eMLvnXjxnB20i9/9XlFQOLYLlBWkxe1Vq0g4fH72edeOak9fe49D64iRrOhjx /csiAstDBeinbGaxtPaHySPw9ywqWjKs15jtuvDrznF7mB2HXOsUVd4iC7PVbQRBjGwNQhHXw186 9BgA+vJLAMwH0EpJKswKl8vJVr+l4eno9f5L1hrq5DovEhzIE4QJnmGG9VzrOWsR7qlB3JVHT1Gx dUusTM0Og8BLMYm/Aata1QUWELoNZyjgrGivEkeAROeNQrzJ3uZde+K/3uVmGb/6AUFPGhOjQme5 iunRlOngXI1aHsfM3LxayQE3dAy2/rWh+EJzxlJR+foIWAS4hlHWNKYW0WULoGvyAU3cjmUjBxSO 8IMyEcXt1SOx07kGamvR09Uz3bdF0otGGq8Cp8dzklZQ6sUEJQeSRVKchZYJhhAXqZyrtC1F28w1 U0Hy81plqeI+SP67qekWrYMJlpQthYHK5zx69K9QDsvBX9/wDxmRSv4vmcfoFSLntZGK9j+eraJN Ujx76Qo36KXSjGLoRsfJWvWXC6mQWWhxJDxWdTtGpneXZ+5UaWRk94gpZ/31qgsxBsQp0PNjCg2n 8ljqCtqW/JzvZKnGJfpHmwF+GhTbqsfpsFQlkYxtKJUDQN5Hze3mI702ideaYySAo3MRj92tfe57 dYrjdJSt8mHGNU8HI0bX9jWdiFQL5wceR9jnCkMuf8xQVvxlXUonY8DzYiYzFh1onoH3gMbil/SE lA4/hE85AutOsBuA+7D3k5/oVKUEtxCif5BoafRbQhKdX5LW708kgL7hnsM88xzk03yyN6fbuOxE j932VObSlNUEeII/ecUb1QLjjyAiS5MeUAoQGgpQhy01pOuPRu7C17ElFi+HUfgtcSemnNbPFZZ9 IH2YLn6tOHgjOeT7h8/20Rldok+vfmYt2hBtrsxHYffKhvTHpa4TCyt1RiHhLAIsCB2zXzSQx3Wh dBEQ5G64b4XSCzmnLn4WLKQ2d1MCmVKhR6mz5sqtn6B52hEhQQjdnZXUlNF4Sm3qnSlmH+NmwHDc TlFa4RMzjlrs0J4WalLxgxVVkT6vtGBZXEDMrGA4h16elRrlPnPqvMqXfO9dwmKQfcGRpOnEOvE6 M20yGCAHksdGce0vFFKq5JcPJI3fc7yay0tLH1Rl4nJdrnGm/42Hqcg4Q8tTXZ6gjlANgwQKQ7g3 kGyU7tu1LhoFdyMyjnJk9PDi5Z/AAAAhVhI7tjfcd3RmRstLI0aBfK8GIZ0ZWFbp4UGDNiO7bTqA dv95yNcwwEDzyoZGiYfSPbZXdOeApcehYC4G8ctRAGISSJnndpk1uj0bPNUE/SuEdKpiqGjQqvw8 CIB6mDijoq1b0Y2Q5JqzDdUDXv7WuptK6fzqZg3NkeQTykSowmUZLLRFzQi/Qo37t2PHiPl4nNZo W20V9W1E2Cy922QwEs1lUn82u+IWSm4yxOPFfCBaD89HHduaLos9tSYy41PwixAEKg3azsCo1inY OWCc2ee2qxr6X3xcN8zgu3nuSE3dg6r5YDQ8S8ONX34pwLF8lUzZsLPzoPAxCC3QGA9oaD3I2yP0 Yy8MCYnIuV2EsJguQNUqc9Ngj+Xq21OblXkkmW0GfPfc8UZcIz/wruoqLfAzeC7bgcfLc7jFjFL1 nFSMrZVJuRrkYfgBSFUKN1f8DNI7dZ0G1d9RtllBz+ZwQguyH5o8z2F50BtVl+il2oC4hGfV1KO+ 3BG96vOn4Nl/+njLcW3JbTxcDhhfi9h0sc19Pe3TEr/b//7on7pLghJdpc3juA2EEUQbaTCTvDcs GLv1P/fxSOm5+ivglfaeRSg5PLnDm7ETBjARP/KslebJywS6ZuE+A4ckFZHdyXX7hbkZjMzn2hz8 bDbyOiOKdSt+qdQ64n8lKAwMkJn0sdcPBhAwWnwG2sKQPY2cOhI68yF5xZOPWntlGTa8NsN2lWsA aJT3nOeluGCqqzr7tHadDRgys13ed1s4jguH0Oj/RQJJ/aH5g5otFsXGMUw9GNEh5fJkeAmaBY3a TOCmvKQelSrAKG4l5oeDJxReauM6gLpcdxj1EtmxAlgzQYjO6ToRMmUlXJFygBAdKHxckzf2qXN5 75MvTDbW/nibLwKPMgio3JkMdT0PQjR/PfDqsd0WoJ3TAXMV6EyDQfSWV/WFD9YjPUwLoNFyd2nE ySyizpY/egD8QkOJIiTyBA1ebFb8agmhvXF7qDj+Qob8KsTdeqoIQTYnxPevAFK0QyUCcAhuSvfV +ldJ24IIN6Y0pBzkAjttQmNJu3XoYHhTk+QnnNGHyV/I4DY9bNMjMxmrWwVIz0cqq0DrPamXaOXU M1Mth+NtdA0snCTmCQcls8SQQSm9MGTkXS+XzQonatSVpVc/Yjck+tnVoYVSTH1a2eZA55khI56k k/T0mdYaJ9jlu1vpsvAUPL91utJoJqmPbD2TrnbTCb4rQkUfweywFUUrjziypCpMSoMuyaRW5+Pc URmGg6tdikPKhVcKpGwFKiDn5MGDUMyxH964nYRbEEIBGkMecE5vgzlF2X1SFo7EHIXiehU2sARQ pS+mp1ZhIxquDL/8Bhg+YJLcaBzPXHCEUCqInm5WBfe7ORwA3K4Vf/669TYbzv54+U27BpHMBxNs YA5opl5DNebH8MU6zbLrENvex120ho1H99zkkH+1zFF9drn3krUqp/sDzbcwZixFNoVyhd8wRZzb a7NUddJg0Lc29okMUe8Yl7SN1vEHTj/LdpB6GlNH1hGZDJX8QmsbHqHNSwUF6L5FiNjs158Te0V+ /EK81G38nycmPLgcLzOKOOxJuikds4J1g66MEdDmsf8V+8s7njH+3IJKCmIwRyeddxGNDdxPmD/T 7W9ui19/OlDz13+hHvZ/aXSAqFch+TbZLnvbl1VjDEFpoB2KyDvW6ry4K64DznzkbkmpOvB9RM57 mAnYNmwQZt8mCIT8uJsHcPLkjqo0PogNHcQVE6Xcmyo9mXnaoZstl6/iAO4fpdk3vl97yViMHXZv 1eVD3YCglGtm/SRzvlVbXsNKM8HlKK15dEhFQhWEHeMunXsu4IDqX5q5n5Ez4hGYDf7B3+PsuVUp cLX0kf4olxtLquVea/Q6Yex/x4HeIukfbDAM69FzchdRCeyOZQZPbVxPWoBJIUKL38WjWfVu2ELp T0cjRPF5mg2DZhivgsdrnVot50MREGLBcNCyutjDNn6cpf/gZc6DCblbGIX8dpQOePa9HVQ0XGS3 TsJgwMkHiqTZxtrqeILzj4ZInc4OM+Z+GLmwV0E6j9YXe0UyST7nqF2GqYGrFzcfYSyhhuRUo0vS 5x6NlLEVlsI9eTEK1am64zLuPjdLIkTclksUyHbXsADghJIaU4IPeQMIIQgDNZIj7x3i/cmipaDN QGnqip0eEc3+ZYYzo3wQtZRbbKlFuigcG2U0aXiu87nEyAoHIRfcBlNZqPh6prSPXZ712Xs4Vega /YZFwDpBPy/nLNDoJJwcgvwxGI77XHzBgiXj7zECE2lDQ3SZacwM6EjMmxNu33Z/kAjgyXonm7vH u9UN/CtzS+CutjvBUiqQw++EwWsiy1r1o5IYCYGWLhs3K0xDfeC8shGqcKiN8deFRgK1Mo4vRKwB /5gcd2JaEZMbhrIyRAG8aAm4yNSl3uMGDRdpmCszwbTcD4Ia/AXYwI++pgQ6SVjtSvxzv7MiAgSo giYq9G4489msjNyfVs4zEpWChtIpJnucgVm6K6XKj/4gbzJy+2BJ3VpMQwSUAwDAANK/e6od4t3y gtY47RVjTRQSfsrPF/aLtF4A4YkTi3bJLa77qSbPxN+9FBxfJrpdS9eQ9qcmGU3UPqcgYdqLhD5g 0nvhGW9lQjbW1f/Haa9aOhNgv2G9+QVZf0IHE0l8o1SmSTROBOLuyUzPHSLvsQcAsV3wJGDlXG62 CS9I3LZfFU+7m0lAhdBdugFXjrr64gRFcJ1g5hbSxQEFEGWWyWnKvsixfpououWRh3m+KA8UFTYC c+x3cVzosYWGWqMEXUN8JJZL8YprHrM5/2RF2b+Tfyqx5a077xMiab/IijGj27rF3Qbb7IIhGZen eOM3Xo/TnyULfP8ilw3PFoSp+0dZeYjPhlfHHGCLDfUbyADsarmJndYiqM4MB+6FH1Lbpjp3k3df u/ZShTX+lRycd6omKGQaqkXLkAs8817k55BihNyZ3WxQA/FOzJoZcD/q4L0TaSUH11CUXrd1QhTi tPoxsIE3Zt/kndwCnSpDsCikBGVodmXz/XQi3O6lKsVjx4UKRFqMUfz6U+SE6L123sGjo+kjrcK0 HlABL5wyHBCNhGxGOfG+NCLS9qXN1W1I9JBa1EucoiQP1BEz1llaJhNC4tPd4cgjzo2zfHUSG4bP 3LIlb+o9rDgqf6L+bKbCwlrtY6Gb+UFokSRgk/El0giJY2oz5QoJ3lWXDQEMkFLsRhw//kktqnHU R/VR8NxM3PyWcznqrgYNdQ4CGTizN960kbNk6mdLuTuCtoZqWHsDvlUznret9IFdEbdXqH/qQRnf cSoUwFgUQjpYcqaTIPs3CW6Nf+X6bqSiHZA0BVsd5i/jIqWF/ZpOdKNYKEoIzon/7x3NHYPZI7Kj SS500fOpMSgwLAGTSuMweMlJ9yCGrsxqXibazqVqRJRuij8y+jprBRE6hTM5B1G7nDxUfdEppTex FfzdR7Xc/O3KQF6BEW9GgSVdZnjd51EHtAwP9B7zIb6dPejE9k3nvWzF5XZvd1p/CF+31HW0kuOk q/ErnzV48KJo5ngBNctpkJRtKhNa8fasTS2FdRnEgHMC+kSlBTZWcOrkyAWoUO8w5lTP7B0PdID5 P8ZwvBI13riD1jEzvquDhn3iyat6DuUIdYY3n0Wl7tfQ7mMkSkK98B2sHWcU8Yz/EEnAajQ0wW9d Hgynt9k3N5ggp6mRC67L7GP00IwNuCPFCO5BSJkhBZsIxCaacZpPa3icY1wOBRYxhjFp+EB4IyG7 i2jGOVxLMcXmUOJvv3gchSaoUhidLSNG20/jbvQfKz8YOJk8CRcxj2x+n5JE6wGLd+y8E/r7qiyv S95hRxbOppl6op3cLA6puijSfb60wQmJwtbusq/UhJFLy2c7e+3UUYVZD8QD8rOc3Et1L2coauCI Ksxc/EMeNHReL6JAR6qHxocwswuNDFTDITwMYnNtSkD4i5Crrcwqlyk6kWlbGE4PZn8aJBh7xa3I eqwh/3Ye45u9dT5TL/9CDD7EQ45ZDYhCae0SyCGqYQWHey+KNmoUXM50Ansir9LeqIqN6ki7aldM /l3C6VmPOtF+YGxkebOr4hfVuaQYaJDNJx5bTjuROrqJGfvjgIOih3JEaPZWyCsNbWzbN2Q7gUxG WiQDFC7qckbapQJ5Bb3V6JSUh73a8gdb7wkwpCdym71R09qEmWADl4VojiDzLrt9a56ijuMyHGvN NbWUlaxmaYLWtxHH136bviscyuWi3VWho6YcVmuOrnfhWM7Z4vi/e+PNG1LL3K/z+S3ILX3dmjHa 2jw+kwVyYS7/dIXoPSj/EjFZRktnHWSj3v+XFAPkO12PnK1hwZ1kgqI5fnJY/qpjkDQNi9Xcxs8w InD14KrzzOpbjUqYO8aRAjqxfi+usfACdg4HjJGBdAXRVIm6kWIo77UW0FY4lsUqnumredGQFGn7 oDupLfOgNZT8lRbE+gj7C1bh54AOPZWD44ilYdzTqvoXINDFBDCjKdkUA2e52uU+csmcdLuMqSel B1koy1F/5r0fPYAlqPq7FChoslhbwMOpBXNERJxtBgBabuv6HlIsQHWfrQUJ8KZg6rxzlDWZQxl3 2+arOG/J7QVc05W7SuGZ3KTIsxZWezPHfVFoPDxnnwFZbIFpGR9HJVCzUE9bPnFmfduEapX2M9uR HQ/iq6sfHV/Ndx5I+BQ016DanWY0iRh7v1goroDduAPFRUDsAFrnLtl6ZHVZfaV80ldQbWQXRRv/ YieG/5SDgQ5R+pGLCk/ESycKtTngc8qrHRxpHmYdZn7XeKDzsKEiy/wKTFdicbvv5X4xxW9LRtv4 WYrWROeKU6HumEAi3h3uyi3QzUER7tfDdZSmWiKaLWgkBSsduExM/TnLto97Tlz1PRWGfF5Coq4y 6xhLaYzEl4MWBhFJ+1WWfA1y3IiGmjNxptGRV1IvBARYNyam4NoHHmTfk4xaJmdWYGHqNraS9z1l QcQTakjeIbg830JkduXjvIjXy4AwEc9t5uclRGiAXs7h/YSnaeMb6ChmBzZyhCQT62F8MF6bxFbg g+UMuu99U/1VpU2iSpHfxe8VH9FmNSqU5WOpp2h7X5x2hKtzP0SsXCuNza7Zaz+g8uRx3BhISObZ BIHt+Dblj9GJOuoxElJksUksP7Acmsj4UgRKF3l5i4YMmzh6RTJqhNxNjbNSUSs8b6IVXLxgTG+h S7FjK5gcKf0iZlKAOkquXrTErt7/+2eB2SY5TwsbA8xoXJ/pnqF7gNhrXNupE6Sx5I9AO4ugKEhK Czez9yMVdgv2b83EXwntzKRBR3sWcumWe5hshPBv+38bBEdleTh10SgMHhLax3P8tOl94nRWG/Jb GN/OVyhNlJMeO/Y6Wcb0bGSeH7q1EXbUpnRxAkXEIr70pYY3hGI35mz2fZS5LWe0B9m4erk4me/c VDvPG9dNMenp7Cfo1m9L+HRztxiDHjV6DL9i8QTrJUxRNT/qVOaQpP8VdgqP1yqCUJJuJvIRA7wL x4wvSq54pJ2owz5v5ICxMw+BDlIf7bIP5GHjDXjnLoMLoO9A/3PT2CwD0upiUOg3rLRuCzXY3dCH BwW40h8FwYpKeUfEZemWSRt2JV+GswOunsJ48RnXPGkli8UMxKbywQ+6RUtYAOcfV/6A/86Rql6k kFXc15NwoyvbgmBfwwvyrNoH4yd0zlvGTK0qNUCQ7ILhLSC9dasXNLwUGa44165xZzbRmVwWjQmM OKpn6M99yiEfXM4KfvS0jtt8/tE+T1WdL7Bloctk4X3q1/KIbyFUtLHeSwYYFyKt+0X01Y8/51Ao 2SW2WyqMOwhK1zRtnOj0RvYe4wNFF7GJiyUkxkIhJs11ofKU1A7hkhNWuJbeT/mSYBOw/c2NSbVq phgZW9LFgTLV5shGG6zdY900ZoJC6oa7qzU4t+9717g0YNqWa5p+v5dAVw8bxv/nNXLgwDnoCkdr /73iI0zv5mFl2qFnQZcfUHmHcC0eZhACQNcmAncXLyka68pgYMwTBbORZFXIFlfi+2rdPWkzqLta Lu0TuPD9JcSNp9XJ86/ERkV1zWchDpIiLIq8VRptn9jGRfwCTbuRss9pqAjGgrM6JDf/8LqnqYoC I3k+CBKJ8+uyB/wfTL3sjb78b708dfqnOt66sD30dnGmg+mB9+7Oq9R3exbcAYLC8SOlByQleUE+ ZmDJ6lawu01QFoLfA3BWDW+m++OFbbeCj01mpugq/huceBFfVyvu5dtfFzcxObRsQqLG3Z3CKhyQ 2DOQlczGFaz6B095JDfeI39rirQetnJCeH09RoJjLTsIeJLobjZlM0D6Q77SCy0mu2X2w9KhYdBF PBWouxtx4kxbb0hgxpEYgAqEl7ZbdyXLG4YpHUy41XYaw0rrNHp44+Ho8dqnPLVeJ6kEDE98qyWX 5JmbuOu0iHdDXMDtqFMpQn6LjElDeoKyVnqnjvD7P6PJgoYhvvkKpC3n4e+B/B6l556HvEwDQUwg f5n6C+n2+hp2dx1A1pxMfElZsbO03InlydtNVzzhy+Kk2WN0bVOStu5JvDYmkwK12Lkj+xG/mwEY 0npdsFqiZtJOwqTAtYTTG+jKEVWCi3VSPjgHegCBnW751+ux3AOPJcsC5DLZK1c7PU9+MlFPwCiw dtH6FgUxz5KczK6aUpUgRJHkM+128QAdyWbNk0ek9FYqRp8wHsZWEldf00md+GCY63F9sdKfIzfi zvrCN3Tm/0YHbZyqGlwXiblNsSNyP/qDdcVKnrkIaPPKUOfe5bQDA3t09PRosjGXDjpByutqJChf hJQR0dzWa4zeY3mCguSgz2Bb2OTART2KVywsZ9GubHe2fyoREZiy6/i1Gp/5JGvpRLFQRQKXZcJt 4gh6TX2m/qfoVM3qM38NzwXiwIHAUdCoJma4mS7HXVC+conaU6gME2tQLdL9KsmX+Ar/gj4LwCCp qlnjF8CvzfW/jEFs1V0K8UEjiKLDF3ejX2ZMtnvx3wHEIc5GgkCn6yIDENc9bzxqUiPlbr+SK7EM YPwmYSH0yhDwNha8jgwA72H7p7Boin18Za+RK68TUQMz/5mQz6P24XkWY5sKiP/T9v0ro6o7PK1L nkqczi0roPwhZAhbL2464plS2It2IXDQDslAhT1BzoWajd4/RujUGWQE/kr18tkTwjM52WiY1nF4 EXEjeyxBroaZLAxooYNKFcJwD5wgccExw5NDIoYfZjE+vensmDWMTenlaomkgAF9ipAVH0ohPoJX buWeLOarU+JETFE1RdZY8F4CS39Kh3ctqu1u1DJF52KDbzaYEKtGnIxE1xIc7Nnd01pSxejnnZkc kcSpZJX+hYPIduPKqOPM5dAz4fekRsBnsqOreyqrmiv0Gh0iJqWxxSmYcE/N5t62ePsRoKsMaQpK miQvdgqE5hTaDHVXYgrOQlCQEpD0UDPDxKk4e2vNhXLMtsS8AYKXu3jEyXnnznZgMMmXf81RLz0F twNT3E0wLzwCCBUnwTSm7STI1NQSAthkKbeWhxtQE3r6neKbeC52teFGxbtEOL7OdK3OXS2c71VH UA2KWiWOiFtRJFDZIGO1tq5zkn5wOYFm9luR/vr6WovZRun7G23Eo4NSCpXNHOdgEIkvd9edaFTL zhJzLl3hTghq905AEesLTPKB4OazOedJ+ZoMhNuMTUfeGKVCUAZ/02Fyz39usZWyJgsj1ojeu7cU LWEs87neL6OvkMPMWtx1s54wC3sbWdj/twne2V2hkaY92HiP35SUCd6j97hrjeCy132r9e9iZXS/ fqdG5FvfQQpZZRyE1etBndFew0iMgnzRnxOJ9sGaSiFWYPCagtKqCVVBmBQd1rW3CXaXzqlLfP67 DFCoLKFqV3oMikj2SjDMkcKxkQemrzBoMjPxw8YeRVhG0M2lqGurGRRn0FV2xaCinEpbTUa8gdaM gVS5ohXr8IfNyUA3nKLerascFDh8wsL+MQR6cYebE0ty+OGGcGR0HBy3t+gB0e5krB+Io7jbKynZ ocYr/Tj09Vj/rjkrdOTPyrYCax00nKu8CGY3PjKIYTUkY0HUaERRFKPbrgTJIqNYZaV8FNLtk6Ww 98GBgICyisbDRu68yUvRnyNEIcN0NeU8XegXH8Vk+o80DJi1SCeGXt9Q3EhiPFs5J6v1b2ZKrVKH JctisAZdb1fWnpj8S5KomGs+vpXWC3PnJR/Wi1zK0dkqEOmxQadHo9i1kwnM2kHqbXBGWiiLPBB/ VAZ4oA4XRR732dgpriFXkdBBH7oEZnUvtYqor0lZYOWxcA3FzBpX0JSNX8GjjQEbUw9q5gJ+WlW4 vZoTKr1vIC572YGD/JdEvn1CFAcMbIXis6uDDsfHDrpIMDerH8OOw9RnNf74pvS/tGcEjIDb6Fgw NMF4N79mW5qwVC8nFv+f4KnYux2VbBiY8DxIuohYV3iGTE0T2WG9RSLRhC7XzE09GXbEK7ViVtaB /954mMbzC49P5pluYXBM0uPaVc/bTOhE47L8b82YqNjbSxy7qMkbOGOwhzuKsxM+e5trDqMLnR9+ aIA1Wvhg6CKBUFxiBh480zk8+qZyeLOCkESiJQy8o8XzdJ0qp7FdmVa3ReXl1zibq4Z6sGugjJoI pbBds1E35qPRk0DHdx8kN12nxXYl4fi5zN5H2rhRmPCQWqS74/dO0BW+ZL8mde+OT0b6ppOTR3/U yEqBuO3j1GQZkqU4HmLu38UDWa4MyPyDriEpJaYUCbCq0TibKP5romB4vApHbDkOfo+SQFS3ewRM uLc/jLgQLrcKliZVWlqk3rLaelR5LkRseqDrNS2j8wvhtvPHWiFRSlo1wM3oKb2iPnYA3at8oorg c28rnQ22NQVT+ZPOWH3a4siw3f0+ZwIcQmhckBgxb+GsF2Q606eerSZbaqltuTS0ecBwfskNqoQB h9QH3LNIdIOnuEpxQxQB0Ii2xCccp1uRokfrQQ8goMXQ8W/gGpRm2/1WT6LfBa6rRiZ/WNjQ3olZ RiQjnGqsR3jV2hqPIKsJkFEcscbsmgaztrLwmEMmsBnSgppnqPt6OxLBy2cyGfKYNMR/OnCb2jQw n11qnjhe5KlpYsi/I9zISoCx6omn3uyKbIUhF29VPbe7QFkshhrl28ilwgYQjFu0jjNlnU4/lXUO kfE2cgWwRQ3sAm0qHbvG4CWjRYSp5Lc4VV9ZuSIkJgbmMK6EWBfuoPE/V9GGHkNlHQu8ZcUYooFZ XUpWOANlhwsM3p13ax4wOnWW/29RNEtrddyxyeSX2vqdGD4jcAupkXagswEq1e7i445juGgeMb7U c/cCHZkWAheKOX6BCyq4JpOFiPcYt67PFw4XsYcWpwuq/zCOZ02isUPSUDL4JCFvnu2DMJh0npIB n6hHg/VQ1IqPissXjcdQnPGPJb+fZGtLfKjft6TEtpnZYyg/9xTvjT+ObkSrDpgCFi8+NZu+uwz/ HLWRqBrj1+b8BWOJ+LPdjPcC1tsi+CTUALFSzcoyfeKCfE1sX8x2C0Wp8QLuiy4l9fcQ9SmwoJ4i 7GyvBDu3b5UOniRmrfzfTTblMZk+o+SaJeQHH3nAWJvaxS/U4i2l9h5LktnLiD6HAd1bknWzF1V+ CWaHIYQDXBeHPz8oXR+mxZY871UfJ747JOZxr/IG4oOD20HXQizjoFhf7LJNCDXYDGW1KbTMSAQg eSPuCRGzVwPRfO7fM1ix/5zLtxhAYmtQs9Uv15C4hnfvkHnavYzQs3RckVpnZxJKQV9Q/2ZuGeUl CRq8k8z0HHV8YYxdYJDs5lTH3QM+qJoojC/HD/kQScXJgIYhHBr7A0f9qKmGzQALJrLHwx6XG+VY gIw4yOduD8tT/NkIJG1jODeqXCBZZwhSNlskUdKfYf/9ErcaXgcJOWRQlA8pFrNJvBSOXEn6AKPy srtkCKARuhJSyih2b7JSYIjC8ZqZv2SYg86eqgM6A19pl9PzLcyOycduQ/4sLZv1qZp2tGn4iUHY r4Guf+sf3hbt+jKLilOFOQlSaVLom/yGG5ys4WfqnyGFz+RdxGhKe8etXvDn4GhyQ5EUhNcb0YaY xRq1ieHJyDgG1aUD1stzQ66RL0Vz4pfc0vEz0lVGcpJlOHq9MxMUmUGM+2M7rQfmBt24eVSIoY9G wtg15YaoOeSuTsPnCoxQ56dKH12sTy9gdKCon4kJRL0hKjntTNHSHNSS9tspz9R8NzzgbS0mN1Zr HnmA+5nakFTMVU+rgNaCK62261ON5RwtIA5LQt/RXX+W77DtEvWTVlRIjmMHzTmgfJz0xn4FpCwX giupbaXLK6cizIHWx5ctjZ5NsEvnZ6u+/3B2PmSl/KNhT00XHfVp+y7DaldpyhqB0yiQC1vhRZyI voTTvQFpcfyaI3R5U+9UYEpDjDR10oTuZrWc6yjGrhPt5C0RlYVTE45fOsYD5rNUON345Ytz1zRR ijDU1VqfnzxkoFKWfcMetqbjNegvdJTKtW1zq3QgyD8XyS4PfadsRGN6a5h6kG5fvqEM5PvJ55Dh qKvrMOhMgt8BzWgutA7BJmuiS6xmae8IbqVAdbW7ZpOa5BU4eH0ieoynPLRKuegXmYWROB3Tx55v aaX9BLoDuyby5i1jdUPAr4O3C5+HfroYdQZSpaBS6R9bI3sbXzwOx4BO2dBLM51ljVfKHXzAr80v eat6t5UM6oR1zpNLte/2rBZYrc+mCDVwR/nqIS7+/ryOJBr2ABj+F40xZdoGlC1kJfvqLTOoH1Ur I1mM9vEIPqP9qGx39SKMfIQoNJBmPpwE1vItUMWe91KwWtNBO/G+A1Gd03e/RlYtABGNUZ5fGppf YHoXmY12xKCwEFMxSc5fSJ7dFcAi6iNoty/p32Aoxm+wjZUyGW/zp8YsxxxkplslcqxhcUEwkRLW lZM+dEgAr5gt65rv0AwbJY+NoKY+BtJq76jOW8SX4YqRaeH/H+9WHRQC7zYZQWxL+KvO0qOz+eeT d6eyGH4YsQUUcMZDreenDBTzdJEE8834AKEFzJH30BOhF1/7OMad/0JhFadjGe52toCH68/oBbK4 HG3tTV1yYAsFnf0LnNkYzmFSfuE4t5F1sD8ISIgdmImMY9WZS6NJizLzJ8uJbp5jITQAegwNo3x8 amVcGUvehtSYf1ZhlZ6HCj4MY3w3ce+jBNIm7sHylQcMl7QXnzfTZw2zYIY8Ids5FJUueZnQEGuk +99o0r36VRYXrwmNp6cR3wGvccEo2FHOTU+T+uNCdK1sMA9vekPAAhsh/rgJ3qkWp4XoyktUaCIu JVTd+1/qtbSo45xgy0iMYMGtUmdG0G+IYp3P5lY5HJ5Hxtkr9UMjGW/7AYxDuy4TzWiCYtC+uZPy spj7YtLZ3NfeQwHFrT7GfjQOroYPT6SmAaz0N10CDfZnHW+irFC49CqzA9Md9odGPHqyymc5fYdZ i6SI+u1dSQ3Jdu1wMgnHFBJLoZEUahMYeRj4xG7iINZB09g5ReY/Y4BrGaRaHZcS7Wa/Riz50xeW weAKhGZ00qwzxn5PKA/vWtJEihZIgtu2fXModVXHEd7SiyfgC7AsZQxpA34ldNjD49hNebYN2bUO x9sE8+cdKsfFZBKv8KGTmQvVmlhAHzlQLf4ixxzVG2bhmUt53GjDGVAWoPbsFZXahQXEP9jc1BX2 ye9WPshkN1oSf/G3N7l/w0GaBDRkBRWPKXr3AOa35SDrEtMHozpZsn9GBBAUamTTExhIi88fjW6w pWejdyC4vifUgvN1V+/bKLEx1O79Fpojl5+bIO0wPrGHF8SXRozq1/01cq3Ih2EOhdmar1k7FoEQ D3e+zYd/ZnnDhSU50zXmghmXkluFHjpR2l99j4ZDeC4Q7RaKhOng3+1YPy3+Z1lFtljsM+NJv8wU CxM5soew+9BC2loSmwi9FhFNfSIGFaeuITS+aQtUwmDq8M94vsZa7DSUJkuM5BsqnFHmwCDb40K4 lFft9VJruABlHerieBkMTxaB4FB+BNWo4oj73qp3wfvb9YO6EqyOfRmY/Ic5apcN/xSZzEv4/8Ho 2p1+7c6v5p52kZu+lXpfFSydkru6jdZvgbPeGdcTz8Qxq9vwUrft02QEazYfRskcx3gvuFNb5TXr 9jKwmfeC0deH6P9Av/+dnLaswR8/rYSK5AEiNEgJkh7rPtIwJp0Qopjc3+/4Ff8nCkiIMQ8QA3mh CIwRNQTxpDlnhbKVX7TeZR5+aJrfaRicoiAr5FPbv7cLEucJVl8AJ1mKIAmYBBIsJeDpoltkWSzL ufsT7AOeu1GfFlZ3Oc2ogulTWv2cieefXYXGTt3xQuVrJ+fyaH6jUSGZNz1xSGArLz8cDRKUanU2 IZugPXtmEoXWXUkEIXfI6Fdkl69y0tz/4CI2ZSLgnoSpiJROouxtxcyRKLXRoevEHlIDuZzttxTi cW+1HHmMrrl7qzAeDUcmw/mJuELjnE+nuQqPzY49L7ohPoSLlAVz3iSEGLF4FrPPzd9AOu5hBVnI oGpfqe2Njxwo+NOd0XygZ46/VY1C2s25/lfatYck0bLw6SrT7FVHkGCc4sVrBCphu2L+TSIaWNCp ts+v15yqa3jNf8F0dlqewqwypRirWjznxMazy5L9cyGmhfPC1HTrQkaisCbJOIvbfDLcSjfgnqzV qol2toip1mS7M08+t/qv+Z6fzQEVaScY+fYDJ8aprej/QJzrTE8MGOPezromc+QCsEmT7sNJ4m4k rD2S6VTraojNm7NrxIcAto7UEt+w82PDAhY2Q4HzH+gw5UGLj3t0wCbRK3cX7LaWqcIpNIjcnVub aRLmNx/1yLqSI2bPVt2igX8GZyZJuVvC5O9ZORdnnc7CHMjo4BxhllKQCjZ5miGjinQOpTYV+RAG ERn2AtDKHcBn2vRz/1AnO3RaE+QF6XmM/ozNqtncxTA5ppb3dV60FPXcliTumYN/ueYFWeT22C5q 3qcYuIvKDVxprtYkS6BoI+kAytIymYRiCvlHXq9Df3me4DMr+pejacFlDOx+8zN0IhQbjakgrkD6 WO/DnqoJ/bPN6bWeMqFUdgVAZYBhHJ0FjXVk9NdSzOPmtGyHEonfdrmFYYn+cG1Ly+nPRb5it7R/ BFoXgXKvNzPpKSMpbAqQDIyqCfi7QPFazm9MYM1fmceCODhgcjFn9nmDg+Tic40I6TPPML1/8wAu Lr7oRpGS1BJsnGtKtoLxs6Oq6Qsnml5RT5CzBgHu5PZmnqGPNmM87XK7PUNTqYmNmMdWhPlDAsjv tNK33Tx2sKGQWxnt0sHgJY/AoEDXNuoQYnwwgqbZ+rlX6PNJeWMjcaLd2hopysEYe1HzVIClNPjL HR0xL9dv1dtlrMC99scFQxbr31yh34uMp7D7b7lbhbhwV2vjA9u/6ElYehGpF8enW4UMJJGJ5zla 4JKTZJYfzP7J1Klzj6/xbeMHAJH01qCBtonZDGk9pavKG4cqRM+Qg0M8NwuFhX/q14PxuM31Kq2y MhypaK0cfRt3a/4T30bPqP9A4y1dyiDb08RJ5rQ/gL+Kvwok5zXiJxGSazX7yLf5SZrUZ09dK9WL n5VSiPFTFizfSDZMYioZfk2enelaOMbW/hD1VWI539MNRUMFmirG43/dCYVfaxx7V3sbVZoO481L C7/IKuoBR9DZA/jxMFKB0SSWyEC7kPtOkRwlUdIn/xnN2Uj7U2F7e8pquKhW/ZetVzMpb2Ev4OBh 84zS0BrCc0EqMYTBC3umc3VzDgLp9Z38CbysLzfArgjHezvD7F3CMctWzRodY2VYeo5AaE5Qyu8T nRpzDY3qZ5i69E1XQLVdsiAJvTj6vDHlzF5ex4h0pUYP5zfoC4gLqfUzOi5gWqbU69N+IG2Rhtvp 1LvPYENwHza5rTOIB43I7FE41PGvkgdEooKHc+eZe+hlzjbBCZQzaPIEWwvwRDYKEKjxzPbQ6aQD nal2M+M8sBdIYSzcG4RuImZBLwaXpy54u61qt8Q/GVWS25qsm9APuS4NbEIgJH4kiA+iLqkpxse8 HZX3a9+NKbUbASant6bkdSBjPDGIR18ZYzQxcIIQa+9RkVXdcSu27XbvGtI86HM2ZU2tifx3Z8Bt IwN6zDMSA4+u0PEBtXcGt4Lkn4ztnAT32+0tqDe3j0kqeCy7xv4PDhKlK+37BZBtLVbgdgltQmQ6 BsSti4wLcnR3aQa1LbfDeNK8bmpTIJY3MgGqZc6MTbwGoOQ1bjp8qM9I4uAuz6pBKR0DK3qgUntx kahnGvm5xrDbgX1l2hjlCWhiBK3kZ05Ju5y3ZgYM6jr0XPh98xXXzOPDOoeISX53gWOcwdo94o/k k1+Ri1tJ7aQ7b9iwinz2ZtPuVkb+R1ogF5pG3FwGPC3o3K/kks3hh5Bna52cVmSRP688HK4u8jIQ WGOR7KLTYx0Kpd7+j1wBqIeuSeHNdn52XpG9d/yKNMEMy7FH81WIlj5bZqDg7i2QSyG+k28SiMjm JGwtLo5bHlfPpt3w2jYNqCJJTvnVvCUjDREdVvKtWkgW3pU+jUBRuWo4xwLb2BnKlDdg0UxATgrB GMTFKYl67bP7XrLnEFmbGg+J8MOJ/Yoy3ZBy7Y/ebHOsIbEM8jwdW53dewwmTUf7FaXanr7/Kl3x o26rDhBBqny0Hv8qL01icSAS/H4MzNjaStI3ZDJh66x5XB612686CuT7PBzoY6a8FFDS44lWgw8K caH4NfWRQ4KUdM+KTkE6x6+G0pCbmIwwH1nf5L0gAgir6Imi2NGjBs/W4Kz54Nsj+176fnkcx8/c DUMH3yXm8MUs60gvFCPi1VMFaio3X33zhgSLt5fYHLGMwlrXGaC3A19OdqWZb8FKihXG4d4lUdEv 9Cv/AF0mT8W0MgdjOsitXMSUg86GiXSurkid+3KzRw31IJUJayJpR94Azn6U9fpheRMLND7AzrEe bYGhQKbXQob11a6XhdhFPtoWT8zy6F7gzJDEuWmfUgccapD2/W/PrFjsGCr4l75c52b8GgzBWXgU EbHCo9R1bBJrk3T856Px7bOguQGPC+6R+JF1MIC8iP+119lwH2njCAjQ7ruh32kloA8gtxWtLAjb AO9ThEOiqxh11HwXEalCNXXtwBFO/CBf1L5s8JIatvHuVvpmPmy7zniQA3Tjd3FcPY7DHgMYZi4k FNOaCIrWTb6xNGqO0VB6KeeD4uCkalQQxOHLyWmZQLPtBQeNSP+BFVmwn6QMUOHqwnyRKuL5dpp6 jV4yhbU/LFHUc/LbhwUaOJ4qt2n7NgZauRiQjGV8PtL+lai77biZFiXe9LckytG3FjqLBhIKBMqO CFmIHh3ixklN+EUMUF0Rnab4V8eWuGOvOReKS+GSQqoa4QGSv8Vic8EqR5wo6rNYLjwqqAI63+m5 9MXH+7P7qYDsItxo7XWcOeL0z7d57yj8e+S0EXzyLcgOHwB/awxLJ8abBTxZ79+aNwe4lCuL/Kt4 t2uxY0kG/ymE97w4LME7BiJ9k8r6Z3gKZ8ZTb9Y0u015ItCAwFm7ZNVQ0htU0xbM3Zk0w3iqdGXj DcTcPwEhRDMEyuRWWF72EqVj4cU/yFdTeZHpXS4rPo00DSe6Z8Aw3qFgLXiab86EkVFCBPL2W9NA m6sRSQT37u1mz3IOU8btF4cfL61gU9oAAm9Y7/Xa+/Y4+oPlu278mdhaKiUBJzVJDrfxwpOEOgBo P8oOOn98SX3z+6pP9kfkAUlRqMQMSbNdx8CXrt/ouMxrnNhwfM3ALlVhpyiMn2qt+4SVvEmZwrDr J6Y7vzOEWHFXMKu1GYzjzhIqqmrbWqeHHhGiFx4XpJdU888BuRoa+a/cgh6B20N9MQMhZJ8c7wVG HJpjnwOEhyXMFv6oNREenUJ5wqur/wMLPRxAFz1L3Wp+29yT9Bco2oOliIC6V1FNlhyr5zT+G6Bq itdUYar3lZdVTePgY76PugsB2hqlj1A8RVkdgtZZ9736YX0DDjw3Uk1eyUXo8EmgUsNtKtZIoA5Q c9wv8I9KvG7+N7MJPVheGtp+1S1QU3CZdV0LjWpEoK0pL1IXzzCYlD800iaBYYBYjlMT+FK+oDyz YhReKYWm+2whaBjEsZ+lqyow1sXfqz7TceDdLJGI9f9RlXJwul43RBca73NuPBp857jtGhL8gmGw JbevpRzFXsh+HO32CWKUdBRegGRhEQg0VgDag0iIjWyQKaxw2joKWvTnHnLvhalqbSjT0ZCU45d8 Bf5nVZ3jISwoR/4R3cJNARAVROAO4CZFGZ0zQAbB+hvXjRAlLGkS/wRaE49BMl232o3N/WzlhGi9 zY9c1W0GecXfCwt1B37o+MiNPfO+cmgYId50dlhQJPMQe8gjQ5AxaB1lhtBUA3jm3ZK2t/1Xf5Fg 7oe2dQkM6GLgeBI5shxwD1WqftLp4UBTyUptqnX2pgsj12wUQYzQrSPO405/xGtOcVJ+YMh1Y9Zg AvnOHIHNbL2Nd+FAnejMsBe0Q80mb33/ELGQ/+2q/ztGWPS4ARojBldOFoG5ATD7QGy31Eu6XE2v QQAEwkEEGdkEBo6TzZNREtCpWUJURhTneHsNk1mde4K8gls82XhkRgfBXz8iPSqhc/ipQGU4/NwC D31vteIxmqCqAbfkNIFWKXqmp/YDiYOZwp7fYVbHnm4Jn8OfsLWCbZc5TOO99BUlIrLMZzKTSxR5 iTC0kv8VOlpYobrt5VqGETJhjs4KQaXzGr6oCCZN1eBtpG42MNqH1Tfw2z1K1YALdk3lH0OPGHsM BVY2a49JFZ3pevNAhqQxRMUtDPdVhI/KzRRVG/RVEqA7dYUuMsvQjrD8m7UGE2iGuw80Km987NbR WCZ5MgvhPNJIlpqYtWpK541adWZDGlJxBsD7Jwdh5xtEpGZRZFdhEEv4L/fBImaMzCnq0aizHxfb BbNOamZml3L0b/f7PKFNcF8GtYkILqrDIBs2IID9KmXJ/UiAQnVmqlWVYSNUj4Jn2uRGHGUR3Og9 OddhDVsCl1dpaDHakjXgFQtORZdPYsKKXW7R840MRwEqu6LtWGgp7/Fg8+zyvKP+53Um5gy+BlWL gaYYyj8OLpMxX/vrBllIeF+dq8o4pL1vNBDcWmOUGC9UALm9lqbB/wfzGPaDN3i4UTcUeZnMIibJ zIeLSNY3gT8AxKkOywqw7na8Mv2HgRuouHM8A6aYtRv4pJeLHDPffLh+QYercvG1DgiIioE5NLdk yfZxAssFeTjp8EK13wHp06e4bm5zywCQ+esdjSkohNrN1RfFmw6A7UqC16wFnmTnUY+3Rki3mkw5 EqGzxLOlPUFQalrFhmVcw8TTbHDE/+XZScftlUH4eq7VsP2WnjFhSWVdjtBu3SF9fKtKWEH6sDoa mcJCn/uZJOLzMMnoeM6zttZHqTH5e432o6srvqkoDyaGDrRj1DBNOJF7Rm0Kqs2IDGDd3Y6ewfkk XdJC81HSCGXKuZYT2U8LbernreRqB9BgaA2/e/moIwngLY9MYbZkGBedsCVBmqDRwuhR8IPo7COn QCz4NoWIKbG2jxt7mkYZfhNO3bUC5biRRpSyRhIoRQPrsbueP+9XtnBmfEjzTH3pcD/6lDQA0fJb hbKRFY7APyX6rbi5TwlJrcf1lmXp2qTqGaMT1PxK815atAgd23mR5b8OXcVBTwMqNbkz0KGboucl 7ihQc7E7NK72HwAmowkDZHrbGMoDtQmjZbWPKmEwj2JJz8HTPjllZJvDTAtVEbnfFW20WFLnjxEP GZQhh8JAi/WyC9yAjbSGFKrzVZGNc9w91tKyKfhKonsDDdm8mGqbYc9BPumc7f99BL8SqbfCABqJ y57asNaH9HSOSC7gYmlhPw46QYgMhYFrYT7ByfgM/X57iS7Rz908CSW/mR06xIV9Oh4HXubA992R sXGfJT/RHT/Zf5Py0SZWoi27HsoLNoK/KRqD2DWERwMrYi9U3SU0fQbLrs1fZqxuIABVHktr0MN5 k9WMkuysYmBXOonMtuQgocIf9BIN1Tc8leJGx+2uwqqjAUPAAdmS1LXaA5mclBdorf8iWZfYPBGX +mf0LNTC0bmXjWGKZ+g0TJGqlygZzhIppv16Itc9VwqSr39+yjX5FNCOFoYi1oeWAlrAOQU8oCW6 Lj6sd0RHClgyDSqhVCstn7R7xlv2SAyikTIzG/qf0Qs8CeZn4O+1SMRtgBxmYYMwTim+zLzbDhrw mHb7zimvPAR6B7acORR8ffRePmbAqXxqj+0JC3qeGZMP0d3p18lKF/izTSATTa1xw/GD6jmtqKZX SQUk0Iic5qrZGjOGo5Degg5yUD4zIg2mu29ZPX3TbZkd9Z8VLgVdG1epzwMu8SLSBXvEmezEtPyp HMXBHy9BlxVnEmO/4W5XfMZGAd6AgjLpAagRigfKGAamdjj441uKg9BCqjRrPfI3nALAsOjF/0Za mruWe1In6LU4RrHFbx1OoUE3DHFJmDzAQBZAGpV2Oa2nY4MZ1Cr1ih84Sf/BRWSMT87MGrQtuMVs iFfF0Uo+LENzjvJUBMNjPNV+XXZffcKFRxaHpJwSiUgO2vjdNM52xqDfYLoIOuQL/0z4QBkIT5Z6 4HjnzdY7xV/BjoH9vtEwOie3khTTG5wk5Rk2CRd1wEcA5TCp9GwX53hygJXg3Vq29DCyBRkUPIP/ QxrOhhCA1AxoCymtRMJHyYC3Z9yNw6OfvxXUzqLuUZ/Xcym9gFk+1k1B7xMBiOEi/9gYrtg1sFlD blKoSOeD32ThmoKlawYyiADViFnQfHY7foUZNIeOooTcj3tQRgucEact4tOYM3GMMFXo2SrOO8Wd j5gDWlx28UXw0XxzNxtQz4/W/sKNgJEY+F4FKcO5lRhodoQH5tuanA0yQ7FsHQYNTzUO4C0aCFNq W3gISmq16XL9i6ItUXTKORCVDC5PwcI0gGJewPCSWD7/7R+g49HMofDLL8bB0/esM2EejTotYfvJ C4uLVwAWgVApf3e90ch8DosUvu0CDDmPNeq2Tjj0phj8JmB/dqfk1XXwPrElIjPlA63KT2R9eYlQ MlXLTgbTfc2D1ZsdDHX/zuh3wMtUKwmyuADJ6BIA9mAqFXt+axJM7aX3koj0ICxxIXQSDESRSzRh W/J07UY/35PsXRJ5HV7K97D4qnUeMShMQJw+aaz4RhLWXFCrAtS0/SOZkIKK//OOxMqB0pzfi/Rw Kfh1ZW4QWMwoerhXBXpr6TcwTREsB5wjSH6rYLYEBas9Gdmy0BmfBTI5Dc7HbQ0BeYVlYCYJ3qPq Qi2Q9b9wHsGjm+fEabMa2iayKpd3A79/MzKAcJ2+d8P9iIpboLYnqNffCN5YyEUvP6rHzwpfPSSR dSjw2p18vRj1saAMt9DhmiqqvUPiz8zuqTTeAFWbsnOpmlRhyadcgiFhMQYJ/651zj+aEQcQ999v 5IYlnS9RB4tGtJzl/3T5v3O6rLD55AEBku/1jYLJtrbqSe19RDTL1QQ4PI6NJ+l7MGz+xz9Y72qv UArgMX92IQ4RgTFkFeh01CS5eRUbjqs8Ck/ywd2mLWslwcaPD1BmkAnUOtvVIYbZFFeiryS1PuZL mkIWxVtOz5eTsOqMV/bh1Qa1wDwz7akxo+BDK2HwaSrjPj3JBGy0Du+dGGukBfiV3z7sj3+sfJMc /4omcRKGUVIAS6MeUTTTQ8WBuiI20gxmnZVrUyb66f11diI1Kmua7aMQauCvq1u40kXHPvCVocGT sFqNT7tUqb6RSH38uAumFNAbEGhEVXKSwfjwaBfXnazEpOzOPj+QOeqsZmajCtfZSCxYYruwXNIy T/X2IjJRwBZhAZ/IOL9jt9iwSvGlxoDLMkXfxHl2Z2nP7MSgiGRhYH+4kFo5fBadrwNmzeveKwWH uqd/+XnDCCg8JYtB6mY97OjGBAZUiJ/ZM+w32w63qdWqzJHVWG6KmXHnW7P2CpBb3tor0EtK6I+d q2iHJZ7MFQdPIy7+zMDrQhhrxeb/sl1MRLqkrNdVNmNbTkYwhpuH8AV/ScfKTbIUT8gjqfipFfLh e0kB2J8cRPKNCm4sFzOkL4dmf8jOPbA4fxFIwaLOcBIXFAVuyyjsGkwb9HLtO1b/McQG87HdLNi8 g8KN0Ay1CTwWj4oF5TDwC2n+e5KEBOA+VaF/R/3m7msMfQnNOJzc0+vubG4Ah/VVq7KSY+bQ2B10 t03KmpkmwDJedIkSHftY+3Y5H4jAin21bZ/OSL/1dlLH23GuqcpUlp1mNx9avckpGMVyKPXa10A+ R+wKcKIld1UMgYLt/JJlFJz5YhhUUAlpat7bGF7O0One4e4Y7yJJ4133hbPgXzmQ/27PGRaIVwa+ zfHZVfmRcCJOwMCTZrzjescpZrZiLMinyrC01UEcy+YL0UulPxjPWEpb6In5g/fM3QnHt5djFMS8 ih3TvbOmWkY6xLbr70fOzabaNoASXVPxBvuLu0ZdcSAw8ONVTkRmlcqbrqcYJ4+UI64br/2rViRj UPowHWzgEnWB9AmdoQjzL/ZlVgwkaGMiuAuCTt3XMfNInqd18nn6TDhSFCKCCeqN/AQR5MQXQanO /vGNE2tq0Zfi `protect end_protected
gpl-2.0
42df289afcc7fded1564a6972cad54c2
0.949234
1.825286
false
false
false
false
amerryfellow/dlx
alu/multiplier/booth.vhd
1
2,832
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use WORK.alu_types.all; -- This entity implements a signed multiplication. entity BOOTHMUL is generic ( N : integer := NSUMG ); port ( A : in std_logic_vector(N-1 downto 0); B : in std_logic_vector(N-1 downto 0); P : out std_logic_vector(2*N-1 downto 0) ); end BOOTHMUL; -- Architectures architecture mixed of BOOTHMUL is -- Internal signals type SignalVector is array (N/2-1 downto 0) of std_logic_vector(2*N-1 downto 0); signal encoder: std_logic_vector(N downto 0); signal A_in: std_logic_vector(2*N - 1 downto 0):=(others => '0'); -- Outputs of each MUX or ADDER block step signal mux_out:SignalVector; signal sum_internal:SignalVector; -- Allows signed multiplication by implementing 2's complement without an adder. signal Cin: std_logic_vector(N/2-1 downto 0); -- Sign extension wires signal signext: std_logic_vector(N-1 downto 0); -- Dummy RCA cout connection signal cout: std_logic; component MUX3B generic( N:integer := NSUMG; OFFSET:integer:=0 ); port ( A : in std_logic_vector(N-1 downto 0); CTRL : in std_logic_vector(2 downto 0); Y : out std_logic_vector(N-1 downto 0); Cin : out std_logic ); end component; component RCA_GENERIC generic ( NBIT:integer := NSUMG ); port ( A: in std_logic_vector(NBIT-1 downto 0); B: in std_logic_vector(NBIT-1 downto 0); Ci: in std_logic; S: out std_logic_vector(NBIT-1 downto 0); Co: out std_logic); end component; begin -- The first bit of the encoder vector is a 0 encoder <= B & '0'; -- Sign extension: A_in is the sign extension representation of A signext <= (others => A(N-1)); A_in <= signext & A; SUM_N: for i in 0 to N/2 - 1 generate -- Create the MUX/encoder coupled component N_MUX: MUX3B generic map(2*N,2*i) port map (A_in, encoder((2*i+2) downto 2*i), mux_out(i), Cin(i)); -- Create the RCA blocks -- The first RCA has only a the first mux_out( and its Cin ) as an input. -- Because if the first value of "encoder" is "101","110" or "100" the -- the output of this RCA is negative(naturally if A_in has a positive value). SUM_0: if i = 0 generate S0:RCA_GENERIC generic map(2*N) port map(mux_out(i), (others =>'0'), Cin(i), sum_internal(i), cout); end generate; -- The other RCAs take the output of the mux, its Cin, and the output of the previous RCA block -- and generate the sum. SUM: if i /= 0 generate SN:RCA_GENERIC generic map(2*N) port map(sum_internal(i-1), mux_out(i), Cin(i), sum_internal(i), cout); end generate; end generate; -- Output P <= sum_internal(N/2 - 1); end mixed;
gpl-3.0
c273e6cae2bf4cbaec8ee18a1b9f9c04
0.638065
2.892748
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xbip_bram18k_v3_0/hdl/xbip_bram18k_rtl.vhd
12
22,883
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mqShA3L0xrr1CXM+0YZvvtaaRUJ1WqHYA1RkCJOxptKHHEZLZ2TgJlJnf3C7aYSPmzwHBPgrEZ4t 59sA5Y98ig== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MsiAoOXS03LU5j+lvMIHiTAH/76YLmtmAHMzaEvrbpLRgWJdLPDvkZ2G4KrBYwycx6q0zyT9xham NLNIS222OnRpye8y97Z4zPgF/k+fzoe9+Vs8CWpRHz8nk6+f6b0uArY2VEg5b7PPDlTlt6PsmkCi T6ruBr09P7+uMq+TDm4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cdLiP/b6Cg3Rbajvmj8COjloYcjaYIzGNU4tOjn5Nj2i+hqW0uuYV/wb62Ban3cr1mK+DUGNcziO 81eRRbw0ZDX5lmoiIv25wRLqUlqPVQPhdS189inchZozOdz85xbDNO5FRT2jRyGIAgQI9vBlr6Iy 61XxNTzzT8zAGz7vaSrYNcmgmFfTuNhDKxvvi7Ayc6I1vRu7P4gbScFBa0WMMOrcLvYpnO/9nfiR plrYmMPadMOYBckYY9NhM9TfVEfCFxm+qLVjb50vORqJwd6EIeub2L4WUJpFO4KRrkst0TJ5mqZL Cpnlckg6l0srLlRyRThWFvuWbiMgAcHezzck6A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block e9jlbS1OWz0ZIS4Verkx7Cp/oqMwNUuBPenxtOPRz7MMFBJZ7J0clStLHI1GtMjq25gVt6Y1lDPH spzV//m1IH5JReHCGtvCxl9uUegxewzheDdOOL6yJEPGaCFIk9lHGqWBnF5uteUuswXTaUSnX9cD 1CtwOmmGvUOA7Dy5B1I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YkT+wIHcljuI62r4ou0SHGK4tNN4pTAPncGz+/uG9RXJkJJkOwAy0QMgF998sE3bQskkqRitfALy TGocAiE62Y/7v/NTKuWndGS8MGKgIi30t8b4B/pbdK0pyac2VMdGsZI40Jk5PPbMZMerhyLP8RnP wNdCEZiEPw7IWzoYzJwMoE2oczEkviBY1Qx4AHm++6e/BXfpQdYo73RMqp9ybDmrX9k/xrDfe9hW ydn8D+u1UetmVzbFjtSnGhOOyByAXmsM2T2WvDoiIodFPLVgpIVT3/MlHhFWWLxbJcdBitX7zcmm jF/FfyufENdqG+S6cqog3/Ey8LLxEYRqY8vBvQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15200) `protect data_block 62u3Jmav3COHHV3CVY1SOc1Re3Gwsv2/fu4XrrTLj8zd/ah/f4mcbx510XsbXJYhhRgjkNw/450p 9LlBydJPdR0xf7A4wId1Pvq7cE9KWemSETo4nQGt+CJNHdwkUEiGBs2oIYKhAmdmlqvsQQGwRpq0 ZxCFuXIaZomK+hkm2xBKnB5LmwP8op7CTPvI4VKoE+7D+rWdRH6kiOQU32kHOUbDfoEQYeqloUQd ztg+QGsLcEboMLd/wpzGX/Es4bqR7xEeqR8TT4SJhuay2ihF3WTOQDvpheK464yzWX3sX285m1R/ dkTG7buvDHyNvY+UAccg/aiI3QJFE8FrJWnb0QB0h3UE0+KHH/4iIB0colKbXuQvsFQCI1i6MQdd Um0jdb0D+tnkxvX/Dz9MIwHBq64NlJwlB9odkZ/hNaE+8IHh9bfnEBkgyCeJSBP9H9v+l2iJlTvN kzfhSPCYqq0vzUdP5ybZaXxOY7Z8iRaX+8Ybin73wvJmw/EQpWaq7mKH4F+Xkzy2m3nByYU7vLuz kiB8uakZOw13/rfOfuYSdhkHSxdY5R+YOh/+v/UWHvLD+dj46c16WNEwm9HF9hevOivjprVUggNN 48RiS9cANNZud8C+vKl1wi0s/Am9bAe55IFEFP7eSv4FNrhghxxmttcfulkDJPJBF6igub/t3L2N A3Fs4jS1l7AqS7zxOE3ISIwSv0uipCGlHXF8SMCrBhYCYyyuGEIoV5Oi0pVml12IZ12NnyI6366W aOCBbY4YnUw21OKmQ64FKesO9sjqhxvOzGVoiz5eW5qfKn4Luktezfvu6VxaHSQrw+aKLhKcBrH4 Mxx6KIQ7Y1GVKLFVjNJuvmDia3dNo2Ma/filKAEYj3TqjS4ezy2tSB0zzOxHTH+oG2NJChTqZgRa 1BoPY5lWuRCx25xXEr4qw3MVBE0B9V3xx0bQySXkVNFE3UJlSwz2llUVPsqGjVDF0BWZoAZDy83x ZlmXAz4F5YgKfJn9gxp66mWN+46nU9vzQ9UH61aPRkeD66Dojmu53DrYwtR/db7yaq0TaeVKrRwE uYcc+zINQck8BfDCjc82pOfgQfe17wQ6zZDV7JW6FgfajqlKZyGM5/Vf+Iybi+gU+XmhI9pHYu+L 4tSsmslmB5S1O5vAW2Rdlgq9iieGm8eLXfh63m8rm0QIPNQIXquKAtaU1uJR9wd7I7grKmTRHiPt avpROTFIT61uCYmMT/Xa/+XaGZJfaJ3Pkq2ocCGUbnAkEKpmrc/PytEMNVMW4Dbz3/etrHFnOwn7 kC2x4YswgIDR2dn8Hax5WkXMcGvp4o3+BQeLr9IA4/rpSg2UA1VlxAhnAMY0mB5DTCqE1wh0nLyf zrmb3S4SKUvkp283B3eCyF5N5BRZT4NMLYGbMSW51GNpTVTGOia9rEsulYgFyG7BITz9qGm8UrzZ iXKtcGqg1ccqfbEkQn2BpTV72Fu8xGp0VDtSMPT48fg8NPdOB/FUCZk+IXHLhdLrHaGoAqukmjj8 oZrO/8YixgYj6FlPGgoj9GOzuBzvyn/6ATSwyylUxyhEe6JlU21YQGocmJ8pd77ut6s63+7oh9bt I2rVsH+8ZaUU+7VfY/AHE6f2AhHUoe6/w/sPpQn31hkeBsaXIKJkJ7O2NCUi3wYG6grAyWoCmTe3 +BuCq7XLUgt27jFWC+04aJhgYJb+8qJIXUPPWSOdYlk8qdhfRKmzV5xQULVm2yat+BTucViywTgp Viv3012bJU3FKRupNrOLedVxYznpC/BSfrTDJBFzFASn+MmhF0De2lrsHa1lZPdv+65CuBjB8ZuD DsiD4HkFNsWPVx7J+KKYN/eHJYMVAGv//5CFDxd6RtNzuhxI/WWu51WeknPZt7QFIlYXEPq1lSEp ooxg5k85Hwe5hr0vhLQHY9B8sCuQSTgOlh9aLnc5yPAd0eDVGlPNbE4DLx1cZuRWA2zCNjq2oH/j Hw7XUzVGRLzylUwVCQZJ6xqIVE1n6ltDReWwjhy4xq3IpamDzo2biEbS8rfAssRLxP3a6SA4tv4R MBGIftVECrz5khPjYd8aESUAG4Q6c960UhyA4dSgEE64sl0sLD6nsOAUTZ9/17tMbt2N3NVnaf+I tN79VVYy1VEhfVamaycvgedhrQGlvTLzdj/sZHBWPTmtf5JwRG9X/JPR0D/MnH9EhRsMyD/zzSvC q88qlRL9zkjtR8L0XOO//9dXMObqIzMY5fFxmR5/+4FQJRedFx6hshQ8z340OX081zDBM8mf7A4O CyUunzLVaaEuj6lHZk6SDCZneGnzyf9bRqFBO8ehxzJa7CnGVCd4USSIGvttdfFI+0m095EZK7QV k01+Iqw90KiHnF10qy3yBEHNJm/+HloezH7pEskR5FHw3hQWy9ei+XzHG8mUAqqSHQJA5aZJ7CXG UJj5EZGgOaftySoe4Y19Fv5gF/ZvQnmLMfSHVY/N46sRfQu2iKeXEwctC9wC8CUDxnf1ARUW7QJS o8YEV+wvHfQG54BoVNrX+Y69/c65ga2ZCMxh7+o1bbq7f2oud4TUx0GKUPtNFT/tBeoSPt5oYWHC HxDmKef4zx2jWkYeQDIx0gHnXbDUlaMPlvQunsr3C+S+NqkS1rdQU1dJZ53KoPZExEvEca21nBLP K4uvZ97IMRQx+fkjApkh59njuFs6L5lYpaNEtRrvA5WkgKQX+ZBU8l11ceNS4JekISOjFRoHBAPx Xe+aAcZAOFfmJIJgbrrfKxIlJTqLAzcizMhvrN9GkkA6Nm2ADB2jaN4vVbZn1ePWQ12PmaKcNf7c rN8s6UPahPm/Mynl35rfBBhOA3a6z6P5ekXOiZ8YVtruVpz0LoCGN84M7v1aW9pMck1PnllMGe6j T5vT19RcF2/B+tcp4i08idcAjCOcBGAgTc12enqoqGZuYBRE76BXQ3RhpuwVi8mVQSNxVnoCsO2R MjiXxxngrBGZ2Esy+evsW7S1XIuTjZ79i9ayqXXKpMTEfRz8nIKi2c6SmAMh+RJkY1TIFWYF9D73 sz18WtfirbiG3i0XdsWdh2cyPmGNgcxL+Gox8kQrfmFwECtjgEiy5jh/4M49QFQb6ab5d9hS4J3S bCo/mqkhptNIKImUU6tIkqfMOJYWvwAYE4Bb1wVzRChG/R0uOzPgTVSVDs4Co2joIar8CCyhFLVB 6XcgCYfpdNEMhPu5RRZRXy65x35lzwWZzzSmjQ753FFMROrm5Vs6aakop2pToTN3Gc91zyCtn6lM XsWamhUzgQY8VJmOtbIgb6J6XBzyc9OT5h/8JMrC+LR+Y8VmZZEBIsQdlIbZ2P6Q+D+OHJmt7ftn 9QjFWYtWB9pT/n178GHY2b/NkD6YZg0HOwf5RzePvyTJ6nHpwumHukDYTqAXHFsyvLVrw/Wa9/QP 7FInl2xRMnASi3iC73nhLWwVWa6tWeLph4kSC3nkHR3WWSjcyKbZVjiIRnnWKrDJXcgQ1/Ok0Vpx n46nT1drxzZTxQ1jH2ds3q2udZ3+w6TKFrGLrG326QPySuz3sJpPCbqWl7ohOkGP/5IXyCUHPZkl 56PmGTk40qfOQ+H4fLlqzNUVvcsilp88ZtdCjkM+vyxuoGfnraHd0iRqcjwSzBPRgT4ykLZS129s SGHLln4ehyErRJEjl8543UUcmlLzpIiNQtiT/zfHTe/oaBWc7lZmJzQd3LyHV+ENDKGqqckMHVxZ UhOrebLSCikvvWqLR45To2YrhlSYbgu0vsinb0mjN22BwrFBXyI88eh9/qsjKQTCt3pVzI6wcSJh 2R3NbaNJZuM8vkfuE6dhw7JWvrcIBF/VKLFtl4i7UkBzywB5qvFwxq13CkrKARh8zDYBn4KThujK XHv74wPly/gLU2xFdsPx9KR3WoZldhNNEj+fstHSkcFlzkyof97abO+xxwLBTvftRliAHIlhErSA dGJ8stlf8cBQW5d24X8DAn02uTHxltTvglzAzunwMhjK+Iw6EX1cx6hG+9Ws21Q38dEbtRMeiiUx T7FFiFg9fAVnCGtOA1Swr1yXmuJEwTVIbAL8OSZk92QNRleB5vPis8usLwCEA/ceXnaZnsbE6qBj mUSZg33dvFKh0Ux4IYlQbGkpLj1GxrU49vtMny57LVKgAxHjJZEhR9HQTR9ig9xmGRTU+aBIGhp5 rM+x3bEoeFwmELwYX9fCiDqS9uEyuNrfeep4m13DGl4XZUjvwiwV1Wkbl3mNv2YbuPC/jLvSAPbh 5DxpYPkh5eAJlI/WAZsvWNAFycF8m1tut08KjBbm84EgaCa1e5kYO0aT5CB3L1tiryUTL7cnavz8 5LHhIRhPVk2dQDNEkznbypKUESNkn9bGaUUNTRpMRCcGTdSI/nQ2X2O6cG+0s7mZTBK65FmeFHFG 52XS8gGTY9kEzxzR0SlFZVggUmHJC70oajCxwQFbbmpXVJjUnM9blTU7n26FnHu/aXUfpIxbNrLx PdsUl0XE8GObPziPAhsSdsapgIweT33Qozx2xW0CnkJo5jcKzbHvQIccaueFOW/ZBoFF7uuOswMj Iix7BOwntoB/Dh/CrqJZmrKJKd0oZ19l0FM5bPeB4eGDdbHyR76PL2NIuwE2+cWiHdOkYHmmncJq INojKlUCU31G1siozszs8/uFFKL3P875JO/mlo1fBaLvC7C5CSW2owD9tCj0Eqk+KuOrgcHZQGG5 MWXLYD3oT2CvbJneoIeUuQbrFvA8WYBG7kPSQ1LjRLeLQ5gzMjyYf9aV95G565/tZz19YxVTkBfw BpNoZ+ku0UqrPchiKrUoWRIhfnM4AvqVA4h4EIzyNfxBkEVG6PcFvWdW26EJ0P1QdmzAKsceVe8c ytYptupHiFm8OdFVVFMQGBedqVQm12J9eQ8eauNBtkyKHTZES7ROh8+6ZLsErWYDa0/0/4AkN+GK XLg+QJM1Im8v5Y5GrpmNM1wGTvQhBPJ0Lh4qwKlZF3z3x43UAZNeVFk80Ctbg1Z731aO6sKknNiL R8aLi13ZIRnpkc/gQhOEkGPJNNqiNJLZBsxc+/E8W9irta28qVnuQxq7+MAAprfbk413ZD0x53Nq 690Yg3ePjCMoV5Aqp7JfXqXlANJnEacja59QD8Vext951s9ySWndySoVzfzlRE9P60scOpOxEKMl 8HKMVbgetd1/QHL8ofyEzn4XbQllGEWdqZdwDEzkEbNLWFNoTtX29xgJDXLZmoXjP8Auu2Kjm+Zk Moum3aRgA+TzBPjrXMZhmgMhLaQgSqC6qOR0SW4eaFVSyFGogjkrORpVqLnAqB+PCOAApY+x2U+x 1j+LvvdaGLAKJCKacjUuz9n37IjjkEBpJOGqnrSgtD3YKSkMXw7e5pHDIh6gXlyG/hCYe+rqGjqm z3O8vG4OqVYBLmr0s+0iomWyqJhS86QtD50ESLkG53D1k88COaxvmksRPLaJ/KMlYrpEVOCqUS1I jgaybWSp/duNwZSQbjKUsRZ+CK3s2ZWkJNRZPG5RQlGOC+EUBZf/nb0dEiTRohlvAhvn6wKmJ4BT lHR28QKnisHifpuTEQ/A8Xtz/VVuQdv+LzpJJBEWpTz9WluRauUl1QjU3H/dgDwMMZ+JPAlL62bP 8ytHOlWl6L2Ar4eq/b61hAT2COc2eWyVWK+9+Sb0vrh3EpNiPvEN2IUymu9svNWXVLd7QvZXN0ws L5twHHeWU/o0v46dhNmG/aCHLwUPlO1Yo3m3VsqzXm7BIFndTGjU7IvNMDc0e/6pRr6CYsDUJc5m zGnPiJ+scqHz1/8kpvk/Z065ZPEQ9jtbpm4+Ok+y5FkdgkzUqGv/XXatWKCDJ4efb/LuFwrwDFyI sKprfLKE+8D/3d7MWecKwlCpgpt58u4eCOkMcKosQbQgihqIWDYS8R+k57gryd6UXBKBPWMqSMAB X3BuJY3+nYPFDWgseho5MU6XOvnLRg24489ruiu6rMwP+Wa05zl86a69slkgJp+lGZJvQTfCME5B BJZKFpYSv1zCgUgbEKpqeNvj/hXh9ygXmm37MdgFH0ES7/01e5D+J82YrGKnuWOZpuA6i7YcBlC6 Zhpmn1xPERWVf9NzUy93g5EGoVMm/wvYJEnQzH6m3XW87oh0fwtajl10vquMZELOBRUQulmAKfq0 jItg1L1WJgOLCHXtbAio92a3hdQfc77lsLQ7izwE0IdbAmCFqQxU09l/9+AV+fvhPk4saT3YejUQ 1n5CdRWvC6cFpl9sAJwWsHrK3t4rIv/LC9xOBDB36pe7PxDSKXvIRyLxwaqj3lJgTn6+dHg+WL+B KiK+AbD4MVUCW7niYc2MeqlLOMwk0MxYui9xvvjWwVIcb5qfSZZxD1a5IxdrdT1La1ECt0gQVMm0 GTGhE1ZmyJEoacGC3VxZbcTWunIyPAhHAHyHiJo1OpDb8ThuCqWKZIXIeVz1zACfjyWhnUAygXK0 DQcoF5xdXBkQ7/czlHJSjXv1mdRWWf2rU+Y5S2SbauTtCo460YVA4qS5Ll4Vco0z2KksfJKKxZpe KrpPENXWrPAJdm+/7GNgvKJp9FQ16LIfDbFIuKIw/5+Wl9UtZ5nGpsZHTm7M1uTdSw1gR0lJz5I+ bAOfvI+WyNP0oH0bql3tc8+Wk7EGmThcD7M9e1wZ9UKmL3dhl/4NWrlFEEb3H85op8IAqFDo0LCB cWQPEqp8p/NGdoO+X83ZzXbf88JHec6rMcWsBi0G9fFNAyEgufs1HsqeiMOYUiXMS9WBrkhiBd7t eml8dioyXm0wEVQ7juBHjrPT0fvbof89YiQX1GjKFXjcgSfWozqdcwfxv76Cx3776CDr/CUn400+ w1RAKqMdZ291hMVN1smo7iA8wOTsdxwFIR2AAiFGpVaVZ8LfSgd2HxmGrTgNN1NmfzFQ5KDgH7rd ovqlyzNuwwOZfoZ7xPuADJNT4nTpYldx3sjLxMv3TV9F/x6z7P03YXWoGg4NGdpbeYKWI7qatnBw vSDb002eTne4jNCvCcGwiUNyrCuX4sRbFOlJALdOhbuQNUhEBIRhQfucgCw0YfF+tItjpgt8d00f LUuYrAOFN65Kccdaz0/nO0h31uQ5ErYwvCm+bseo6u/QyE89EhhYOnlJcfjs/UTaeB1dHsJTTa1n 3E9n16G2RsOCPf4i7+odL+JKKQ2DL+2QTfnfoVwDNiFn6lkvKAts3QUS3iJe5EFUM8y5f55k6uOP yDS9t0QRUyYarEyS5KK1CUrVGqi60uE3UZxSzv7Le6JN0iO9XVw92ePU6PXZbMslDlvVZ55oKPXA 0gkDxh5Dlf0KdJW81MTd69+l3vUFwJ0XZOsbycdM/5cUf6buyc54hNSyQMu7iAXNLA8WT5SZIGvp nwmIu/C8nTm4tdGNgCl5cABgJC7wWhngM+Wnfw5gvRUFxypETAy/MHntmLLb/AjvCIWihMoA1/5D cYjlQBj7eBf/G3C8UCU3hpTdwwiTEzzq6mEVke7ed7bkKLzbQd3HqvWxRHEt1IGXGOxC7atqlwpO T7dSw8tQZa2fYf6uNPugvJ4OTqjwBOwOhtxh3a3rn82WIsO8rrG5c3BUTRPZ2hZEVAkSt5XNg1JO ufRPtEaIr8ugqPzBHx8/xJ2f/LDs/7wb4aLPcwnW4hlj8mcijrlAztf4SwY5dIL1wmj4B/KD3MLH rRgpnAppFW6lAxpZpk0PhwPCfWovJ5NbfgsazJrLWYRf35XGmzjAW73Vdj0GUX088SvWRP+qvd65 V0wLEyZ7yRGutf0Q101MrrKuWncV79/HGLm67ySfNtAPbEY2eS9DWTQmhXPp47BMxEz5WAYhiB9/ B2LOUMaZ6gngsu3wQM/ro4Nlt8pQL4m+wFAWM7AhEsYMsJbvLt29nh7tDBSIKKyY9ItVuKS0rCGA FmxAfLRxkAaBJOhiNW29UeIwD0ee+PKzp9pKy7209WQ+OA5Pfx9BYRbsrVIjGu6/way0x8IoGAIy 7WSOG4MvpvcGUiF1IkMy5hTULaZqrFq58e5+oYVrzHGbF6IRl+Q0CsoCY0sT3e0xO7I+eDvmy8Fq c9Zf+d6A77drr0Zm32Xa9AC7DmHiXBMN+ztdxr7DSftNKBmcv6BXW7zzYJXfGA6BPOAe2VUef9B0 6VZc7zly9B9EtkyKw4T9yruTrc7Y0upHWPjxZOO8JUv5JWGDRDrRq5TzkBvTFicobb0aDdUUA5Y+ gOPT2AywdKYZKniwWic68rA0SNSAvTOZsIgCkGx3ZHeG6QZ/4ku1T8E9RngIXDCVFQT+mBCUPDFa V0E4u9yrMWO37V6OdjuDfnnA+HtnylJ63nxbRydRLmoQqd2E0lMnZKTirJ9LFUYx3F2YtLL4+Kgl 2eV+NWWjBpdxOtfiW+z0yM0uNbbHD2OfLso9g1oBxnAIaj60BxLZyiMPvAQDF8FHypgx8hqTJ9rW 8tf9XtKdHRq9TFqLtudGiI6nsUUv9pmwNkUcoKFWag64BiliT3vMRYKVhQE9YbtMgg6gSRkQjY3o /lMcA4vms3N85ZGyzUFMk5DFv4A2b6xLCOSqI9nVPdMcJQArSUV0UOUQGkejwA2sCWXXz7Iy1Vwd 7KM58Gb528tO7qpRZr4k//PCIXjDrry6lDJEavGb3vDYZ2PBIYCDhvwRJlRf7mDxA2MqwK20LDdq mq/asJjB7wX62OxcFJtcC5YJGUJMUr4uHBwhqCrD3ZagrUyvyAgUKN+XaoeIuvMu4LTg7kM/9zxF MGKazfboZrj2fVKt9jMQISDr3Th1iOGcQ1O4gwh3Rxb62RUVxGg1Vqlx1nPVpGEfsGcH8AZ7dCSY 6U5jmVpH948zlX/yiWa6oU/E3/CLassS8TBP2EgYTjsE1JYdPp4Grrf23hMLO/aRcHzJgC9qmeBa 9PsuUEkaD/L884tt3j9zbOQROBHCPequEVK4+icc2xo9Nqv9BVop5uWskydwM9CaoD9tcWHUc3VU BHZkUm71VcmN94l4Vxcf5YKMdh6Pb9U5bDPTsd5d154f3Gj/iZDpRV9dA0mErpUsV/hq9xqb77Ts 63ksjtRqD5K2pB1VZDGjXo/xImM1jqYse0CUeV+IecSftBWRaJPPu1o3fwLsb7iHyZWklcjpi+zG tiNUvpvQhLPIg4GomQZic8LCU9nY/HWs0qL5rZwite1GDHz5wlQSwXwmGIWeLWyeI8Ecpr0ZBkez QvmYCC74MJVUJQg4T170cyFU//O/IuYSd0wHqJpYPpJGOnlcAe4U80ijK6AMTrQuEK4Qmw/alpl8 jur6sMEAkg7+g3MUP8T1M/5no45g4AqHFmmBKQNuNMxNgVgiv8I/yooZaIeAjGM2yyza+23P5juO LIDE+9uDXV50X//L2bo0YsHyGfbNQqOXFlddu1jESzg8Aa39gxel70EtGBFyP+Xu3xTGWfHgI5c4 RazTViqm5C6y/ve0n1t+0jdPW3pFO7T0aJb26tUbHnhwAnYh0mCXFLdgktxe8jEoIPWoYUWgY5rQ FNQdDnZtqpajRxCI6k1il90teF+314dM97m69caFgLgGcdso7AOSY6FfpPxDEUMu8pX46XS3Zg0/ cskKc6UxOj0hNhs5gDdovuxL3wLn/bHDXVEMSqyz4GUunZhcb9KPQUw6ROw1T9c/Bab48mpN9CoE D1fubhyr/mg1jhjRF+Xkhn04Jibf+ul+sk0wMHNNTRxCz7x6eQ7iCdbngWiDkgpRjSVLLgoLf8u0 xU7rDRkLZik/nTey3dzSkfo4YUPaGDlv+2EYg18ZVF6bHnmxvf6qc+AXfLCq5PCjtJ5yZS9rZxjx 6WS6P8sgvp8cXrR28++go4omNUnSrtUNymShSTVGJfhgDJ+z857q8B47nD4VC9f7ACI5SzTw3qRW NqUzJ10V2iEiJydi37PVFtvi4aBAV77p0EIrhCRxrSdBht5Wo5iYQ9hrMZ4EMKcL13PloYoKwK9W 0A2NKE0Fh6ZyYAltJC4AYu2UUerbVfWP5fv0u4c9EjuAcowgZikuJaNTTvzClTMhSmuEwI7nXd+M W5y8Rh2483Kz7UMvcavVpPL07Aa8Fs/xpDuJJjAmpaQ9jpizTxSEGw3cD02b1l9t2KOhnTY9nccQ vLKnD2yIhjMoikMzf+Uftddu9bMm5Uhf6byrswk33ignAlOIZe1+I/BslWE1eQhHI4eNRudODBC9 TkhRVpu3M35L+01ofdGtr53X4C6YJbbB3flfhMv1z/voghhcKclREXV6HCy+f7U1skoqN1OMkKpN E/tGiDmUJL7iu/UostjWtSObVhxUPCL/jTwthURvRIDBP6Qr1nnt7lnBKqstf9OxCmwvCEZbBTqa xmCzTFJXldnBRYmtKz0MP2/igEIN0ku9v6+3GEgPdt7lS03ZH+GAXQjgH8DRzW2Jx8UAdrVmf1QO 04aHnYYrzGWYJiaTBF5C3MW3hav3DbvHv5QB26U8mVGzyOj0LPuK/Plo2EINpJtYdnxs9hp6wZVZ dDOWJkL0xA768dPgHlL6oS12lBvBuJK+9kRjm53k/w+LWnXesO1hdB1d6x+/WtxYTukh7ldiuPEj n8vRVVDplMS6cGK55tOfu+64fPJDTRlGaruM0kCzX8XIKadsCp7y/6srj/T/YfKRvyUmcP/IAGn2 ZtLRBgEQIAfWnawCe1SLNL+iIZqkOctR+Ure+TYuum/tkgc2I6uYqUWVEHissiv/ASjMgWgB1a0W XBuXwoXWU8TWOaGWrHgKk3JZMzznqKnLIyKEnplCuzf/8+/7RL6KhpQehY4quhtdq6biZNcTVH2e nZNedENQ4EYWQCVcaVJhPjGVh5/E3zaT5bENjI94lAfU/JHfn9trbgWTzEx8s6KV+7S4oke3+5O3 /SvXOlqlqhi/pBFoG7AVlxsjUXQtNVCmO3+5pVh9C+0h4A8Kx0wYlCWAL7BrgQ63YZGeD3oHEFkb jhEQDhcf/HDCZwGLIatBCihvaGqgdQYu++qAFyCjQaqaj+axpFWCxGX0MQq01A3F5X3NcVTyLrE+ CJx9J9ZBVPCGgDEfGSIgHL+rS34C4Knfgw/tBNOfkdf7Q30V5YgujI717eamCiPalP8fdEpgGCUw aYxZPmBuxm1xURH7j2BY+yo+KGS/DsQYe9GCObwLZvksW5MVzmU9P7Tfgzu+YP/RXx0vtOmDtE9b 3wvy4OcRXLVWwBpejfiUhfT2c9u2zU2OadSe6IfhBn5h8QxZEikOVi/OkyE3zlwX5JuBO8f8njfT aFp9oWLCrXtPxW9nDUMhdytR1jb/wNJiqYhJg1RGGoaEzLYjYRQr+ivECeV8Vvx8VtlQsE1/e0KM SC4khtZApVW5Bwoi7aHx848CgxeV0eHU21OSUkXHBxDvChu1aYTgb577snc3jRb9hPMJy5Nqt94/ 9UQCRVEUZl5u25GjvdbSu+dywy79Aq/IWhkM9mUlPzD/h1scTnEjo0qlvoesch/HM9KAXnL0MCEM 9Fra3V3XlVSIjuSES09/OOQU4n1kkyTIcF6w18rUjm0XDs0EsHd5k0RuNuw8E3XhIQ61iSG9QDFD 7JSNK7+sf8w+nSZ9G6u7g5y4oh9yIXutqnqpvxZKxV9uk6QI3QHDi0iFX9DzYLlDFUPmb56bGuVW fUPdldZUyBuEEx527qdgEOYYOmY/L5Q01CUql/fN3eDZ4EjmsWOrNtIikThbq3hwfe76LD4xUaja Q5Mm7pBQa29BYktY5u9RHo/Bzgh/xXlyI5fCJpOa9rXpT3Sq87qt0vBxlIrele3wh/lc2z+XySCr HRCwuWx34b187wcraJXvcLlbpmJuwtw6kthJgOrXk/w2EzqTo2DajbtUha6mzME1yfCotoxxeb8X b9E3goD4BczCm68khU32+QcAHyb9aF4lG/dNAlrSgB5jbVhJsWluNu7BP5B22855V+T0V0PIZ6hX qz/eY3tA19rpgOJBlkfsUDTT+vE2t82MA60wR6os20E58T3dPjSuv+qRuynFhTXoCfe3m1i/AuVE nfvQbPYZJh21mr9aMcay4ca9mKHn9vwA7nEl22L3EVN8qmwa/rg7sGPNiEF8KQ6xPEh/eav07q6G AFXbSaQmYB8l7sbtTXqFkHn+pQc+o+4qcSmWIalHdmKynANK5zqMcX/q/txaPQSETUPrSZ4gCnOW 33lbPEffZUWR/l5v+MAyRby7/6ejTVJsA2/djEg+Xp61M/SkuwxDs0xEUqiBXB7GQgRhWj5t6Rk7 XJg0Ez5JCCvoa+FOFJPCWguin3bo8j6PdHKajms8+fmP5YvY6rE/s4bPth9+UxanJccm+Hg+5V5l 1eD+p/X5Os4HnOQ3E2HroJuXMwo5pD0rMc4d/Wf+3kmBLznTNlusGoqrx/DrjiIhZNMzotDs+ycB 8r6EnnAI9hA1LUeyZFUWqZ9pbipLiax76Sy6B1vMRER3BFmO8GWrzZ/Z59HmB2DAVUNn0BTuqIfb JpJQrVnD+G+r5dMx9lp3XWTNku/so5e7LW0DkXGN7soIQ2DKwKoqk3YGAuGDIpHUYE69swcPU0h3 9ZqwxEzxb0DmAhxGe6T8HBMNtQhzSe3ltMZ+tjAQVRCQhEh7t0KPHo0saNrbzbmLHQIt/m9AYXuD uBGK4qC7vybRT3iLeZ18CymzeQlgU+nJsiYFMZKdON1dOY96CEx9qqewpQHOHF+JH5gRd3xCAxn2 WMpyqWvsuCd6Df6IlC9zHYx92/9p7XOHmsJqxAhFOJD+KvT/RfcQ+6cG/BN204GQD7yz3qTSjXnh 7PtqCljFA1ZdR4R5qhfUjtQcXjbY63eQSpOkz3Yu/BrXMDSYoXpeWJjA0JrXKengh6TNg6MJMqUZ vtWsZyRNb+IE3yszqarK1O0G7vVYZ/4eZcrbSmEFF177N37ZTBGaWF6C8XDCsIT55/8byxYV9hwi 1Z5RNWVj/NEWmcugm3xUrCsvFv36xf/2TwswcTs07qOax6RH+IHGKY/Huzz82p+FX6BPOnUi4L18 ebKAWtGtEkkL4YmllGFgYSot3MC4+lLai/z4pCOKE2FTkaw2/n3G3Z6F8Oumhar4NatyW93+a+8v H6S40ShfExRuvSnFdYqVB3oSLHaz4z7O8HDLmIxvxURTIF7paQpBfmTnWgwLr+ju8XCMuaEdKiqX zij9i/civ8GzcGSW+Y5Jbsy0BIaqt0OsYouFgdAvN/1Lwy1GnVf4m2wYxBnva7jZcXJI9bOdgODo 9LrRfJCsNBRDmH+kfUIl3j0ZxLJYNZnAFJL/RT8isCuFbgWZsNFSn9qW10CZqu4YmaoZLv3iPTpj 9IdYyqC9du7rMw+zSdMApB5Xl2FPRAFCRhfZCmpP7UaofDW/qR/By79ywSNT2VErTu2kM/XnzDX9 kBRtNxmUl9dvoRGZ1E8EC8Uvxi07Y71W4kShFVS1VRbKB8XXB1SpHo0hX8JtgUDXkEj/4nxujgqI PC6vbnL6waI6KwWpj+UJcuA+F/icC1xTHaj5YfKu8vbZhDbMX4b42rIJ/tse2iO228kqApcl+b6i f8nGL7F1PZfoBzEB/8OAkfeKr6qxHA1rSHNlcemFNsgJMFndI1/qngUvmKm2vvZ2FL+irWuc5+VD crL+CyaXu+Ivpyy58wdaAraSW3lBytQ3yWMHGh1GUweFaps/V7JzLQyjTdCh7xixiNeUX/GzaFFM RB2gKcY97kTCcCf+alW2qyBoDY+aXg5xE3ytNycS5hTjMJVSvx+oUgXY8qyrskfAtSKpvwDmI1AJ UToMK2HCMZqe0+x2+AbxmBvLmaBL/K/C9+oIed+YdFTA6LrY+pKc1SddaTf3zYTFB6K7bvDcRU4B G9pBcpmp+Kc4e6+Nv+GMrN0GFFyXxftV895uafbazhWTlmOv7jUOD4o+zn/wBHT6SaYbgoZmJPzs hpKcSUItXR1RpFRsHWMqrP7IIonJACBBeZqHZ7tZfITJv1ueIoIqa1nvzj57CLmfTKAGA9Keb7uU 1gloHhroNoonAB/Sv1szSnQDb8ECx+unhIMc3O0D77liUcGX9xPp7vujPCbLa7rVt/fexB9CvS9I 2mlhJmxu6QtY/Dbm564+/IePNty2HW9pHzVu2apCNSvYCTlQLsb9Gvh2SrYJ4Kw4OZ0TNFmhpUpT R82we/2x5GE8/2xS3oJfzcUCPwo+XfQ7UsXrm7AMuEzU+EW0dHOfpuY0TTV/pJKXO+8u7B0MkoJC DmL2BBcaLKhCWxSd20x3i0WZsZanlZn75++aFXUCX1PubtwfNGoLPgfpcYwW4AeXZn0oSowXd5rZ oTMS9XI5JA2T/9A+kv4kOoBtfBBLRJSTy6WSz/4gU7FlPuKbAlaxT1VbgKCuqck/KQEeJWtHDRY3 QSxQYnrlWn+H6wv0H1F/8L1uAPTOn8m+JSYH7CixpKDjYqdUFWQv7y5JE8owWpuGHu6qZnKgefWM dqL3MRgNbZ8rapH2fAdQhOr5R0jAUCPGa/fw/PHl2vmf+iQf0ONg+2/enKGNrbeXGGiXrnl3M21/ xFrg6vl0y1Zs0fm0AjnXZ+vo6pWns9PMyoJ3qXWvTcar6mKf8RmcWfqHM923gCAqyb1mv89mb/l3 e93BqWYF7Bon2+aafoLJVYhOrKnGXnrCyfTWQOhelB2JJYYlxjpL0103ri/0NhLML0DuJmhXNpVQ BDHajsc/NqUZ1fBIpDCqTyXg9Hi7u274w+qG002NmfSaE0unIGSZ/C7wuc3CBjso+g5rOjzbC1mz Ed1IzxE1v8jwA102qoo0oSHhaQjNPQhuS4tjUATZptiUKRiWz0DYI2CyXHwr1700oDp0zWpB3Il9 h12h99b9BpQ5YfreI4HaNOwq/yIaymu4OC+DIGb75bqIvLWwWUWTXTSS4+sRX9zUGrLAFw8aAV2b qTu7mgcN1Ceq3fCAoUouUY2EZsOfilVUoy8X42lq4Fr/M8gL5Q2rjkC69LhwrAyTm8jQDjLrSKcp EdQ+H5VIFeco3SYrlv0U1MWYKMV0uG0uSKPNlspIjxxWYD8rskWuQxjdDC+rGTYHEw18Vzw+sled MI7zMlrOT1iv4yUnZ5QmMA+KIXkJq1ECThbdJY6qMbXNqYNJHDE0cYHoDqVFI+ILf37P3cDTNM+Q S08dl8YXbQ7Nc6bWEY9Fes/QDTnZ39CnYy5ILSJnVC0CHKnUfE/abAbCMeFeIGwikvOga5kOAHd4 SXTI8H1+Gt7iPHr+ggISXmf6EyVRynEE5ShUfZ5qv5K19xTylJmAzHJzS6u+gcgwnIX0v3CZaIUg YRB/MnCrunQMeguoTk/rvRsugl27vJEnaG+CKrby1/U9jxzjc5Vm9i9h/P+A+A0wi2Qj78MfGEdI /XPYAzUu3NcpOwsGxu5y/WiUi2nHhY0bNDF9gmxXnJxFwx6qommY7DShOR1Xckk4WHG6ATUjI2Je 5jDwQ2Gx5NZdJgZ9lQiEpXDt4cpUFhD0+/P3E08FBXP4k9EHzA1AN4HJAs323c+gRRBPdNwwft2A aPbAyzRuO7cq6OeKMQstW16J1MzQKJUCh0UmBc4UcBOHZicJdaDcujQc01b3/w0V2/q2Z0Dqe08F 62mW/0BO6RMyXuJNIHkHHxS5GnlBpQegvBMihFzNFzze830YAM5x6XUI4pTC4vbpnVNAvDiS5gZd IGRIM9Ebvt5nuyo2hx7YXH5Sow0LpT3az15KKgzozmAmpPm0F6m+1TmJ+pKRrVVBw8I1luKhF178 RfFYXUF2U1C7jSwzGxZXfFBHkqblFr08ezzkbQyVHjqJD1DF8nYTpR9pTnAeqkCStNrKAWrtPtfc 7G0/f4DnfBpY+vJ7fb8ELh9HNtA5nC+8F4bF1PBlF0Ezsa6aHWfy361CACgtPSzIZP6uMZ+JPw7j eZ4j/LtImy5xl65TJSaCXJLnMPxDlyKgfqWnHdM8fhiIscLrwn7pUyhQwKoSeSbkUBAzwrUA3eri peXWJn0isqmyYMHcXtFZ+LGOS/PNP0Vk/mWgLr6wec8RSAf5Js9J27PpIlQky0q7WeVTQRrmuZlH rCV1bz/JcTqtSoEovZhlVIyizuyEEGBTSYde1fQX+WdAFM8YtNgCysSL9/z1tpzVUHoA57Ug9Inr bAiWJ8kM9v8RO2KAAEWW1bQzQDlwBXvP1s4xYQGe6dVY/9MZV8tdKPKopeb0kXfTaJrNEK0/lEqL qEMzDVEzAdVvK4gwCEHo2yfVPwa+JAsKRUtSeOYKmQ5mWO8IuDKWkMwyMAwKIFJHxex3tOLgDoo5 /2Ykj7tW3Y2RXvScAGieuQjqSUTGfSxh1UlUnWgmbHwwdYU+5m+JvmmG7PrniW+kpk7ePGzVf4B4 kgf6nYBtUWL6zc7rM25U/nKNjR8YgIClpC3jpCC85T38CXfceWjQhoGDGjjMl96o6he5vbop45ac R7ciqXjaqaQRBV9QPG12OC5guUmTZwx+DUbJQ/e6HwYPmOx3Q6/cd59PtKyqLjmPNvnvLymfvY4l f9Q+eFH5OMaZT1lMWoGx+yYWKmXMDEZiXDsfDZ0FDodzqaO9ajreeRYWCH+msqFF+L6wgaYwMI/C bkt21OrQgoLo6DU+8xqOEHDORcZYn6H7RMLALxQP/abRF0UQgIpprbk43O1A8Jc2tBz3v/iChEkg wxAdS1eIkb2LXg19et+Nf/ucUY07fDECpCVNZVT9vAHFFhISDFTOT4knLx1Kf83o3V+n8Ri0xfhf spj+B3zz2D4ybq4kcZ/MV3TgiF+w/I70NxseLAWfmp3Vfmv1dPu3UTO58ksqlZteB2zJDTYQVd98 /7sU7ms83nHBJmvf2X7fty7f6rR9hU4kQIxJal2txd0hNXIu4SMUpd5XE9VwUkEe+KqPC3n+LJkf PRJoOwyb9eenD/vMnEnTwQUrdeLCOQhq0mCF+uDgV3P0TpX/SIEv7cpqcicOTcO4Qm9RnT3gPzX3 K5xDweVge/uGnBiOoFtI7qtHiGiMBTK4ACv3jMqQE6C0jrvDKp2DNrJ0LXs8SRcak84lGnnSWiUH /kteZq/dCYGmEuTikqJxhvmXQDg28S7rtxLoYbMY+h7wdMTOUhBb4NNNnB7pGJkF/qAO+2iV6crb Zp05OMWouIqjw1WZpb/nkZkjJBVm5mXpXUKBinHN6pGPkSE9V0G1CbeI1zZ6g6S7izK8IUvCU0F7 iA9sa+gC2n+2tlIc4PMVH7n+Pyqfga+WfKNG0aPvuqOHeOpaq91m+EcsLtL2tN3u385U2LB1zBRo J5Y94x0ZP9gczOZpB3JB6pJqn2Qk8XVuJLgbpR6MB1/qyjuH2L3Uo8hTOmCDHIOVzYKFTauqhD9j eUHIYfu0rVtgQnHGouj6JPqvSU/oaQvEpiMSFokF8LjmQrEi5G1EpZAWidKT3deEvBeqX87zqAUM 12Tx0jd0ToLUGb93rV3m72nN+7bN6FOwCU8UN1ilKPz0pl2+m82IApHlwGO08UZ3DiHB0jG6y5WZ TqIEwiMvSAouV99zu7uTFuIXxW3Kp7L96ehjt3pv2QJUwrdKhJJZdsMfvrP00iVrw7fgqNQW9hEc nz2QNQayKpt51uh7X0XYN7SFeljUDXh9wOdypUuJkl0npsQNoljxuBeVXI136WRRfOBMjJLWA+1V /HmXaV+t4tuVccx48aZZ73mHxE++C2J9AJpvDjK4FZhOhOzofIQpGEAnkkCjwlkfRMxlArR28CBL xST4Y/d5w+FWpRuMpTHmyaPoREMNfAubJHmkNenOa9LVr4KLUndnfZ5qrKKe2uuaMzMoc2vjHrxM BQmeR/iZAXQdGn3y3IuPXmdG5vlj5tsiHw41FU5iEgOyuxpnWLLtDjQF8B+AaGu69hc3Vka2PjjP /kLVbFh0BxtFcs7buu/X51nBtRtOFr+Ld5V/trHP14aIjLkhjWNzZsYs7KGrkXWMJmYQc+1jbjkL f2lzo6FBAd08K9QFRbYaE4S870SCXypjYuVSPOA/cG1jQ4fmjnLeh9JFImPTaBw0J3bmhFvlOXO/ IjcpPBy5Rg6IkYwWXbvRoJsTsxRlcURZq5zNRqS39M+CJmLvd9+EZ+Qlchq5LKQiMQqXOUk3dKQD ylQpXoo4GCh5Kpc13okP6uwM1QWpx/wwkOfvOFOyvfYLtJ6agxklz8PTCAEo3psikSOKxzzJuJVE PPCkLcZpXXX+OXUifPdYMJiJe4b0fxlkZSMAZh8na1tM7D0ORbMUtqctMKDgraZE8VVtCf9SHSGx pgqcz5vAcPfEVOL6KEQ+nY3jMPMehmDqUjeQRYeaVKS5tkWv1hXJ17Ct5QdCdp0nsjD1WG8u3JaF lkndsDDu9NqCcBkYVGZw86Gy8jifcIIOSKTOOskgd9+7tVfI+W6b0FTRxr5gRq/fxDOncRNKyAPz Neo30KCC6aJ1rubYHR2AyyihPITH3dM90XLfX/MsBfjJeqprH0l7LHfUdT399fYFc+EnpkUjFtAl Ve2Gr9zwNWQnL4raV/j2zaq6LYTFAfaqA4YGzD44ni0SSvJqdSsjrC+a46/8yKJ67stFNyfZkxVS 07jCdoj8+N7ZolKSyy5JY4Mq48R0jMFI6B1qRgCtOb4cimVILHhrp/umh9IUx7Q0TuvYEobDe4cK 73ROtdCPh50ZyY6pEQhGd0ZyUEH+cPn5Q+OfP9Xi9Sm3tRIMZtS6MVAfMZEOSCqcpnMP4j0S6uVD J8LRKaupGnoY9tDzeZFX7CZ7t2hA69v7F5XAREfKC42S/YaGI3kcuRHOZEaRtM8TgQJ8UUUNTVf9 +oHRl41OigaI4ackC848IT6SwMKy0nTyvnwqKw5BqB2AwVP5TIhVWpwNMJVRJCYbZEZF60/N9hFW JzEbj/GtKlCJyIFzT3v+KX5OxXYd0/XDa+53FleqYWrxtWTGaQRhMC1OUpMOzxPgMcpVSueKIImL l98Io788w2uRqC2bFIETUSAM3CnvkkXY/Hfwb7hRHrJbrb85zGwujGrXsYfBMxQYwFwzj8vlrOlk eud273fEfgBXWkqUalXvcHO3fzYdeWXdnPQxpsjSEF1ySR3SbGtX66lZhcJJSMW3DME55gNuh3uF AZzyr3SQPs003B/5Q1AjJH+Armw9qC/j1Dgo/oBHj5q87fHluqBD95uJZl9u1Iy+DvqcGr5hWyP2 B0P7Md6fZFTPsSMJ9RCUYzJCwWSLv6Ingek6M+uFginHeyE0UMryLFinBE53TzlG2YB8WcwFnmoK puLz08EqtSr4v9r6uiQR+AgatdV3C/L5OPD8ZeRAljZTIcUkbM7OAFllbVyUAU1a/rOUJrDMZE+X 56adRpjFJYKlNkHe74SqnO4cjbjjL6JUyY4DTuOvfNVhoYqMFNALL4axLysC437ZRzc77hGVdG/G gG9DBXqqu19opDjjV096GYwIRDeV83F+TcyNjyYSMdYZ9Uwx6+U1rM46537joXgEyfZkjLNrcFof HQXYKvea5W7s1mg6mId7SIBkCYvXSOgfqsDSnn3JZIQLlhEpFFjmWw8XQmuf/LxnAF3NQnKZDqqG Q+x2RApJeEJPCdNhlm76gQINQSYRE8kVz1LMhrmBX2OHt0YcoG9ap2BrC5gfQWA7E4u3iW3vg/Qf JCZuV3bECv1OxKA1tXJ6aEBuqyOEvjya9Kfezfi1fbqFlsgotl6dCbHmH/gAz/9YeQ6PYSZ+mUTj 22K60cKpLtPB3deLB7pcA63CrM40mVRqOwCV4CEu5ywfXn9wZB3mjXeQtubAcxPcGj7KzD91gk3z cqJ7ijIMK/jg7jq7sVFy7pXZxAsPD88LX4UpPGK1haUIzq/AhHP/ngJISy/XekXjAJATtaqheuui wpjOfbQxLsDS6dY7ED2YZCu+jQUwqItZ2DFUjmX7ofTwq8uapeIzQrQ75y4/Hy757WBBaAU3YZt5 GXwW6a+5UN3m5YIMxaMxW24t2f700JREBA001fvNnngkrElTwS30WM7NY+RGHP6CFURUm9RVNyHa 0VpR10ixApBFMB5aJGXR8hCkcJMmCj8tpkPZCkoBhGRKRNFjpDTdZ9WlQGHHLmwx9N8G/UozXN9L 0zRaF4O9Nk4LS2caLosywC5UfaFdpedcDNZRzNF2L9CfZEkxxDshJxCkPINjx8eD7caddG5BfKN1 p4WD2wFewp2CCAhCiab2D3mGXKZKd5QH0kCu6efHW59SpSNQY1yOZ7wp365nqEAw9K/AU3XVx35/ ix9QwOlOcQ08gGLZqk+DUmhqqb3a6bzk6IqxrT2QAY0Cw3ijhKI= `protect end_protected
gpl-2.0
38f667a208b8113c6761587f0d6e9a34
0.940392
1.840653
false
false
false
false
r2t2sdr/r2t2
fpga/modules/adi_hdl/library/axi_i2s_adi/axi_i2s_adi.vhd
1
12,751
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library work; use work.i2s_controller; library work; use work.axi_streaming_dma_rx_fifo; use work.axi_streaming_dma_tx_fifo; use work.pl330_dma_fifo; use work.axi_ctrlif; entity axi_i2s_adi is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- C_SLOT_WIDTH : integer := 24; C_LRCLK_POL : integer := 0; -- LRCLK Polarity (0 - Falling edge, 1 - Rising edge) C_BCLK_POL : integer := 0; -- BCLK Polarity (0 - Falling edge, 1 - Rising edge) -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 32; C_FAMILY : string := "virtex6"; -- DO NOT EDIT ABOVE THIS LINE --------------------- C_DMA_TYPE : integer := 0; C_NUM_CH : integer := 1; C_HAS_TX : integer := 1; C_HAS_RX : integer := 1 ); port ( -- Serial Data interface DATA_CLK_I : in std_logic; BCLK_O : out std_logic_vector(C_NUM_CH - 1 downto 0); LRCLK_O : out std_logic_vector(C_NUM_CH - 1 downto 0); SDATA_O : out std_logic_vector(C_NUM_CH - 1 downto 0); SDATA_I : in std_logic_vector(C_NUM_CH - 1 downto 0); -- AXI Streaming DMA TX interface S_AXIS_ACLK : in std_logic; S_AXIS_ARESETN : in std_logic; S_AXIS_TREADY : out std_logic; S_AXIS_TDATA : in std_logic_vector(31 downto 0); S_AXIS_TLAST : in std_logic; S_AXIS_TVALID : in std_logic; -- AXI Streaming DMA RX interface M_AXIS_ACLK : in std_logic; M_AXIS_TREADY : in std_logic; M_AXIS_TDATA : out std_logic_vector(31 downto 0); M_AXIS_TLAST : out std_logic; M_AXIS_TVALID : out std_logic; M_AXIS_TKEEP : out std_logic_vector(3 downto 0); --PL330 DMA TX interface DMA_REQ_TX_ACLK : in std_logic; DMA_REQ_TX_RSTN : in std_logic; DMA_REQ_TX_DAVALID : in std_logic; DMA_REQ_TX_DATYPE : in std_logic_vector(1 downto 0); DMA_REQ_TX_DAREADY : out std_logic; DMA_REQ_TX_DRVALID : out std_logic; DMA_REQ_TX_DRTYPE : out std_logic_vector(1 downto 0); DMA_REQ_TX_DRLAST : out std_logic; DMA_REQ_TX_DRREADY : in std_logic; -- PL330 DMA RX interface DMA_REQ_RX_ACLK : in std_logic; DMA_REQ_RX_RSTN : in std_logic; DMA_REQ_RX_DAVALID : in std_logic; DMA_REQ_RX_DATYPE : in std_logic_vector(1 downto 0); DMA_REQ_RX_DAREADY : out std_logic; DMA_REQ_RX_DRVALID : out std_logic; DMA_REQ_RX_DRTYPE : out std_logic_vector(1 downto 0); DMA_REQ_RX_DRLAST : out std_logic; DMA_REQ_RX_DRREADY : in std_logic; -- AXI bus interface S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_RREADY : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_AWREADY : out std_logic ); end entity axi_i2s_adi; architecture Behavioral of axi_i2s_adi is ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal i2s_reset : std_logic; signal tx_fifo_reset : std_logic; signal tx_enable : Boolean; signal tx_data : std_logic_vector(C_SLOT_WIDTH - 1 downto 0); signal tx_ack : std_logic; signal tx_stb : std_logic; signal rx_enable : Boolean; signal rx_fifo_reset : std_logic; signal rx_data : std_logic_vector(C_SLOT_WIDTH - 1 downto 0); signal rx_ack : std_logic; signal rx_stb : std_logic; signal const_1 : std_logic; signal bclk_div_rate : natural range 0 to 255; signal lrclk_div_rate : natural range 0 to 255; signal period_len : integer range 0 to 65535; signal I2S_RESET_REG : std_logic_vector(31 downto 0); signal I2S_CONTROL_REG : std_logic_vector(31 downto 0); signal I2S_CLK_CONTROL_REG : std_logic_vector(31 downto 0); signal PERIOD_LEN_REG : std_logic_vector(31 downto 0); constant FIFO_AWIDTH : integer := integer(ceil(log2(real(C_NUM_CH * 8)))); -- Audio samples FIFO constant RAM_ADDR_WIDTH : integer := 7; type RAM_TYPE is array (0 to (2**RAM_ADDR_WIDTH - 1)) of std_logic_vector(31 downto 0); -- RX FIFO signals signal audio_fifo_rx : RAM_TYPE; signal audio_fifo_rx_wr_addr : integer range 0 to 2**RAM_ADDR_WIDTH-1; signal audio_fifo_rx_rd_addr : integer range 0 to 2**RAM_ADDR_WIDTH-1; signal tvalid : std_logic := '0'; signal rx_tlast : std_logic; signal drain_tx_dma : std_logic; signal rx_sample : std_logic_vector(23 downto 0); signal wr_data : std_logic_vector(31 downto 0); signal rd_data : std_logic_vector(31 downto 0); signal wr_addr : integer range 0 to 11; signal rd_addr : integer range 0 to 11; signal wr_stb : std_logic; signal rd_ack : std_logic; signal tx_fifo_stb : std_logic; signal rx_fifo_ack : std_logic; signal cnt : integer range 0 to 2**16-1; begin const_1 <= '1'; process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then cnt <= 0; else cnt <= (cnt + 1) mod 2**16; end if; end if; end process; streaming_dma_tx_gen: if C_DMA_TYPE = 0 and C_HAS_TX = 1 generate tx_fifo : entity axi_streaming_dma_tx_fifo generic map( RAM_ADDR_WIDTH => FIFO_AWIDTH, FIFO_DWIDTH => 24 ) port map( clk => S_AXI_ACLK, resetn => S_AXI_ARESETN, fifo_reset => tx_fifo_reset, enable => tx_enable, S_AXIS_ACLK => S_AXIS_ACLK, S_AXIS_TREADY => S_AXIS_TREADY, S_AXIS_TDATA => S_AXIS_TDATA(31 downto 8), S_AXIS_TLAST => S_AXIS_TLAST, S_AXIS_TVALID => S_AXIS_TVALID, out_stb => tx_stb, out_ack => tx_ack, out_data => tx_data ); end generate; no_streaming_dma_tx_gen: if C_DMA_TYPE /= 0 or C_HAS_TX /= 1 generate S_AXIS_TREADY <= '0'; end generate; streaming_dma_rx_gen: if C_DMA_TYPE = 0 and C_HAS_RX = 1 generate rx_fifo : entity axi_streaming_dma_rx_fifo generic map( RAM_ADDR_WIDTH => FIFO_AWIDTH, FIFO_DWIDTH => 24 ) port map( clk => S_AXI_ACLK, resetn => S_AXI_ARESETN, fifo_reset => tx_fifo_reset, enable => tx_enable, period_len => period_len, in_stb => rx_stb, in_ack => rx_ack, in_data => rx_data, M_AXIS_ACLK => M_AXIS_ACLK, M_AXIS_TREADY => M_AXIS_TREADY, M_AXIS_TDATA => M_AXIS_TDATA(31 downto 8), M_AXIS_TLAST => M_AXIS_TLAST, M_AXIS_TVALID => M_AXIS_TVALID, M_AXIS_TKEEP => M_AXIS_TKEEP ); M_AXIS_TDATA(7 downto 0) <= (others => '0'); end generate; no_streaming_dma_rx_gen: if C_DMA_TYPE /= 0 or C_HAS_RX /= 1 generate M_AXIS_TDATA <= (others => '0'); M_AXIS_TLAST <= '0'; M_AXIS_TVALID <= '0'; M_AXIS_TKEEP <= (others => '0'); end generate; pl330_dma_tx_gen: if C_DMA_TYPE = 1 and C_HAS_TX = 1 generate tx_fifo_stb <= '1' when wr_addr = 11 and wr_stb = '1' else '0'; tx_fifo: entity pl330_dma_fifo generic map( RAM_ADDR_WIDTH => FIFO_AWIDTH, FIFO_DWIDTH => 24, FIFO_DIRECTION => 0 ) port map ( clk => S_AXI_ACLK, resetn => S_AXI_ARESETN, fifo_reset => tx_fifo_reset, enable => tx_enable, in_data => wr_data(31 downto 8), in_stb => tx_fifo_stb, out_ack => tx_ack, out_stb => tx_stb, out_data => tx_data, dclk => DMA_REQ_TX_ACLK, dresetn => DMA_REQ_TX_RSTN, davalid => DMA_REQ_TX_DAVALID, daready => DMA_REQ_TX_DAREADY, datype => DMA_REQ_TX_DATYPE, drvalid => DMA_REQ_TX_DRVALID, drready => DMA_REQ_TX_DRREADY, drtype => DMA_REQ_TX_DRTYPE, drlast => DMA_REQ_TX_DRLAST ); end generate; no_pl330_dma_tx_gen: if C_DMA_TYPE /= 1 or C_HAS_TX /= 1 generate DMA_REQ_TX_DAREADY <= '0'; DMA_REQ_TX_DRVALID <= '0'; DMA_REQ_TX_DRTYPE <= (others => '0'); DMA_REQ_TX_DRLAST <= '0'; end generate; pl330_dma_rx_gen: if C_DMA_TYPE = 1 and C_HAS_RX = 1 generate rx_fifo_ack <= '1' when rd_addr = 10 and rd_ack = '1' else '0'; rx_fifo: entity pl330_dma_fifo generic map( RAM_ADDR_WIDTH => FIFO_AWIDTH, FIFO_DWIDTH => 24, FIFO_DIRECTION => 1 ) port map ( clk => S_AXI_ACLK, resetn => S_AXI_ARESETN, fifo_reset => rx_fifo_reset, enable => rx_enable, in_ack => rx_ack, in_stb => rx_stb, in_data => rx_data, out_data => rx_sample, out_ack => rx_fifo_ack, dclk => DMA_REQ_RX_ACLK, dresetn => DMA_REQ_RX_RSTN, davalid => DMA_REQ_RX_DAVALID, daready => DMA_REQ_RX_DAREADY, datype => DMA_REQ_RX_DATYPE, drvalid => DMA_REQ_RX_DRVALID, drready => DMA_REQ_RX_DRREADY, drtype => DMA_REQ_RX_DRTYPE, drlast => DMA_REQ_RX_DRLAST ); end generate; no_pl330_dma_rx_gen: if C_DMA_TYPE /= 1 or C_HAS_RX /= 1 generate DMA_REQ_RX_DAREADY <= '0'; DMA_REQ_RX_DRVALID <= '0'; DMA_REQ_RX_DRTYPE <= (others => '0'); DMA_REQ_RX_DRLAST <= '0'; end generate; ctrl : entity i2s_controller generic map ( C_SLOT_WIDTH => C_SLOT_WIDTH, C_BCLK_POL => C_BCLK_POL, C_LRCLK_POL => C_LRCLK_POL, C_NUM_CH => C_NUM_CH, C_HAS_TX => C_HAS_TX, C_HAS_RX => C_HAS_RX ) port map ( clk => S_AXI_ACLK, resetn => S_AXI_ARESETN, data_clk => DATA_CLK_I, BCLK_O => BCLK_O, LRCLK_O => LRCLK_O, SDATA_O => SDATA_O, SDATA_I => SDATA_I, tx_enable => tx_enable, tx_ack => tx_ack, tx_stb => tx_stb, tx_data => tx_data, rx_enable => rx_enable, rx_ack => rx_ack, rx_stb => rx_stb, rx_data => rx_data, bclk_div_rate => bclk_div_rate, lrclk_div_rate => lrclk_div_rate ); i2s_reset <= I2S_RESET_REG(0); tx_fifo_reset <= I2S_RESET_REG(1); rx_fifo_reset <= I2S_RESET_REG(2); tx_enable <= I2S_CONTROL_REG(0) = '1'; rx_enable <= I2S_CONTROL_REG(1) = '1'; bclk_div_rate <= to_integer(unsigned(I2S_CLK_CONTROL_REG(7 downto 0))); lrclk_div_rate <= to_integer(unsigned(I2S_CLK_CONTROL_REG(23 downto 16))); period_len <= to_integer(unsigned(PERIOD_LEN_REG(15 downto 0))); ctrlif: entity axi_ctrlif generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, C_NUM_REG => 12 ) port map( S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_AWADDR => S_AXI_AWADDR, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_WDATA => S_AXI_WDATA, S_AXI_WSTRB => S_AXI_WSTRB, S_AXI_WVALID => S_AXI_WVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_ARADDR => S_AXI_ARADDR, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_RREADY => S_AXI_RREADY, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RDATA => S_AXI_RDATA, S_AXI_RRESP => S_AXI_RRESP, S_AXI_RVALID => S_AXI_RVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BRESP => S_AXI_BRESP, S_AXI_BVALID => S_AXI_BVALID, S_AXI_AWREADY => S_AXI_AWREADY, rd_addr => rd_addr, rd_data => rd_data, rd_ack => rd_ack, rd_stb => const_1, wr_addr => wr_addr, wr_data => wr_data, wr_ack => const_1, wr_stb => wr_stb ); process(rd_addr, I2S_CONTROL_REG, I2S_CLK_CONTROL_REG, PERIOD_LEN_REG, rx_sample, cnt) begin case rd_addr is when 1 => rd_data <= I2S_CONTROL_REG and x"00000003"; when 2 => rd_data <= I2S_CLK_CONTROL_REG and x"00ff00ff"; when 6 => rd_data <= PERIOD_LEN_REG and x"0000ffff"; when 10 => rd_data <= rx_sample & std_logic_vector(to_unsigned(cnt, 8)); when others => rd_data <= (others => '0'); end case; end process; process(S_AXI_ACLK) is begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then I2S_RESET_REG <= (others => '0'); I2S_CONTROL_REG <= (others => '0'); I2S_CLK_CONTROL_REG <= (others => '0'); PERIOD_LEN_REG <= (others => '0'); else -- Auto-clear the Reset Register bits I2S_RESET_REG(0) <= '0'; I2S_RESET_REG(1) <= '0'; I2S_RESET_REG(2) <= '0'; if wr_stb = '1' then case wr_addr is when 0 => I2S_RESET_REG <= wr_data; when 1 => I2S_CONTROL_REG <= wr_data; when 2 => I2S_CLK_CONTROL_REG <= wr_data; when 6 => PERIOD_LEN_REG <= wr_data; when others => null; end case; end if; end if; end if; end process; end Behavioral;
gpl-3.0
effd10e8ee02ed3e756c4e0e2c846596
0.608109
2.508065
false
false
false
false
fafaldo/ethernet
ethernet4b/IPv4_destination.vhd
1
1,408
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity IPv4_destination is port( data_in : in std_logic_vector(7 downto 0); enable : in std_logic; reset : in std_logic; clk : in std_logic; destination : out std_logic_vector(31 downto 0) ); end IPv4_destination; architecture Behavioral of IPv4_destination is signal address_counter : std_logic_vector(10 downto 0) := (others=>'0'); begin process (clk) begin if rising_edge(clk) then if reset = '1' then address_counter <= (others=>'0'); elsif enable = '1' then address_counter <= address_counter+1; end if; end if; end process; process (clk) begin if rising_edge(clk) then if reset = '1' then destination <= (others=>'0'); elsif address_counter = 31 then destination(31 downto 24) <= data_in; elsif address_counter = 32 then destination(23 downto 16) <= data_in; elsif address_counter = 33 then destination(15 downto 8) <= data_in; elsif address_counter = 34 then destination(7 downto 0) <= data_in; end if; end if; end process; end Behavioral;
apache-2.0
829e05829face77c9e282c096774f1cc
0.692472
3.312941
false
false
false
false
mcoughli/root_of_trust
operational_os/hls/contact_discovery_axi_experimental/solution1/syn/vhdl/contact_discovery.vhd
3
282,362
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity contact_discovery is generic ( C_M_AXI_DB_MEM_V_ADDR_WIDTH : INTEGER := 64; C_M_AXI_DB_MEM_V_ID_WIDTH : INTEGER := 1; C_M_AXI_DB_MEM_V_AWUSER_WIDTH : INTEGER := 1; C_M_AXI_DB_MEM_V_DATA_WIDTH : INTEGER := 512; C_M_AXI_DB_MEM_V_WUSER_WIDTH : INTEGER := 1; C_M_AXI_DB_MEM_V_ARUSER_WIDTH : INTEGER := 1; C_M_AXI_DB_MEM_V_RUSER_WIDTH : INTEGER := 1; C_M_AXI_DB_MEM_V_BUSER_WIDTH : INTEGER := 1; C_M_AXI_RESULTS_OUT_ADDR_WIDTH : INTEGER := 64; C_M_AXI_RESULTS_OUT_ID_WIDTH : INTEGER := 1; C_M_AXI_RESULTS_OUT_AWUSER_WIDTH : INTEGER := 1; C_M_AXI_RESULTS_OUT_DATA_WIDTH : INTEGER := 32; C_M_AXI_RESULTS_OUT_WUSER_WIDTH : INTEGER := 1; C_M_AXI_RESULTS_OUT_ARUSER_WIDTH : INTEGER := 1; C_M_AXI_RESULTS_OUT_RUSER_WIDTH : INTEGER := 1; C_M_AXI_RESULTS_OUT_BUSER_WIDTH : INTEGER := 1; C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 7; C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32; C_M_AXI_RESULTS_OUT_TARGET_ADDR : INTEGER := 0; C_M_AXI_DB_MEM_V_USER_VALUE : INTEGER := 0; C_M_AXI_RESULTS_OUT_PROT_VALUE : INTEGER := 0; C_M_AXI_DB_MEM_V_TARGET_ADDR : INTEGER := 0; C_M_AXI_DB_MEM_V_PROT_VALUE : INTEGER := 0; C_M_AXI_DB_MEM_V_CACHE_VALUE : INTEGER := 3; C_M_AXI_RESULTS_OUT_CACHE_VALUE : INTEGER := 3; C_M_AXI_RESULTS_OUT_USER_VALUE : INTEGER := 0 ); port ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; m_axi_db_mem_V_AWVALID : OUT STD_LOGIC; m_axi_db_mem_V_AWREADY : IN STD_LOGIC; m_axi_db_mem_V_AWADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_ADDR_WIDTH-1 downto 0); m_axi_db_mem_V_AWID : OUT STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_ID_WIDTH-1 downto 0); m_axi_db_mem_V_AWLEN : OUT STD_LOGIC_VECTOR (7 downto 0); m_axi_db_mem_V_AWSIZE : OUT STD_LOGIC_VECTOR (2 downto 0); m_axi_db_mem_V_AWBURST : OUT STD_LOGIC_VECTOR (1 downto 0); m_axi_db_mem_V_AWLOCK : OUT STD_LOGIC_VECTOR (1 downto 0); m_axi_db_mem_V_AWCACHE : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_db_mem_V_AWPROT : OUT STD_LOGIC_VECTOR (2 downto 0); m_axi_db_mem_V_AWQOS : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_db_mem_V_AWREGION : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_db_mem_V_AWUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_AWUSER_WIDTH-1 downto 0); m_axi_db_mem_V_WVALID : OUT STD_LOGIC; m_axi_db_mem_V_WREADY : IN STD_LOGIC; m_axi_db_mem_V_WDATA : OUT STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_DATA_WIDTH-1 downto 0); m_axi_db_mem_V_WSTRB : OUT STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_DATA_WIDTH/8-1 downto 0); m_axi_db_mem_V_WLAST : OUT STD_LOGIC; m_axi_db_mem_V_WID : OUT STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_ID_WIDTH-1 downto 0); m_axi_db_mem_V_WUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_WUSER_WIDTH-1 downto 0); m_axi_db_mem_V_ARVALID : OUT STD_LOGIC; m_axi_db_mem_V_ARREADY : IN STD_LOGIC; m_axi_db_mem_V_ARADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_ADDR_WIDTH-1 downto 0); m_axi_db_mem_V_ARID : OUT STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_ID_WIDTH-1 downto 0); m_axi_db_mem_V_ARLEN : OUT STD_LOGIC_VECTOR (7 downto 0); m_axi_db_mem_V_ARSIZE : OUT STD_LOGIC_VECTOR (2 downto 0); m_axi_db_mem_V_ARBURST : OUT STD_LOGIC_VECTOR (1 downto 0); m_axi_db_mem_V_ARLOCK : OUT STD_LOGIC_VECTOR (1 downto 0); m_axi_db_mem_V_ARCACHE : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_db_mem_V_ARPROT : OUT STD_LOGIC_VECTOR (2 downto 0); m_axi_db_mem_V_ARQOS : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_db_mem_V_ARREGION : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_db_mem_V_ARUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_ARUSER_WIDTH-1 downto 0); m_axi_db_mem_V_RVALID : IN STD_LOGIC; m_axi_db_mem_V_RREADY : OUT STD_LOGIC; m_axi_db_mem_V_RDATA : IN STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_DATA_WIDTH-1 downto 0); m_axi_db_mem_V_RLAST : IN STD_LOGIC; m_axi_db_mem_V_RID : IN STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_ID_WIDTH-1 downto 0); m_axi_db_mem_V_RUSER : IN STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_RUSER_WIDTH-1 downto 0); m_axi_db_mem_V_RRESP : IN STD_LOGIC_VECTOR (1 downto 0); m_axi_db_mem_V_BVALID : IN STD_LOGIC; m_axi_db_mem_V_BREADY : OUT STD_LOGIC; m_axi_db_mem_V_BRESP : IN STD_LOGIC_VECTOR (1 downto 0); m_axi_db_mem_V_BID : IN STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_ID_WIDTH-1 downto 0); m_axi_db_mem_V_BUSER : IN STD_LOGIC_VECTOR (C_M_AXI_DB_MEM_V_BUSER_WIDTH-1 downto 0); m_axi_results_out_AWVALID : OUT STD_LOGIC; m_axi_results_out_AWREADY : IN STD_LOGIC; m_axi_results_out_AWADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_ADDR_WIDTH-1 downto 0); m_axi_results_out_AWID : OUT STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_ID_WIDTH-1 downto 0); m_axi_results_out_AWLEN : OUT STD_LOGIC_VECTOR (7 downto 0); m_axi_results_out_AWSIZE : OUT STD_LOGIC_VECTOR (2 downto 0); m_axi_results_out_AWBURST : OUT STD_LOGIC_VECTOR (1 downto 0); m_axi_results_out_AWLOCK : OUT STD_LOGIC_VECTOR (1 downto 0); m_axi_results_out_AWCACHE : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_results_out_AWPROT : OUT STD_LOGIC_VECTOR (2 downto 0); m_axi_results_out_AWQOS : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_results_out_AWREGION : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_results_out_AWUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_AWUSER_WIDTH-1 downto 0); m_axi_results_out_WVALID : OUT STD_LOGIC; m_axi_results_out_WREADY : IN STD_LOGIC; m_axi_results_out_WDATA : OUT STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_DATA_WIDTH-1 downto 0); m_axi_results_out_WSTRB : OUT STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_DATA_WIDTH/8-1 downto 0); m_axi_results_out_WLAST : OUT STD_LOGIC; m_axi_results_out_WID : OUT STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_ID_WIDTH-1 downto 0); m_axi_results_out_WUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_WUSER_WIDTH-1 downto 0); m_axi_results_out_ARVALID : OUT STD_LOGIC; m_axi_results_out_ARREADY : IN STD_LOGIC; m_axi_results_out_ARADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_ADDR_WIDTH-1 downto 0); m_axi_results_out_ARID : OUT STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_ID_WIDTH-1 downto 0); m_axi_results_out_ARLEN : OUT STD_LOGIC_VECTOR (7 downto 0); m_axi_results_out_ARSIZE : OUT STD_LOGIC_VECTOR (2 downto 0); m_axi_results_out_ARBURST : OUT STD_LOGIC_VECTOR (1 downto 0); m_axi_results_out_ARLOCK : OUT STD_LOGIC_VECTOR (1 downto 0); m_axi_results_out_ARCACHE : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_results_out_ARPROT : OUT STD_LOGIC_VECTOR (2 downto 0); m_axi_results_out_ARQOS : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_results_out_ARREGION : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_results_out_ARUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_ARUSER_WIDTH-1 downto 0); m_axi_results_out_RVALID : IN STD_LOGIC; m_axi_results_out_RREADY : OUT STD_LOGIC; m_axi_results_out_RDATA : IN STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_DATA_WIDTH-1 downto 0); m_axi_results_out_RLAST : IN STD_LOGIC; m_axi_results_out_RID : IN STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_ID_WIDTH-1 downto 0); m_axi_results_out_RUSER : IN STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_RUSER_WIDTH-1 downto 0); m_axi_results_out_RRESP : IN STD_LOGIC_VECTOR (1 downto 0); m_axi_results_out_BVALID : IN STD_LOGIC; m_axi_results_out_BREADY : OUT STD_LOGIC; m_axi_results_out_BRESP : IN STD_LOGIC_VECTOR (1 downto 0); m_axi_results_out_BID : IN STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_ID_WIDTH-1 downto 0); m_axi_results_out_BUSER : IN STD_LOGIC_VECTOR (C_M_AXI_RESULTS_OUT_BUSER_WIDTH-1 downto 0); s_axi_AXILiteS_AWVALID : IN STD_LOGIC; s_axi_AXILiteS_AWREADY : OUT STD_LOGIC; s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0); s_axi_AXILiteS_WVALID : IN STD_LOGIC; s_axi_AXILiteS_WREADY : OUT STD_LOGIC; s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0); s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0); s_axi_AXILiteS_ARVALID : IN STD_LOGIC; s_axi_AXILiteS_ARREADY : OUT STD_LOGIC; s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0); s_axi_AXILiteS_RVALID : OUT STD_LOGIC; s_axi_AXILiteS_RREADY : IN STD_LOGIC; s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0); s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); s_axi_AXILiteS_BVALID : OUT STD_LOGIC; s_axi_AXILiteS_BREADY : IN STD_LOGIC; s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); interrupt : OUT STD_LOGIC ); end; architecture behav of contact_discovery is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "contact_discovery,hls_ip_2017_1,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=1,HLS_INPUT_PART=xczu9eg-ffvb1156-1-i,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=8.750000,HLS_SYN_LAT=67108871,HLS_SYN_TPT=none,HLS_SYN_MEM=33,HLS_SYN_DSP=0,HLS_SYN_FF=4501,HLS_SYN_LUT=5277}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000010"; constant ap_ST_fsm_pp0_stage0 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000100"; constant ap_ST_fsm_pp0_stage1 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000001000"; constant ap_ST_fsm_pp0_stage2 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000010000"; constant ap_ST_fsm_pp0_stage3 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000100000"; constant ap_ST_fsm_pp0_stage4 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000000000001000000"; constant ap_ST_fsm_pp0_stage5 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000000000010000000"; constant ap_ST_fsm_pp0_stage6 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000000000100000000"; constant ap_ST_fsm_pp0_stage7 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000000001000000000"; constant ap_ST_fsm_pp0_stage8 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000000010000000000"; constant ap_ST_fsm_pp0_stage9 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000000100000000000"; constant ap_ST_fsm_pp0_stage10 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000001000000000000"; constant ap_ST_fsm_pp0_stage11 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000010000000000000"; constant ap_ST_fsm_pp0_stage12 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000100000000000000"; constant ap_ST_fsm_pp0_stage13 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000001000000000000000"; constant ap_ST_fsm_pp0_stage14 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000010000000000000000"; constant ap_ST_fsm_pp0_stage15 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000100000000000000000"; constant ap_ST_fsm_pp0_stage16 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000001000000000000000000"; constant ap_ST_fsm_pp0_stage17 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000010000000000000000000"; constant ap_ST_fsm_pp0_stage18 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000100000000000000000000"; constant ap_ST_fsm_pp0_stage19 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000001000000000000000000000"; constant ap_ST_fsm_pp0_stage20 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000010000000000000000000000"; constant ap_ST_fsm_pp0_stage21 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000100000000000000000000000"; constant ap_ST_fsm_pp0_stage22 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000001000000000000000000000000"; constant ap_ST_fsm_pp0_stage23 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000010000000000000000000000000"; constant ap_ST_fsm_pp0_stage24 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000100000000000000000000000000"; constant ap_ST_fsm_pp0_stage25 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000001000000000000000000000000000"; constant ap_ST_fsm_pp0_stage26 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000010000000000000000000000000000"; constant ap_ST_fsm_pp0_stage27 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000100000000000000000000000000000"; constant ap_ST_fsm_pp0_stage28 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000001000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage29 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000010000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage30 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000100000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage31 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000001000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage32 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000010000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage33 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000100000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage34 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000001000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage35 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000010000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage36 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000100000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage37 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000001000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage38 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000010000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage39 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000100000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage40 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000001000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage41 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000010000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage42 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000100000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage43 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000001000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage44 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000010000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage45 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000100000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage46 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000001000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage47 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000010000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage48 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000100000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage49 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000001000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage50 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000010000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage51 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000100000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage52 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000001000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage53 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000010000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage54 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000100000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage55 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000001000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage56 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000010000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage57 : STD_LOGIC_VECTOR (69 downto 0) := "0000000000100000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage58 : STD_LOGIC_VECTOR (69 downto 0) := "0000000001000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage59 : STD_LOGIC_VECTOR (69 downto 0) := "0000000010000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage60 : STD_LOGIC_VECTOR (69 downto 0) := "0000000100000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage61 : STD_LOGIC_VECTOR (69 downto 0) := "0000001000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage62 : STD_LOGIC_VECTOR (69 downto 0) := "0000010000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage63 : STD_LOGIC_VECTOR (69 downto 0) := "0000100000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_state83 : STD_LOGIC_VECTOR (69 downto 0) := "0001000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_state84 : STD_LOGIC_VECTOR (69 downto 0) := "0010000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_state85 : STD_LOGIC_VECTOR (69 downto 0) := "0100000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_state86 : STD_LOGIC_VECTOR (69 downto 0) := "1000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_boolean_1 : BOOLEAN := true; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_boolean_0 : BOOLEAN := false; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010"; constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011"; constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100"; constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001"; constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20; constant C_M_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_41 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000001"; constant ap_const_lv32_D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001101"; constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110"; constant ap_const_lv32_F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001111"; constant ap_const_lv32_10 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010000"; constant ap_const_lv32_12 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010010"; constant ap_const_lv32_13 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010011"; constant ap_const_lv32_14 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010100"; constant ap_const_lv32_15 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010101"; constant ap_const_lv32_16 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010110"; constant ap_const_lv32_17 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010111"; constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000"; constant ap_const_lv32_19 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011001"; constant ap_const_lv32_1A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011010"; constant ap_const_lv32_1B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011011"; constant ap_const_lv32_1C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011100"; constant ap_const_lv32_1D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011101"; constant ap_const_lv32_1E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011110"; constant ap_const_lv32_1F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011111"; constant ap_const_lv32_20 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100000"; constant ap_const_lv32_21 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100001"; constant ap_const_lv32_22 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100010"; constant ap_const_lv32_23 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100011"; constant ap_const_lv32_24 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100100"; constant ap_const_lv32_25 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100101"; constant ap_const_lv32_26 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100110"; constant ap_const_lv32_27 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100111"; constant ap_const_lv32_28 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101000"; constant ap_const_lv32_29 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101001"; constant ap_const_lv32_2A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101010"; constant ap_const_lv32_2B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101011"; constant ap_const_lv32_2C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101100"; constant ap_const_lv32_2D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101101"; constant ap_const_lv32_2E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101110"; constant ap_const_lv32_2F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101111"; constant ap_const_lv32_30 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110000"; constant ap_const_lv32_31 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110001"; constant ap_const_lv32_32 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110010"; constant ap_const_lv32_33 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110011"; constant ap_const_lv32_34 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110100"; constant ap_const_lv32_35 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110101"; constant ap_const_lv32_36 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110110"; constant ap_const_lv32_37 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110111"; constant ap_const_lv32_38 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111000"; constant ap_const_lv32_39 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111001"; constant ap_const_lv32_3A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111010"; constant ap_const_lv32_3B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111011"; constant ap_const_lv32_3C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111100"; constant ap_const_lv32_3D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111101"; constant ap_const_lv32_3E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111110"; constant ap_const_lv32_3F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111111"; constant ap_const_lv32_40 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000000"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000"; constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001"; constant ap_const_lv24_0 : STD_LOGIC_VECTOR (23 downto 0) := "000000000000000000000000"; constant ap_const_lv32_43 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000011"; constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000"; constant ap_const_lv32_44 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000100"; constant ap_const_lv25_0 : STD_LOGIC_VECTOR (24 downto 0) := "0000000000000000000000000"; constant ap_const_lv24_1 : STD_LOGIC_VECTOR (23 downto 0) := "000000000000000000000001"; constant ap_const_lv32_45 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000101"; constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000"; constant ap_const_lv512_lc_1 : STD_LOGIC_VECTOR (511 downto 0) := "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; signal ap_rst_n_inv : STD_LOGIC; signal ap_start : STD_LOGIC; signal ap_done : STD_LOGIC; signal ap_idle : STD_LOGIC; signal ap_CS_fsm : STD_LOGIC_VECTOR (69 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal ap_ready : STD_LOGIC; signal operation : STD_LOGIC_VECTOR (31 downto 0); signal operation_preg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal operation_ap_vld : STD_LOGIC; signal operation_in_sig : STD_LOGIC_VECTOR (31 downto 0); signal operation_ap_vld_preg : STD_LOGIC := '0'; signal operation_ap_vld_in_sig : STD_LOGIC; signal contact_in_V : STD_LOGIC_VECTOR (511 downto 0); signal offset : STD_LOGIC_VECTOR (63 downto 0); signal db_size_in : STD_LOGIC_VECTOR (31 downto 0); signal error_out_1_data_reg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal error_out_1_data_in : STD_LOGIC_VECTOR (31 downto 0); signal error_out_1_vld_reg : STD_LOGIC := '0'; signal error_out_1_vld_in : STD_LOGIC; signal error_out_1_ack_in : STD_LOGIC; signal contacts_size_out_1_data_reg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal contacts_size_out_1_data_in : STD_LOGIC_VECTOR (31 downto 0); signal contacts_size_out_1_vld_reg : STD_LOGIC := '0'; signal contacts_size_out_1_vld_in : STD_LOGIC; signal contacts_size_out_1_ack_in : STD_LOGIC; signal contacts_size : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal contacts_V_address0 : STD_LOGIC_VECTOR (6 downto 0); signal contacts_V_ce0 : STD_LOGIC; signal contacts_V_we0 : STD_LOGIC; signal contacts_V_q0 : STD_LOGIC_VECTOR (511 downto 0); signal contacts_V_ce1 : STD_LOGIC; signal contacts_V_q1 : STD_LOGIC_VECTOR (511 downto 0); signal operation_blk_n : STD_LOGIC; signal db_mem_V_blk_n_AR : STD_LOGIC; signal ap_CS_fsm_pp0_stage1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage1 : signal is "none"; signal ap_enable_reg_pp0_iter0 : STD_LOGIC := '0'; signal ap_block_pp0_stage1_flag00000000 : BOOLEAN; signal tmp_127_reg_356 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_8_reg_365 : STD_LOGIC_VECTOR (0 downto 0); signal db_mem_V_blk_n_R : STD_LOGIC; signal ap_CS_fsm_pp0_stage8 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage8 : signal is "none"; signal ap_block_pp0_stage8_flag00000000 : BOOLEAN; signal results_out_blk_n_AW : STD_LOGIC; signal ap_CS_fsm_pp0_stage9 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage9 : signal is "none"; signal ap_enable_reg_pp0_iter1 : STD_LOGIC := '0'; signal ap_block_pp0_stage9_flag00000000 : BOOLEAN; signal ap_reg_pp0_iter1_tmp_127_reg_356 : STD_LOGIC_VECTOR (0 downto 0); signal ap_reg_pp0_iter1_tmp_8_reg_365 : STD_LOGIC_VECTOR (0 downto 0); signal results_out_blk_n_W : STD_LOGIC; signal ap_CS_fsm_pp0_stage10 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage10 : signal is "none"; signal ap_block_pp0_stage10_flag00000000 : BOOLEAN; signal results_out_blk_n_B : STD_LOGIC; signal ap_CS_fsm_pp0_stage15 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage15 : signal is "none"; signal ap_block_pp0_stage15_flag00000000 : BOOLEAN; signal db_mem_V_AWREADY : STD_LOGIC; signal db_mem_V_WREADY : STD_LOGIC; signal db_mem_V_ARVALID : STD_LOGIC; signal db_mem_V_ARREADY : STD_LOGIC; signal db_mem_V_RVALID : STD_LOGIC; signal db_mem_V_RREADY : STD_LOGIC; signal db_mem_V_RDATA : STD_LOGIC_VECTOR (511 downto 0); signal db_mem_V_RLAST : STD_LOGIC; signal db_mem_V_RID : STD_LOGIC_VECTOR (0 downto 0); signal db_mem_V_RUSER : STD_LOGIC_VECTOR (0 downto 0); signal db_mem_V_RRESP : STD_LOGIC_VECTOR (1 downto 0); signal db_mem_V_BVALID : STD_LOGIC; signal db_mem_V_BRESP : STD_LOGIC_VECTOR (1 downto 0); signal db_mem_V_BID : STD_LOGIC_VECTOR (0 downto 0); signal db_mem_V_BUSER : STD_LOGIC_VECTOR (0 downto 0); signal results_out_AWVALID : STD_LOGIC; signal results_out_AWREADY : STD_LOGIC; signal results_out_WVALID : STD_LOGIC; signal results_out_WREADY : STD_LOGIC; signal results_out_WDATA : STD_LOGIC_VECTOR (7 downto 0); signal results_out_ARREADY : STD_LOGIC; signal results_out_RVALID : STD_LOGIC; signal results_out_RDATA : STD_LOGIC_VECTOR (7 downto 0); signal results_out_RLAST : STD_LOGIC; signal results_out_RID : STD_LOGIC_VECTOR (0 downto 0); signal results_out_RUSER : STD_LOGIC_VECTOR (0 downto 0); signal results_out_RRESP : STD_LOGIC_VECTOR (1 downto 0); signal results_out_BVALID : STD_LOGIC; signal results_out_BREADY : STD_LOGIC; signal results_out_BRESP : STD_LOGIC_VECTOR (1 downto 0); signal results_out_BID : STD_LOGIC_VECTOR (0 downto 0); signal results_out_BUSER : STD_LOGIC_VECTOR (0 downto 0); signal database_index_reg_189 : STD_LOGIC_VECTOR (23 downto 0); signal ap_block_state1 : BOOLEAN; signal contact_in_V_read_reg_325 : STD_LOGIC_VECTOR (511 downto 0); signal operation_read_read_fu_130_p2 : STD_LOGIC_VECTOR (31 downto 0); signal contacts_size_load_reg_334 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_126_fu_230_p1 : STD_LOGIC_VECTOR (24 downto 0); signal tmp_126_reg_343 : STD_LOGIC_VECTOR (24 downto 0); signal tmp_5_fu_253_p2 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal icmp_fu_243_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_127_fu_264_p3 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_pp0_stage0 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage0 : signal is "none"; signal ap_block_state3_pp0_stage0_iter0 : BOOLEAN; signal ap_block_state67_pp0_stage0_iter1 : BOOLEAN; signal ap_block_pp0_stage0_flag00011001 : BOOLEAN; signal database_index_1_fu_272_p2 : STD_LOGIC_VECTOR (23 downto 0); signal database_index_1_reg_360 : STD_LOGIC_VECTOR (23 downto 0); signal tmp_8_fu_290_p2 : STD_LOGIC_VECTOR (0 downto 0); signal sum_fu_295_p2 : STD_LOGIC_VECTOR (24 downto 0); signal sum_reg_369 : STD_LOGIC_VECTOR (24 downto 0); signal results_out_addr_reg_374 : STD_LOGIC_VECTOR (63 downto 0); signal ap_reg_pp0_iter1_results_out_addr_reg_374 : STD_LOGIC_VECTOR (63 downto 0); signal ap_block_state4_pp0_stage1_iter0 : BOOLEAN; signal ap_sig_ioackin_db_mem_V_ARREADY : STD_LOGIC; signal ap_predicate_op161_readreq_state4 : BOOLEAN; signal ap_block_state4_io : BOOLEAN; signal ap_block_state68_pp0_stage1_iter1 : BOOLEAN; signal ap_block_pp0_stage1_flag00011001 : BOOLEAN; signal db_mem_V_addr_read_reg_385 : STD_LOGIC_VECTOR (511 downto 0); signal ap_predicate_op168_read_state11 : BOOLEAN; signal ap_block_state11_pp0_stage8_iter0 : BOOLEAN; signal ap_block_state75_pp0_stage8_iter1 : BOOLEAN; signal ap_block_pp0_stage8_flag00011001 : BOOLEAN; signal grp_match_db_contact_fu_212_ap_return : STD_LOGIC_VECTOR (0 downto 0); signal tmp_s_reg_390 : STD_LOGIC_VECTOR (0 downto 0); signal ap_block_state12_pp0_stage9_iter0 : BOOLEAN; signal ap_block_state76_pp0_stage9_iter1 : BOOLEAN; signal ap_sig_ioackin_results_out_AWREADY : STD_LOGIC; signal ap_predicate_op234_writereq_state76 : BOOLEAN; signal ap_block_state76_io : BOOLEAN; signal ap_block_pp0_stage9_flag00011001 : BOOLEAN; signal ap_block_pp0_stage0_flag00011011 : BOOLEAN; signal ap_predicate_tran3to83_state3 : BOOLEAN; signal ap_condition_pp0_exit_iter0_state3 : STD_LOGIC; signal ap_block_state66_pp0_stage63_iter0 : BOOLEAN; signal ap_block_pp0_stage63_flag00011011 : BOOLEAN; signal ap_CS_fsm_pp0_stage63 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage63 : signal is "none"; signal ap_block_state18_pp0_stage15_iter0 : BOOLEAN; signal ap_predicate_op241_writeresp_state82 : BOOLEAN; signal ap_block_state82_pp0_stage15_iter1 : BOOLEAN; signal ap_block_pp0_stage15_flag00011011 : BOOLEAN; signal grp_match_db_contact_fu_212_ap_start : STD_LOGIC; signal grp_match_db_contact_fu_212_ap_done : STD_LOGIC; signal grp_match_db_contact_fu_212_ap_idle : STD_LOGIC; signal grp_match_db_contact_fu_212_ap_ready : STD_LOGIC; signal grp_match_db_contact_fu_212_ap_ce : STD_LOGIC; signal grp_match_db_contact_fu_212_contacts_V_address0 : STD_LOGIC_VECTOR (6 downto 0); signal grp_match_db_contact_fu_212_contacts_V_ce0 : STD_LOGIC; signal grp_match_db_contact_fu_212_contacts_V_address1 : STD_LOGIC_VECTOR (6 downto 0); signal grp_match_db_contact_fu_212_contacts_V_ce1 : STD_LOGIC; signal ap_predicate_op169_call_state12 : BOOLEAN; signal ap_predicate_op170_call_state13 : BOOLEAN; signal ap_predicate_op171_call_state14 : BOOLEAN; signal ap_predicate_op172_call_state15 : BOOLEAN; signal ap_predicate_op173_call_state16 : BOOLEAN; signal ap_predicate_op174_call_state17 : BOOLEAN; signal ap_predicate_op175_call_state18 : BOOLEAN; signal ap_predicate_op176_call_state19 : BOOLEAN; signal ap_predicate_op177_call_state20 : BOOLEAN; signal ap_predicate_op178_call_state21 : BOOLEAN; signal ap_predicate_op179_call_state22 : BOOLEAN; signal ap_predicate_op180_call_state23 : BOOLEAN; signal ap_predicate_op181_call_state24 : BOOLEAN; signal ap_predicate_op182_call_state25 : BOOLEAN; signal ap_predicate_op183_call_state26 : BOOLEAN; signal ap_predicate_op184_call_state27 : BOOLEAN; signal ap_predicate_op185_call_state28 : BOOLEAN; signal ap_predicate_op186_call_state29 : BOOLEAN; signal ap_predicate_op187_call_state30 : BOOLEAN; signal ap_predicate_op188_call_state31 : BOOLEAN; signal ap_predicate_op189_call_state32 : BOOLEAN; signal ap_predicate_op190_call_state33 : BOOLEAN; signal ap_predicate_op191_call_state34 : BOOLEAN; signal ap_predicate_op192_call_state35 : BOOLEAN; signal ap_predicate_op193_call_state36 : BOOLEAN; signal ap_predicate_op194_call_state37 : BOOLEAN; signal ap_predicate_op195_call_state38 : BOOLEAN; signal ap_predicate_op196_call_state39 : BOOLEAN; signal ap_predicate_op197_call_state40 : BOOLEAN; signal ap_predicate_op198_call_state41 : BOOLEAN; signal ap_predicate_op199_call_state42 : BOOLEAN; signal ap_predicate_op200_call_state43 : BOOLEAN; signal ap_predicate_op201_call_state44 : BOOLEAN; signal ap_predicate_op202_call_state45 : BOOLEAN; signal ap_predicate_op203_call_state46 : BOOLEAN; signal ap_predicate_op204_call_state47 : BOOLEAN; signal ap_predicate_op205_call_state48 : BOOLEAN; signal ap_predicate_op206_call_state49 : BOOLEAN; signal ap_predicate_op207_call_state50 : BOOLEAN; signal ap_predicate_op208_call_state51 : BOOLEAN; signal ap_predicate_op209_call_state52 : BOOLEAN; signal ap_predicate_op210_call_state53 : BOOLEAN; signal ap_predicate_op211_call_state54 : BOOLEAN; signal ap_predicate_op212_call_state55 : BOOLEAN; signal ap_predicate_op213_call_state56 : BOOLEAN; signal ap_predicate_op214_call_state57 : BOOLEAN; signal ap_predicate_op215_call_state58 : BOOLEAN; signal ap_predicate_op216_call_state59 : BOOLEAN; signal ap_predicate_op217_call_state60 : BOOLEAN; signal ap_predicate_op218_call_state61 : BOOLEAN; signal ap_predicate_op219_call_state62 : BOOLEAN; signal ap_predicate_op220_call_state63 : BOOLEAN; signal ap_predicate_op221_call_state64 : BOOLEAN; signal ap_predicate_op222_call_state65 : BOOLEAN; signal ap_predicate_op223_call_state66 : BOOLEAN; signal ap_predicate_op224_call_state67 : BOOLEAN; signal ap_predicate_op225_call_state68 : BOOLEAN; signal ap_predicate_op226_call_state69 : BOOLEAN; signal ap_predicate_op227_call_state70 : BOOLEAN; signal ap_predicate_op228_call_state71 : BOOLEAN; signal ap_predicate_op229_call_state72 : BOOLEAN; signal ap_predicate_op230_call_state73 : BOOLEAN; signal ap_predicate_op231_call_state74 : BOOLEAN; signal ap_predicate_op232_call_state75 : BOOLEAN; signal ap_block_state13_pp0_stage10_iter0_ignore_call5 : BOOLEAN; signal ap_block_state77_pp0_stage10_iter1_ignore_call5 : BOOLEAN; signal ap_sig_ioackin_results_out_WREADY : STD_LOGIC; signal ap_predicate_op236_write_state77 : BOOLEAN; signal ap_block_state77_io : BOOLEAN; signal ap_block_pp0_stage10_flag00011001 : BOOLEAN; signal ap_block_state14_pp0_stage11_iter0_ignore_call5 : BOOLEAN; signal ap_block_state78_pp0_stage11_iter1_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage11_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage11 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage11 : signal is "none"; signal ap_block_state15_pp0_stage12_iter0_ignore_call5 : BOOLEAN; signal ap_block_state79_pp0_stage12_iter1_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage12_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage12 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage12 : signal is "none"; signal ap_block_state16_pp0_stage13_iter0_ignore_call5 : BOOLEAN; signal ap_block_state80_pp0_stage13_iter1_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage13_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage13 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage13 : signal is "none"; signal ap_block_state17_pp0_stage14_iter0_ignore_call5 : BOOLEAN; signal ap_block_state81_pp0_stage14_iter1_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage14_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage14 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage14 : signal is "none"; signal ap_block_state18_pp0_stage15_iter0_ignore_call5 : BOOLEAN; signal ap_block_state82_pp0_stage15_iter1_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage15_flag00011001 : BOOLEAN; signal ap_block_state19_pp0_stage16_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage16_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage16 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage16 : signal is "none"; signal ap_block_state20_pp0_stage17_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage17_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage17 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage17 : signal is "none"; signal ap_block_state21_pp0_stage18_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage18_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage18 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage18 : signal is "none"; signal ap_block_state22_pp0_stage19_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage19_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage19 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage19 : signal is "none"; signal ap_block_state23_pp0_stage20_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage20_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage20 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage20 : signal is "none"; signal ap_block_state24_pp0_stage21_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage21_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage21 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage21 : signal is "none"; signal ap_block_state25_pp0_stage22_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage22_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage22 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage22 : signal is "none"; signal ap_block_state26_pp0_stage23_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage23_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage23 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage23 : signal is "none"; signal ap_block_state27_pp0_stage24_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage24_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage24 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage24 : signal is "none"; signal ap_block_state28_pp0_stage25_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage25_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage25 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage25 : signal is "none"; signal ap_block_state29_pp0_stage26_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage26_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage26 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage26 : signal is "none"; signal ap_block_state30_pp0_stage27_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage27_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage27 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage27 : signal is "none"; signal ap_block_state31_pp0_stage28_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage28_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage28 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage28 : signal is "none"; signal ap_block_state32_pp0_stage29_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage29_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage29 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage29 : signal is "none"; signal ap_block_state33_pp0_stage30_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage30_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage30 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage30 : signal is "none"; signal ap_block_state34_pp0_stage31_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage31_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage31 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage31 : signal is "none"; signal ap_block_state35_pp0_stage32_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage32_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage32 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage32 : signal is "none"; signal ap_block_state36_pp0_stage33_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage33_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage33 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage33 : signal is "none"; signal ap_block_state37_pp0_stage34_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage34_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage34 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage34 : signal is "none"; signal ap_block_state38_pp0_stage35_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage35_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage35 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage35 : signal is "none"; signal ap_block_state39_pp0_stage36_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage36_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage36 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage36 : signal is "none"; signal ap_block_state40_pp0_stage37_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage37_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage37 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage37 : signal is "none"; signal ap_block_state41_pp0_stage38_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage38_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage38 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage38 : signal is "none"; signal ap_block_state42_pp0_stage39_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage39_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage39 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage39 : signal is "none"; signal ap_block_state43_pp0_stage40_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage40_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage40 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage40 : signal is "none"; signal ap_block_state44_pp0_stage41_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage41_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage41 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage41 : signal is "none"; signal ap_block_state45_pp0_stage42_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage42_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage42 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage42 : signal is "none"; signal ap_block_state46_pp0_stage43_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage43_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage43 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage43 : signal is "none"; signal ap_block_state47_pp0_stage44_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage44_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage44 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage44 : signal is "none"; signal ap_block_state48_pp0_stage45_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage45_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage45 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage45 : signal is "none"; signal ap_block_state49_pp0_stage46_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage46_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage46 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage46 : signal is "none"; signal ap_block_state50_pp0_stage47_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage47_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage47 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage47 : signal is "none"; signal ap_block_state51_pp0_stage48_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage48_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage48 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage48 : signal is "none"; signal ap_block_state52_pp0_stage49_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage49_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage49 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage49 : signal is "none"; signal ap_block_state53_pp0_stage50_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage50_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage50 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage50 : signal is "none"; signal ap_block_state54_pp0_stage51_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage51_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage51 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage51 : signal is "none"; signal ap_block_state55_pp0_stage52_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage52_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage52 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage52 : signal is "none"; signal ap_block_state56_pp0_stage53_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage53_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage53 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage53 : signal is "none"; signal ap_block_state57_pp0_stage54_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage54_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage54 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage54 : signal is "none"; signal ap_block_state58_pp0_stage55_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage55_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage55 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage55 : signal is "none"; signal ap_block_state59_pp0_stage56_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage56_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage56 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage56 : signal is "none"; signal ap_block_state60_pp0_stage57_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage57_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage57 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage57 : signal is "none"; signal ap_block_state61_pp0_stage58_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage58_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage58 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage58 : signal is "none"; signal ap_block_state62_pp0_stage59_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage59_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage59 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage59 : signal is "none"; signal ap_block_state63_pp0_stage60_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage60_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage60 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage60 : signal is "none"; signal ap_block_state64_pp0_stage61_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage61_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage61 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage61 : signal is "none"; signal ap_block_state65_pp0_stage62_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage62_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage62 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage62 : signal is "none"; signal ap_block_state66_pp0_stage63_iter0_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage63_flag00011001 : BOOLEAN; signal ap_block_state5_pp0_stage2_iter0_ignore_call5 : BOOLEAN; signal ap_block_state69_pp0_stage2_iter1_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage2_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage2 : signal is "none"; signal ap_block_state6_pp0_stage3_iter0_ignore_call5 : BOOLEAN; signal ap_block_state70_pp0_stage3_iter1_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage3_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage3 : signal is "none"; signal ap_block_state7_pp0_stage4_iter0_ignore_call5 : BOOLEAN; signal ap_block_state71_pp0_stage4_iter1_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage4_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage4 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage4 : signal is "none"; signal ap_block_state8_pp0_stage5_iter0_ignore_call5 : BOOLEAN; signal ap_block_state72_pp0_stage5_iter1_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage5_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage5 : signal is "none"; signal ap_block_state9_pp0_stage6_iter0_ignore_call5 : BOOLEAN; signal ap_block_state73_pp0_stage6_iter1_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage6_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage6 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage6 : signal is "none"; signal ap_block_state10_pp0_stage7_iter0_ignore_call5 : BOOLEAN; signal ap_block_state74_pp0_stage7_iter1_ignore_call5 : BOOLEAN; signal ap_block_pp0_stage7_flag00011001 : BOOLEAN; signal ap_CS_fsm_pp0_stage7 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage7 : signal is "none"; signal database_index_phi_fu_193_p4 : STD_LOGIC_VECTOR (23 downto 0); signal ap_block_pp0_stage0_flag00000000 : BOOLEAN; signal storemerge_reg_200 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state84 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state84 : signal is "none"; signal ap_reg_grp_match_db_contact_fu_212_ap_start : STD_LOGIC := '0'; signal ap_predicate_op169_call_state12_state11 : BOOLEAN; signal ap_block_pp0_stage11_flag00000000 : BOOLEAN; signal ap_block_pp0_stage12_flag00000000 : BOOLEAN; signal ap_block_pp0_stage13_flag00000000 : BOOLEAN; signal ap_block_pp0_stage14_flag00000000 : BOOLEAN; signal ap_block_pp0_stage16_flag00000000 : BOOLEAN; signal ap_block_pp0_stage17_flag00000000 : BOOLEAN; signal ap_block_pp0_stage18_flag00000000 : BOOLEAN; signal ap_block_pp0_stage19_flag00000000 : BOOLEAN; signal ap_block_pp0_stage20_flag00000000 : BOOLEAN; signal ap_block_pp0_stage21_flag00000000 : BOOLEAN; signal ap_block_pp0_stage22_flag00000000 : BOOLEAN; signal ap_block_pp0_stage23_flag00000000 : BOOLEAN; signal ap_block_pp0_stage24_flag00000000 : BOOLEAN; signal ap_block_pp0_stage25_flag00000000 : BOOLEAN; signal ap_block_pp0_stage26_flag00000000 : BOOLEAN; signal ap_block_pp0_stage27_flag00000000 : BOOLEAN; signal ap_block_pp0_stage28_flag00000000 : BOOLEAN; signal ap_block_pp0_stage29_flag00000000 : BOOLEAN; signal ap_block_pp0_stage30_flag00000000 : BOOLEAN; signal ap_block_pp0_stage31_flag00000000 : BOOLEAN; signal ap_block_pp0_stage32_flag00000000 : BOOLEAN; signal ap_block_pp0_stage33_flag00000000 : BOOLEAN; signal ap_block_pp0_stage34_flag00000000 : BOOLEAN; signal ap_block_pp0_stage35_flag00000000 : BOOLEAN; signal ap_block_pp0_stage36_flag00000000 : BOOLEAN; signal ap_block_pp0_stage37_flag00000000 : BOOLEAN; signal ap_block_pp0_stage38_flag00000000 : BOOLEAN; signal ap_block_pp0_stage39_flag00000000 : BOOLEAN; signal ap_block_pp0_stage40_flag00000000 : BOOLEAN; signal ap_block_pp0_stage41_flag00000000 : BOOLEAN; signal ap_block_pp0_stage42_flag00000000 : BOOLEAN; signal ap_block_pp0_stage43_flag00000000 : BOOLEAN; signal ap_block_pp0_stage44_flag00000000 : BOOLEAN; signal ap_block_pp0_stage45_flag00000000 : BOOLEAN; signal ap_block_pp0_stage46_flag00000000 : BOOLEAN; signal ap_block_pp0_stage47_flag00000000 : BOOLEAN; signal ap_block_pp0_stage48_flag00000000 : BOOLEAN; signal ap_block_pp0_stage49_flag00000000 : BOOLEAN; signal ap_block_pp0_stage50_flag00000000 : BOOLEAN; signal ap_block_pp0_stage51_flag00000000 : BOOLEAN; signal ap_block_pp0_stage52_flag00000000 : BOOLEAN; signal ap_block_pp0_stage53_flag00000000 : BOOLEAN; signal ap_block_pp0_stage54_flag00000000 : BOOLEAN; signal ap_block_pp0_stage55_flag00000000 : BOOLEAN; signal ap_block_pp0_stage56_flag00000000 : BOOLEAN; signal ap_block_pp0_stage57_flag00000000 : BOOLEAN; signal ap_block_pp0_stage58_flag00000000 : BOOLEAN; signal ap_block_pp0_stage59_flag00000000 : BOOLEAN; signal ap_block_pp0_stage60_flag00000000 : BOOLEAN; signal ap_block_pp0_stage61_flag00000000 : BOOLEAN; signal ap_block_pp0_stage62_flag00000000 : BOOLEAN; signal ap_block_pp0_stage63_flag00000000 : BOOLEAN; signal ap_block_pp0_stage2_flag00000000 : BOOLEAN; signal ap_block_pp0_stage3_flag00000000 : BOOLEAN; signal ap_block_pp0_stage4_flag00000000 : BOOLEAN; signal ap_block_pp0_stage5_flag00000000 : BOOLEAN; signal ap_block_pp0_stage6_flag00000000 : BOOLEAN; signal ap_block_pp0_stage7_flag00000000 : BOOLEAN; signal tmp_4_fu_249_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_7_fu_282_p1 : STD_LOGIC_VECTOR (63 downto 0); signal sum_cast_fu_306_p1 : STD_LOGIC_VECTOR (63 downto 0); signal ap_reg_ioackin_db_mem_V_ARREADY : STD_LOGIC := '0'; signal ap_block_pp0_stage1_flag00001001 : BOOLEAN; signal ap_reg_ioackin_results_out_AWREADY : STD_LOGIC := '0'; signal ap_block_pp0_stage9_flag00001001 : BOOLEAN; signal ap_reg_ioackin_results_out_WREADY : STD_LOGIC := '0'; signal ap_block_state13_pp0_stage10_iter0 : BOOLEAN; signal ap_block_state77_pp0_stage10_iter1 : BOOLEAN; signal ap_block_pp0_stage10_flag00001001 : BOOLEAN; signal ap_CS_fsm_state85 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state85 : signal is "none"; signal tmp_fu_234_p4 : STD_LOGIC_VECTOR (24 downto 0); signal database_index_cast1_fu_278_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_7_cast_fu_286_p1 : STD_LOGIC_VECTOR (24 downto 0); signal ap_CS_fsm_state86 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state86 : signal is "none"; signal ap_block_state86 : BOOLEAN; signal ap_NS_fsm : STD_LOGIC_VECTOR (69 downto 0); signal ap_block_pp0_stage1_flag00011011 : BOOLEAN; signal ap_block_state5_pp0_stage2_iter0 : BOOLEAN; signal ap_block_state69_pp0_stage2_iter1 : BOOLEAN; signal ap_block_pp0_stage2_flag00011011 : BOOLEAN; signal ap_block_state6_pp0_stage3_iter0 : BOOLEAN; signal ap_block_state70_pp0_stage3_iter1 : BOOLEAN; signal ap_block_pp0_stage3_flag00011011 : BOOLEAN; signal ap_block_state7_pp0_stage4_iter0 : BOOLEAN; signal ap_block_state71_pp0_stage4_iter1 : BOOLEAN; signal ap_block_pp0_stage4_flag00011011 : BOOLEAN; signal ap_block_state8_pp0_stage5_iter0 : BOOLEAN; signal ap_block_state72_pp0_stage5_iter1 : BOOLEAN; signal ap_block_pp0_stage5_flag00011011 : BOOLEAN; signal ap_block_state9_pp0_stage6_iter0 : BOOLEAN; signal ap_block_state73_pp0_stage6_iter1 : BOOLEAN; signal ap_block_pp0_stage6_flag00011011 : BOOLEAN; signal ap_block_state10_pp0_stage7_iter0 : BOOLEAN; signal ap_block_state74_pp0_stage7_iter1 : BOOLEAN; signal ap_block_pp0_stage7_flag00011011 : BOOLEAN; signal ap_block_pp0_stage8_flag00011011 : BOOLEAN; signal ap_block_pp0_stage9_flag00011011 : BOOLEAN; signal ap_block_pp0_stage10_flag00011011 : BOOLEAN; signal ap_block_state14_pp0_stage11_iter0 : BOOLEAN; signal ap_block_state78_pp0_stage11_iter1 : BOOLEAN; signal ap_block_pp0_stage11_flag00011011 : BOOLEAN; signal ap_block_state15_pp0_stage12_iter0 : BOOLEAN; signal ap_block_state79_pp0_stage12_iter1 : BOOLEAN; signal ap_block_pp0_stage12_flag00011011 : BOOLEAN; signal ap_block_state16_pp0_stage13_iter0 : BOOLEAN; signal ap_block_state80_pp0_stage13_iter1 : BOOLEAN; signal ap_block_pp0_stage13_flag00011011 : BOOLEAN; signal ap_block_state17_pp0_stage14_iter0 : BOOLEAN; signal ap_block_state81_pp0_stage14_iter1 : BOOLEAN; signal ap_block_pp0_stage14_flag00011011 : BOOLEAN; signal ap_block_state19_pp0_stage16_iter0 : BOOLEAN; signal ap_block_pp0_stage16_flag00011011 : BOOLEAN; signal ap_block_state20_pp0_stage17_iter0 : BOOLEAN; signal ap_block_pp0_stage17_flag00011011 : BOOLEAN; signal ap_block_state21_pp0_stage18_iter0 : BOOLEAN; signal ap_block_pp0_stage18_flag00011011 : BOOLEAN; signal ap_block_state22_pp0_stage19_iter0 : BOOLEAN; signal ap_block_pp0_stage19_flag00011011 : BOOLEAN; signal ap_block_state23_pp0_stage20_iter0 : BOOLEAN; signal ap_block_pp0_stage20_flag00011011 : BOOLEAN; signal ap_block_state24_pp0_stage21_iter0 : BOOLEAN; signal ap_block_pp0_stage21_flag00011011 : BOOLEAN; signal ap_block_state25_pp0_stage22_iter0 : BOOLEAN; signal ap_block_pp0_stage22_flag00011011 : BOOLEAN; signal ap_block_state26_pp0_stage23_iter0 : BOOLEAN; signal ap_block_pp0_stage23_flag00011011 : BOOLEAN; signal ap_block_state27_pp0_stage24_iter0 : BOOLEAN; signal ap_block_pp0_stage24_flag00011011 : BOOLEAN; signal ap_block_state28_pp0_stage25_iter0 : BOOLEAN; signal ap_block_pp0_stage25_flag00011011 : BOOLEAN; signal ap_block_state29_pp0_stage26_iter0 : BOOLEAN; signal ap_block_pp0_stage26_flag00011011 : BOOLEAN; signal ap_block_state30_pp0_stage27_iter0 : BOOLEAN; signal ap_block_pp0_stage27_flag00011011 : BOOLEAN; signal ap_block_state31_pp0_stage28_iter0 : BOOLEAN; signal ap_block_pp0_stage28_flag00011011 : BOOLEAN; signal ap_block_state32_pp0_stage29_iter0 : BOOLEAN; signal ap_block_pp0_stage29_flag00011011 : BOOLEAN; signal ap_block_state33_pp0_stage30_iter0 : BOOLEAN; signal ap_block_pp0_stage30_flag00011011 : BOOLEAN; signal ap_block_state34_pp0_stage31_iter0 : BOOLEAN; signal ap_block_pp0_stage31_flag00011011 : BOOLEAN; signal ap_block_state35_pp0_stage32_iter0 : BOOLEAN; signal ap_block_pp0_stage32_flag00011011 : BOOLEAN; signal ap_block_state36_pp0_stage33_iter0 : BOOLEAN; signal ap_block_pp0_stage33_flag00011011 : BOOLEAN; signal ap_block_state37_pp0_stage34_iter0 : BOOLEAN; signal ap_block_pp0_stage34_flag00011011 : BOOLEAN; signal ap_block_state38_pp0_stage35_iter0 : BOOLEAN; signal ap_block_pp0_stage35_flag00011011 : BOOLEAN; signal ap_block_state39_pp0_stage36_iter0 : BOOLEAN; signal ap_block_pp0_stage36_flag00011011 : BOOLEAN; signal ap_block_state40_pp0_stage37_iter0 : BOOLEAN; signal ap_block_pp0_stage37_flag00011011 : BOOLEAN; signal ap_block_state41_pp0_stage38_iter0 : BOOLEAN; signal ap_block_pp0_stage38_flag00011011 : BOOLEAN; signal ap_block_state42_pp0_stage39_iter0 : BOOLEAN; signal ap_block_pp0_stage39_flag00011011 : BOOLEAN; signal ap_block_state43_pp0_stage40_iter0 : BOOLEAN; signal ap_block_pp0_stage40_flag00011011 : BOOLEAN; signal ap_block_state44_pp0_stage41_iter0 : BOOLEAN; signal ap_block_pp0_stage41_flag00011011 : BOOLEAN; signal ap_block_state45_pp0_stage42_iter0 : BOOLEAN; signal ap_block_pp0_stage42_flag00011011 : BOOLEAN; signal ap_block_state46_pp0_stage43_iter0 : BOOLEAN; signal ap_block_pp0_stage43_flag00011011 : BOOLEAN; signal ap_block_state47_pp0_stage44_iter0 : BOOLEAN; signal ap_block_pp0_stage44_flag00011011 : BOOLEAN; signal ap_block_state48_pp0_stage45_iter0 : BOOLEAN; signal ap_block_pp0_stage45_flag00011011 : BOOLEAN; signal ap_block_state49_pp0_stage46_iter0 : BOOLEAN; signal ap_block_pp0_stage46_flag00011011 : BOOLEAN; signal ap_block_state50_pp0_stage47_iter0 : BOOLEAN; signal ap_block_pp0_stage47_flag00011011 : BOOLEAN; signal ap_block_state51_pp0_stage48_iter0 : BOOLEAN; signal ap_block_pp0_stage48_flag00011011 : BOOLEAN; signal ap_block_state52_pp0_stage49_iter0 : BOOLEAN; signal ap_block_pp0_stage49_flag00011011 : BOOLEAN; signal ap_block_state53_pp0_stage50_iter0 : BOOLEAN; signal ap_block_pp0_stage50_flag00011011 : BOOLEAN; signal ap_block_state54_pp0_stage51_iter0 : BOOLEAN; signal ap_block_pp0_stage51_flag00011011 : BOOLEAN; signal ap_block_state55_pp0_stage52_iter0 : BOOLEAN; signal ap_block_pp0_stage52_flag00011011 : BOOLEAN; signal ap_block_state56_pp0_stage53_iter0 : BOOLEAN; signal ap_block_pp0_stage53_flag00011011 : BOOLEAN; signal ap_block_state57_pp0_stage54_iter0 : BOOLEAN; signal ap_block_pp0_stage54_flag00011011 : BOOLEAN; signal ap_block_state58_pp0_stage55_iter0 : BOOLEAN; signal ap_block_pp0_stage55_flag00011011 : BOOLEAN; signal ap_block_state59_pp0_stage56_iter0 : BOOLEAN; signal ap_block_pp0_stage56_flag00011011 : BOOLEAN; signal ap_block_state60_pp0_stage57_iter0 : BOOLEAN; signal ap_block_pp0_stage57_flag00011011 : BOOLEAN; signal ap_block_state61_pp0_stage58_iter0 : BOOLEAN; signal ap_block_pp0_stage58_flag00011011 : BOOLEAN; signal ap_block_state62_pp0_stage59_iter0 : BOOLEAN; signal ap_block_pp0_stage59_flag00011011 : BOOLEAN; signal ap_block_state63_pp0_stage60_iter0 : BOOLEAN; signal ap_block_pp0_stage60_flag00011011 : BOOLEAN; signal ap_block_state64_pp0_stage61_iter0 : BOOLEAN; signal ap_block_pp0_stage61_flag00011011 : BOOLEAN; signal ap_block_state65_pp0_stage62_iter0 : BOOLEAN; signal ap_block_pp0_stage62_flag00011011 : BOOLEAN; signal ap_idle_pp0 : STD_LOGIC; signal ap_enable_pp0 : STD_LOGIC; signal ap_condition_2628 : BOOLEAN; signal ap_condition_2632 : BOOLEAN; signal ap_condition_2636 : BOOLEAN; component match_db_contact IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; ap_ce : IN STD_LOGIC; db_item_V : IN STD_LOGIC_VECTOR (511 downto 0); contacts_V_address0 : OUT STD_LOGIC_VECTOR (6 downto 0); contacts_V_ce0 : OUT STD_LOGIC; contacts_V_q0 : IN STD_LOGIC_VECTOR (511 downto 0); contacts_V_address1 : OUT STD_LOGIC_VECTOR (6 downto 0); contacts_V_ce1 : OUT STD_LOGIC; contacts_V_q1 : IN STD_LOGIC_VECTOR (511 downto 0); ap_return : OUT STD_LOGIC_VECTOR (0 downto 0) ); end component; component contact_discoverybkb IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (6 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (511 downto 0); q0 : OUT STD_LOGIC_VECTOR (511 downto 0); address1 : IN STD_LOGIC_VECTOR (6 downto 0); ce1 : IN STD_LOGIC; q1 : OUT STD_LOGIC_VECTOR (511 downto 0) ); end component; component contact_discovery_AXILiteS_s_axi IS generic ( C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER ); port ( AWVALID : IN STD_LOGIC; AWREADY : OUT STD_LOGIC; AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); WVALID : IN STD_LOGIC; WREADY : OUT STD_LOGIC; WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0); ARVALID : IN STD_LOGIC; ARREADY : OUT STD_LOGIC; ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); RVALID : OUT STD_LOGIC; RREADY : IN STD_LOGIC; RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); BVALID : OUT STD_LOGIC; BREADY : IN STD_LOGIC; BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; ACLK_EN : IN STD_LOGIC; ap_start : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; ap_ready : IN STD_LOGIC; ap_done : IN STD_LOGIC; ap_idle : IN STD_LOGIC; operation : OUT STD_LOGIC_VECTOR (31 downto 0); operation_ap_vld : OUT STD_LOGIC; contact_in_V : OUT STD_LOGIC_VECTOR (511 downto 0); offset : OUT STD_LOGIC_VECTOR (63 downto 0); db_size_in : OUT STD_LOGIC_VECTOR (31 downto 0); error_out : IN STD_LOGIC_VECTOR (31 downto 0); contacts_size_out : IN STD_LOGIC_VECTOR (31 downto 0) ); end component; component contact_discovery_db_mem_V_m_axi IS generic ( USER_DW : INTEGER; USER_AW : INTEGER; USER_MAXREQS : INTEGER; NUM_READ_OUTSTANDING : INTEGER; NUM_WRITE_OUTSTANDING : INTEGER; MAX_READ_BURST_LENGTH : INTEGER; MAX_WRITE_BURST_LENGTH : INTEGER; C_M_AXI_ID_WIDTH : INTEGER; C_M_AXI_ADDR_WIDTH : INTEGER; C_M_AXI_DATA_WIDTH : INTEGER; C_M_AXI_AWUSER_WIDTH : INTEGER; C_M_AXI_ARUSER_WIDTH : INTEGER; C_M_AXI_WUSER_WIDTH : INTEGER; C_M_AXI_RUSER_WIDTH : INTEGER; C_M_AXI_BUSER_WIDTH : INTEGER; C_TARGET_ADDR : INTEGER; C_USER_VALUE : INTEGER; C_PROT_VALUE : INTEGER; C_CACHE_VALUE : INTEGER ); port ( AWVALID : OUT STD_LOGIC; AWREADY : IN STD_LOGIC; AWADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_ADDR_WIDTH-1 downto 0); AWID : OUT STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); AWLEN : OUT STD_LOGIC_VECTOR (7 downto 0); AWSIZE : OUT STD_LOGIC_VECTOR (2 downto 0); AWBURST : OUT STD_LOGIC_VECTOR (1 downto 0); AWLOCK : OUT STD_LOGIC_VECTOR (1 downto 0); AWCACHE : OUT STD_LOGIC_VECTOR (3 downto 0); AWPROT : OUT STD_LOGIC_VECTOR (2 downto 0); AWQOS : OUT STD_LOGIC_VECTOR (3 downto 0); AWREGION : OUT STD_LOGIC_VECTOR (3 downto 0); AWUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_AWUSER_WIDTH-1 downto 0); WVALID : OUT STD_LOGIC; WREADY : IN STD_LOGIC; WDATA : OUT STD_LOGIC_VECTOR (C_M_AXI_DATA_WIDTH-1 downto 0); WSTRB : OUT STD_LOGIC_VECTOR (C_M_AXI_DATA_WIDTH/8-1 downto 0); WLAST : OUT STD_LOGIC; WID : OUT STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); WUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_WUSER_WIDTH-1 downto 0); ARVALID : OUT STD_LOGIC; ARREADY : IN STD_LOGIC; ARADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_ADDR_WIDTH-1 downto 0); ARID : OUT STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); ARLEN : OUT STD_LOGIC_VECTOR (7 downto 0); ARSIZE : OUT STD_LOGIC_VECTOR (2 downto 0); ARBURST : OUT STD_LOGIC_VECTOR (1 downto 0); ARLOCK : OUT STD_LOGIC_VECTOR (1 downto 0); ARCACHE : OUT STD_LOGIC_VECTOR (3 downto 0); ARPROT : OUT STD_LOGIC_VECTOR (2 downto 0); ARQOS : OUT STD_LOGIC_VECTOR (3 downto 0); ARREGION : OUT STD_LOGIC_VECTOR (3 downto 0); ARUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_ARUSER_WIDTH-1 downto 0); RVALID : IN STD_LOGIC; RREADY : OUT STD_LOGIC; RDATA : IN STD_LOGIC_VECTOR (C_M_AXI_DATA_WIDTH-1 downto 0); RLAST : IN STD_LOGIC; RID : IN STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); RUSER : IN STD_LOGIC_VECTOR (C_M_AXI_RUSER_WIDTH-1 downto 0); RRESP : IN STD_LOGIC_VECTOR (1 downto 0); BVALID : IN STD_LOGIC; BREADY : OUT STD_LOGIC; BRESP : IN STD_LOGIC_VECTOR (1 downto 0); BID : IN STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); BUSER : IN STD_LOGIC_VECTOR (C_M_AXI_BUSER_WIDTH-1 downto 0); ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; ACLK_EN : IN STD_LOGIC; I_ARVALID : IN STD_LOGIC; I_ARREADY : OUT STD_LOGIC; I_ARADDR : IN STD_LOGIC_VECTOR (63 downto 0); I_ARID : IN STD_LOGIC_VECTOR (0 downto 0); I_ARLEN : IN STD_LOGIC_VECTOR (31 downto 0); I_ARSIZE : IN STD_LOGIC_VECTOR (2 downto 0); I_ARLOCK : IN STD_LOGIC_VECTOR (1 downto 0); I_ARCACHE : IN STD_LOGIC_VECTOR (3 downto 0); I_ARQOS : IN STD_LOGIC_VECTOR (3 downto 0); I_ARPROT : IN STD_LOGIC_VECTOR (2 downto 0); I_ARUSER : IN STD_LOGIC_VECTOR (0 downto 0); I_ARBURST : IN STD_LOGIC_VECTOR (1 downto 0); I_ARREGION : IN STD_LOGIC_VECTOR (3 downto 0); I_RVALID : OUT STD_LOGIC; I_RREADY : IN STD_LOGIC; I_RDATA : OUT STD_LOGIC_VECTOR (511 downto 0); I_RID : OUT STD_LOGIC_VECTOR (0 downto 0); I_RUSER : OUT STD_LOGIC_VECTOR (0 downto 0); I_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); I_RLAST : OUT STD_LOGIC; I_AWVALID : IN STD_LOGIC; I_AWREADY : OUT STD_LOGIC; I_AWADDR : IN STD_LOGIC_VECTOR (63 downto 0); I_AWID : IN STD_LOGIC_VECTOR (0 downto 0); I_AWLEN : IN STD_LOGIC_VECTOR (31 downto 0); I_AWSIZE : IN STD_LOGIC_VECTOR (2 downto 0); I_AWLOCK : IN STD_LOGIC_VECTOR (1 downto 0); I_AWCACHE : IN STD_LOGIC_VECTOR (3 downto 0); I_AWQOS : IN STD_LOGIC_VECTOR (3 downto 0); I_AWPROT : IN STD_LOGIC_VECTOR (2 downto 0); I_AWUSER : IN STD_LOGIC_VECTOR (0 downto 0); I_AWBURST : IN STD_LOGIC_VECTOR (1 downto 0); I_AWREGION : IN STD_LOGIC_VECTOR (3 downto 0); I_WVALID : IN STD_LOGIC; I_WREADY : OUT STD_LOGIC; I_WDATA : IN STD_LOGIC_VECTOR (511 downto 0); I_WID : IN STD_LOGIC_VECTOR (0 downto 0); I_WUSER : IN STD_LOGIC_VECTOR (0 downto 0); I_WLAST : IN STD_LOGIC; I_WSTRB : IN STD_LOGIC_VECTOR (63 downto 0); I_BVALID : OUT STD_LOGIC; I_BREADY : IN STD_LOGIC; I_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); I_BID : OUT STD_LOGIC_VECTOR (0 downto 0); I_BUSER : OUT STD_LOGIC_VECTOR (0 downto 0) ); end component; component contact_discovery_results_out_m_axi IS generic ( USER_DW : INTEGER; USER_AW : INTEGER; USER_MAXREQS : INTEGER; NUM_READ_OUTSTANDING : INTEGER; NUM_WRITE_OUTSTANDING : INTEGER; MAX_READ_BURST_LENGTH : INTEGER; MAX_WRITE_BURST_LENGTH : INTEGER; C_M_AXI_ID_WIDTH : INTEGER; C_M_AXI_ADDR_WIDTH : INTEGER; C_M_AXI_DATA_WIDTH : INTEGER; C_M_AXI_AWUSER_WIDTH : INTEGER; C_M_AXI_ARUSER_WIDTH : INTEGER; C_M_AXI_WUSER_WIDTH : INTEGER; C_M_AXI_RUSER_WIDTH : INTEGER; C_M_AXI_BUSER_WIDTH : INTEGER; C_TARGET_ADDR : INTEGER; C_USER_VALUE : INTEGER; C_PROT_VALUE : INTEGER; C_CACHE_VALUE : INTEGER ); port ( AWVALID : OUT STD_LOGIC; AWREADY : IN STD_LOGIC; AWADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_ADDR_WIDTH-1 downto 0); AWID : OUT STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); AWLEN : OUT STD_LOGIC_VECTOR (7 downto 0); AWSIZE : OUT STD_LOGIC_VECTOR (2 downto 0); AWBURST : OUT STD_LOGIC_VECTOR (1 downto 0); AWLOCK : OUT STD_LOGIC_VECTOR (1 downto 0); AWCACHE : OUT STD_LOGIC_VECTOR (3 downto 0); AWPROT : OUT STD_LOGIC_VECTOR (2 downto 0); AWQOS : OUT STD_LOGIC_VECTOR (3 downto 0); AWREGION : OUT STD_LOGIC_VECTOR (3 downto 0); AWUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_AWUSER_WIDTH-1 downto 0); WVALID : OUT STD_LOGIC; WREADY : IN STD_LOGIC; WDATA : OUT STD_LOGIC_VECTOR (C_M_AXI_DATA_WIDTH-1 downto 0); WSTRB : OUT STD_LOGIC_VECTOR (C_M_AXI_DATA_WIDTH/8-1 downto 0); WLAST : OUT STD_LOGIC; WID : OUT STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); WUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_WUSER_WIDTH-1 downto 0); ARVALID : OUT STD_LOGIC; ARREADY : IN STD_LOGIC; ARADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_ADDR_WIDTH-1 downto 0); ARID : OUT STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); ARLEN : OUT STD_LOGIC_VECTOR (7 downto 0); ARSIZE : OUT STD_LOGIC_VECTOR (2 downto 0); ARBURST : OUT STD_LOGIC_VECTOR (1 downto 0); ARLOCK : OUT STD_LOGIC_VECTOR (1 downto 0); ARCACHE : OUT STD_LOGIC_VECTOR (3 downto 0); ARPROT : OUT STD_LOGIC_VECTOR (2 downto 0); ARQOS : OUT STD_LOGIC_VECTOR (3 downto 0); ARREGION : OUT STD_LOGIC_VECTOR (3 downto 0); ARUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_ARUSER_WIDTH-1 downto 0); RVALID : IN STD_LOGIC; RREADY : OUT STD_LOGIC; RDATA : IN STD_LOGIC_VECTOR (C_M_AXI_DATA_WIDTH-1 downto 0); RLAST : IN STD_LOGIC; RID : IN STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); RUSER : IN STD_LOGIC_VECTOR (C_M_AXI_RUSER_WIDTH-1 downto 0); RRESP : IN STD_LOGIC_VECTOR (1 downto 0); BVALID : IN STD_LOGIC; BREADY : OUT STD_LOGIC; BRESP : IN STD_LOGIC_VECTOR (1 downto 0); BID : IN STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); BUSER : IN STD_LOGIC_VECTOR (C_M_AXI_BUSER_WIDTH-1 downto 0); ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; ACLK_EN : IN STD_LOGIC; I_ARVALID : IN STD_LOGIC; I_ARREADY : OUT STD_LOGIC; I_ARADDR : IN STD_LOGIC_VECTOR (63 downto 0); I_ARID : IN STD_LOGIC_VECTOR (0 downto 0); I_ARLEN : IN STD_LOGIC_VECTOR (31 downto 0); I_ARSIZE : IN STD_LOGIC_VECTOR (2 downto 0); I_ARLOCK : IN STD_LOGIC_VECTOR (1 downto 0); I_ARCACHE : IN STD_LOGIC_VECTOR (3 downto 0); I_ARQOS : IN STD_LOGIC_VECTOR (3 downto 0); I_ARPROT : IN STD_LOGIC_VECTOR (2 downto 0); I_ARUSER : IN STD_LOGIC_VECTOR (0 downto 0); I_ARBURST : IN STD_LOGIC_VECTOR (1 downto 0); I_ARREGION : IN STD_LOGIC_VECTOR (3 downto 0); I_RVALID : OUT STD_LOGIC; I_RREADY : IN STD_LOGIC; I_RDATA : OUT STD_LOGIC_VECTOR (7 downto 0); I_RID : OUT STD_LOGIC_VECTOR (0 downto 0); I_RUSER : OUT STD_LOGIC_VECTOR (0 downto 0); I_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); I_RLAST : OUT STD_LOGIC; I_AWVALID : IN STD_LOGIC; I_AWREADY : OUT STD_LOGIC; I_AWADDR : IN STD_LOGIC_VECTOR (63 downto 0); I_AWID : IN STD_LOGIC_VECTOR (0 downto 0); I_AWLEN : IN STD_LOGIC_VECTOR (31 downto 0); I_AWSIZE : IN STD_LOGIC_VECTOR (2 downto 0); I_AWLOCK : IN STD_LOGIC_VECTOR (1 downto 0); I_AWCACHE : IN STD_LOGIC_VECTOR (3 downto 0); I_AWQOS : IN STD_LOGIC_VECTOR (3 downto 0); I_AWPROT : IN STD_LOGIC_VECTOR (2 downto 0); I_AWUSER : IN STD_LOGIC_VECTOR (0 downto 0); I_AWBURST : IN STD_LOGIC_VECTOR (1 downto 0); I_AWREGION : IN STD_LOGIC_VECTOR (3 downto 0); I_WVALID : IN STD_LOGIC; I_WREADY : OUT STD_LOGIC; I_WDATA : IN STD_LOGIC_VECTOR (7 downto 0); I_WID : IN STD_LOGIC_VECTOR (0 downto 0); I_WUSER : IN STD_LOGIC_VECTOR (0 downto 0); I_WLAST : IN STD_LOGIC; I_WSTRB : IN STD_LOGIC_VECTOR (0 downto 0); I_BVALID : OUT STD_LOGIC; I_BREADY : IN STD_LOGIC; I_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); I_BID : OUT STD_LOGIC_VECTOR (0 downto 0); I_BUSER : OUT STD_LOGIC_VECTOR (0 downto 0) ); end component; begin contacts_V_U : component contact_discoverybkb generic map ( DataWidth => 512, AddressRange => 128, AddressWidth => 7) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => contacts_V_address0, ce0 => contacts_V_ce0, we0 => contacts_V_we0, d0 => contact_in_V_read_reg_325, q0 => contacts_V_q0, address1 => grp_match_db_contact_fu_212_contacts_V_address1, ce1 => contacts_V_ce1, q1 => contacts_V_q1); contact_discovery_AXILiteS_s_axi_U : component contact_discovery_AXILiteS_s_axi generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH) port map ( AWVALID => s_axi_AXILiteS_AWVALID, AWREADY => s_axi_AXILiteS_AWREADY, AWADDR => s_axi_AXILiteS_AWADDR, WVALID => s_axi_AXILiteS_WVALID, WREADY => s_axi_AXILiteS_WREADY, WDATA => s_axi_AXILiteS_WDATA, WSTRB => s_axi_AXILiteS_WSTRB, ARVALID => s_axi_AXILiteS_ARVALID, ARREADY => s_axi_AXILiteS_ARREADY, ARADDR => s_axi_AXILiteS_ARADDR, RVALID => s_axi_AXILiteS_RVALID, RREADY => s_axi_AXILiteS_RREADY, RDATA => s_axi_AXILiteS_RDATA, RRESP => s_axi_AXILiteS_RRESP, BVALID => s_axi_AXILiteS_BVALID, BREADY => s_axi_AXILiteS_BREADY, BRESP => s_axi_AXILiteS_BRESP, ACLK => ap_clk, ARESET => ap_rst_n_inv, ACLK_EN => ap_const_logic_1, ap_start => ap_start, interrupt => interrupt, ap_ready => ap_ready, ap_done => ap_done, ap_idle => ap_idle, operation => operation, operation_ap_vld => operation_ap_vld, contact_in_V => contact_in_V, offset => offset, db_size_in => db_size_in, error_out => error_out_1_data_reg, contacts_size_out => contacts_size_out_1_data_reg); contact_discovery_db_mem_V_m_axi_U : component contact_discovery_db_mem_V_m_axi generic map ( USER_DW => 512, USER_AW => 64, USER_MAXREQS => 5, NUM_READ_OUTSTANDING => 16, NUM_WRITE_OUTSTANDING => 16, MAX_READ_BURST_LENGTH => 16, MAX_WRITE_BURST_LENGTH => 16, C_M_AXI_ID_WIDTH => C_M_AXI_DB_MEM_V_ID_WIDTH, C_M_AXI_ADDR_WIDTH => C_M_AXI_DB_MEM_V_ADDR_WIDTH, C_M_AXI_DATA_WIDTH => C_M_AXI_DB_MEM_V_DATA_WIDTH, C_M_AXI_AWUSER_WIDTH => C_M_AXI_DB_MEM_V_AWUSER_WIDTH, C_M_AXI_ARUSER_WIDTH => C_M_AXI_DB_MEM_V_ARUSER_WIDTH, C_M_AXI_WUSER_WIDTH => C_M_AXI_DB_MEM_V_WUSER_WIDTH, C_M_AXI_RUSER_WIDTH => C_M_AXI_DB_MEM_V_RUSER_WIDTH, C_M_AXI_BUSER_WIDTH => C_M_AXI_DB_MEM_V_BUSER_WIDTH, C_TARGET_ADDR => C_M_AXI_DB_MEM_V_TARGET_ADDR, C_USER_VALUE => C_M_AXI_DB_MEM_V_USER_VALUE, C_PROT_VALUE => C_M_AXI_DB_MEM_V_PROT_VALUE, C_CACHE_VALUE => C_M_AXI_DB_MEM_V_CACHE_VALUE) port map ( AWVALID => m_axi_db_mem_V_AWVALID, AWREADY => m_axi_db_mem_V_AWREADY, AWADDR => m_axi_db_mem_V_AWADDR, AWID => m_axi_db_mem_V_AWID, AWLEN => m_axi_db_mem_V_AWLEN, AWSIZE => m_axi_db_mem_V_AWSIZE, AWBURST => m_axi_db_mem_V_AWBURST, AWLOCK => m_axi_db_mem_V_AWLOCK, AWCACHE => m_axi_db_mem_V_AWCACHE, AWPROT => m_axi_db_mem_V_AWPROT, AWQOS => m_axi_db_mem_V_AWQOS, AWREGION => m_axi_db_mem_V_AWREGION, AWUSER => m_axi_db_mem_V_AWUSER, WVALID => m_axi_db_mem_V_WVALID, WREADY => m_axi_db_mem_V_WREADY, WDATA => m_axi_db_mem_V_WDATA, WSTRB => m_axi_db_mem_V_WSTRB, WLAST => m_axi_db_mem_V_WLAST, WID => m_axi_db_mem_V_WID, WUSER => m_axi_db_mem_V_WUSER, ARVALID => m_axi_db_mem_V_ARVALID, ARREADY => m_axi_db_mem_V_ARREADY, ARADDR => m_axi_db_mem_V_ARADDR, ARID => m_axi_db_mem_V_ARID, ARLEN => m_axi_db_mem_V_ARLEN, ARSIZE => m_axi_db_mem_V_ARSIZE, ARBURST => m_axi_db_mem_V_ARBURST, ARLOCK => m_axi_db_mem_V_ARLOCK, ARCACHE => m_axi_db_mem_V_ARCACHE, ARPROT => m_axi_db_mem_V_ARPROT, ARQOS => m_axi_db_mem_V_ARQOS, ARREGION => m_axi_db_mem_V_ARREGION, ARUSER => m_axi_db_mem_V_ARUSER, RVALID => m_axi_db_mem_V_RVALID, RREADY => m_axi_db_mem_V_RREADY, RDATA => m_axi_db_mem_V_RDATA, RLAST => m_axi_db_mem_V_RLAST, RID => m_axi_db_mem_V_RID, RUSER => m_axi_db_mem_V_RUSER, RRESP => m_axi_db_mem_V_RRESP, BVALID => m_axi_db_mem_V_BVALID, BREADY => m_axi_db_mem_V_BREADY, BRESP => m_axi_db_mem_V_BRESP, BID => m_axi_db_mem_V_BID, BUSER => m_axi_db_mem_V_BUSER, ACLK => ap_clk, ARESET => ap_rst_n_inv, ACLK_EN => ap_const_logic_1, I_ARVALID => db_mem_V_ARVALID, I_ARREADY => db_mem_V_ARREADY, I_ARADDR => sum_cast_fu_306_p1, I_ARID => ap_const_lv1_0, I_ARLEN => ap_const_lv32_1, I_ARSIZE => ap_const_lv3_0, I_ARLOCK => ap_const_lv2_0, I_ARCACHE => ap_const_lv4_0, I_ARQOS => ap_const_lv4_0, I_ARPROT => ap_const_lv3_0, I_ARUSER => ap_const_lv1_0, I_ARBURST => ap_const_lv2_0, I_ARREGION => ap_const_lv4_0, I_RVALID => db_mem_V_RVALID, I_RREADY => db_mem_V_RREADY, I_RDATA => db_mem_V_RDATA, I_RID => db_mem_V_RID, I_RUSER => db_mem_V_RUSER, I_RRESP => db_mem_V_RRESP, I_RLAST => db_mem_V_RLAST, I_AWVALID => ap_const_logic_0, I_AWREADY => db_mem_V_AWREADY, I_AWADDR => ap_const_lv64_0, I_AWID => ap_const_lv1_0, I_AWLEN => ap_const_lv32_0, I_AWSIZE => ap_const_lv3_0, I_AWLOCK => ap_const_lv2_0, I_AWCACHE => ap_const_lv4_0, I_AWQOS => ap_const_lv4_0, I_AWPROT => ap_const_lv3_0, I_AWUSER => ap_const_lv1_0, I_AWBURST => ap_const_lv2_0, I_AWREGION => ap_const_lv4_0, I_WVALID => ap_const_logic_0, I_WREADY => db_mem_V_WREADY, I_WDATA => ap_const_lv512_lc_1, I_WID => ap_const_lv1_0, I_WUSER => ap_const_lv1_0, I_WLAST => ap_const_logic_0, I_WSTRB => ap_const_lv64_0, I_BVALID => db_mem_V_BVALID, I_BREADY => ap_const_logic_0, I_BRESP => db_mem_V_BRESP, I_BID => db_mem_V_BID, I_BUSER => db_mem_V_BUSER); contact_discovery_results_out_m_axi_U : component contact_discovery_results_out_m_axi generic map ( USER_DW => 8, USER_AW => 64, USER_MAXREQS => 5, NUM_READ_OUTSTANDING => 16, NUM_WRITE_OUTSTANDING => 16, MAX_READ_BURST_LENGTH => 16, MAX_WRITE_BURST_LENGTH => 16, C_M_AXI_ID_WIDTH => C_M_AXI_RESULTS_OUT_ID_WIDTH, C_M_AXI_ADDR_WIDTH => C_M_AXI_RESULTS_OUT_ADDR_WIDTH, C_M_AXI_DATA_WIDTH => C_M_AXI_RESULTS_OUT_DATA_WIDTH, C_M_AXI_AWUSER_WIDTH => C_M_AXI_RESULTS_OUT_AWUSER_WIDTH, C_M_AXI_ARUSER_WIDTH => C_M_AXI_RESULTS_OUT_ARUSER_WIDTH, C_M_AXI_WUSER_WIDTH => C_M_AXI_RESULTS_OUT_WUSER_WIDTH, C_M_AXI_RUSER_WIDTH => C_M_AXI_RESULTS_OUT_RUSER_WIDTH, C_M_AXI_BUSER_WIDTH => C_M_AXI_RESULTS_OUT_BUSER_WIDTH, C_TARGET_ADDR => C_M_AXI_RESULTS_OUT_TARGET_ADDR, C_USER_VALUE => C_M_AXI_RESULTS_OUT_USER_VALUE, C_PROT_VALUE => C_M_AXI_RESULTS_OUT_PROT_VALUE, C_CACHE_VALUE => C_M_AXI_RESULTS_OUT_CACHE_VALUE) port map ( AWVALID => m_axi_results_out_AWVALID, AWREADY => m_axi_results_out_AWREADY, AWADDR => m_axi_results_out_AWADDR, AWID => m_axi_results_out_AWID, AWLEN => m_axi_results_out_AWLEN, AWSIZE => m_axi_results_out_AWSIZE, AWBURST => m_axi_results_out_AWBURST, AWLOCK => m_axi_results_out_AWLOCK, AWCACHE => m_axi_results_out_AWCACHE, AWPROT => m_axi_results_out_AWPROT, AWQOS => m_axi_results_out_AWQOS, AWREGION => m_axi_results_out_AWREGION, AWUSER => m_axi_results_out_AWUSER, WVALID => m_axi_results_out_WVALID, WREADY => m_axi_results_out_WREADY, WDATA => m_axi_results_out_WDATA, WSTRB => m_axi_results_out_WSTRB, WLAST => m_axi_results_out_WLAST, WID => m_axi_results_out_WID, WUSER => m_axi_results_out_WUSER, ARVALID => m_axi_results_out_ARVALID, ARREADY => m_axi_results_out_ARREADY, ARADDR => m_axi_results_out_ARADDR, ARID => m_axi_results_out_ARID, ARLEN => m_axi_results_out_ARLEN, ARSIZE => m_axi_results_out_ARSIZE, ARBURST => m_axi_results_out_ARBURST, ARLOCK => m_axi_results_out_ARLOCK, ARCACHE => m_axi_results_out_ARCACHE, ARPROT => m_axi_results_out_ARPROT, ARQOS => m_axi_results_out_ARQOS, ARREGION => m_axi_results_out_ARREGION, ARUSER => m_axi_results_out_ARUSER, RVALID => m_axi_results_out_RVALID, RREADY => m_axi_results_out_RREADY, RDATA => m_axi_results_out_RDATA, RLAST => m_axi_results_out_RLAST, RID => m_axi_results_out_RID, RUSER => m_axi_results_out_RUSER, RRESP => m_axi_results_out_RRESP, BVALID => m_axi_results_out_BVALID, BREADY => m_axi_results_out_BREADY, BRESP => m_axi_results_out_BRESP, BID => m_axi_results_out_BID, BUSER => m_axi_results_out_BUSER, ACLK => ap_clk, ARESET => ap_rst_n_inv, ACLK_EN => ap_const_logic_1, I_ARVALID => ap_const_logic_0, I_ARREADY => results_out_ARREADY, I_ARADDR => ap_const_lv64_0, I_ARID => ap_const_lv1_0, I_ARLEN => ap_const_lv32_0, I_ARSIZE => ap_const_lv3_0, I_ARLOCK => ap_const_lv2_0, I_ARCACHE => ap_const_lv4_0, I_ARQOS => ap_const_lv4_0, I_ARPROT => ap_const_lv3_0, I_ARUSER => ap_const_lv1_0, I_ARBURST => ap_const_lv2_0, I_ARREGION => ap_const_lv4_0, I_RVALID => results_out_RVALID, I_RREADY => ap_const_logic_0, I_RDATA => results_out_RDATA, I_RID => results_out_RID, I_RUSER => results_out_RUSER, I_RRESP => results_out_RRESP, I_RLAST => results_out_RLAST, I_AWVALID => results_out_AWVALID, I_AWREADY => results_out_AWREADY, I_AWADDR => ap_reg_pp0_iter1_results_out_addr_reg_374, I_AWID => ap_const_lv1_0, I_AWLEN => ap_const_lv32_1, I_AWSIZE => ap_const_lv3_0, I_AWLOCK => ap_const_lv2_0, I_AWCACHE => ap_const_lv4_0, I_AWQOS => ap_const_lv4_0, I_AWPROT => ap_const_lv3_0, I_AWUSER => ap_const_lv1_0, I_AWBURST => ap_const_lv2_0, I_AWREGION => ap_const_lv4_0, I_WVALID => results_out_WVALID, I_WREADY => results_out_WREADY, I_WDATA => results_out_WDATA, I_WID => ap_const_lv1_0, I_WUSER => ap_const_lv1_0, I_WLAST => ap_const_logic_0, I_WSTRB => ap_const_lv1_1, I_BVALID => results_out_BVALID, I_BREADY => results_out_BREADY, I_BRESP => results_out_BRESP, I_BID => results_out_BID, I_BUSER => results_out_BUSER); grp_match_db_contact_fu_212 : component match_db_contact port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, ap_start => grp_match_db_contact_fu_212_ap_start, ap_done => grp_match_db_contact_fu_212_ap_done, ap_idle => grp_match_db_contact_fu_212_ap_idle, ap_ready => grp_match_db_contact_fu_212_ap_ready, ap_ce => grp_match_db_contact_fu_212_ap_ce, db_item_V => db_mem_V_addr_read_reg_385, contacts_V_address0 => grp_match_db_contact_fu_212_contacts_V_address0, contacts_V_ce0 => grp_match_db_contact_fu_212_contacts_V_ce0, contacts_V_q0 => contacts_V_q0, contacts_V_address1 => grp_match_db_contact_fu_212_contacts_V_address1, contacts_V_ce1 => grp_match_db_contact_fu_212_contacts_V_ce1, contacts_V_q1 => contacts_V_q1, ap_return => grp_match_db_contact_fu_212_ap_return); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; ap_enable_reg_pp0_iter0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_enable_reg_pp0_iter0 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0) and (ap_const_logic_1 = ap_condition_pp0_exit_iter0_state3))) then ap_enable_reg_pp0_iter0 <= ap_const_logic_0; elsif (((operation_read_read_fu_130_p2 = ap_const_lv32_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_enable_reg_pp0_iter0 <= ap_const_logic_1; end if; end if; end if; end process; ap_enable_reg_pp0_iter1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_enable_reg_pp0_iter1 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_condition_pp0_exit_iter0_state3) and (((ap_block_pp0_stage63_flag00011011 = ap_const_boolean_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage63)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00011011 = ap_const_boolean_0))))) then ap_enable_reg_pp0_iter1 <= (ap_condition_pp0_exit_iter0_state3 xor ap_const_logic_1); elsif ((((ap_block_pp0_stage63_flag00011011 = ap_const_boolean_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage63)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00011011 = ap_const_boolean_0)))) then ap_enable_reg_pp0_iter1 <= ap_enable_reg_pp0_iter0; elsif (((operation_read_read_fu_130_p2 = ap_const_lv32_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_enable_reg_pp0_iter1 <= ap_const_logic_0; end if; end if; end if; end process; ap_reg_grp_match_db_contact_fu_212_ap_start_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_grp_match_db_contact_fu_212_ap_start <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_block_pp0_stage8_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op169_call_state12_state11))) then ap_reg_grp_match_db_contact_fu_212_ap_start <= ap_const_logic_1; elsif ((ap_const_logic_1 = grp_match_db_contact_fu_212_ap_ready)) then ap_reg_grp_match_db_contact_fu_212_ap_start <= ap_const_logic_0; end if; end if; end if; end process; ap_reg_ioackin_db_mem_V_ARREADY_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ioackin_db_mem_V_ARREADY <= ap_const_logic_0; else if ((ap_condition_2628 = ap_const_boolean_1)) then if ((ap_block_pp0_stage1_flag00011001 = ap_const_boolean_0)) then ap_reg_ioackin_db_mem_V_ARREADY <= ap_const_logic_0; elsif (((ap_const_logic_1 = db_mem_V_ARREADY) and (ap_block_pp0_stage1_flag00001001 = ap_const_boolean_0))) then ap_reg_ioackin_db_mem_V_ARREADY <= ap_const_logic_1; end if; end if; end if; end if; end process; ap_reg_ioackin_results_out_AWREADY_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ioackin_results_out_AWREADY <= ap_const_logic_0; else if ((ap_condition_2632 = ap_const_boolean_1)) then if ((ap_block_pp0_stage9_flag00011001 = ap_const_boolean_0)) then ap_reg_ioackin_results_out_AWREADY <= ap_const_logic_0; elsif (((ap_const_logic_1 = results_out_AWREADY) and (ap_block_pp0_stage9_flag00001001 = ap_const_boolean_0))) then ap_reg_ioackin_results_out_AWREADY <= ap_const_logic_1; end if; end if; end if; end if; end process; ap_reg_ioackin_results_out_WREADY_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ioackin_results_out_WREADY <= ap_const_logic_0; else if ((ap_condition_2636 = ap_const_boolean_1)) then if ((ap_block_pp0_stage10_flag00011001 = ap_const_boolean_0)) then ap_reg_ioackin_results_out_WREADY <= ap_const_logic_0; elsif (((ap_const_logic_1 = results_out_WREADY) and (ap_block_pp0_stage10_flag00001001 = ap_const_boolean_0))) then ap_reg_ioackin_results_out_WREADY <= ap_const_logic_1; end if; end if; end if; end if; end process; operation_ap_vld_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then operation_ap_vld_preg <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_CS_fsm_state86) and not(((ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then operation_ap_vld_preg <= ap_const_logic_0; elsif (((ap_const_logic_1 = operation_ap_vld) and not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))))) then operation_ap_vld_preg <= operation_ap_vld; end if; end if; end if; end process; operation_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then operation_preg <= ap_const_lv32_0; else if (((ap_const_logic_1 = operation_ap_vld) and not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))))) then operation_preg <= operation; end if; end if; end if; end process; contacts_size_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state2) and (ap_const_lv32_0 = operation_read_read_fu_130_p2) and (ap_const_lv1_0 = icmp_fu_243_p2))) then contacts_size <= tmp_5_fu_253_p2; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and (operation_read_read_fu_130_p2 = ap_const_lv32_2))) then contacts_size <= ap_const_lv32_0; end if; end if; end process; contacts_size_out_1_vld_reg_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; database_index_reg_189_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then database_index_reg_189 <= database_index_1_reg_360; elsif (((operation_read_read_fu_130_p2 = ap_const_lv32_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then database_index_reg_189 <= ap_const_lv24_0; end if; end if; end process; error_out_1_vld_reg_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then end if; end process; storemerge_reg_200_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state84)) then storemerge_reg_200 <= contacts_size_load_reg_334; elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (ap_const_lv32_0 = operation_read_read_fu_130_p2) and (ap_const_lv1_0 = icmp_fu_243_p2))) then storemerge_reg_200 <= tmp_5_fu_253_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then ap_reg_pp0_iter1_results_out_addr_reg_374(23 downto 0) <= results_out_addr_reg_374(23 downto 0); ap_reg_pp0_iter1_tmp_127_reg_356 <= tmp_127_reg_356; ap_reg_pp0_iter1_tmp_8_reg_365 <= tmp_8_reg_365; tmp_127_reg_356 <= database_index_phi_fu_193_p4(23 downto 23); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))))) then contact_in_V_read_reg_325 <= contact_in_V; contacts_size_load_reg_334 <= contacts_size; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = contacts_size_out_1_vld_in) and (ap_const_logic_0 = contacts_size_out_1_vld_reg)) or (not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = contacts_size_out_1_vld_in) and (ap_const_logic_1 = contacts_size_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then contacts_size_out_1_data_reg <= contacts_size_out_1_data_in; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then database_index_1_reg_360 <= database_index_1_fu_272_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_const_boolean_1 = ap_predicate_op168_read_state11) and (ap_block_pp0_stage8_flag00011001 = ap_const_boolean_0))) then db_mem_V_addr_read_reg_385 <= db_mem_V_RDATA; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = error_out_1_vld_in) and (ap_const_logic_0 = error_out_1_vld_reg)) or (not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) and (ap_const_logic_1 = error_out_1_vld_in) and (ap_const_logic_1 = error_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then error_out_1_data_reg <= error_out_1_data_in; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0) and (ap_const_lv1_0 = tmp_127_fu_264_p3) and (ap_const_lv1_1 = tmp_8_fu_290_p2))) then results_out_addr_reg_374(23 downto 0) <= tmp_7_fu_282_p1(23 downto 0); sum_reg_369 <= sum_fu_295_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and (operation_read_read_fu_130_p2 = ap_const_lv32_1))) then tmp_126_reg_343 <= tmp_126_fu_230_p1; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0) and (ap_const_lv1_0 = tmp_127_fu_264_p3))) then tmp_8_reg_365 <= tmp_8_fu_290_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_const_boolean_1 = ap_predicate_op234_writereq_state76) and (ap_block_pp0_stage9_flag00011001 = ap_const_boolean_0))) then tmp_s_reg_390 <= grp_match_db_contact_fu_212_ap_return; end if; end if; end process; results_out_addr_reg_374(63 downto 24) <= "0000000000000000000000000000000000000000"; ap_reg_pp0_iter1_results_out_addr_reg_374(63 downto 24) <= "0000000000000000000000000000000000000000"; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, operation_ap_vld_in_sig, error_out_1_ack_in, contacts_size_out_1_ack_in, ap_enable_reg_pp0_iter0, ap_enable_reg_pp0_iter1, ap_CS_fsm_pp0_stage15, operation_read_read_fu_130_p2, ap_CS_fsm_state2, icmp_fu_243_p2, ap_block_pp0_stage0_flag00011011, ap_predicate_tran3to83_state3, ap_block_pp0_stage63_flag00011011, ap_block_pp0_stage15_flag00011011, ap_CS_fsm_state86, ap_block_pp0_stage1_flag00011011, ap_block_pp0_stage2_flag00011011, ap_block_pp0_stage3_flag00011011, ap_block_pp0_stage4_flag00011011, ap_block_pp0_stage5_flag00011011, ap_block_pp0_stage6_flag00011011, ap_block_pp0_stage7_flag00011011, ap_block_pp0_stage8_flag00011011, ap_block_pp0_stage9_flag00011011, ap_block_pp0_stage10_flag00011011, ap_block_pp0_stage11_flag00011011, ap_block_pp0_stage12_flag00011011, ap_block_pp0_stage13_flag00011011, ap_block_pp0_stage14_flag00011011, ap_block_pp0_stage16_flag00011011, ap_block_pp0_stage17_flag00011011, ap_block_pp0_stage18_flag00011011, ap_block_pp0_stage19_flag00011011, ap_block_pp0_stage20_flag00011011, ap_block_pp0_stage21_flag00011011, ap_block_pp0_stage22_flag00011011, ap_block_pp0_stage23_flag00011011, ap_block_pp0_stage24_flag00011011, ap_block_pp0_stage25_flag00011011, ap_block_pp0_stage26_flag00011011, ap_block_pp0_stage27_flag00011011, ap_block_pp0_stage28_flag00011011, ap_block_pp0_stage29_flag00011011, ap_block_pp0_stage30_flag00011011, ap_block_pp0_stage31_flag00011011, ap_block_pp0_stage32_flag00011011, ap_block_pp0_stage33_flag00011011, ap_block_pp0_stage34_flag00011011, ap_block_pp0_stage35_flag00011011, ap_block_pp0_stage36_flag00011011, ap_block_pp0_stage37_flag00011011, ap_block_pp0_stage38_flag00011011, ap_block_pp0_stage39_flag00011011, ap_block_pp0_stage40_flag00011011, ap_block_pp0_stage41_flag00011011, ap_block_pp0_stage42_flag00011011, ap_block_pp0_stage43_flag00011011, ap_block_pp0_stage44_flag00011011, ap_block_pp0_stage45_flag00011011, ap_block_pp0_stage46_flag00011011, ap_block_pp0_stage47_flag00011011, ap_block_pp0_stage48_flag00011011, ap_block_pp0_stage49_flag00011011, ap_block_pp0_stage50_flag00011011, ap_block_pp0_stage51_flag00011011, ap_block_pp0_stage52_flag00011011, ap_block_pp0_stage53_flag00011011, ap_block_pp0_stage54_flag00011011, ap_block_pp0_stage55_flag00011011, ap_block_pp0_stage56_flag00011011, ap_block_pp0_stage57_flag00011011, ap_block_pp0_stage58_flag00011011, ap_block_pp0_stage59_flag00011011, ap_block_pp0_stage60_flag00011011, ap_block_pp0_stage61_flag00011011, ap_block_pp0_stage62_flag00011011) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((ap_const_logic_1 = ap_CS_fsm_state2) and (ap_const_lv32_0 = operation_read_read_fu_130_p2) and (ap_const_lv1_0 = icmp_fu_243_p2))) then ap_NS_fsm <= ap_ST_fsm_state85; elsif (((ap_const_logic_1 = ap_CS_fsm_state2) and (ap_const_lv32_0 = operation_read_read_fu_130_p2) and (ap_const_lv1_1 = icmp_fu_243_p2))) then ap_NS_fsm <= ap_ST_fsm_state84; elsif (((operation_read_read_fu_130_p2 = ap_const_lv32_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_NS_fsm <= ap_ST_fsm_pp0_stage0; else ap_NS_fsm <= ap_ST_fsm_state86; end if; when ap_ST_fsm_pp0_stage0 => if (((ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0) and not(((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_tran3to83_state3) and (ap_enable_reg_pp0_iter1 = ap_const_logic_0))))) then ap_NS_fsm <= ap_ST_fsm_pp0_stage1; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_tran3to83_state3) and (ap_enable_reg_pp0_iter1 = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_fsm_state83; else ap_NS_fsm <= ap_ST_fsm_pp0_stage0; end if; when ap_ST_fsm_pp0_stage1 => if ((ap_block_pp0_stage1_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage2; else ap_NS_fsm <= ap_ST_fsm_pp0_stage1; end if; when ap_ST_fsm_pp0_stage2 => if ((ap_block_pp0_stage2_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage3; else ap_NS_fsm <= ap_ST_fsm_pp0_stage2; end if; when ap_ST_fsm_pp0_stage3 => if ((ap_block_pp0_stage3_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage4; else ap_NS_fsm <= ap_ST_fsm_pp0_stage3; end if; when ap_ST_fsm_pp0_stage4 => if ((ap_block_pp0_stage4_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage5; else ap_NS_fsm <= ap_ST_fsm_pp0_stage4; end if; when ap_ST_fsm_pp0_stage5 => if ((ap_block_pp0_stage5_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage6; else ap_NS_fsm <= ap_ST_fsm_pp0_stage5; end if; when ap_ST_fsm_pp0_stage6 => if ((ap_block_pp0_stage6_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage7; else ap_NS_fsm <= ap_ST_fsm_pp0_stage6; end if; when ap_ST_fsm_pp0_stage7 => if ((ap_block_pp0_stage7_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage8; else ap_NS_fsm <= ap_ST_fsm_pp0_stage7; end if; when ap_ST_fsm_pp0_stage8 => if ((ap_block_pp0_stage8_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage9; else ap_NS_fsm <= ap_ST_fsm_pp0_stage8; end if; when ap_ST_fsm_pp0_stage9 => if ((ap_block_pp0_stage9_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage10; else ap_NS_fsm <= ap_ST_fsm_pp0_stage9; end if; when ap_ST_fsm_pp0_stage10 => if ((ap_block_pp0_stage10_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage11; else ap_NS_fsm <= ap_ST_fsm_pp0_stage10; end if; when ap_ST_fsm_pp0_stage11 => if ((ap_block_pp0_stage11_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage12; else ap_NS_fsm <= ap_ST_fsm_pp0_stage11; end if; when ap_ST_fsm_pp0_stage12 => if ((ap_block_pp0_stage12_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage13; else ap_NS_fsm <= ap_ST_fsm_pp0_stage12; end if; when ap_ST_fsm_pp0_stage13 => if ((ap_block_pp0_stage13_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage14; else ap_NS_fsm <= ap_ST_fsm_pp0_stage13; end if; when ap_ST_fsm_pp0_stage14 => if ((ap_block_pp0_stage14_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage15; else ap_NS_fsm <= ap_ST_fsm_pp0_stage14; end if; when ap_ST_fsm_pp0_stage15 => if (((ap_block_pp0_stage15_flag00011011 = ap_const_boolean_0) and not(((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00011011 = ap_const_boolean_0) and (ap_enable_reg_pp0_iter0 = ap_const_logic_0))))) then ap_NS_fsm <= ap_ST_fsm_pp0_stage16; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00011011 = ap_const_boolean_0) and (ap_enable_reg_pp0_iter0 = ap_const_logic_0))) then ap_NS_fsm <= ap_ST_fsm_state83; else ap_NS_fsm <= ap_ST_fsm_pp0_stage15; end if; when ap_ST_fsm_pp0_stage16 => if ((ap_block_pp0_stage16_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage17; else ap_NS_fsm <= ap_ST_fsm_pp0_stage16; end if; when ap_ST_fsm_pp0_stage17 => if ((ap_block_pp0_stage17_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage18; else ap_NS_fsm <= ap_ST_fsm_pp0_stage17; end if; when ap_ST_fsm_pp0_stage18 => if ((ap_block_pp0_stage18_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage19; else ap_NS_fsm <= ap_ST_fsm_pp0_stage18; end if; when ap_ST_fsm_pp0_stage19 => if ((ap_block_pp0_stage19_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage20; else ap_NS_fsm <= ap_ST_fsm_pp0_stage19; end if; when ap_ST_fsm_pp0_stage20 => if ((ap_block_pp0_stage20_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage21; else ap_NS_fsm <= ap_ST_fsm_pp0_stage20; end if; when ap_ST_fsm_pp0_stage21 => if ((ap_block_pp0_stage21_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage22; else ap_NS_fsm <= ap_ST_fsm_pp0_stage21; end if; when ap_ST_fsm_pp0_stage22 => if ((ap_block_pp0_stage22_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage23; else ap_NS_fsm <= ap_ST_fsm_pp0_stage22; end if; when ap_ST_fsm_pp0_stage23 => if ((ap_block_pp0_stage23_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage24; else ap_NS_fsm <= ap_ST_fsm_pp0_stage23; end if; when ap_ST_fsm_pp0_stage24 => if ((ap_block_pp0_stage24_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage25; else ap_NS_fsm <= ap_ST_fsm_pp0_stage24; end if; when ap_ST_fsm_pp0_stage25 => if ((ap_block_pp0_stage25_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage26; else ap_NS_fsm <= ap_ST_fsm_pp0_stage25; end if; when ap_ST_fsm_pp0_stage26 => if ((ap_block_pp0_stage26_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage27; else ap_NS_fsm <= ap_ST_fsm_pp0_stage26; end if; when ap_ST_fsm_pp0_stage27 => if ((ap_block_pp0_stage27_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage28; else ap_NS_fsm <= ap_ST_fsm_pp0_stage27; end if; when ap_ST_fsm_pp0_stage28 => if ((ap_block_pp0_stage28_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage29; else ap_NS_fsm <= ap_ST_fsm_pp0_stage28; end if; when ap_ST_fsm_pp0_stage29 => if ((ap_block_pp0_stage29_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage30; else ap_NS_fsm <= ap_ST_fsm_pp0_stage29; end if; when ap_ST_fsm_pp0_stage30 => if ((ap_block_pp0_stage30_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage31; else ap_NS_fsm <= ap_ST_fsm_pp0_stage30; end if; when ap_ST_fsm_pp0_stage31 => if ((ap_block_pp0_stage31_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage32; else ap_NS_fsm <= ap_ST_fsm_pp0_stage31; end if; when ap_ST_fsm_pp0_stage32 => if ((ap_block_pp0_stage32_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage33; else ap_NS_fsm <= ap_ST_fsm_pp0_stage32; end if; when ap_ST_fsm_pp0_stage33 => if ((ap_block_pp0_stage33_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage34; else ap_NS_fsm <= ap_ST_fsm_pp0_stage33; end if; when ap_ST_fsm_pp0_stage34 => if ((ap_block_pp0_stage34_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage35; else ap_NS_fsm <= ap_ST_fsm_pp0_stage34; end if; when ap_ST_fsm_pp0_stage35 => if ((ap_block_pp0_stage35_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage36; else ap_NS_fsm <= ap_ST_fsm_pp0_stage35; end if; when ap_ST_fsm_pp0_stage36 => if ((ap_block_pp0_stage36_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage37; else ap_NS_fsm <= ap_ST_fsm_pp0_stage36; end if; when ap_ST_fsm_pp0_stage37 => if ((ap_block_pp0_stage37_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage38; else ap_NS_fsm <= ap_ST_fsm_pp0_stage37; end if; when ap_ST_fsm_pp0_stage38 => if ((ap_block_pp0_stage38_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage39; else ap_NS_fsm <= ap_ST_fsm_pp0_stage38; end if; when ap_ST_fsm_pp0_stage39 => if ((ap_block_pp0_stage39_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage40; else ap_NS_fsm <= ap_ST_fsm_pp0_stage39; end if; when ap_ST_fsm_pp0_stage40 => if ((ap_block_pp0_stage40_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage41; else ap_NS_fsm <= ap_ST_fsm_pp0_stage40; end if; when ap_ST_fsm_pp0_stage41 => if ((ap_block_pp0_stage41_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage42; else ap_NS_fsm <= ap_ST_fsm_pp0_stage41; end if; when ap_ST_fsm_pp0_stage42 => if ((ap_block_pp0_stage42_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage43; else ap_NS_fsm <= ap_ST_fsm_pp0_stage42; end if; when ap_ST_fsm_pp0_stage43 => if ((ap_block_pp0_stage43_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage44; else ap_NS_fsm <= ap_ST_fsm_pp0_stage43; end if; when ap_ST_fsm_pp0_stage44 => if ((ap_block_pp0_stage44_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage45; else ap_NS_fsm <= ap_ST_fsm_pp0_stage44; end if; when ap_ST_fsm_pp0_stage45 => if ((ap_block_pp0_stage45_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage46; else ap_NS_fsm <= ap_ST_fsm_pp0_stage45; end if; when ap_ST_fsm_pp0_stage46 => if ((ap_block_pp0_stage46_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage47; else ap_NS_fsm <= ap_ST_fsm_pp0_stage46; end if; when ap_ST_fsm_pp0_stage47 => if ((ap_block_pp0_stage47_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage48; else ap_NS_fsm <= ap_ST_fsm_pp0_stage47; end if; when ap_ST_fsm_pp0_stage48 => if ((ap_block_pp0_stage48_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage49; else ap_NS_fsm <= ap_ST_fsm_pp0_stage48; end if; when ap_ST_fsm_pp0_stage49 => if ((ap_block_pp0_stage49_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage50; else ap_NS_fsm <= ap_ST_fsm_pp0_stage49; end if; when ap_ST_fsm_pp0_stage50 => if ((ap_block_pp0_stage50_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage51; else ap_NS_fsm <= ap_ST_fsm_pp0_stage50; end if; when ap_ST_fsm_pp0_stage51 => if ((ap_block_pp0_stage51_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage52; else ap_NS_fsm <= ap_ST_fsm_pp0_stage51; end if; when ap_ST_fsm_pp0_stage52 => if ((ap_block_pp0_stage52_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage53; else ap_NS_fsm <= ap_ST_fsm_pp0_stage52; end if; when ap_ST_fsm_pp0_stage53 => if ((ap_block_pp0_stage53_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage54; else ap_NS_fsm <= ap_ST_fsm_pp0_stage53; end if; when ap_ST_fsm_pp0_stage54 => if ((ap_block_pp0_stage54_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage55; else ap_NS_fsm <= ap_ST_fsm_pp0_stage54; end if; when ap_ST_fsm_pp0_stage55 => if ((ap_block_pp0_stage55_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage56; else ap_NS_fsm <= ap_ST_fsm_pp0_stage55; end if; when ap_ST_fsm_pp0_stage56 => if ((ap_block_pp0_stage56_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage57; else ap_NS_fsm <= ap_ST_fsm_pp0_stage56; end if; when ap_ST_fsm_pp0_stage57 => if ((ap_block_pp0_stage57_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage58; else ap_NS_fsm <= ap_ST_fsm_pp0_stage57; end if; when ap_ST_fsm_pp0_stage58 => if ((ap_block_pp0_stage58_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage59; else ap_NS_fsm <= ap_ST_fsm_pp0_stage58; end if; when ap_ST_fsm_pp0_stage59 => if ((ap_block_pp0_stage59_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage60; else ap_NS_fsm <= ap_ST_fsm_pp0_stage59; end if; when ap_ST_fsm_pp0_stage60 => if ((ap_block_pp0_stage60_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage61; else ap_NS_fsm <= ap_ST_fsm_pp0_stage60; end if; when ap_ST_fsm_pp0_stage61 => if ((ap_block_pp0_stage61_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage62; else ap_NS_fsm <= ap_ST_fsm_pp0_stage61; end if; when ap_ST_fsm_pp0_stage62 => if ((ap_block_pp0_stage62_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage63; else ap_NS_fsm <= ap_ST_fsm_pp0_stage62; end if; when ap_ST_fsm_pp0_stage63 => if ((ap_block_pp0_stage63_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage0; else ap_NS_fsm <= ap_ST_fsm_pp0_stage63; end if; when ap_ST_fsm_state83 => ap_NS_fsm <= ap_ST_fsm_state86; when ap_ST_fsm_state84 => ap_NS_fsm <= ap_ST_fsm_state85; when ap_ST_fsm_state85 => ap_NS_fsm <= ap_ST_fsm_state86; when ap_ST_fsm_state86 => if (((ap_const_logic_1 = ap_CS_fsm_state86) and not(((ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_NS_fsm <= ap_ST_fsm_state1; else ap_NS_fsm <= ap_ST_fsm_state86; end if; when others => ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end case; end process; ap_CS_fsm_pp0_stage0 <= ap_CS_fsm(2); ap_CS_fsm_pp0_stage1 <= ap_CS_fsm(3); ap_CS_fsm_pp0_stage10 <= ap_CS_fsm(12); ap_CS_fsm_pp0_stage11 <= ap_CS_fsm(13); ap_CS_fsm_pp0_stage12 <= ap_CS_fsm(14); ap_CS_fsm_pp0_stage13 <= ap_CS_fsm(15); ap_CS_fsm_pp0_stage14 <= ap_CS_fsm(16); ap_CS_fsm_pp0_stage15 <= ap_CS_fsm(17); ap_CS_fsm_pp0_stage16 <= ap_CS_fsm(18); ap_CS_fsm_pp0_stage17 <= ap_CS_fsm(19); ap_CS_fsm_pp0_stage18 <= ap_CS_fsm(20); ap_CS_fsm_pp0_stage19 <= ap_CS_fsm(21); ap_CS_fsm_pp0_stage2 <= ap_CS_fsm(4); ap_CS_fsm_pp0_stage20 <= ap_CS_fsm(22); ap_CS_fsm_pp0_stage21 <= ap_CS_fsm(23); ap_CS_fsm_pp0_stage22 <= ap_CS_fsm(24); ap_CS_fsm_pp0_stage23 <= ap_CS_fsm(25); ap_CS_fsm_pp0_stage24 <= ap_CS_fsm(26); ap_CS_fsm_pp0_stage25 <= ap_CS_fsm(27); ap_CS_fsm_pp0_stage26 <= ap_CS_fsm(28); ap_CS_fsm_pp0_stage27 <= ap_CS_fsm(29); ap_CS_fsm_pp0_stage28 <= ap_CS_fsm(30); ap_CS_fsm_pp0_stage29 <= ap_CS_fsm(31); ap_CS_fsm_pp0_stage3 <= ap_CS_fsm(5); ap_CS_fsm_pp0_stage30 <= ap_CS_fsm(32); ap_CS_fsm_pp0_stage31 <= ap_CS_fsm(33); ap_CS_fsm_pp0_stage32 <= ap_CS_fsm(34); ap_CS_fsm_pp0_stage33 <= ap_CS_fsm(35); ap_CS_fsm_pp0_stage34 <= ap_CS_fsm(36); ap_CS_fsm_pp0_stage35 <= ap_CS_fsm(37); ap_CS_fsm_pp0_stage36 <= ap_CS_fsm(38); ap_CS_fsm_pp0_stage37 <= ap_CS_fsm(39); ap_CS_fsm_pp0_stage38 <= ap_CS_fsm(40); ap_CS_fsm_pp0_stage39 <= ap_CS_fsm(41); ap_CS_fsm_pp0_stage4 <= ap_CS_fsm(6); ap_CS_fsm_pp0_stage40 <= ap_CS_fsm(42); ap_CS_fsm_pp0_stage41 <= ap_CS_fsm(43); ap_CS_fsm_pp0_stage42 <= ap_CS_fsm(44); ap_CS_fsm_pp0_stage43 <= ap_CS_fsm(45); ap_CS_fsm_pp0_stage44 <= ap_CS_fsm(46); ap_CS_fsm_pp0_stage45 <= ap_CS_fsm(47); ap_CS_fsm_pp0_stage46 <= ap_CS_fsm(48); ap_CS_fsm_pp0_stage47 <= ap_CS_fsm(49); ap_CS_fsm_pp0_stage48 <= ap_CS_fsm(50); ap_CS_fsm_pp0_stage49 <= ap_CS_fsm(51); ap_CS_fsm_pp0_stage5 <= ap_CS_fsm(7); ap_CS_fsm_pp0_stage50 <= ap_CS_fsm(52); ap_CS_fsm_pp0_stage51 <= ap_CS_fsm(53); ap_CS_fsm_pp0_stage52 <= ap_CS_fsm(54); ap_CS_fsm_pp0_stage53 <= ap_CS_fsm(55); ap_CS_fsm_pp0_stage54 <= ap_CS_fsm(56); ap_CS_fsm_pp0_stage55 <= ap_CS_fsm(57); ap_CS_fsm_pp0_stage56 <= ap_CS_fsm(58); ap_CS_fsm_pp0_stage57 <= ap_CS_fsm(59); ap_CS_fsm_pp0_stage58 <= ap_CS_fsm(60); ap_CS_fsm_pp0_stage59 <= ap_CS_fsm(61); ap_CS_fsm_pp0_stage6 <= ap_CS_fsm(8); ap_CS_fsm_pp0_stage60 <= ap_CS_fsm(62); ap_CS_fsm_pp0_stage61 <= ap_CS_fsm(63); ap_CS_fsm_pp0_stage62 <= ap_CS_fsm(64); ap_CS_fsm_pp0_stage63 <= ap_CS_fsm(65); ap_CS_fsm_pp0_stage7 <= ap_CS_fsm(9); ap_CS_fsm_pp0_stage8 <= ap_CS_fsm(10); ap_CS_fsm_pp0_stage9 <= ap_CS_fsm(11); ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state84 <= ap_CS_fsm(67); ap_CS_fsm_state85 <= ap_CS_fsm(68); ap_CS_fsm_state86 <= ap_CS_fsm(69); ap_block_pp0_stage0_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage0_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage0_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage10_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage10_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage10_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter1, ap_block_state77_io) begin ap_block_pp0_stage10_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_block_state77_io)); end process; ap_block_pp0_stage10_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter1, ap_block_state77_io) begin ap_block_pp0_stage10_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_block_state77_io)); end process; ap_block_pp0_stage11_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage11_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage11_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage12_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage12_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage12_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage13_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage13_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage13_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage14_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage14_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage14_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage15_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage15_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter1, results_out_BVALID, ap_predicate_op241_writeresp_state82) begin ap_block_pp0_stage15_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_logic_0 = results_out_BVALID) and (ap_const_boolean_1 = ap_predicate_op241_writeresp_state82)); end process; ap_block_pp0_stage15_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter1, results_out_BVALID, ap_predicate_op241_writeresp_state82) begin ap_block_pp0_stage15_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_logic_0 = results_out_BVALID) and (ap_const_boolean_1 = ap_predicate_op241_writeresp_state82)); end process; ap_block_pp0_stage16_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage16_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage16_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage17_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage17_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage17_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage18_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage18_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage18_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage19_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage19_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage19_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage1_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage1_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage1_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state4_io) begin ap_block_pp0_stage1_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state4_io)); end process; ap_block_pp0_stage1_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state4_io) begin ap_block_pp0_stage1_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state4_io)); end process; ap_block_pp0_stage20_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage20_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage20_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage21_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage21_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage21_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage22_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage22_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage22_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage23_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage23_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage23_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage24_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage24_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage24_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage25_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage25_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage25_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage26_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage26_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage26_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage27_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage27_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage27_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage28_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage28_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage28_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage29_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage29_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage29_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage2_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage2_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage2_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage30_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage30_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage30_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage31_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage31_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage31_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage32_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage32_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage32_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage33_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage33_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage33_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage34_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage34_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage34_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage35_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage35_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage35_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage36_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage36_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage36_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage37_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage37_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage37_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage38_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage38_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage38_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage39_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage39_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage39_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage3_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage3_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage3_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage40_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage40_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage40_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage41_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage41_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage41_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage42_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage42_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage42_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage43_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage43_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage43_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage44_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage44_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage44_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage45_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage45_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage45_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage46_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage46_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage46_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage47_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage47_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage47_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage48_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage48_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage48_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage49_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage49_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage49_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage4_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage4_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage4_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage50_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage50_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage50_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage51_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage51_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage51_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage52_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage52_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage52_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage53_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage53_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage53_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage54_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage54_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage54_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage55_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage55_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage55_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage56_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage56_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage56_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage57_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage57_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage57_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage58_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage58_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage58_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage59_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage59_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage59_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage5_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage5_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage5_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage60_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage60_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage60_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage61_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage61_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage61_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage62_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage62_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage62_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage63_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage63_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage63_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage6_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage6_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage6_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage7_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage7_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage7_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage8_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage8_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, db_mem_V_RVALID, ap_predicate_op168_read_state11) begin ap_block_pp0_stage8_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_0 = db_mem_V_RVALID) and (ap_const_boolean_1 = ap_predicate_op168_read_state11)); end process; ap_block_pp0_stage8_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, db_mem_V_RVALID, ap_predicate_op168_read_state11) begin ap_block_pp0_stage8_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_0 = db_mem_V_RVALID) and (ap_const_boolean_1 = ap_predicate_op168_read_state11)); end process; ap_block_pp0_stage9_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage9_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage9_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter1, ap_block_state76_io) begin ap_block_pp0_stage9_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_block_state76_io)); end process; ap_block_pp0_stage9_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter1, ap_block_state76_io) begin ap_block_pp0_stage9_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_block_state76_io)); end process; ap_block_state1_assign_proc : process(ap_start, operation_ap_vld_in_sig) begin ap_block_state1 <= ((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig)); end process; ap_block_state10_pp0_stage7_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state10_pp0_stage7_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state11_pp0_stage8_iter0_assign_proc : process(db_mem_V_RVALID, ap_predicate_op168_read_state11) begin ap_block_state11_pp0_stage8_iter0 <= ((ap_const_logic_0 = db_mem_V_RVALID) and (ap_const_boolean_1 = ap_predicate_op168_read_state11)); end process; ap_block_state12_pp0_stage9_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state13_pp0_stage10_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state13_pp0_stage10_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state14_pp0_stage11_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state14_pp0_stage11_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state15_pp0_stage12_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state15_pp0_stage12_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state16_pp0_stage13_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state16_pp0_stage13_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state17_pp0_stage14_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state17_pp0_stage14_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state18_pp0_stage15_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state18_pp0_stage15_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state19_pp0_stage16_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state19_pp0_stage16_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state20_pp0_stage17_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state20_pp0_stage17_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state21_pp0_stage18_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state21_pp0_stage18_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state22_pp0_stage19_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state22_pp0_stage19_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state23_pp0_stage20_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state23_pp0_stage20_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state24_pp0_stage21_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state24_pp0_stage21_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state25_pp0_stage22_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state25_pp0_stage22_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state26_pp0_stage23_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state26_pp0_stage23_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state27_pp0_stage24_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state27_pp0_stage24_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state28_pp0_stage25_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state28_pp0_stage25_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state29_pp0_stage26_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state29_pp0_stage26_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state30_pp0_stage27_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state30_pp0_stage27_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state31_pp0_stage28_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state31_pp0_stage28_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state32_pp0_stage29_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state32_pp0_stage29_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state33_pp0_stage30_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state33_pp0_stage30_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state34_pp0_stage31_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state34_pp0_stage31_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state35_pp0_stage32_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state35_pp0_stage32_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state36_pp0_stage33_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state36_pp0_stage33_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state37_pp0_stage34_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state37_pp0_stage34_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state38_pp0_stage35_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state38_pp0_stage35_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state39_pp0_stage36_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state39_pp0_stage36_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state3_pp0_stage0_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state40_pp0_stage37_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state40_pp0_stage37_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state41_pp0_stage38_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state41_pp0_stage38_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state42_pp0_stage39_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state42_pp0_stage39_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state43_pp0_stage40_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state43_pp0_stage40_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state44_pp0_stage41_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state44_pp0_stage41_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state45_pp0_stage42_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state45_pp0_stage42_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state46_pp0_stage43_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state46_pp0_stage43_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state47_pp0_stage44_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state47_pp0_stage44_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state48_pp0_stage45_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state48_pp0_stage45_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state49_pp0_stage46_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state49_pp0_stage46_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state4_io_assign_proc : process(ap_sig_ioackin_db_mem_V_ARREADY, ap_predicate_op161_readreq_state4) begin ap_block_state4_io <= ((ap_const_logic_0 = ap_sig_ioackin_db_mem_V_ARREADY) and (ap_const_boolean_1 = ap_predicate_op161_readreq_state4)); end process; ap_block_state4_pp0_stage1_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state50_pp0_stage47_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state50_pp0_stage47_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state51_pp0_stage48_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state51_pp0_stage48_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state52_pp0_stage49_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state52_pp0_stage49_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state53_pp0_stage50_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state53_pp0_stage50_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state54_pp0_stage51_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state54_pp0_stage51_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state55_pp0_stage52_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state55_pp0_stage52_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state56_pp0_stage53_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state56_pp0_stage53_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state57_pp0_stage54_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state57_pp0_stage54_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state58_pp0_stage55_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state58_pp0_stage55_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state59_pp0_stage56_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state59_pp0_stage56_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state5_pp0_stage2_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state5_pp0_stage2_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state60_pp0_stage57_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state60_pp0_stage57_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state61_pp0_stage58_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state61_pp0_stage58_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state62_pp0_stage59_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state62_pp0_stage59_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state63_pp0_stage60_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state63_pp0_stage60_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state64_pp0_stage61_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state64_pp0_stage61_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state65_pp0_stage62_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state65_pp0_stage62_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state66_pp0_stage63_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state66_pp0_stage63_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state67_pp0_stage0_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state68_pp0_stage1_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state69_pp0_stage2_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state69_pp0_stage2_iter1_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state6_pp0_stage3_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state6_pp0_stage3_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state70_pp0_stage3_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state70_pp0_stage3_iter1_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state71_pp0_stage4_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state71_pp0_stage4_iter1_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state72_pp0_stage5_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state72_pp0_stage5_iter1_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state73_pp0_stage6_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state73_pp0_stage6_iter1_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state74_pp0_stage7_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state74_pp0_stage7_iter1_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state75_pp0_stage8_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state76_io_assign_proc : process(ap_sig_ioackin_results_out_AWREADY, ap_predicate_op234_writereq_state76) begin ap_block_state76_io <= ((ap_const_logic_0 = ap_sig_ioackin_results_out_AWREADY) and (ap_const_boolean_1 = ap_predicate_op234_writereq_state76)); end process; ap_block_state76_pp0_stage9_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state77_io_assign_proc : process(ap_sig_ioackin_results_out_WREADY, ap_predicate_op236_write_state77) begin ap_block_state77_io <= ((ap_const_logic_0 = ap_sig_ioackin_results_out_WREADY) and (ap_const_boolean_1 = ap_predicate_op236_write_state77)); end process; ap_block_state77_pp0_stage10_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state77_pp0_stage10_iter1_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state78_pp0_stage11_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state78_pp0_stage11_iter1_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state79_pp0_stage12_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state79_pp0_stage12_iter1_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state7_pp0_stage4_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state7_pp0_stage4_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state80_pp0_stage13_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state80_pp0_stage13_iter1_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state81_pp0_stage14_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state81_pp0_stage14_iter1_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state82_pp0_stage15_iter1_assign_proc : process(results_out_BVALID, ap_predicate_op241_writeresp_state82) begin ap_block_state82_pp0_stage15_iter1 <= ((ap_const_logic_0 = results_out_BVALID) and (ap_const_boolean_1 = ap_predicate_op241_writeresp_state82)); end process; ap_block_state82_pp0_stage15_iter1_ignore_call5_assign_proc : process(results_out_BVALID, ap_predicate_op241_writeresp_state82) begin ap_block_state82_pp0_stage15_iter1_ignore_call5 <= ((ap_const_logic_0 = results_out_BVALID) and (ap_const_boolean_1 = ap_predicate_op241_writeresp_state82)); end process; ap_block_state86_assign_proc : process(error_out_1_ack_in, contacts_size_out_1_ack_in) begin ap_block_state86 <= ((ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in)); end process; ap_block_state8_pp0_stage5_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state8_pp0_stage5_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state9_pp0_stage6_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state9_pp0_stage6_iter0_ignore_call5 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_condition_2628_assign_proc : process(ap_CS_fsm_pp0_stage1, ap_enable_reg_pp0_iter0, ap_predicate_op161_readreq_state4) begin ap_condition_2628 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op161_readreq_state4)); end process; ap_condition_2632_assign_proc : process(ap_CS_fsm_pp0_stage9, ap_enable_reg_pp0_iter1, ap_predicate_op234_writereq_state76) begin ap_condition_2632 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op234_writereq_state76)); end process; ap_condition_2636_assign_proc : process(ap_enable_reg_pp0_iter1, ap_CS_fsm_pp0_stage10, ap_predicate_op236_write_state77) begin ap_condition_2636 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_const_boolean_1 = ap_predicate_op236_write_state77)); end process; ap_condition_pp0_exit_iter0_state3_assign_proc : process(ap_predicate_tran3to83_state3) begin if ((ap_const_boolean_1 = ap_predicate_tran3to83_state3)) then ap_condition_pp0_exit_iter0_state3 <= ap_const_logic_1; else ap_condition_pp0_exit_iter0_state3 <= ap_const_logic_0; end if; end process; ap_done_assign_proc : process(error_out_1_ack_in, contacts_size_out_1_ack_in, ap_CS_fsm_state86) begin if (((ap_const_logic_1 = ap_CS_fsm_state86) and not(((ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_enable_pp0 <= (ap_idle_pp0 xor ap_const_logic_1); ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_idle_pp0_assign_proc : process(ap_enable_reg_pp0_iter0, ap_enable_reg_pp0_iter1) begin if (((ap_const_logic_0 = ap_enable_reg_pp0_iter0) and (ap_const_logic_0 = ap_enable_reg_pp0_iter1))) then ap_idle_pp0 <= ap_const_logic_1; else ap_idle_pp0 <= ap_const_logic_0; end if; end process; ap_predicate_op161_readreq_state4_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op161_readreq_state4 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op168_read_state11_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op168_read_state11 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op169_call_state12_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op169_call_state12 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op169_call_state12_state11_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op169_call_state12_state11 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op170_call_state13_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op170_call_state13 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op171_call_state14_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op171_call_state14 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op172_call_state15_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op172_call_state15 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op173_call_state16_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op173_call_state16 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op174_call_state17_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op174_call_state17 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op175_call_state18_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op175_call_state18 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op176_call_state19_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op176_call_state19 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op177_call_state20_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op177_call_state20 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op178_call_state21_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op178_call_state21 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op179_call_state22_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op179_call_state22 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op180_call_state23_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op180_call_state23 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op181_call_state24_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op181_call_state24 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op182_call_state25_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op182_call_state25 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op183_call_state26_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op183_call_state26 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op184_call_state27_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op184_call_state27 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op185_call_state28_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op185_call_state28 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op186_call_state29_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op186_call_state29 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op187_call_state30_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op187_call_state30 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op188_call_state31_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op188_call_state31 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op189_call_state32_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op189_call_state32 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op190_call_state33_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op190_call_state33 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op191_call_state34_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op191_call_state34 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op192_call_state35_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op192_call_state35 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op193_call_state36_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op193_call_state36 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op194_call_state37_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op194_call_state37 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op195_call_state38_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op195_call_state38 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op196_call_state39_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op196_call_state39 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op197_call_state40_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op197_call_state40 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op198_call_state41_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op198_call_state41 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op199_call_state42_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op199_call_state42 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op200_call_state43_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op200_call_state43 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op201_call_state44_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op201_call_state44 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op202_call_state45_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op202_call_state45 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op203_call_state46_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op203_call_state46 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op204_call_state47_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op204_call_state47 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op205_call_state48_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op205_call_state48 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op206_call_state49_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op206_call_state49 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op207_call_state50_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op207_call_state50 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op208_call_state51_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op208_call_state51 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op209_call_state52_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op209_call_state52 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op210_call_state53_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op210_call_state53 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op211_call_state54_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op211_call_state54 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op212_call_state55_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op212_call_state55 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op213_call_state56_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op213_call_state56 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op214_call_state57_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op214_call_state57 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op215_call_state58_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op215_call_state58 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op216_call_state59_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op216_call_state59 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op217_call_state60_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op217_call_state60 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op218_call_state61_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op218_call_state61 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op219_call_state62_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op219_call_state62 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op220_call_state63_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op220_call_state63 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op221_call_state64_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op221_call_state64 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op222_call_state65_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op222_call_state65 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op223_call_state66_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op223_call_state66 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op224_call_state67_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365) begin ap_predicate_op224_call_state67 <= ((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1)); end process; ap_predicate_op225_call_state68_assign_proc : process(ap_reg_pp0_iter1_tmp_127_reg_356, ap_reg_pp0_iter1_tmp_8_reg_365) begin ap_predicate_op225_call_state68 <= ((ap_const_lv1_0 = ap_reg_pp0_iter1_tmp_127_reg_356) and (ap_const_lv1_1 = ap_reg_pp0_iter1_tmp_8_reg_365)); end process; ap_predicate_op226_call_state69_assign_proc : process(ap_reg_pp0_iter1_tmp_127_reg_356, ap_reg_pp0_iter1_tmp_8_reg_365) begin ap_predicate_op226_call_state69 <= ((ap_const_lv1_0 = ap_reg_pp0_iter1_tmp_127_reg_356) and (ap_const_lv1_1 = ap_reg_pp0_iter1_tmp_8_reg_365)); end process; ap_predicate_op227_call_state70_assign_proc : process(ap_reg_pp0_iter1_tmp_127_reg_356, ap_reg_pp0_iter1_tmp_8_reg_365) begin ap_predicate_op227_call_state70 <= ((ap_const_lv1_0 = ap_reg_pp0_iter1_tmp_127_reg_356) and (ap_const_lv1_1 = ap_reg_pp0_iter1_tmp_8_reg_365)); end process; ap_predicate_op228_call_state71_assign_proc : process(ap_reg_pp0_iter1_tmp_127_reg_356, ap_reg_pp0_iter1_tmp_8_reg_365) begin ap_predicate_op228_call_state71 <= ((ap_const_lv1_0 = ap_reg_pp0_iter1_tmp_127_reg_356) and (ap_const_lv1_1 = ap_reg_pp0_iter1_tmp_8_reg_365)); end process; ap_predicate_op229_call_state72_assign_proc : process(ap_reg_pp0_iter1_tmp_127_reg_356, ap_reg_pp0_iter1_tmp_8_reg_365) begin ap_predicate_op229_call_state72 <= ((ap_const_lv1_0 = ap_reg_pp0_iter1_tmp_127_reg_356) and (ap_const_lv1_1 = ap_reg_pp0_iter1_tmp_8_reg_365)); end process; ap_predicate_op230_call_state73_assign_proc : process(ap_reg_pp0_iter1_tmp_127_reg_356, ap_reg_pp0_iter1_tmp_8_reg_365) begin ap_predicate_op230_call_state73 <= ((ap_const_lv1_0 = ap_reg_pp0_iter1_tmp_127_reg_356) and (ap_const_lv1_1 = ap_reg_pp0_iter1_tmp_8_reg_365)); end process; ap_predicate_op231_call_state74_assign_proc : process(ap_reg_pp0_iter1_tmp_127_reg_356, ap_reg_pp0_iter1_tmp_8_reg_365) begin ap_predicate_op231_call_state74 <= ((ap_const_lv1_0 = ap_reg_pp0_iter1_tmp_127_reg_356) and (ap_const_lv1_1 = ap_reg_pp0_iter1_tmp_8_reg_365)); end process; ap_predicate_op232_call_state75_assign_proc : process(ap_reg_pp0_iter1_tmp_127_reg_356, ap_reg_pp0_iter1_tmp_8_reg_365) begin ap_predicate_op232_call_state75 <= ((ap_const_lv1_0 = ap_reg_pp0_iter1_tmp_127_reg_356) and (ap_const_lv1_1 = ap_reg_pp0_iter1_tmp_8_reg_365)); end process; ap_predicate_op234_writereq_state76_assign_proc : process(ap_reg_pp0_iter1_tmp_127_reg_356, ap_reg_pp0_iter1_tmp_8_reg_365) begin ap_predicate_op234_writereq_state76 <= ((ap_const_lv1_0 = ap_reg_pp0_iter1_tmp_127_reg_356) and (ap_const_lv1_1 = ap_reg_pp0_iter1_tmp_8_reg_365)); end process; ap_predicate_op236_write_state77_assign_proc : process(ap_reg_pp0_iter1_tmp_127_reg_356, ap_reg_pp0_iter1_tmp_8_reg_365) begin ap_predicate_op236_write_state77 <= ((ap_const_lv1_0 = ap_reg_pp0_iter1_tmp_127_reg_356) and (ap_const_lv1_1 = ap_reg_pp0_iter1_tmp_8_reg_365)); end process; ap_predicate_op241_writeresp_state82_assign_proc : process(ap_reg_pp0_iter1_tmp_127_reg_356, ap_reg_pp0_iter1_tmp_8_reg_365) begin ap_predicate_op241_writeresp_state82 <= ((ap_const_lv1_0 = ap_reg_pp0_iter1_tmp_127_reg_356) and (ap_const_lv1_1 = ap_reg_pp0_iter1_tmp_8_reg_365)); end process; ap_predicate_tran3to83_state3_assign_proc : process(tmp_127_fu_264_p3, tmp_8_fu_290_p2) begin ap_predicate_tran3to83_state3 <= ((ap_const_lv1_1 = tmp_127_fu_264_p3) or ((ap_const_lv1_0 = tmp_127_fu_264_p3) and (ap_const_lv1_0 = tmp_8_fu_290_p2))); end process; ap_ready_assign_proc : process(error_out_1_ack_in, contacts_size_out_1_ack_in, ap_CS_fsm_state86) begin if (((ap_const_logic_1 = ap_CS_fsm_state86) and not(((ap_const_logic_0 = error_out_1_ack_in) or (ap_const_logic_0 = contacts_size_out_1_ack_in))))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_rst_n_inv_assign_proc : process(ap_rst_n) begin ap_rst_n_inv <= not(ap_rst_n); end process; ap_sig_ioackin_db_mem_V_ARREADY_assign_proc : process(db_mem_V_ARREADY, ap_reg_ioackin_db_mem_V_ARREADY) begin if ((ap_const_logic_0 = ap_reg_ioackin_db_mem_V_ARREADY)) then ap_sig_ioackin_db_mem_V_ARREADY <= db_mem_V_ARREADY; else ap_sig_ioackin_db_mem_V_ARREADY <= ap_const_logic_1; end if; end process; ap_sig_ioackin_results_out_AWREADY_assign_proc : process(results_out_AWREADY, ap_reg_ioackin_results_out_AWREADY) begin if ((ap_const_logic_0 = ap_reg_ioackin_results_out_AWREADY)) then ap_sig_ioackin_results_out_AWREADY <= results_out_AWREADY; else ap_sig_ioackin_results_out_AWREADY <= ap_const_logic_1; end if; end process; ap_sig_ioackin_results_out_WREADY_assign_proc : process(results_out_WREADY, ap_reg_ioackin_results_out_WREADY) begin if ((ap_const_logic_0 = ap_reg_ioackin_results_out_WREADY)) then ap_sig_ioackin_results_out_WREADY <= results_out_WREADY; else ap_sig_ioackin_results_out_WREADY <= ap_const_logic_1; end if; end process; contacts_V_address0_assign_proc : process(ap_CS_fsm_pp0_stage1, ap_enable_reg_pp0_iter0, ap_block_pp0_stage1_flag00000000, ap_CS_fsm_pp0_stage8, ap_block_pp0_stage8_flag00000000, ap_CS_fsm_pp0_stage9, ap_enable_reg_pp0_iter1, ap_block_pp0_stage9_flag00000000, ap_CS_fsm_pp0_stage10, ap_block_pp0_stage10_flag00000000, ap_CS_fsm_pp0_stage15, ap_block_pp0_stage15_flag00000000, ap_CS_fsm_state2, ap_CS_fsm_pp0_stage0, ap_predicate_op234_writereq_state76, ap_CS_fsm_pp0_stage63, grp_match_db_contact_fu_212_contacts_V_address0, ap_predicate_op169_call_state12, ap_predicate_op170_call_state13, ap_predicate_op171_call_state14, ap_predicate_op172_call_state15, ap_predicate_op173_call_state16, ap_predicate_op174_call_state17, ap_predicate_op175_call_state18, ap_predicate_op176_call_state19, ap_predicate_op177_call_state20, ap_predicate_op178_call_state21, ap_predicate_op179_call_state22, ap_predicate_op180_call_state23, ap_predicate_op181_call_state24, ap_predicate_op182_call_state25, ap_predicate_op183_call_state26, ap_predicate_op184_call_state27, ap_predicate_op185_call_state28, ap_predicate_op186_call_state29, ap_predicate_op187_call_state30, ap_predicate_op188_call_state31, ap_predicate_op189_call_state32, ap_predicate_op190_call_state33, ap_predicate_op191_call_state34, ap_predicate_op192_call_state35, ap_predicate_op193_call_state36, ap_predicate_op194_call_state37, ap_predicate_op195_call_state38, ap_predicate_op196_call_state39, ap_predicate_op197_call_state40, ap_predicate_op198_call_state41, ap_predicate_op199_call_state42, ap_predicate_op200_call_state43, ap_predicate_op201_call_state44, ap_predicate_op202_call_state45, ap_predicate_op203_call_state46, ap_predicate_op204_call_state47, ap_predicate_op205_call_state48, ap_predicate_op206_call_state49, ap_predicate_op207_call_state50, ap_predicate_op208_call_state51, ap_predicate_op209_call_state52, ap_predicate_op210_call_state53, ap_predicate_op211_call_state54, ap_predicate_op212_call_state55, ap_predicate_op213_call_state56, ap_predicate_op214_call_state57, ap_predicate_op215_call_state58, ap_predicate_op216_call_state59, ap_predicate_op217_call_state60, ap_predicate_op218_call_state61, ap_predicate_op219_call_state62, ap_predicate_op220_call_state63, ap_predicate_op221_call_state64, ap_predicate_op222_call_state65, ap_predicate_op223_call_state66, ap_predicate_op224_call_state67, ap_predicate_op225_call_state68, ap_predicate_op226_call_state69, ap_predicate_op227_call_state70, ap_predicate_op228_call_state71, ap_predicate_op229_call_state72, ap_predicate_op230_call_state73, ap_predicate_op231_call_state74, ap_predicate_op232_call_state75, ap_CS_fsm_pp0_stage11, ap_CS_fsm_pp0_stage12, ap_CS_fsm_pp0_stage13, ap_CS_fsm_pp0_stage14, ap_CS_fsm_pp0_stage16, ap_CS_fsm_pp0_stage17, ap_CS_fsm_pp0_stage18, ap_CS_fsm_pp0_stage19, ap_CS_fsm_pp0_stage20, ap_CS_fsm_pp0_stage21, ap_CS_fsm_pp0_stage22, ap_CS_fsm_pp0_stage23, ap_CS_fsm_pp0_stage24, ap_CS_fsm_pp0_stage25, ap_CS_fsm_pp0_stage26, ap_CS_fsm_pp0_stage27, ap_CS_fsm_pp0_stage28, ap_CS_fsm_pp0_stage29, ap_CS_fsm_pp0_stage30, ap_CS_fsm_pp0_stage31, ap_CS_fsm_pp0_stage32, ap_CS_fsm_pp0_stage33, ap_CS_fsm_pp0_stage34, ap_CS_fsm_pp0_stage35, ap_CS_fsm_pp0_stage36, ap_CS_fsm_pp0_stage37, ap_CS_fsm_pp0_stage38, ap_CS_fsm_pp0_stage39, ap_CS_fsm_pp0_stage40, ap_CS_fsm_pp0_stage41, ap_CS_fsm_pp0_stage42, ap_CS_fsm_pp0_stage43, ap_CS_fsm_pp0_stage44, ap_CS_fsm_pp0_stage45, ap_CS_fsm_pp0_stage46, ap_CS_fsm_pp0_stage47, ap_CS_fsm_pp0_stage48, ap_CS_fsm_pp0_stage49, ap_CS_fsm_pp0_stage50, ap_CS_fsm_pp0_stage51, ap_CS_fsm_pp0_stage52, ap_CS_fsm_pp0_stage53, ap_CS_fsm_pp0_stage54, ap_CS_fsm_pp0_stage55, ap_CS_fsm_pp0_stage56, ap_CS_fsm_pp0_stage57, ap_CS_fsm_pp0_stage58, ap_CS_fsm_pp0_stage59, ap_CS_fsm_pp0_stage60, ap_CS_fsm_pp0_stage61, ap_CS_fsm_pp0_stage62, ap_CS_fsm_pp0_stage2, ap_CS_fsm_pp0_stage3, ap_CS_fsm_pp0_stage4, ap_CS_fsm_pp0_stage5, ap_CS_fsm_pp0_stage6, ap_CS_fsm_pp0_stage7, ap_block_pp0_stage0_flag00000000, ap_block_pp0_stage11_flag00000000, ap_block_pp0_stage12_flag00000000, ap_block_pp0_stage13_flag00000000, ap_block_pp0_stage14_flag00000000, ap_block_pp0_stage16_flag00000000, ap_block_pp0_stage17_flag00000000, ap_block_pp0_stage18_flag00000000, ap_block_pp0_stage19_flag00000000, ap_block_pp0_stage20_flag00000000, ap_block_pp0_stage21_flag00000000, ap_block_pp0_stage22_flag00000000, ap_block_pp0_stage23_flag00000000, ap_block_pp0_stage24_flag00000000, ap_block_pp0_stage25_flag00000000, ap_block_pp0_stage26_flag00000000, ap_block_pp0_stage27_flag00000000, ap_block_pp0_stage28_flag00000000, ap_block_pp0_stage29_flag00000000, ap_block_pp0_stage30_flag00000000, ap_block_pp0_stage31_flag00000000, ap_block_pp0_stage32_flag00000000, ap_block_pp0_stage33_flag00000000, ap_block_pp0_stage34_flag00000000, ap_block_pp0_stage35_flag00000000, ap_block_pp0_stage36_flag00000000, ap_block_pp0_stage37_flag00000000, ap_block_pp0_stage38_flag00000000, ap_block_pp0_stage39_flag00000000, ap_block_pp0_stage40_flag00000000, ap_block_pp0_stage41_flag00000000, ap_block_pp0_stage42_flag00000000, ap_block_pp0_stage43_flag00000000, ap_block_pp0_stage44_flag00000000, ap_block_pp0_stage45_flag00000000, ap_block_pp0_stage46_flag00000000, ap_block_pp0_stage47_flag00000000, ap_block_pp0_stage48_flag00000000, ap_block_pp0_stage49_flag00000000, ap_block_pp0_stage50_flag00000000, ap_block_pp0_stage51_flag00000000, ap_block_pp0_stage52_flag00000000, ap_block_pp0_stage53_flag00000000, ap_block_pp0_stage54_flag00000000, ap_block_pp0_stage55_flag00000000, ap_block_pp0_stage56_flag00000000, ap_block_pp0_stage57_flag00000000, ap_block_pp0_stage58_flag00000000, ap_block_pp0_stage59_flag00000000, ap_block_pp0_stage60_flag00000000, ap_block_pp0_stage61_flag00000000, ap_block_pp0_stage62_flag00000000, ap_block_pp0_stage63_flag00000000, ap_block_pp0_stage2_flag00000000, ap_block_pp0_stage3_flag00000000, ap_block_pp0_stage4_flag00000000, ap_block_pp0_stage5_flag00000000, ap_block_pp0_stage6_flag00000000, ap_block_pp0_stage7_flag00000000, tmp_4_fu_249_p1) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then contacts_V_address0 <= tmp_4_fu_249_p1(7 - 1 downto 0); elsif ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_block_pp0_stage9_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op169_call_state12)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_block_pp0_stage10_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op170_call_state13)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op171_call_state14) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op172_call_state15) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op173_call_state16) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op174_call_state17) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op175_call_state18)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op176_call_state19) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op177_call_state20) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op178_call_state21) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op179_call_state22) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op180_call_state23) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op181_call_state24) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op182_call_state25) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op183_call_state26) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op184_call_state27) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op185_call_state28) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op186_call_state29) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op187_call_state30) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op188_call_state31) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op189_call_state32) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op190_call_state33) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op191_call_state34) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op192_call_state35) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op193_call_state36) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op194_call_state37) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op195_call_state38) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op196_call_state39) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op197_call_state40) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op198_call_state41) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op199_call_state42) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op200_call_state43) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage40) and (ap_block_pp0_stage40_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op201_call_state44) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op202_call_state45) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_block_pp0_stage42_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op203_call_state46) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage43) and (ap_block_pp0_stage43_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op204_call_state47) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage44) and (ap_block_pp0_stage44_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op205_call_state48) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage45) and (ap_block_pp0_stage45_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op206_call_state49) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage46) and (ap_block_pp0_stage46_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op207_call_state50) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage47) and (ap_block_pp0_stage47_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op208_call_state51) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage48) and (ap_block_pp0_stage48_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op209_call_state52) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage49) and (ap_block_pp0_stage49_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op210_call_state53) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage50) and (ap_block_pp0_stage50_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op211_call_state54) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage51) and (ap_block_pp0_stage51_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op212_call_state55) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage52) and (ap_block_pp0_stage52_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op213_call_state56) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage53) and (ap_block_pp0_stage53_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op214_call_state57) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage54) and (ap_block_pp0_stage54_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op215_call_state58) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage55) and (ap_block_pp0_stage55_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op216_call_state59) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage56) and (ap_block_pp0_stage56_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op217_call_state60) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage57) and (ap_block_pp0_stage57_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op218_call_state61) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage58) and (ap_block_pp0_stage58_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op219_call_state62) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage59) and (ap_block_pp0_stage59_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op220_call_state63) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage60) and (ap_block_pp0_stage60_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op221_call_state64) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage61) and (ap_block_pp0_stage61_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op222_call_state65) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage62) and (ap_block_pp0_stage62_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage63) and (ap_const_boolean_1 = ap_predicate_op223_call_state66) and (ap_block_pp0_stage63_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_boolean_1 = ap_predicate_op224_call_state67) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (ap_block_pp0_stage1_flag00000000 = ap_const_boolean_0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op225_call_state68)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op226_call_state69) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage2) and (ap_block_pp0_stage2_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op227_call_state70) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage3) and (ap_block_pp0_stage3_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op228_call_state71) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage4) and (ap_block_pp0_stage4_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op229_call_state72) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_block_pp0_stage5_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op230_call_state73) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage6) and (ap_block_pp0_stage6_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op231_call_state74) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage7) and (ap_block_pp0_stage7_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_block_pp0_stage8_flag00000000 = ap_const_boolean_0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op232_call_state75)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage9_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op234_writereq_state76)))) then contacts_V_address0 <= grp_match_db_contact_fu_212_contacts_V_address0; else contacts_V_address0 <= "XXXXXXX"; end if; end process; contacts_V_ce0_assign_proc : process(ap_CS_fsm_pp0_stage1, ap_enable_reg_pp0_iter0, ap_CS_fsm_pp0_stage8, ap_CS_fsm_pp0_stage9, ap_enable_reg_pp0_iter1, ap_CS_fsm_pp0_stage10, ap_CS_fsm_pp0_stage15, ap_CS_fsm_state2, ap_CS_fsm_pp0_stage0, ap_block_pp0_stage0_flag00011001, ap_block_pp0_stage1_flag00011001, ap_block_pp0_stage8_flag00011001, ap_predicate_op234_writereq_state76, ap_block_pp0_stage9_flag00011001, ap_CS_fsm_pp0_stage63, grp_match_db_contact_fu_212_contacts_V_ce0, ap_predicate_op169_call_state12, ap_predicate_op170_call_state13, ap_predicate_op171_call_state14, ap_predicate_op172_call_state15, ap_predicate_op173_call_state16, ap_predicate_op174_call_state17, ap_predicate_op175_call_state18, ap_predicate_op176_call_state19, ap_predicate_op177_call_state20, ap_predicate_op178_call_state21, ap_predicate_op179_call_state22, ap_predicate_op180_call_state23, ap_predicate_op181_call_state24, ap_predicate_op182_call_state25, ap_predicate_op183_call_state26, ap_predicate_op184_call_state27, ap_predicate_op185_call_state28, ap_predicate_op186_call_state29, ap_predicate_op187_call_state30, ap_predicate_op188_call_state31, ap_predicate_op189_call_state32, ap_predicate_op190_call_state33, ap_predicate_op191_call_state34, ap_predicate_op192_call_state35, ap_predicate_op193_call_state36, ap_predicate_op194_call_state37, ap_predicate_op195_call_state38, ap_predicate_op196_call_state39, ap_predicate_op197_call_state40, ap_predicate_op198_call_state41, ap_predicate_op199_call_state42, ap_predicate_op200_call_state43, ap_predicate_op201_call_state44, ap_predicate_op202_call_state45, ap_predicate_op203_call_state46, ap_predicate_op204_call_state47, ap_predicate_op205_call_state48, ap_predicate_op206_call_state49, ap_predicate_op207_call_state50, ap_predicate_op208_call_state51, ap_predicate_op209_call_state52, ap_predicate_op210_call_state53, ap_predicate_op211_call_state54, ap_predicate_op212_call_state55, ap_predicate_op213_call_state56, ap_predicate_op214_call_state57, ap_predicate_op215_call_state58, ap_predicate_op216_call_state59, ap_predicate_op217_call_state60, ap_predicate_op218_call_state61, ap_predicate_op219_call_state62, ap_predicate_op220_call_state63, ap_predicate_op221_call_state64, ap_predicate_op222_call_state65, ap_predicate_op223_call_state66, ap_predicate_op224_call_state67, ap_predicate_op225_call_state68, ap_predicate_op226_call_state69, ap_predicate_op227_call_state70, ap_predicate_op228_call_state71, ap_predicate_op229_call_state72, ap_predicate_op230_call_state73, ap_predicate_op231_call_state74, ap_predicate_op232_call_state75, ap_block_pp0_stage10_flag00011001, ap_block_pp0_stage11_flag00011001, ap_CS_fsm_pp0_stage11, ap_block_pp0_stage12_flag00011001, ap_CS_fsm_pp0_stage12, ap_block_pp0_stage13_flag00011001, ap_CS_fsm_pp0_stage13, ap_block_pp0_stage14_flag00011001, ap_CS_fsm_pp0_stage14, ap_block_pp0_stage15_flag00011001, ap_block_pp0_stage16_flag00011001, ap_CS_fsm_pp0_stage16, ap_block_pp0_stage17_flag00011001, ap_CS_fsm_pp0_stage17, ap_block_pp0_stage18_flag00011001, ap_CS_fsm_pp0_stage18, ap_block_pp0_stage19_flag00011001, ap_CS_fsm_pp0_stage19, ap_block_pp0_stage20_flag00011001, ap_CS_fsm_pp0_stage20, ap_block_pp0_stage21_flag00011001, ap_CS_fsm_pp0_stage21, ap_block_pp0_stage22_flag00011001, ap_CS_fsm_pp0_stage22, ap_block_pp0_stage23_flag00011001, ap_CS_fsm_pp0_stage23, ap_block_pp0_stage24_flag00011001, ap_CS_fsm_pp0_stage24, ap_block_pp0_stage25_flag00011001, ap_CS_fsm_pp0_stage25, ap_block_pp0_stage26_flag00011001, ap_CS_fsm_pp0_stage26, ap_block_pp0_stage27_flag00011001, ap_CS_fsm_pp0_stage27, ap_block_pp0_stage28_flag00011001, ap_CS_fsm_pp0_stage28, ap_block_pp0_stage29_flag00011001, ap_CS_fsm_pp0_stage29, ap_block_pp0_stage30_flag00011001, ap_CS_fsm_pp0_stage30, ap_block_pp0_stage31_flag00011001, ap_CS_fsm_pp0_stage31, ap_block_pp0_stage32_flag00011001, ap_CS_fsm_pp0_stage32, ap_block_pp0_stage33_flag00011001, ap_CS_fsm_pp0_stage33, ap_block_pp0_stage34_flag00011001, ap_CS_fsm_pp0_stage34, ap_block_pp0_stage35_flag00011001, ap_CS_fsm_pp0_stage35, ap_block_pp0_stage36_flag00011001, ap_CS_fsm_pp0_stage36, ap_block_pp0_stage37_flag00011001, ap_CS_fsm_pp0_stage37, ap_block_pp0_stage38_flag00011001, ap_CS_fsm_pp0_stage38, ap_block_pp0_stage39_flag00011001, ap_CS_fsm_pp0_stage39, ap_block_pp0_stage40_flag00011001, ap_CS_fsm_pp0_stage40, ap_block_pp0_stage41_flag00011001, ap_CS_fsm_pp0_stage41, ap_block_pp0_stage42_flag00011001, ap_CS_fsm_pp0_stage42, ap_block_pp0_stage43_flag00011001, ap_CS_fsm_pp0_stage43, ap_block_pp0_stage44_flag00011001, ap_CS_fsm_pp0_stage44, ap_block_pp0_stage45_flag00011001, ap_CS_fsm_pp0_stage45, ap_block_pp0_stage46_flag00011001, ap_CS_fsm_pp0_stage46, ap_block_pp0_stage47_flag00011001, ap_CS_fsm_pp0_stage47, ap_block_pp0_stage48_flag00011001, ap_CS_fsm_pp0_stage48, ap_block_pp0_stage49_flag00011001, ap_CS_fsm_pp0_stage49, ap_block_pp0_stage50_flag00011001, ap_CS_fsm_pp0_stage50, ap_block_pp0_stage51_flag00011001, ap_CS_fsm_pp0_stage51, ap_block_pp0_stage52_flag00011001, ap_CS_fsm_pp0_stage52, ap_block_pp0_stage53_flag00011001, ap_CS_fsm_pp0_stage53, ap_block_pp0_stage54_flag00011001, ap_CS_fsm_pp0_stage54, ap_block_pp0_stage55_flag00011001, ap_CS_fsm_pp0_stage55, ap_block_pp0_stage56_flag00011001, ap_CS_fsm_pp0_stage56, ap_block_pp0_stage57_flag00011001, ap_CS_fsm_pp0_stage57, ap_block_pp0_stage58_flag00011001, ap_CS_fsm_pp0_stage58, ap_block_pp0_stage59_flag00011001, ap_CS_fsm_pp0_stage59, ap_block_pp0_stage60_flag00011001, ap_CS_fsm_pp0_stage60, ap_block_pp0_stage61_flag00011001, ap_CS_fsm_pp0_stage61, ap_block_pp0_stage62_flag00011001, ap_CS_fsm_pp0_stage62, ap_block_pp0_stage63_flag00011001, ap_block_pp0_stage2_flag00011001, ap_CS_fsm_pp0_stage2, ap_block_pp0_stage3_flag00011001, ap_CS_fsm_pp0_stage3, ap_block_pp0_stage4_flag00011001, ap_CS_fsm_pp0_stage4, ap_block_pp0_stage5_flag00011001, ap_CS_fsm_pp0_stage5, ap_block_pp0_stage6_flag00011001, ap_CS_fsm_pp0_stage6, ap_block_pp0_stage7_flag00011001, ap_CS_fsm_pp0_stage7) begin if ((ap_const_logic_1 = ap_CS_fsm_state2)) then contacts_V_ce0 <= ap_const_logic_1; elsif ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_block_pp0_stage9_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op169_call_state12)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_const_boolean_1 = ap_predicate_op170_call_state13) and (ap_block_pp0_stage10_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op171_call_state14) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op172_call_state15) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op173_call_state16) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op174_call_state17) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_const_boolean_1 = ap_predicate_op175_call_state18) and (ap_block_pp0_stage15_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op176_call_state19) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op177_call_state20) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op178_call_state21) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op179_call_state22) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op180_call_state23) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op181_call_state24) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op182_call_state25) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op183_call_state26) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op184_call_state27) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op185_call_state28) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op186_call_state29) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op187_call_state30) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op188_call_state31) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op189_call_state32) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op190_call_state33) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op191_call_state34) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op192_call_state35) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op193_call_state36) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op194_call_state37) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op195_call_state38) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op196_call_state39) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op197_call_state40) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op198_call_state41) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op199_call_state42) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op200_call_state43) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage40) and (ap_block_pp0_stage40_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op201_call_state44) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op202_call_state45) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_block_pp0_stage42_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op203_call_state46) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage43) and (ap_block_pp0_stage43_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op204_call_state47) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage44) and (ap_block_pp0_stage44_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op205_call_state48) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage45) and (ap_block_pp0_stage45_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op206_call_state49) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage46) and (ap_block_pp0_stage46_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op207_call_state50) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage47) and (ap_block_pp0_stage47_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op208_call_state51) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage48) and (ap_block_pp0_stage48_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op209_call_state52) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage49) and (ap_block_pp0_stage49_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op210_call_state53) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage50) and (ap_block_pp0_stage50_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op211_call_state54) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage51) and (ap_block_pp0_stage51_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op212_call_state55) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage52) and (ap_block_pp0_stage52_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op213_call_state56) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage53) and (ap_block_pp0_stage53_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op214_call_state57) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage54) and (ap_block_pp0_stage54_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op215_call_state58) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage55) and (ap_block_pp0_stage55_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op216_call_state59) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage56) and (ap_block_pp0_stage56_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op217_call_state60) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage57) and (ap_block_pp0_stage57_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op218_call_state61) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage58) and (ap_block_pp0_stage58_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op219_call_state62) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage59) and (ap_block_pp0_stage59_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op220_call_state63) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage60) and (ap_block_pp0_stage60_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op221_call_state64) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage61) and (ap_block_pp0_stage61_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op222_call_state65) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage62) and (ap_block_pp0_stage62_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage63) and (ap_const_boolean_1 = ap_predicate_op223_call_state66) and (ap_block_pp0_stage63_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op224_call_state67)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage1_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op225_call_state68)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op226_call_state69) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage2) and (ap_block_pp0_stage2_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op227_call_state70) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage3) and (ap_block_pp0_stage3_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op228_call_state71) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage4) and (ap_block_pp0_stage4_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op229_call_state72) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_block_pp0_stage5_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op230_call_state73) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage6) and (ap_block_pp0_stage6_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op231_call_state74) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage7) and (ap_block_pp0_stage7_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage8_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op232_call_state75)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op234_writereq_state76) and (ap_block_pp0_stage9_flag00011001 = ap_const_boolean_0)))) then contacts_V_ce0 <= grp_match_db_contact_fu_212_contacts_V_ce0; else contacts_V_ce0 <= ap_const_logic_0; end if; end process; contacts_V_ce1_assign_proc : process(ap_CS_fsm_pp0_stage1, ap_enable_reg_pp0_iter0, ap_CS_fsm_pp0_stage8, ap_CS_fsm_pp0_stage9, ap_enable_reg_pp0_iter1, ap_CS_fsm_pp0_stage10, ap_CS_fsm_pp0_stage15, ap_CS_fsm_pp0_stage0, ap_block_pp0_stage0_flag00011001, ap_block_pp0_stage1_flag00011001, ap_block_pp0_stage8_flag00011001, ap_predicate_op234_writereq_state76, ap_block_pp0_stage9_flag00011001, ap_CS_fsm_pp0_stage63, grp_match_db_contact_fu_212_contacts_V_ce1, ap_predicate_op169_call_state12, ap_predicate_op170_call_state13, ap_predicate_op171_call_state14, ap_predicate_op172_call_state15, ap_predicate_op173_call_state16, ap_predicate_op174_call_state17, ap_predicate_op175_call_state18, ap_predicate_op176_call_state19, ap_predicate_op177_call_state20, ap_predicate_op178_call_state21, ap_predicate_op179_call_state22, ap_predicate_op180_call_state23, ap_predicate_op181_call_state24, ap_predicate_op182_call_state25, ap_predicate_op183_call_state26, ap_predicate_op184_call_state27, ap_predicate_op185_call_state28, ap_predicate_op186_call_state29, ap_predicate_op187_call_state30, ap_predicate_op188_call_state31, ap_predicate_op189_call_state32, ap_predicate_op190_call_state33, ap_predicate_op191_call_state34, ap_predicate_op192_call_state35, ap_predicate_op193_call_state36, ap_predicate_op194_call_state37, ap_predicate_op195_call_state38, ap_predicate_op196_call_state39, ap_predicate_op197_call_state40, ap_predicate_op198_call_state41, ap_predicate_op199_call_state42, ap_predicate_op200_call_state43, ap_predicate_op201_call_state44, ap_predicate_op202_call_state45, ap_predicate_op203_call_state46, ap_predicate_op204_call_state47, ap_predicate_op205_call_state48, ap_predicate_op206_call_state49, ap_predicate_op207_call_state50, ap_predicate_op208_call_state51, ap_predicate_op209_call_state52, ap_predicate_op210_call_state53, ap_predicate_op211_call_state54, ap_predicate_op212_call_state55, ap_predicate_op213_call_state56, ap_predicate_op214_call_state57, ap_predicate_op215_call_state58, ap_predicate_op216_call_state59, ap_predicate_op217_call_state60, ap_predicate_op218_call_state61, ap_predicate_op219_call_state62, ap_predicate_op220_call_state63, ap_predicate_op221_call_state64, ap_predicate_op222_call_state65, ap_predicate_op223_call_state66, ap_predicate_op224_call_state67, ap_predicate_op225_call_state68, ap_predicate_op226_call_state69, ap_predicate_op227_call_state70, ap_predicate_op228_call_state71, ap_predicate_op229_call_state72, ap_predicate_op230_call_state73, ap_predicate_op231_call_state74, ap_predicate_op232_call_state75, ap_block_pp0_stage10_flag00011001, ap_block_pp0_stage11_flag00011001, ap_CS_fsm_pp0_stage11, ap_block_pp0_stage12_flag00011001, ap_CS_fsm_pp0_stage12, ap_block_pp0_stage13_flag00011001, ap_CS_fsm_pp0_stage13, ap_block_pp0_stage14_flag00011001, ap_CS_fsm_pp0_stage14, ap_block_pp0_stage15_flag00011001, ap_block_pp0_stage16_flag00011001, ap_CS_fsm_pp0_stage16, ap_block_pp0_stage17_flag00011001, ap_CS_fsm_pp0_stage17, ap_block_pp0_stage18_flag00011001, ap_CS_fsm_pp0_stage18, ap_block_pp0_stage19_flag00011001, ap_CS_fsm_pp0_stage19, ap_block_pp0_stage20_flag00011001, ap_CS_fsm_pp0_stage20, ap_block_pp0_stage21_flag00011001, ap_CS_fsm_pp0_stage21, ap_block_pp0_stage22_flag00011001, ap_CS_fsm_pp0_stage22, ap_block_pp0_stage23_flag00011001, ap_CS_fsm_pp0_stage23, ap_block_pp0_stage24_flag00011001, ap_CS_fsm_pp0_stage24, ap_block_pp0_stage25_flag00011001, ap_CS_fsm_pp0_stage25, ap_block_pp0_stage26_flag00011001, ap_CS_fsm_pp0_stage26, ap_block_pp0_stage27_flag00011001, ap_CS_fsm_pp0_stage27, ap_block_pp0_stage28_flag00011001, ap_CS_fsm_pp0_stage28, ap_block_pp0_stage29_flag00011001, ap_CS_fsm_pp0_stage29, ap_block_pp0_stage30_flag00011001, ap_CS_fsm_pp0_stage30, ap_block_pp0_stage31_flag00011001, ap_CS_fsm_pp0_stage31, ap_block_pp0_stage32_flag00011001, ap_CS_fsm_pp0_stage32, ap_block_pp0_stage33_flag00011001, ap_CS_fsm_pp0_stage33, ap_block_pp0_stage34_flag00011001, ap_CS_fsm_pp0_stage34, ap_block_pp0_stage35_flag00011001, ap_CS_fsm_pp0_stage35, ap_block_pp0_stage36_flag00011001, ap_CS_fsm_pp0_stage36, ap_block_pp0_stage37_flag00011001, ap_CS_fsm_pp0_stage37, ap_block_pp0_stage38_flag00011001, ap_CS_fsm_pp0_stage38, ap_block_pp0_stage39_flag00011001, ap_CS_fsm_pp0_stage39, ap_block_pp0_stage40_flag00011001, ap_CS_fsm_pp0_stage40, ap_block_pp0_stage41_flag00011001, ap_CS_fsm_pp0_stage41, ap_block_pp0_stage42_flag00011001, ap_CS_fsm_pp0_stage42, ap_block_pp0_stage43_flag00011001, ap_CS_fsm_pp0_stage43, ap_block_pp0_stage44_flag00011001, ap_CS_fsm_pp0_stage44, ap_block_pp0_stage45_flag00011001, ap_CS_fsm_pp0_stage45, ap_block_pp0_stage46_flag00011001, ap_CS_fsm_pp0_stage46, ap_block_pp0_stage47_flag00011001, ap_CS_fsm_pp0_stage47, ap_block_pp0_stage48_flag00011001, ap_CS_fsm_pp0_stage48, ap_block_pp0_stage49_flag00011001, ap_CS_fsm_pp0_stage49, ap_block_pp0_stage50_flag00011001, ap_CS_fsm_pp0_stage50, ap_block_pp0_stage51_flag00011001, ap_CS_fsm_pp0_stage51, ap_block_pp0_stage52_flag00011001, ap_CS_fsm_pp0_stage52, ap_block_pp0_stage53_flag00011001, ap_CS_fsm_pp0_stage53, ap_block_pp0_stage54_flag00011001, ap_CS_fsm_pp0_stage54, ap_block_pp0_stage55_flag00011001, ap_CS_fsm_pp0_stage55, ap_block_pp0_stage56_flag00011001, ap_CS_fsm_pp0_stage56, ap_block_pp0_stage57_flag00011001, ap_CS_fsm_pp0_stage57, ap_block_pp0_stage58_flag00011001, ap_CS_fsm_pp0_stage58, ap_block_pp0_stage59_flag00011001, ap_CS_fsm_pp0_stage59, ap_block_pp0_stage60_flag00011001, ap_CS_fsm_pp0_stage60, ap_block_pp0_stage61_flag00011001, ap_CS_fsm_pp0_stage61, ap_block_pp0_stage62_flag00011001, ap_CS_fsm_pp0_stage62, ap_block_pp0_stage63_flag00011001, ap_block_pp0_stage2_flag00011001, ap_CS_fsm_pp0_stage2, ap_block_pp0_stage3_flag00011001, ap_CS_fsm_pp0_stage3, ap_block_pp0_stage4_flag00011001, ap_CS_fsm_pp0_stage4, ap_block_pp0_stage5_flag00011001, ap_CS_fsm_pp0_stage5, ap_block_pp0_stage6_flag00011001, ap_CS_fsm_pp0_stage6, ap_block_pp0_stage7_flag00011001, ap_CS_fsm_pp0_stage7) begin if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_block_pp0_stage9_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op169_call_state12)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_const_boolean_1 = ap_predicate_op170_call_state13) and (ap_block_pp0_stage10_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op171_call_state14) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op172_call_state15) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op173_call_state16) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op174_call_state17) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_const_boolean_1 = ap_predicate_op175_call_state18) and (ap_block_pp0_stage15_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op176_call_state19) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op177_call_state20) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op178_call_state21) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op179_call_state22) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op180_call_state23) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op181_call_state24) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op182_call_state25) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op183_call_state26) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op184_call_state27) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op185_call_state28) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op186_call_state29) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op187_call_state30) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op188_call_state31) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op189_call_state32) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op190_call_state33) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op191_call_state34) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op192_call_state35) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op193_call_state36) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op194_call_state37) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op195_call_state38) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op196_call_state39) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op197_call_state40) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op198_call_state41) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op199_call_state42) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op200_call_state43) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage40) and (ap_block_pp0_stage40_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op201_call_state44) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op202_call_state45) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_block_pp0_stage42_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op203_call_state46) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage43) and (ap_block_pp0_stage43_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op204_call_state47) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage44) and (ap_block_pp0_stage44_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op205_call_state48) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage45) and (ap_block_pp0_stage45_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op206_call_state49) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage46) and (ap_block_pp0_stage46_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op207_call_state50) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage47) and (ap_block_pp0_stage47_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op208_call_state51) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage48) and (ap_block_pp0_stage48_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op209_call_state52) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage49) and (ap_block_pp0_stage49_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op210_call_state53) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage50) and (ap_block_pp0_stage50_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op211_call_state54) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage51) and (ap_block_pp0_stage51_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op212_call_state55) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage52) and (ap_block_pp0_stage52_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op213_call_state56) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage53) and (ap_block_pp0_stage53_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op214_call_state57) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage54) and (ap_block_pp0_stage54_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op215_call_state58) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage55) and (ap_block_pp0_stage55_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op216_call_state59) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage56) and (ap_block_pp0_stage56_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op217_call_state60) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage57) and (ap_block_pp0_stage57_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op218_call_state61) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage58) and (ap_block_pp0_stage58_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op219_call_state62) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage59) and (ap_block_pp0_stage59_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op220_call_state63) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage60) and (ap_block_pp0_stage60_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op221_call_state64) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage61) and (ap_block_pp0_stage61_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op222_call_state65) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage62) and (ap_block_pp0_stage62_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage63) and (ap_const_boolean_1 = ap_predicate_op223_call_state66) and (ap_block_pp0_stage63_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op224_call_state67)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage1_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op225_call_state68)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op226_call_state69) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage2) and (ap_block_pp0_stage2_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op227_call_state70) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage3) and (ap_block_pp0_stage3_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op228_call_state71) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage4) and (ap_block_pp0_stage4_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op229_call_state72) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_block_pp0_stage5_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op230_call_state73) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage6) and (ap_block_pp0_stage6_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op231_call_state74) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage7) and (ap_block_pp0_stage7_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage8_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op232_call_state75)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op234_writereq_state76) and (ap_block_pp0_stage9_flag00011001 = ap_const_boolean_0)))) then contacts_V_ce1 <= grp_match_db_contact_fu_212_contacts_V_ce1; else contacts_V_ce1 <= ap_const_logic_0; end if; end process; contacts_V_we0_assign_proc : process(operation_read_read_fu_130_p2, ap_CS_fsm_state2, icmp_fu_243_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state2) and (ap_const_lv32_0 = operation_read_read_fu_130_p2) and (ap_const_lv1_0 = icmp_fu_243_p2))) then contacts_V_we0 <= ap_const_logic_1; else contacts_V_we0 <= ap_const_logic_0; end if; end process; contacts_size_out_1_ack_in_assign_proc : process(contacts_size_out_1_vld_reg) begin if (((ap_const_logic_0 = contacts_size_out_1_vld_reg) or ((ap_const_logic_1 = contacts_size_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then contacts_size_out_1_ack_in <= ap_const_logic_1; else contacts_size_out_1_ack_in <= ap_const_logic_0; end if; end process; contacts_size_out_1_data_in_assign_proc : process(ap_start, ap_CS_fsm_state1, operation_ap_vld_in_sig, contacts_size, operation_read_read_fu_130_p2, storemerge_reg_200, ap_CS_fsm_state85) begin if ((ap_const_logic_1 = ap_CS_fsm_state85)) then contacts_size_out_1_data_in <= storemerge_reg_200; elsif ((((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and (operation_read_read_fu_130_p2 = ap_const_lv32_1)) or ((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and not((ap_const_lv32_0 = operation_read_read_fu_130_p2)) and not((operation_read_read_fu_130_p2 = ap_const_lv32_1)) and not((operation_read_read_fu_130_p2 = ap_const_lv32_2))))) then contacts_size_out_1_data_in <= contacts_size; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and (operation_read_read_fu_130_p2 = ap_const_lv32_2))) then contacts_size_out_1_data_in <= ap_const_lv32_0; else contacts_size_out_1_data_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; contacts_size_out_1_vld_in_assign_proc : process(ap_start, ap_CS_fsm_state1, operation_ap_vld_in_sig, operation_read_read_fu_130_p2, ap_CS_fsm_state85) begin if ((((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and (operation_read_read_fu_130_p2 = ap_const_lv32_1)) or ((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and (operation_read_read_fu_130_p2 = ap_const_lv32_2)) or ((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and not((ap_const_lv32_0 = operation_read_read_fu_130_p2)) and not((operation_read_read_fu_130_p2 = ap_const_lv32_1)) and not((operation_read_read_fu_130_p2 = ap_const_lv32_2))) or (ap_const_logic_1 = ap_CS_fsm_state85))) then contacts_size_out_1_vld_in <= ap_const_logic_1; else contacts_size_out_1_vld_in <= ap_const_logic_0; end if; end process; database_index_1_fu_272_p2 <= std_logic_vector(unsigned(database_index_phi_fu_193_p4) + unsigned(ap_const_lv24_1)); database_index_cast1_fu_278_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(database_index_phi_fu_193_p4),32)); database_index_phi_fu_193_p4_assign_proc : process(tmp_127_reg_356, tmp_8_reg_365, ap_enable_reg_pp0_iter1, database_index_reg_189, ap_CS_fsm_pp0_stage0, database_index_1_reg_360, ap_block_pp0_stage0_flag00000000) begin if (((tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0))) then database_index_phi_fu_193_p4 <= database_index_1_reg_360; else database_index_phi_fu_193_p4 <= database_index_reg_189; end if; end process; db_mem_V_ARVALID_assign_proc : process(ap_CS_fsm_pp0_stage1, ap_enable_reg_pp0_iter0, ap_predicate_op161_readreq_state4, ap_reg_ioackin_db_mem_V_ARREADY, ap_block_pp0_stage1_flag00001001) begin if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_predicate_op161_readreq_state4) and (ap_block_pp0_stage1_flag00001001 = ap_const_boolean_0) and (ap_const_logic_0 = ap_reg_ioackin_db_mem_V_ARREADY))) then db_mem_V_ARVALID <= ap_const_logic_1; else db_mem_V_ARVALID <= ap_const_logic_0; end if; end process; db_mem_V_RREADY_assign_proc : process(ap_enable_reg_pp0_iter0, ap_CS_fsm_pp0_stage8, ap_predicate_op168_read_state11, ap_block_pp0_stage8_flag00011001) begin if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_const_boolean_1 = ap_predicate_op168_read_state11) and (ap_block_pp0_stage8_flag00011001 = ap_const_boolean_0))) then db_mem_V_RREADY <= ap_const_logic_1; else db_mem_V_RREADY <= ap_const_logic_0; end if; end process; db_mem_V_blk_n_AR_assign_proc : process(m_axi_db_mem_V_ARREADY, ap_CS_fsm_pp0_stage1, ap_enable_reg_pp0_iter0, ap_block_pp0_stage1_flag00000000, tmp_127_reg_356, tmp_8_reg_365) begin if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_block_pp0_stage1_flag00000000 = ap_const_boolean_0) and (tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1))) then db_mem_V_blk_n_AR <= m_axi_db_mem_V_ARREADY; else db_mem_V_blk_n_AR <= ap_const_logic_1; end if; end process; db_mem_V_blk_n_R_assign_proc : process(m_axi_db_mem_V_RVALID, ap_enable_reg_pp0_iter0, tmp_127_reg_356, tmp_8_reg_365, ap_CS_fsm_pp0_stage8, ap_block_pp0_stage8_flag00000000) begin if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_127_reg_356 = ap_const_lv1_0) and (tmp_8_reg_365 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_block_pp0_stage8_flag00000000 = ap_const_boolean_0))) then db_mem_V_blk_n_R <= m_axi_db_mem_V_RVALID; else db_mem_V_blk_n_R <= ap_const_logic_1; end if; end process; error_out_1_ack_in_assign_proc : process(error_out_1_vld_reg) begin if (((ap_const_logic_0 = error_out_1_vld_reg) or ((ap_const_logic_1 = error_out_1_vld_reg) and (ap_const_logic_1 = ap_const_logic_1)))) then error_out_1_ack_in <= ap_const_logic_1; else error_out_1_ack_in <= ap_const_logic_0; end if; end process; error_out_1_data_in_assign_proc : process(ap_start, ap_CS_fsm_state1, operation_ap_vld_in_sig, operation_read_read_fu_130_p2, ap_CS_fsm_state2, icmp_fu_243_p2) begin if (((ap_const_logic_1 = ap_CS_fsm_state2) and (ap_const_lv32_0 = operation_read_read_fu_130_p2) and (ap_const_lv1_1 = icmp_fu_243_p2))) then error_out_1_data_in <= ap_const_lv32_1; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and not((ap_const_lv32_0 = operation_read_read_fu_130_p2)) and not((operation_read_read_fu_130_p2 = ap_const_lv32_1)) and not((operation_read_read_fu_130_p2 = ap_const_lv32_2)))) then error_out_1_data_in <= ap_const_lv32_3; elsif ((((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and (operation_read_read_fu_130_p2 = ap_const_lv32_1)) or ((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and (operation_read_read_fu_130_p2 = ap_const_lv32_2)) or ((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and (ap_const_lv32_0 = operation_read_read_fu_130_p2)))) then error_out_1_data_in <= ap_const_lv32_0; else error_out_1_data_in <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; error_out_1_vld_in_assign_proc : process(ap_start, ap_CS_fsm_state1, operation_ap_vld_in_sig, operation_read_read_fu_130_p2, ap_CS_fsm_state2, icmp_fu_243_p2) begin if ((((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and (operation_read_read_fu_130_p2 = ap_const_lv32_1)) or ((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and (operation_read_read_fu_130_p2 = ap_const_lv32_2)) or ((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and (ap_const_lv32_0 = operation_read_read_fu_130_p2)) or ((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = operation_ap_vld_in_sig))) and not((ap_const_lv32_0 = operation_read_read_fu_130_p2)) and not((operation_read_read_fu_130_p2 = ap_const_lv32_1)) and not((operation_read_read_fu_130_p2 = ap_const_lv32_2))) or ((ap_const_logic_1 = ap_CS_fsm_state2) and (ap_const_lv32_0 = operation_read_read_fu_130_p2) and (ap_const_lv1_1 = icmp_fu_243_p2)))) then error_out_1_vld_in <= ap_const_logic_1; else error_out_1_vld_in <= ap_const_logic_0; end if; end process; grp_match_db_contact_fu_212_ap_ce_assign_proc : process(ap_CS_fsm_pp0_stage1, ap_CS_fsm_pp0_stage8, ap_CS_fsm_pp0_stage9, ap_CS_fsm_pp0_stage10, ap_CS_fsm_pp0_stage15, ap_CS_fsm_pp0_stage0, ap_block_pp0_stage0_flag00011001, ap_block_pp0_stage1_flag00011001, ap_block_pp0_stage8_flag00011001, ap_block_pp0_stage9_flag00011001, ap_CS_fsm_pp0_stage63, ap_block_pp0_stage10_flag00011001, ap_block_pp0_stage11_flag00011001, ap_CS_fsm_pp0_stage11, ap_block_pp0_stage12_flag00011001, ap_CS_fsm_pp0_stage12, ap_block_pp0_stage13_flag00011001, ap_CS_fsm_pp0_stage13, ap_block_pp0_stage14_flag00011001, ap_CS_fsm_pp0_stage14, ap_block_pp0_stage15_flag00011001, ap_block_pp0_stage16_flag00011001, ap_CS_fsm_pp0_stage16, ap_block_pp0_stage17_flag00011001, ap_CS_fsm_pp0_stage17, ap_block_pp0_stage18_flag00011001, ap_CS_fsm_pp0_stage18, ap_block_pp0_stage19_flag00011001, ap_CS_fsm_pp0_stage19, ap_block_pp0_stage20_flag00011001, ap_CS_fsm_pp0_stage20, ap_block_pp0_stage21_flag00011001, ap_CS_fsm_pp0_stage21, ap_block_pp0_stage22_flag00011001, ap_CS_fsm_pp0_stage22, ap_block_pp0_stage23_flag00011001, ap_CS_fsm_pp0_stage23, ap_block_pp0_stage24_flag00011001, ap_CS_fsm_pp0_stage24, ap_block_pp0_stage25_flag00011001, ap_CS_fsm_pp0_stage25, ap_block_pp0_stage26_flag00011001, ap_CS_fsm_pp0_stage26, ap_block_pp0_stage27_flag00011001, ap_CS_fsm_pp0_stage27, ap_block_pp0_stage28_flag00011001, ap_CS_fsm_pp0_stage28, ap_block_pp0_stage29_flag00011001, ap_CS_fsm_pp0_stage29, ap_block_pp0_stage30_flag00011001, ap_CS_fsm_pp0_stage30, ap_block_pp0_stage31_flag00011001, ap_CS_fsm_pp0_stage31, ap_block_pp0_stage32_flag00011001, ap_CS_fsm_pp0_stage32, ap_block_pp0_stage33_flag00011001, ap_CS_fsm_pp0_stage33, ap_block_pp0_stage34_flag00011001, ap_CS_fsm_pp0_stage34, ap_block_pp0_stage35_flag00011001, ap_CS_fsm_pp0_stage35, ap_block_pp0_stage36_flag00011001, ap_CS_fsm_pp0_stage36, ap_block_pp0_stage37_flag00011001, ap_CS_fsm_pp0_stage37, ap_block_pp0_stage38_flag00011001, ap_CS_fsm_pp0_stage38, ap_block_pp0_stage39_flag00011001, ap_CS_fsm_pp0_stage39, ap_block_pp0_stage40_flag00011001, ap_CS_fsm_pp0_stage40, ap_block_pp0_stage41_flag00011001, ap_CS_fsm_pp0_stage41, ap_block_pp0_stage42_flag00011001, ap_CS_fsm_pp0_stage42, ap_block_pp0_stage43_flag00011001, ap_CS_fsm_pp0_stage43, ap_block_pp0_stage44_flag00011001, ap_CS_fsm_pp0_stage44, ap_block_pp0_stage45_flag00011001, ap_CS_fsm_pp0_stage45, ap_block_pp0_stage46_flag00011001, ap_CS_fsm_pp0_stage46, ap_block_pp0_stage47_flag00011001, ap_CS_fsm_pp0_stage47, ap_block_pp0_stage48_flag00011001, ap_CS_fsm_pp0_stage48, ap_block_pp0_stage49_flag00011001, ap_CS_fsm_pp0_stage49, ap_block_pp0_stage50_flag00011001, ap_CS_fsm_pp0_stage50, ap_block_pp0_stage51_flag00011001, ap_CS_fsm_pp0_stage51, ap_block_pp0_stage52_flag00011001, ap_CS_fsm_pp0_stage52, ap_block_pp0_stage53_flag00011001, ap_CS_fsm_pp0_stage53, ap_block_pp0_stage54_flag00011001, ap_CS_fsm_pp0_stage54, ap_block_pp0_stage55_flag00011001, ap_CS_fsm_pp0_stage55, ap_block_pp0_stage56_flag00011001, ap_CS_fsm_pp0_stage56, ap_block_pp0_stage57_flag00011001, ap_CS_fsm_pp0_stage57, ap_block_pp0_stage58_flag00011001, ap_CS_fsm_pp0_stage58, ap_block_pp0_stage59_flag00011001, ap_CS_fsm_pp0_stage59, ap_block_pp0_stage60_flag00011001, ap_CS_fsm_pp0_stage60, ap_block_pp0_stage61_flag00011001, ap_CS_fsm_pp0_stage61, ap_block_pp0_stage62_flag00011001, ap_CS_fsm_pp0_stage62, ap_block_pp0_stage63_flag00011001, ap_block_pp0_stage2_flag00011001, ap_CS_fsm_pp0_stage2, ap_block_pp0_stage3_flag00011001, ap_CS_fsm_pp0_stage3, ap_block_pp0_stage4_flag00011001, ap_CS_fsm_pp0_stage4, ap_block_pp0_stage5_flag00011001, ap_CS_fsm_pp0_stage5, ap_block_pp0_stage6_flag00011001, ap_CS_fsm_pp0_stage6, ap_block_pp0_stage7_flag00011001, ap_CS_fsm_pp0_stage7) begin if ((((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (ap_block_pp0_stage1_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_block_pp0_stage8_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_block_pp0_stage9_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_block_pp0_stage10_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage40) and (ap_block_pp0_stage40_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_block_pp0_stage42_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage43) and (ap_block_pp0_stage43_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage44) and (ap_block_pp0_stage44_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage45) and (ap_block_pp0_stage45_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage46) and (ap_block_pp0_stage46_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage47) and (ap_block_pp0_stage47_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage48) and (ap_block_pp0_stage48_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage49) and (ap_block_pp0_stage49_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage50) and (ap_block_pp0_stage50_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage51) and (ap_block_pp0_stage51_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage52) and (ap_block_pp0_stage52_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage53) and (ap_block_pp0_stage53_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage54) and (ap_block_pp0_stage54_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage55) and (ap_block_pp0_stage55_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage56) and (ap_block_pp0_stage56_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage57) and (ap_block_pp0_stage57_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage58) and (ap_block_pp0_stage58_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage59) and (ap_block_pp0_stage59_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage60) and (ap_block_pp0_stage60_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage61) and (ap_block_pp0_stage61_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage62) and (ap_block_pp0_stage62_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage63) and (ap_block_pp0_stage63_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage2) and (ap_block_pp0_stage2_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage3) and (ap_block_pp0_stage3_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage4) and (ap_block_pp0_stage4_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_block_pp0_stage5_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage6) and (ap_block_pp0_stage6_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage7) and (ap_block_pp0_stage7_flag00011001 = ap_const_boolean_0)))) then grp_match_db_contact_fu_212_ap_ce <= ap_const_logic_1; else grp_match_db_contact_fu_212_ap_ce <= ap_const_logic_0; end if; end process; grp_match_db_contact_fu_212_ap_start <= ap_reg_grp_match_db_contact_fu_212_ap_start; icmp_fu_243_p2 <= "1" when (signed(tmp_fu_234_p4) > signed(ap_const_lv25_0)) else "0"; operation_ap_vld_in_sig_assign_proc : process(operation_ap_vld, operation_ap_vld_preg) begin if ((ap_const_logic_1 = operation_ap_vld)) then operation_ap_vld_in_sig <= operation_ap_vld; else operation_ap_vld_in_sig <= operation_ap_vld_preg; end if; end process; operation_blk_n_assign_proc : process(ap_start, ap_CS_fsm_state1, operation_ap_vld) begin if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then operation_blk_n <= operation_ap_vld; else operation_blk_n <= ap_const_logic_1; end if; end process; operation_in_sig_assign_proc : process(operation, operation_preg, operation_ap_vld) begin if ((ap_const_logic_1 = operation_ap_vld)) then operation_in_sig <= operation; else operation_in_sig <= operation_preg; end if; end process; operation_read_read_fu_130_p2 <= operation_in_sig; results_out_AWVALID_assign_proc : process(ap_CS_fsm_pp0_stage9, ap_enable_reg_pp0_iter1, ap_predicate_op234_writereq_state76, ap_reg_ioackin_results_out_AWREADY, ap_block_pp0_stage9_flag00001001) begin if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_boolean_1 = ap_predicate_op234_writereq_state76) and (ap_block_pp0_stage9_flag00001001 = ap_const_boolean_0) and (ap_const_logic_0 = ap_reg_ioackin_results_out_AWREADY))) then results_out_AWVALID <= ap_const_logic_1; else results_out_AWVALID <= ap_const_logic_0; end if; end process; results_out_BREADY_assign_proc : process(ap_enable_reg_pp0_iter1, ap_CS_fsm_pp0_stage15, ap_predicate_op241_writeresp_state82, ap_block_pp0_stage15_flag00011001) begin if (((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_const_boolean_1 = ap_predicate_op241_writeresp_state82) and (ap_block_pp0_stage15_flag00011001 = ap_const_boolean_0))) then results_out_BREADY <= ap_const_logic_1; else results_out_BREADY <= ap_const_logic_0; end if; end process; results_out_WDATA <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_s_reg_390),8)); results_out_WVALID_assign_proc : process(ap_enable_reg_pp0_iter1, ap_CS_fsm_pp0_stage10, ap_predicate_op236_write_state77, ap_reg_ioackin_results_out_WREADY, ap_block_pp0_stage10_flag00001001) begin if (((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_const_boolean_1 = ap_predicate_op236_write_state77) and (ap_block_pp0_stage10_flag00001001 = ap_const_boolean_0) and (ap_const_logic_0 = ap_reg_ioackin_results_out_WREADY))) then results_out_WVALID <= ap_const_logic_1; else results_out_WVALID <= ap_const_logic_0; end if; end process; results_out_blk_n_AW_assign_proc : process(m_axi_results_out_AWREADY, ap_CS_fsm_pp0_stage9, ap_enable_reg_pp0_iter1, ap_block_pp0_stage9_flag00000000, ap_reg_pp0_iter1_tmp_127_reg_356, ap_reg_pp0_iter1_tmp_8_reg_365) begin if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage9_flag00000000 = ap_const_boolean_0) and (ap_const_lv1_0 = ap_reg_pp0_iter1_tmp_127_reg_356) and (ap_const_lv1_1 = ap_reg_pp0_iter1_tmp_8_reg_365))) then results_out_blk_n_AW <= m_axi_results_out_AWREADY; else results_out_blk_n_AW <= ap_const_logic_1; end if; end process; results_out_blk_n_B_assign_proc : process(m_axi_results_out_BVALID, ap_enable_reg_pp0_iter1, ap_reg_pp0_iter1_tmp_127_reg_356, ap_reg_pp0_iter1_tmp_8_reg_365, ap_CS_fsm_pp0_stage15, ap_block_pp0_stage15_flag00000000) begin if (((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_lv1_0 = ap_reg_pp0_iter1_tmp_127_reg_356) and (ap_const_lv1_1 = ap_reg_pp0_iter1_tmp_8_reg_365) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00000000 = ap_const_boolean_0))) then results_out_blk_n_B <= m_axi_results_out_BVALID; else results_out_blk_n_B <= ap_const_logic_1; end if; end process; results_out_blk_n_W_assign_proc : process(m_axi_results_out_WREADY, ap_enable_reg_pp0_iter1, ap_reg_pp0_iter1_tmp_127_reg_356, ap_reg_pp0_iter1_tmp_8_reg_365, ap_CS_fsm_pp0_stage10, ap_block_pp0_stage10_flag00000000) begin if (((ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_lv1_0 = ap_reg_pp0_iter1_tmp_127_reg_356) and (ap_const_lv1_1 = ap_reg_pp0_iter1_tmp_8_reg_365) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_block_pp0_stage10_flag00000000 = ap_const_boolean_0))) then results_out_blk_n_W <= m_axi_results_out_WREADY; else results_out_blk_n_W <= ap_const_logic_1; end if; end process; sum_cast_fu_306_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(sum_reg_369),64)); sum_fu_295_p2 <= std_logic_vector(unsigned(tmp_7_cast_fu_286_p1) + unsigned(tmp_126_reg_343)); tmp_126_fu_230_p1 <= offset(25 - 1 downto 0); tmp_127_fu_264_p3 <= database_index_phi_fu_193_p4(23 downto 23); tmp_4_fu_249_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(contacts_size_load_reg_334),64)); tmp_5_fu_253_p2 <= std_logic_vector(unsigned(contacts_size_load_reg_334) + unsigned(ap_const_lv32_1)); tmp_7_cast_fu_286_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(database_index_phi_fu_193_p4),25)); tmp_7_fu_282_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(database_index_phi_fu_193_p4),64)); tmp_8_fu_290_p2 <= "1" when (unsigned(database_index_cast1_fu_278_p1) < unsigned(db_size_in)) else "0"; tmp_fu_234_p4 <= contacts_size_load_reg_334(31 downto 7); end behav;
gpl-3.0
48ff57db7931d3b6331f88459a6dd06d
0.671698
2.827041
false
false
false
false
notti/dis_se
vhdl/soc.vhd
1
2,368
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library work; use work.all; use work.procedures.all; entity soc is port( rst : in std_logic; clk : in std_logic; clk2x : in std_logic; pc : out std_logic_vector(7 downto 0); rx : in std_logic; tx : out std_logic ); end soc; architecture Structural of soc is signal mem_enb : std_logic; signal mem_enb_1 : std_logic; signal mem_dob : t_data2; signal serial_ena : std_logic; signal serial_ena_1 : std_logic; signal serial_wea : std_logic; signal serial_doa : std_logic_vector(7 downto 0); signal serial_dib : std_logic_vector(7 downto 0); signal serial_busy : std_logic; signal ena : std_logic; signal addra : t_data2; signal doa : t_data2; signal enb : std_logic; signal addrb : t_data2; signal dob : t_data2; signal web : std_logic_vector(1 downto 0); signal dib : t_data2; signal bbusy : std_logic; begin pc <= addra(7 downto 0); mem_enb <= enb when addrb(15 downto 12) = "0000" else '0'; mem_i: entity work.progmem port map( clk => clk, addra => addra(11 downto 0), ena => ena, doa => doa, dib => dib, addrb => addrb(11 downto 0), enb => mem_enb, web => web, dob => mem_dob ); serial_ena <= enb when addrb(15 downto 0) = X"FFFF" else '0'; serial_dib <= dib(7 downto 0) when web(0) = '1' else dib(15 downto 8); serial_wea <= web(1) or web(0); bbusy <= serial_busy when serial_ena = '1' else '0'; serial_i: entity work.serial port map( rst => rst, clk => clk, rx => rx, tx => tx, ena => serial_ena, wea => serial_wea, dia => serial_dib, doa => serial_doa, busy => serial_busy ); process(clk) begin if rising_edge(clk) then mem_enb_1 <= mem_enb; serial_ena_1 <= serial_ena; end if; end process; dob <= mem_dob when mem_enb_1 = '1' else serial_doa & serial_doa when serial_ena_1 = '1' else (others => '0'); cpu_i: entity work.cpu port map( rst => rst, clk => clk, clk2x => clk2x, ena => ena, addra => addra, doa => doa, enb => enb, addrb => addrb, dob => dob, web => web, dib => dib, bbusy => bbusy ); end Structural;
bsd-2-clause
18cad62d3735265ef84f6b0fc7a4e210
0.558699
3.111695
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/mux_bus4.vhd
3
20,743
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GmpxeJjw80Vbqrm0BoBVW28P0LCUtxf13h6ufK4KLi/D7o9xiJZAOJO13gvju9USiZkcWx58BWaz 4gBMwbflnw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block S/46ll5OHhRRIHgOGI76vWGQFOT6VCrJZWzwJ3J8GYTjOm6aQOCGQ9ScvqTQ0Ri2pi1olLkGW/8F dzaD9yQdSjjucVsgjQly1SFyQMvSW59L8QDSRpbTt1aWwDHkYVOLKLanaF+RoqUTEzgP2v8RjZr/ 00uFSx2EfZrSU/x1oxU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iqBV2I0VNtS0XvbdszD15NjWEAX+GJTd5pSHktfpx5DYH+reM7u1SMRPxt5DrvJ5FElHeW82sjN8 3nhT3da/S3lQ23Xskllg9Zrikw4nW3LP7XaJGPyUq7ThFp94oBvG4iBfxpnJZOJsvYwvge6rJ2RN Oju94Zay6ycQFo4FQpWR3F//8JI6TzcrycF+cR6ylVtN1MYt5qfbYhh7WMBUSkJfWkwkXYz80jp7 GMbeYPcNbXt2qv+2qENNgdx5Zxqd2+xvKnhkbj+h6Sq8JYVmKBI+2JxsygYi9zsXdghv1ollNU8T Jz+qb3uW2wukrI5kLKLMVTHPX8bzyiOz5kquIw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AsXlXMrMKKL90BxwkU4ShQ+AdGirmLTCQQ5m+6icgvCEsC9r2LAoJY2pINXBmlAl6qbGW21h9gyb Mh0lB65V7xTOUjAf7iolAnugaHSXTMynlLJd7RcrsdzqrNLGbsnV4XP61U+Oo2NeBo/zz+B2byH6 j40tZJA9xQlVtKQoh9A= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ki6ursAPc/50fvd76t3Mps+oQQ4l1HYj0WO+kBffTKiE89IzRnQzOdwyIHRJQr/OCg64kK6I/0q7 kxMZuoF1CNJrSI/rgDoSacud485RrzKzg0uEfBYT6vXr1M6QFm7wIk9sZrIZTuK/twxOp4CAqHEk 9MBd9IQKLrozQBJDnfdK/bCiDfZ7ad/gC5omIRh6Q7KmEQzMjcH2nnTrHjoB2mzrfIDC6t/ePOgy 9B8Mzn7y9uHXIPEC5QkWzvnCCvrX66qe/n+wPiY+nRv9COSVxlNND+Tpy4RzGvRDDVNje/S/Ii64 1SQJIZjHmoDn+eVuYhC7L5hh2H9hXRc8hDQI2w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13616) `protect data_block NI0gZYDcPbCBkcIueS931eRZOIdz3iCVWV4ZtCuEqklYNSKzLlvZarj7wJgISeIWfSrMz6x+wZN5 TjFouk/gZbrPf/8HGA/Aa5wTlIxr6pAM/XOYE6hbMK6WraW6hs1qQmdQe6Zs7TnnGsCugkPZb4Lq mmMqXgvqNDs+Isbt4IWpOIPzJtxvUwUoSGRTLxgaqCWZa4BZF8E08NV1dZJOjJekcB3/WcUh60nd FFOWLznzg7gVu9bgnN39JDct+TE7S7I3C07qyfZdgDACacf3cLQ81u5g5EPPdot0Bx08Av94fQb6 JUeEhCtaHnDm7CRx3TeB3z++jMRSGswZvItsxVB9MgYjFXpmd9mYaxKXirX5x+BfEwkZ+XmAj1S6 0rk5wHiT1UVqQnXrNMDpbxajFwO+BiNqoGvnvh/HxKlVl2iz3aCrqFoE3s0L2raGegWPVFWIxDyC mDUMf+XnkD5pE216ertGnUAbXyD33+TPPFXWywdmsfzDJg9bo++RiKP5h5HKwWctRJnVTWohAgWQ uqVqOPos7aBUlVA+DuVArEJ/4gBqSDosuX0HpVGG9ailOyU001uxLvEu9WcR08U14QLVMsImn9sc YCifbuFQNWnIWOimP+oEal0s56wEwIMn1to1PTCTV5vy8+i0I3BHMZlzdBcryrHsVuUwKkWm+Stv 09UpI9KpB0IXLXWA8ZDbGA/3tiPVhlhmzMiySyuccyeWiKAPCKMAeST34pA495TQnTWg1INFLpJH ziyXZn5PZl0qO1Xe9Foo0BnHoR9lNmNp0NfK58EfHefyLdZmZzXBZGN5NJ0Uk7DcmrRHS+v3+tNS XKcFWd2cY8HmYeY70AK8r1zvclfiKabZqeGB1/sUDGCMtj9Bc4Urf3iTWKkQRmO8zFkiKqQLaOyT hhqRcvPyyeiy47IjxQpIGklajWcO2ERM8NLiDZu+JGwNE2+gB+/JCTw7dysjzY5ZLS1JrPdKoNo+ j+qOecTdhlLiuolFlJi/fYZIG10dsGsEw+Rp3hLyx28gRk7zAxo7nSXcx7LElLK9wQibfDaT/23u n/bdZXpV1NxOAGx4sE+G/IO1xD7+nAcVYtjo/fTWFQuA4673hPBuV+usDVP01KdxezBPnP/szPK4 MC/leGBHIeRAEW2uXZ2aeM9VTeuvdr5/HsBPQs+/QLmWNk8/wyk8lLN++yfClVHFRoXpijr5bjaE n0ul59u/Xsd18E42o6WhoLWniltILXtLexY2BF58HY9RWMGFPvccjSz3zfCS5ddxlB9/2jC92UP7 dy+kHszIvbq6GTGvE99k6NPqojjR8kemLGnd7mmtPWpOZEpvDyqZcNva8vhkkYRt6YCVyp3AF8G6 pXJYbriEhxrWAsCwGL0xhitAKMRVK/6/OzrU0dXiDXAH1BCbLJGt79NVggpmKKTw8Tceqmg8CUVb Hs1Ou9PUgPXlKLdnZMBf9GTmZee7ZUBcGENCAKUfsrSD4beANQdplR09JthWJPU9wa88x891gB9p Jro/4C2J2kMJ2RIZtdI5zYg1Z3z6Vyw3qywFSG8Fk2mNMRhNq+X7eURKaolTT5PGIrQJ5xdxlYYb hIDqIam52DPaPL0Ahk9zWAbr1f9jyQ7BV/esUyFVSzyRPvb3z9r9g6v+mjxpsdKdTUDepfXQYRFA h9VXhls7HkXz1T/p52FvSWqy2qXfqJEs3t76KhnLFAToZNtauc6lUD+2D6Q4m8HtwzYVUMoKb/Gu 73mdPl8zccBlI1UlL1uLT2yQ6HUtiapJCKKUBUui2Ac9B4NPxRJoZo8PFk7SDzVl81N+2fWT412z vocL84ss5beLTDeu2J2LdlJBVQMrFu9YJCrnZGUh2mtdbBpsUj2iutt5Bd8XwJy5LMjXSVjtYtCs tmsVmfNZYKa3Hj2cX6nTedeKRTBaMaw9UCdDjBJc1RwKqO3Bn3WAZ7nYS4QIpMaCQVjA1OPzC1nK hejEBvL2vyVfUDgDKmUdVMHMNVVcnsnitPsTBk7wQY8rDsA71tW5U2tr7QDZkhAcG5KmJzxyzIbo QHmxuZrqKHtw41gSGobHeJkJjBezYg6lcM6QTp1YOwYK8gB/pu9o5KRI7yUPwYiDL7W8mtrKWFCh gcAxaq1AyF/9gPkFgwO968156F5QpRDwSR61viqYEZJSXgKbmrEkYitdkA3OnDihy+UrCXVL7ydD Sq5EreB6XitaEGHXhU9cVxJe27HypnRUFF6nKBp0dGovFBi3Chc8JYE+ptmi9CU5pCRmm7KAqwVT /jOd3rPvMiQWngqn9qRw+8vyl/r+Hg29Ui0CHMH/MEge50ty3Qckcg2mT+2deupK4QLtGH2Vt2T1 pRRvzkb0qSgR8rhlHaG+HG96b9/CZjmCG53mL4c2qepfqypEbBt6Kn2NFFr0sErRYeZ9iAqWNEYf YPGukUVoiUS4Me45q3dHs15ko5t4alpXs6geHv7EaA13NXALSi0AmvH8z3BURWdUo3g06qUWe2mh 37JzVSoLgCl73VKukFbE92Qxnh4SrhQFYBjWwW0CWx2WUCVIF8bxyY0du+hRXAulsDmAE6ZYj24M 36e2fBD4g6+d0dQ1BxJl8QFqbriJB7lpRzZdEglkL4VIbgt9ZTRgYHB8yvq7oUOrPdrSWypE44Xa 93FySjiORsSbNscNNiir6MbxMiI4OAabQNaPO0JSYiA2d5aoN4dtgZAYghWG600nWOtsG9WgDEKV UxvxnTGQdkVQ5PCzUFIk7MNAjFQEcwkhhpEeQ1YjsAOGeVnqTB8RfokoXZrU0pflYcLZiaMpG1NU xrBHzt5lxkxV9yZ7674/DV8LGaQe6EgrJ+djpZmPpf9Eni5t1qfVPIbMwaF3mkgYAtyDEXulpDeE S0jzaba/3oLx7OAsD3ipA7OwXP2z5oYO3jl2Oj7wg6LUFDlo18hYKZU0hsPNA1olV0QWh6nmaznU gakpdtc8+z85HkHkep2uSauL7WBcaRnSltDjfq2n6phfkw63hEwKH2DtmYMqnuouFz7/qIfcnIYV XydFQ1u+Ez446wA/SbzepyY4Zt1wDf9rQDPOJUTBRwur8ZzTxUEWNbr8d/wfZubHClTNvvUBUntV BepS40MH64T22cllp+XPVM8yjSNe/P7atVIgj24iOWmKAKagtmA9Wo1PhYxRiYnKAM8RdLASGZD+ wCopsVoTTVOmEUJZFpgQxgCAH5MPxICHylSmvdhgbfzI6ltasSO5Jx9mfzeswHKNEqIMFkvmNHsH 99dneqQ/+1x6hyuC313QV+aDLU76hphNMN0NrcmRau2Koh/6N92SXBY+vFC20EFLUldF8/T1KUpB KA8efqwXdeVSikeoJ0SSUwWv+xiKGmJ9BGAft2sr+U2On90LtZY7D6JclFS5rxOUzqrO/yRwz9n5 EM5nRyFlxZp8Fu1KShPSedK3MRbfcoxVge3fEYpfpI2IXYWrDcrFo0V1ySsVICCPMNr1ok9gqsWE s98WZ/j7BjSmrwj/2A4F7TB2DhwJoPtxVtpsO5LTwGZTmy9QiiF/x16YypKA3DHRPT9IuQq1skrx TXgDFoeBCqmJcQyE8Teb6zPli4mYuwNY7KLi3yFX7Dq6SGfs9xyK7avbmuM7GPpPPKpiVO54HAUO P7c0qiS6zLY2078g4KW5MkdVx5QxWxRmVKiy3Fm/NuwlTqeAuzo0HYUO8mevlvrk9e6hskn6lAdI ZIf0A/jrOILOpH2uy5oeUsEVYm7yDz9z3VyTNMRpOOxcaSfZ9dkWKeSmcGyBuj3CcDPvktcCpEvQ Vddg+p9v1X9Rjg2DdNvMVrfB+gPYXXjc+/gaoLM58+G8BJ99wmd7mN2kJUXBf84W/JztKfS1DjaA 1wZ3UkL3fzAEBFWSw/QBscFmB2d7r8HMPNj7s4gDPRkJ3Mvq/AA4HgriOcClPTdizxikJhPA0lon ouS7Qdyrm3JrcLK2ubUq3EBGsex0pPQJszmIt0U3vQuG1bRF2ZbqUYkkHJEQ1jrVsO7VOQDtttHk /KzWKgxbaPSwLbqOdk//BhycgA6oCzzJUo72JRGzeUyvilioVoCloVH04E9uNbWo6uz8Zhyh9m1C rXvKUlq0h5TaJBYx9XRLOvxEPcdbpTXkE8VSc4WbCYMjMVoUogBy9l5j0EeIbe/FTlwf+qY8LR+K m7LpAVNOjO484lFpQehs2aP4nJGLk1Uiga8UHPS7087DQVfUtuxeZ2JMJlW+jRZf7QVSXS4UTr6G 5pt6mHMhlW8nMhgzSECoYNP0hNFFCoFp/JiYhB95C+11Wu53SdXTogfZuuo5JkhypOYJCSl5Xfix d6kPz2tG2QfQSxY4/+cRVoLlxWSUgwWYKrDBC7N+EMBY+LfsHr5WgS6J+or07aascFjPth33WdED C+vI/kNcyfpdRJdsD6c6RHIKMjxEicNqZZM4F2kXckb6IhhiYA+/tBnwM04xDxepDTIXQ0eYJriG BG5yZ9L0bUloX6aNCxKYcaR9CalHsCS3ao0gtsQjAJPbVKqk87Y1MeMzyiJs/sskBbV3/XHlaxkz dmjLdtR6vCb8Db7f+YEVNA3+xQO4ojeXVtIZcJo07tr4bxwQjUdFOK81lGpPws9vOqx1prDyrXr1 OHjqPQUpVG/2DnRgsB0bSaF//DqyfRAkfWlA5ZSjQp/670bw9Bb6M7Ih+Ust5N004O27uIJyoDq5 U96GUxtnruojuamDqJJfP6IHv7X0tOKcBkurlrgu6IPAPJ8U7EBQkkPqSyUD1qmwTkf58qKDZ87Y XwYEBhzg3y6Mzq7UQlxziRrhQwS8qDFnops3bthruyuBuEkBzWXGxM3CTeBtBBDNZC90PyRYZ8Zn rwTmsFxzKCEg0a4nNvt6QvD30d+rGLj2/WHmw0xq4wge3/ii7I68eyoWq7vGM0AXzWj5ketSdtwk O86y9gF6uBIbpChgm1SpRPKspqvjEhi+mans14mhMHLyzmmp1zf3siprB4CZadHWjAHouIGkb3Jb 6ikJ++gHkmyZC/eEygd14+QijKooAgsxNZ2dO97L6XU7cc3jL28vVcFyRMnnQd4Fk41wPMIk9Pve 1ePu7qJ4Lg+49NIgnSBSk/flbEnuOfUHMeU7ErtES/r8YzlS85iHP9pQKRYvWVTMe6JTYrEMpKNw A2hzGI019kJF3EfOpvvfAfZEqgi8JGRL3BqnKztY9Q9FOj26OaBhwD5la1t67tPbgngbtstnOfTU bVMFAbcMtAj1jVGnfvxPbfBz4757YlTM5mZxTBy4aJMGV6GfVDGJE1foJ9yZTYhSebURx7Ffj6Rs 2KD0QhdP9175Kyfq6FAlX5JFnA0dQUKFkrFFReMZ4vLSsGH4oi3Z/lGdPFfSFqdy0q9y9URkHfsS LivNqGp28m91veirXBwcTyKpvB6dWo/soODKWaCW8vIaOKGPdBskF0oex1vRzztihN0i7HigwcoK mji8CZ62QcQ3a7JoxaKejTk5FXOjEMkDBwfZnKkZHw3KzfOShXqNv/Iqp0RYqdQPiuO9BgyzvcZ2 geG5D85TiXSw+tBmP2PbHlKHq/cMqD5UZioPgB2Kq7lmxFLy+I8xkRX3mxqf+VsosG/d2EjZ3Ldf ftmDe+XgBAfZK5UCqBEKhj8053Cfj5+qqfRDWwJ+6/d0yomuK4pYKjWlCpw1U86RwgFWLFWvtkv1 Q+INfMEtsGRnPFzcnWIsMfIWf7scU56WEIwgKarjHAiWtzc//UtkE6I5DnOVsHn0x35VPKqNWJep IrLp3lgWdPUNULRRYZxKBvKi3jnz6iXV6fxgzaiFASsQEP5BUClVF4ouBOKn/dy8VYUXE8mLBOxM kLpxEsajhksO3U4y4amzxa7m1+MkHuCELij+7hDuXdTc9465nAKuOnK8is/n2TFJZ9sri5tK8Mtm KYRIra/CAu+tV+FDJ+2lMRpwhPhCFyOJrFPgdR4+OefC6cYPddX9gtqtSHJsM/GM95aJOOvHRlGY 8RR3JYUWAPPiOqJhJfUxiWSFE5xox/HxNpRDMmLeIHTaBvGApUsLRTPQ1nBmfbIKsQAqqyNAD/Ua R+43N5NpD40hyfDOKczjeTQuTqDHuEj1PbR2ZQmfJy7KKucK5Yppkn9M9jK5OCPyHJeGQYclfMDA 4CtbfMZeswTaDDybgZNp++U9yL+OUZOpRdokb6Upd8bXBk5JDib0DieIYX63J0mTUudNaubDOCor gmIMePSVvmcNmcCUliQeJl2dhWX1vzz3nHeRyJpgWeL8QRJKj5m7XOTHq2z8oZavXs7/qW5hiEni StvHzN7OP4pK7sd5XX55guqVaX2HVy1NGdeMT2cVCYBL2HYLzvwtf+aSDiBN7McO+j6FGFibrqM8 2GCZHyR967+GzdjfTyG+9KZNX/f+kV407h48Sv2RdbXvnUZTXdhaJQwobMk7z6LpX/q6LdZFvzTt iUUFC+qBVNkiGg3GIgy6wlqhvNnqOVRh1GHP85FEYrwges/btybIT3chCFpf+wRyGznLdx749tHT bhXmBCxVr0qLyxQP8+9IMSRca7c7Fg6XerawbMoONo8kjdYZo8/fuoAy79eokWMDVBhEafcCYGwR fVUlShWgBCeUJLRr7bg5R/mNKaZ4w+bhpqaMJhl+5AnYyGTNRAJwYKKFul1IE4g4lk8B1AsCotMN A75Y6jpxuEPm/h2kWMt9Nwe2er3cvuW2PT1g+ghQC4sEG7dScViW+Y1aYNIwzr496qarGofEM8wd gdv8Pl7roCNuKYoxYl9wvoWN3TO5qf8xxy8TTfgVZD+1VXIFXJE5ESOM77rSvKLdKVHn0Ze/Kieb VIi2gq1URqItr3Ibvp2VYfJepjJ+s+0K1sDUsyNCXbUEbtg24ABv/laMr/Z1H/GJC2k2U+IBi56W qoYYISU3Lx7g0H/FDTDM+bY6MV6mTlxju/DvonUdj79WmPAJs/qMu8Z5P7C2QMZlCrae7E88gY5s it7lhXkYZQvzl8mXp/QPETHWuXeMYB/w6OM3vPdiZgfwGEkeSrD4DfUMY2p6YV467+tie/9LRNVX j016OYaFPP3h3VHPgPA1M7teWI8oR9iJBo2+eM/EosIeT59ZbgitDmFR9gPBCi/S9ahusMIt+26w lsms4Hmhzd7aiqgJv1niNKA+ltGiirLvUevuY9YM5JMHSC9Se3MqPqkfWxsmyfNMH4ySE4FcLt2a kd80Mt42zlizPQJSSH8t6pyAKkEDJcFPVj7JjAq7lNPhXb96WULhc2pkaALUNIA7kzh1HABM5Pm7 y96sUNpraRyCLcucK1KhCuiBqByc+ZagV29YsBRwRxt7rhLhB3tCkK8K2sCnMJD8yFTru9dhYlvz 4/qxhj3/OeRRVaDAer9peR08ChGivMt/eZMFujkSEO9xbSnb3Ovol5bOtqrykjd5WMYOXfDwD6Bv qXhSvX7uJZvPcXsGDTKj10QyiIuAP5LeBWfGTV0j4tJ7KQbQBq3w19g5NlEv4b6ivlmuspisAIwX uu9/ndLmf3tHh7nyJkOcRQ6g2uw0geNRDGsySFX2PQsrzAGhQoguLPx4G5r7GOaVoabJ7oNMoert 5/Qo+1XYP2Qb9TTYQmytEnYYTNUJJSt7uaJTnI1+S/Sq5iY8aYpg7mGhafsuqM5dD10SzeSxGzOA rMV2CvjEZ8LQWu2NliTc7HfOmFLnn2/8H0Bc/3yiq1P+ci+U1bM9sMjO09NRvO1fulikD+6y3WSa gNfx5k9Xf3Dri9xv2CwQ9zftDImAiHylLS1uc68DkGgn7obtEz4QDxwd+0u6lKma0yB7jp+5tZYg getErH77ivpIRYymWKLtbC37LNQ7FVPoVV/rxoA9xNS3ZZC210L1X/SVTMEk5JZTWRI74pImEqJy hXbJCnl8QmYdbYknJKdZ9iwTPdGX1qzpkxlz0toXcLkWdf5Lh+Q6GbOiDVHw0CA4pKizANG+kgjQ xtOy9gPAXXDZF0uY7s3+Pj0nZEE0n7SYAjzoXSi+66Pht6bZlYBBkgg406Jp+D2Tiz5mtzbEeEnT GBjlq4fqRqjFzHQURPMXE6kkYTKhWXilSsGXpbbt7CwtSA0TB703m5qLxH7pH9SVjMU0MXIxEPi6 Q8sPTNUtlwEww/ER7GbCz+llIWee8dCi0dcweynjmFpejvdD3Uvlff4SxDdSLMf95mGc+FKll/Zk vZG++VA6ROu+dvnBV60mG/NXEGEFo55Zi4+bwMBIgjaCOWGtQ6H4KXgnvB2FdGj1MF6WjqxPFWDi qxUVJVFdbU0dCPf8lx+oUz5Ly7x0UmbahMnHGEhQRNHyaHm14YM7oK1XNs4PallvloepILjdM59V FpLtlM8ldzDlGFcAcqdSzFi1VajwAjXRkIB5oBJLOHclSkuNVB1vzZYQBf9XH24jWCRqBRWgFt8F tQoY7MyOUj+7oSyf6NCgHNvFhML9xzEPgov3Sq6y6bGLECwrTDi+Hv0E3Mv9Idg0g3ARY1PdnIQB PyNYO/Q2b76zqpuvI2MCUj7l9aCh5d+Hgr23E2kLfS7eHr117uD+skLddEbd7oX6IQx+O817ZLWY IdSWfom7SlmZbi01TgI7db285x3zDqJqYOhu3MM0+gANqMu1CcfJkYrziJx9PQ1UtQEpcX8+YCYZ +oudhjoAKQZfCgpB5YxsPsSjDJCpRIG9rqHlii4TpnXHxghP9/wkgk7aBgaUM+FOLMVkgIpUXvFg 96qDoYF43Viec+dexygRiaZuIYmxOMxBOeSZr98GPFS/EDF8lfWYp6EkCgq/KT9fx69ZCSh7CvjY ZS44taULBhRSPzR6qceRp5arN1kCRNblZEZw/YT7lhiVgHUOFpBuuF8C/hzG9JdWQmaJrwKp31Wo xF1JufdJ8JzPzIkB/q71HYK7Ct/na5gNRd/3dvn54FKeOnMgWDbpUON2las+X+OTZSd6X9TzFNgJ sqdphwoFVr36+Dku1Z37YK3z0zTj49l6BHzeWZlTnEMooxVEeqOrMSIV1FcBI6FfA5Mihzt3IHkC efw60RUqfPXyzb3xRFjyz3g1E5AAKSsmpddHvlhaVNHKWibskg0c9d4f1uOWuCKBXhRwVk6VTA2i 4WO3N3uaZW+PEoFrP0KF78nWPdBOFyrUGRY2NZamgLRymSYRnGVnChTECMcc7PdqeZrhSunqgEGI 1ne0lx94VfuV1GLkAAQ7MxYc3PdaNoAcnimp2vzuT1fgaX9wQqfNj1zVk/N4zDy29Fr8870HviF6 I2l4DLGifk0FeMuWpTtPdSgT7nNFnN3ZClEDh66JlJRoAO+TlPB9rJidQHpS/R2bbwMUfaCDq5Oa qsOWdzfkeFDYbhSX4rywBvs8rLO4PnkwYRckxFXotEB4RNGIcyx8hmirakzvIM9TLMB1LpifkhT1 8WVrmK0dG7RC7qMm6oEzjxzpH9nhsZB9/Vp82UOPMGf2GzoYHJQbj/0A2NdmAya4JWJlQvmkglp1 jwxeUzd3U1mDtmqh1fsuH/R+ETtyNDhvEQlvUs7KEtV3dSVnjGVI4IgOGFhkoL7gS2fbG8gMJ7ez hO/9LyeVTt7Wd1ORgePZxPD9hKeSr3yyzNI59TueK6/rmueStmPCbVIDZc20TcNo2ehljCxOir60 YQN6mNk72YfwiSAwHZDCBeHfNmdNF0UgloSfcrKfSlYQNHORTXg8c7Cn4w+tTa48XlUYCx5CN1QP 6r+jO9WTYT7K3nT5BExrYNR8N8E/Yg4y03vxlUFQsZ+wY75qSO3qXz1vEpoG5W/vw63B7IUqJQnn TbQnWTLHT6vcTa9VWCHTtNym7GSQBlp4I8kT7MTgjg5BeGJmK3qDVbcf28/ZTfflZNmMBS60SWvd qmQvLD8iiI5gM9W/uXRg8wmjsOm9pHAxQbHYNosn5omd+NaQE9KrxqMszZEqbz8oKwrdYC5o62TX EcIShHYyFx4sIcMjo3OiKH5FTjBXGREpOGoPBIty0+Gd1aiPd2+NqkPrE/l3fZJETtNSPEQiUhYl wFpnIRKESoK5ZojtBroIrk4MkFIPofrShNTCtql1QTkyGMvaPqfy2t5kWtWO3F7oiEmza7c18/qb mcY/JKkpwO+RHMo7tipsmBPPrrfSwSq9S1aZX320Z40q+cq0X9OQSqE0D9XaFEXe9avzT+NzH+AD /cU5XIEKYG/A6zjPAz8Ft1NHSIDABD0ornj6t5ImWUKkAUVBxKWC9fHzVwGcUM8EBPO88DW/a8NE i4SSqHtG+OXmWJw7LcEKHYvJpZKOfH2e3WMXGx36Q1itsrtpdDJr0tXpQVafNlTWSn4boDYeuNb/ gOzYU+XiPG1jX7e/YcAhhS9v/kzw+X22DEIv+GyQxJsEsdJ9mzcMfwk/kk/2r6MKgpP86JWsmkjn snxYorpDAIPfCAr8kLqUHVHXz05NwMwOGpcihbSpz3y23yG4qxw8VUQtGlApi9XEDiZTwwxoJEQL 2A+rHCDMjwhQZWs7eX9bGn60yKnQPze+zlYDgXiYM3/tWKNt0yn0CY2foQe7piEaRyLmdMRCKU+K 4H/yz0XClWqfWP++MRK+kmAz9mGXMXgSqLh1W/qpdSTADfkH7NBavG2ZtKvqXaZWnhjlPZXy4ZkE NAamzptHxDdt0WTSnqhdWK7/xm1PIPDgXgItYkaOWKncQnRlcPmeA4ZKGCf/0iIEAOpNn1IBk2rX K2otvGPDJOK+zXP8ZyCfJs73QTbha1kMND4Py1wg/nBL79P+MoF7xIfp436NDe1pbhXWCnMAcEsK H1lE/ubyzh8nqCi63/4CHdI0HX426NPMrbNgiOl8C1KHg3upEuVTYY/4VU+MGP82lXQOTdys1DK6 0CPcOOPzrrh9qlINiPANwt4IxhSMY+a6/dZeO7TXvH3bmoc1j5xOTwJdKeAFcMqbX4WHahU3Cjba 7wuTqEF5X5HwG9lWIEJYrheXt+4e6IqoM2CMwQ0ZJzvEm33fsWpMDTPUhqyOu0GKMlOb1b+UIcjr 7iZsmOLStz3/bSYPrZSgxigSluAvetWrr3Yi2sqffkS//ba293Kor6oWXMehLG/o4l6whicm9dhY hHChPwiMzqHwpk4XsA3VJNVkVJxRR6rNWRcgKgjU6tD+JwPF6aPgSqfi4uTZl5nAALeR302yaPpT eg4O19SkZ45T1g5x3O3ZvGKlzR2ir6uHM2zTBFwCuis1fd/vnPEm++/TXHVLFR4jvxpnFhdSRMyn 1mW790y+qOqpDqjAbzQOtkZxEP9+2GdbcQx9eitsUpARVauQ/BJhu4cJ2GMVDRzhhjlkQC3yu/dv pr/F6MV9M7W62dZovF5FEiqd8rzfOAmMXHgSutuoYxnXrVQ3vnzYkeCVpK6hMYeX+QEc4yzhsv1e D5Sb1e9NZztO0eUFPGN1jR0NiMsAIRhrZNwee/k4901bbtDdEyfuMauXG+IhiyyUOH0/6rh9bwXB fEWOFBO3/0DRodkqyD/OMCPdvwPQZ4lmVTgXsO8fcsdT3DaLcChDwY3A6QRGmXVEveMOooYqbwc4 4aaWKA70xsiwbIeSa6zpkd6qLL/41x5AMMUyL54XgwDKjQjP8E2uxGEbBdi/hm4wOCRQK+dU2/18 GytvA206/oy/aR0KGCO3zE9abyPOTxyzVW4fuUnRXTMJlYZpTKZm2aJKA2ueRPF0U3Dy+Za70oO8 XwGM/Ms0sMhNN3tHVPzInbV/gI0WAVoGalRIPcxtA5CFS7Y8tY/CGfYIdzWTLPPULoeW3KAClL+Q 3Omly1ZL+yZSzitXjISZnIrRZM8erUipn4s8ojRo+OKY9vBHRLnFLdNVrlMj2g3G2//A93LPBqrg cNO14mWwtMhvApRuU30l+usJT/GrEKZGsbCiOrt2noCYbNtvx1GavHoCIzZl0P1Phyw8ckrB8Lgb BLvqFGqqnbhbyxwpBu2F2R88j1//wEEthOnXY4JH8xL+FUnQzkqV0w6Qi/ACrMOQhfhHEhP2eIJr PygnBe8oQYsEsBN7IOqS2jZVMVOkkxfWg8qc3UfpVyo8JUY3+Y0ZbO33GZZIQm8rTLoR5TOMzXxd qdk4ca9cjh839PDW3X9yZGeMF48p4l6w4kw21ihnTuNHp3qWBGLycH1STJD5mWb79yL3iIbJ4akH VlfF7ugVHn62z/BDHcaaQOardvuuFj5zR/7koxIx3nUv0xf45dcObilixUnS/q3pGpZQc5OFmSrV Lod20C2kqtmZ2Ay/7ekapfYvBYvTw5b5L+tF2+uEWyRSQy+lxFqigiWdvl8/ZRPOOli0M3XnkK0O gQTBHoHWAKr4eUYjp4YCzHN3RUhQeguZNDZdsRJWd0wrF5baepp0ou+3IpXkyV2CzkDeTYWzayoE xCthCbk5y7Grc5E0nqtw62eTQPMaGV6FaJgC9rcZtS2JfpEAYTw4TeWGjtcOB9Q97TsM/WzB3+2y 2flfJJoysVMsa+bvn9KXTb03NumMAJQrI2ca7wNiIntC5bDXiQ5Ofm2hurfWu8OIl5p8TrA8UYQi bomwQha7/64qWGYhi6ZI2HxfipEFnOoGowQ6Z+bu4PqvwbE20k38oxocJbu85PX68M9vdAbyRYMp UOVS8EnvbzOSyhjahSVh/mZj1ZzOLPwxrTI+JbIzjXugOp3PbcorMgmK3bWkD6N85uVG4RiUZ21A AfvoumWTQdtatYvtzqeGJ6iYCoJGgx7vD7wxCe8TQcVHW7m+pVMEzvan0+Kz82lopLiW2zKg1OVv 0jkcafKKfK38j2g8dGQszBU2Pk0rPxzjuyJb5la0n03D2Cn0PCRtzUJUd5hPtRRfmsh/D8gu6o1h WAEd3Bltha/3aQhUHb+MwrgwQEtSlU1YcbfL0YH5yrqab8ZhIN0tm5BRmIHmfS1labKGabUFehU9 VIoP+Fu4wZ7KSXNDYD5KiT3/aXyStI3FdDL0KZ64vMJwJuRwAaTR8vXUmYz+8fRpxpXqNi4S0qic a+JuP+a/li98xHgsG0ey3FEsuwvQOHYnWNbvBRv8BH3t2BGzQoWWu5P9rkkMspJKJblTW25gafSz Ev0uHBI5STussaSE+kFoRY53kAfMdKj+ZxX4GvryS3KjhAEV6AZZWKy/FBnqt6bsw1wWU4/s5bNX GijazKyrTYWBnafYJ4IHWMz9kQLvOHHfTwmY2LyTLHMbWxgUx+hEq0o2J8u8rYMXmfowRKCNtDFA Sn9dqaOKHmehnlJK1ZNuJUvk3oY2wCn3QUqMfnGRoAbrPhPmGCFS/KACJa6hcmsGGnwsECZjMrNY WrvzNqKlXHlL+bq9obD3byWzS+NdbiUXOe+zG59ILsVa65nef1kczZhvSpsDuRkVvsK7vFn7tgRt GkSYdoN67X7ijvsg8GWtQo4g2ba36Py3fxOgPtrmPhJFJ04SI2aRmAZYFbbmAqrGahnV6L2IRKnm vza41bR7jt4LSlBBhUClOtVgKljukfJ8qq6A2abCgX6zAgGR5Qp1JFQUe4HPqwW1eqOrFQb5Ylq1 L452IyyFVIenK78Mr+rNF0VMnE2yydVHSl8TPnrmsoKw/QLD64h35BAgiW7ZdPU8f9l2rpnLmCFW qtbzXuUxGuvNP3KNdSgi7frAs977K5OG5OlQ4tWl15gg6+Ddf+xQpIkS2R8/4nTBt+gsFLvi3Swf MzwY+nqNaTv7HUE7uF06CiomPM3Kx4ngcqQkqooCzbVDgQFWz5p5dld4O2yuFxB0G7hjo28PmZpP oAFZRORcM6WrF8YQ54ww/gftl1fM12aQd/phl6i1OvsNpC1MSNN9NQq43ovN6Hvc0GXMZgr71vtQ 1KsJop6GD0RwgywTxItkblKd0Yii2vAbiKxrx4NloxSTDxeo7nGQJZH3Jqms5o+aevQhn8Ml3zPb eZMJIRsu1LxUYgucTye8hOsFUfaVG4AuD3v65agWH+eBdj+CON3+0weMScDYR9WPatLEIU1mb2gP uF9Bor4d8NuM1pJiVZBmdwwspzR6Ql5fZ/H+8Xh+9RarJavG76RQlJtmF1efNpQNycO5njOD9z6I L7NRUUGO2iur1AYR+ssfW5SpgLL82/XSM1IV9DwKKdurEGs+IFc7HITVvDE+9kHussCVxqvZV5XK LwMCQBieA+ViJRrYAbfn8bP45mkdO4ffUQzhn/bIYgFsPxuD6gK8Mcq3w9aAulCYLqbllDMy6fow B3IWPykXsXEiRx7EQH3svPSerVpBr2ebuEJMDfl0EcOAPQG8gavlT3Sp4bYUJ1VeihL0MUikc9fI h79C33/Jan0/M7pF9QrPtrIdENwE3lMX5iMGtsMpjg+nR7ekQ4RZEDNdb1whO1UdKCwU7GrmvPku QiGJu19mwRB2QV6E/50KGZo0UDzak1m0OW0Hfrj7Ntwp2UgkSJUGhN/sxxGcFMn2rD8A4F4Q7mLK cOboNnFY99pSlgPEHHoJnjHrNcg1HKEw9bub3G/INnMbzxMG3A8MuOomgx858ScolpOZgCAUwM0h vqbLKp+fZXPVagss5vhT8JW7lMH+cTI1uak8u20UUzi1PWVvcdc5G49NMsjOfJkzjIwl3Je9nKiR j2gF637TnfCiwi+aiTwroTIFhVzFNObuU+iCA0lf+9BGPVzhQVhUbjjDUmBN2a8AzlAHHW2vvHwc JwQsg8t+1p0fhC9ljLfymBkVYACKv2J4FO4TbJxeQsVWw8pDilUCXczSv6qa86DYjPjIHo+z1LzK MqjfLNkNNam46ga0o4ic4c0sO7O7f7s1Peg5yhG8jx9FjQexcTh6mU0xsXjXs4AZxpq88PcbTNZ2 jzAy7TQQs7gZCHaoQeqG5bK1QR3ZkB9HId2HCHQH2fecP86CnCzn7ubOLnZqqZzQNTLEAeX+vYXV rBxjHEWLq6KNr6vpcY/FTyXKunoG5UhvgDVHIFTamzkKeSKVSLgV4RYSNtBn51Ps27TSVv2gQr7K mYBUXiDaXHpo2EmC9Ga2PQ6vnXIFF39539bT4tRGbKYJUeu1wZWILWe0vnBiqjDYS/ZMLKI4IzwX kMj/ej5JCOPJXf11vwKSKJCeyIDVosZm+kpr/Tg/rGci9nKbITVzLoormuQjTnBR6K8SWxSklHXQ qWP5YsZgIanKgJCQDXuw2Hkg/V2YDLb8dfY7uEnnXw8dHtthhxjed0Dg/U1qGMYRN65jp5/6YY+o GpvMuuJSxGj2to1M81B9jUc5jv5nG3Bluy1Avms0lyTJbiCyrwuoPOLlkSXaXaJNz5EqvmJq7Qvb TPLNO8hZzjVw0BvpP7vz9msT4YaGJfTZvK2bg09b7e6SAjCSGowGXyyQ30Rau+pSrPoLn8eMP5Zc nW5Josdx9sg5kLQ+yxDghd5IyRdx4geGtv+13/wH4BOxcn8fv+Nzit134q+bpBGz/1iKeJIYqyyN 8NVRXs3yoORrYEMQBGrOfHAmjnNB4lvmYlDaYi8Q+hg1Bt8y+FcdKWOuvTYs/x4gD6XHAp0B2wiZ /RBn7M6LgLpGKndPR0agHdkYT8hO6fg50bPS+4lrMldFXVvDGb5Hh6cJqhbu7b4EQ02M9k0mrLC3 2vjrkcmR01mBtu2CPmp05ujXIni/4xtStPKf+4i+uQ6zpsy0q3xziINoP3R9ip97xKF43NZj65AF zXa0Loy7YkFP5WRFp80ltlBuSCUVo36bIVNCIkima8LpCQxRQVP7VHy7TCuACyuCUlDCJZfH14Bj Ei14ALwLcOtHr7ladZqYgmtt3WuSfkPeh2Xcq4MVW3KFv7CFm9HKvRfNKKgWx22/NwxhSHOyIbO3 VRKxmMLYvRzUHpg33R0XmJOF6mNHEggDcEAwAOsFfbs4sE0yuEhrbQBL2c7FfukTEuSExXF/snGS 2uNT2kuGInFZrEfPgOH3rqiO7M0eyXKJpqOuNt9Xe3kzpRaWG6x7z+0NqM1Pf8R+LJNasDEuaJBh 7YRPLDdmyKhBFLlPvB70djVd/+RUuc0z0pbxXAlcAi52uqs/kII0kGdyspI3uDLwW5YozPImkq1F tcCqjIV9K6IoeDg9M0wm4mgUj+BODJkc3ZOG5G02bU15RmqNstnfvx7IhLB8vPqxAgKRcJoQ3A+7 1aIQqxD2kABB0ILpSAhjoQGF/ItgCsD5U9iYXYEU76ttj+jSVZvwR25ekKC4wroD5YRNDd+ZscZe 7hXGO3Takxv2qFJ2BM1bpfTFXGH/EbrH7yROngEWBdyDCsLY7VTUlbrGIjJTu8ovS45/tYNEs84L yfh0mPYQJJbzAcLBvWXQxShI2KWFVspUmsAelpzZMFPUa5BwgugHJYshNdU/eIAzHckdjswrCV/d X0ERfq6UuQFLpcaqgEilTcLgyQumszxX+ROQOqv7pEho7wvni/SVLLCttuk/EIiXzYUdTgdJOPdv 3eQ/lfXVGGGKW6QeNhJoOKBcutzq0HMrvv3i9VyzjeyMIaaaz+rwwCxcr86mZI2Qc6mZf1EnAoDf tqsr2O64SUrqeluWhg9fthvNXrAhRZ11+3RRJnUVC8lOnx9TULohRMOtb3RkzdCikA7STir6qXk+ UQ1D7eJmzh8I1SOz3XQc195uMmhtzgnqVdBZFV3YqON0x6ete+vvY8qAJRK0LvJX7DvbAk25rdqt RJ2PfoMyqMtgcMB769AsXnfn8lpL4Kkgxw8ydSWLXMbucS7iY34PSH3OFwKDSwEBVZKZY/jKBo3K IG66uaTNXmGIVEWzkZTwc5e2B/19qRU8mfyHUo1DtGhTIa5hJxCYnchA5DYzTkjN9swxwHlVS5iG DarjAN+94fTH7bIawjVNh+886wcQYlDm29nGHlCBgXvO5iVivINiKmVZkzRfwSDzRcq6xuGX+ijR DXVCMAzy7+DTgBdrHuI1OhzyVxmXM7h8iQeBuTIZqsvgvDA5LVFv+SkLx+jD2Aqu/+JVlbIrsJ1f gU+98Qd27tEAszHmJNI/19rMZSIeQrA0n6EVa9QjqjX4u3VBdEqt2U23AgmtM3ixrPY/A6MN7jEN UxKSlevqSZz6Y0d1LnWtfHCbrGio1hImRk2R5xvu1sT4bLhgIgsZlcpnV+pMo4ej+Z2z8vNNHbh+ taIEORQMMWL8/Iw0eSP7QwFx5Z7MyYKh3IVcZJ/LIPsQpKgW2T1ClPM0wJHge01OHfPtfmDUlxW6 yCYysZRNeL6wtOYKUCNZ7dpKwupVmd4njlo6CQg4L72v0hfPuH1uv8Tr266r0do7xVyqAnfgHE6a 8sOGgUm+RAplKoQeV+iGZsUA6LtsgYp8pQO/jbQvqKUBYVgby5TJiXh9kzxnls2IZpxPnuaZFRKS ys+qVD9UUc/Qa3dqPNT70SRYfc3JkWmRIgSoViokFBLxjtiIc6BiSTXFpiBqK4hj9M9sI40Q+nrx c0Q3+FlUY4vWKpwdpdpqJEjjI1Y//Bfxy5sKkpj3uqc62h9nu83liHVrdnFwrX5qEE3+6I1bIZk9 Kz7hbRMDLWLunk+5Vl8GYg5SKj0oNy3Zzoyn7VLa2skLaV/ekGnLMfDDJgOtu0hjp8mm2KwZDTuA LpY6vVZtgLYeJ9acAqppPLjgU2ComPgMoVti2V5sJqxg201A9Mj54B+3DV27idL7rVO2U1jgF0qg MTxma9He2tpbLxaQ5dnAi90KyBWLjQj/WFZ1hhmici+bILHhlAuNNFXHNIJ6SsjQbj9wboKiIqBq NgVBVzXEfjNo90wu/vDpWT54K+FFFxoaw5ssdb/+O1+UaZMftqcQOg6zH1Th+O9peskOVQEmhqr3 yuqzRGKGZXTTjtzVsMcJs5sk5TRZ+Fgxd+NbnVBkSjAR0/qlWAzetTB2kXkuR94MdjDfAbX1YzHd o5oqIat6B8UjBjQC+2Y5osEh6a6CJAh/4dr4PkqYwFtfXPD2wzVXmAhsAIn4PhRjIMNWm5y8YJ5r zuAbYy55c8JSjx1kqmhLz1AwracigMOjElKRUAV7qpUOPoqDjqhD8oYPJusx1gFLpf9bxDLdWr23 JIYOm0sTk0QPoobD3e8nGQy9D+mJr4qkkO+lPod9zSQDvxgkgihiz72EWiGAEgZ+hcLESaL7g4z6 ns5pZGBxQhPteRUwDe1BUAn7ixIHbXqIgnZyc5xzbvM1MjJAahfgCZEsku+tzx4hWg4= `protect end_protected
gpl-2.0
27fdcd90863bee392f3e2d8af0c5cc1c
0.941812
1.864707
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_axi_read_wrapper.vhd
11
57,813
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nCvZTB6v6PTjPS0o+JcKDNAhBR8J5MXtZrwVnjz6aVUHiESzLvsz/MO3Vj1n5CWdMBmba5Vq2T8o ecEWLFVYYg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fEepP/mLaLUAPmpxg08CO2fXfX3BpMKxupatPdTJFRP33reGY7q8putFqYyN0IGzjKbIaFz5Bk9T 6txdG8LggeRYG0RLCLWqVIrnST+yLGDDMCM20vwFcsLK+v5CipKSAb5Z1X6yr/upuusU60mUrEI+ GhOHzu/yCKzPGhWSK5M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TWogJ391sOUOiYPV8C0VmfH7Lt2OoFDB1ANIEqjQdK7RlTImx+9cfN0ASLrc1bgQO0Fa4GhJ8GaJ kH5SNZ0UqTITV1dcoRqYnL2eco0e36ymxVIqXR9HugA4E4j6uLcwSCE6Cj1ehLRqf/ufvmn5X9VB VSOceS41giDc1Mz7JeJ9o8uzSMDO3sl0O2bIwk8PUP32+Il2ZbMIvS9jX72s3mNc2texJHNnq0/U bqSbDXjDFbqFiQSJDKYpLDZXVhCPDJY0HUvk88rfiZIqQoRkG6okTsYXNZNNjr5lHOAB6HzeIg/D Wnsp2WsHWf71gIzfjdelQypdZ4NydJ0IEzLu8w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kcKntLIZHtCuGToIf0ZKpe2A5vIZ+qu+NfNs4HGHMxr2sSxeF7dOrX4CTntdzlZP8azlUvyxQTLS Y9oKyb/Pj4M6IHUiOjIAdmmuUYFNhRjZ01bhok6rmcXtKaz2biqTfNOK6LSCBPUtWC2YbDC9rhu/ jvbe1v+EwAQpQpabsYo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LeMeViogrUwPr003VGu0u2/vn+NkJ8KNRR2p6ViPls/q5q1w9fjiAN//jia9+xH0QRZsrOxmE4sT mlzIu2VTOCsqmeZE4bSH8wDv+4XUIN7PA7qBqC1jPZSpSYkaBRrvcqhhNBZrLe6EPInLYPGs4i+d w+MKX2xWu/JSlJpPAv0YuJSExBDRHco8qjiE2kJNrBWRLc5i1BztcWTxQXcvt1S9sWNFIbU3A0br 4lBphESfaxDDWYrtvRe7MB5byApf968RkN0riH2z2os381wlDOaDZyzckOM3qQ+flbAhhKRCNRVO dr9MaUYcdsLuLJS/f/jWAJI2hxcaGIkPqsE+5Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41056) `protect data_block tVAEmwHJpnjd605JiR6zjcv3ZfWzud8X2oLyUdK2qgwtT8zuKk18b7JorryLdsmJB8xtJ5YclsIW b0SrJRTZOLMWx+oT3vpZfB1gw2a5koGeHVqwBc97WUfZEj8Mbs//ZiCohGsh50/KOWEtxLcJae2E kuj80N/w2tMfWq/FmJ7S2B4IlUrwKorIcNgUs62j1x529o/Y0MtyiFUHUsFFzOPfMbf5On2jWp4Q jT1kS3wYvvEUjZAzGONGG/yqfMbIsNIKGDz0By0UBi6ID5iSbgFP/BVnOxK65g9tJ0dvAphcI3QS kHW0/kXS8S6hr15TelfZezfBenN8BcB0COpbKF7usOlWIp+JpfMN+r9gkndvcHkzcGKopDT7lH8o udqgxJAFflHNJJSjz1I0lVkq4xJS0a5bvoZmuoLn5M/cgJhJjqTiLrC5tBhTwxRIixvL2qqAfcTX njraBJh72F+Z1RvTJTYtG1OxBwNypO3EfHag4bOqJIMsnZGv25PH5vLwIu3lXZESL9unC0NvePpF GtiDmBGZb0Tp3CccaF9zC3USZEv3/1+jyZ3vQcaZeQj4Tt8VZ60eCWyGuLAfTPir1QKl05XVXUNd +Y23vCvyKPU/4aDuFShunt6kc9VixTifc8K+P8JN/4ef6JOFmVxELCNBXF63EA/spHgv9c10qixu 2qku+dGJBEygl4zDPvm0vDhdNXGbrjJ4/tzh+fT+HY7yEIZYUMAqE2W5C4P0GHoxhGxWC3qOG2tT rilVIye/ZRZ8IcEvr2RZxAIba/VBFNYiQVoEdOj+BNVl9Vua7nFbWN+4nCtcAl7haimxP82m9rPl nS1j2QaIn9JZcYZD8hMLp7sznuVKrLQR8VvlIn2eDo2a7yuLT9P94w4Hm/IKawjrqM7g1tIP9RHK YoqDEEYx6rO5gav47ujLyaqEguqtyhvJH9k0ef88IvSx6AGBHFljd3uhan9AOQjmDGXRvLEFPbuf +yzChMR3MuWbE+GiyTaHx1IetFMygz9uQ+nsUfZQ+i2KtJJGAGhVzYsvP6m6kPEsToHMisq+woef c7lwiqDiqrafXLJwhLg7Lb+e3pF0HSUpHEnXZFNSR16hzbAiu8Bg/+XbMeh+yM5HQlbr1CgSmkIm CjBO7zXiqxPxN6PhDz68rE/6ogu4fEkyThSeuq0pFRxFheXdDf7b9BChVDnBjBtJEklMOw6RP0Q4 hDO6E42gYIJbk+ooWRCWJ3+KGmVKsGNawRooHK468O0hX+mcbNsRh3vfb6w1szS2ERDvyHY/y/HB 2ssPbOqI+R72Ch6SU5+BOCOtNSs8TGomCe2ohFAdITi7rtDa8NZGcpdMNv8MYFvR9xXASyBeq+p+ EKFt0KbWDtgwjVMTaUHR8GfWPbPPvZ2liApFabJsdyOLwqmvCM4K5fCiYodSvKILxKIuKhIiVJOD +U0HwtGRgTJISFm98egf2ZfNl93enI3MWWouCjr2bUIS0F7XfWQdQSuxsEZmP82I0nUXTOjy4+cE nTyQGTbEz9uTBHUiMjWaz0FAYpEjjswZq2KWBKEM/Ls2RsSnOs3x/xfliAKjO2qOZYapSG7+OBbc CS1rr7h6j2gBiCwAThNR73Lf5INjHK+gGbBJNgrSdmrug5djOdLsLXPZb5PC+Uix946//O6tmqBe OKSqW73oXZHV5r+oDJJwluFr5CZJvPGveUc1Ib4oMvR6rkvTtS8mb0PNMZ9+IGndLbdX1zb4nXLX 1TQdUsXoIo+mr3aaJdFa0wm3WrYs2nVQwDEvTTt2CX7ZD0ANC8KrauwsKfnU08qPgRRmV35lcMcl 3VU+WUio3KzD8biBaskCkYPAjaR+8M13H6bFin61c624kxx39RupWZHnwh5O3oa+jFwOLKvB1nvV rRhVHfL2C9jI9TI5hiyqYut6ONWXTFjTVpSpTYzoz8cJ+2zxfi/Vp5ihNe8AELTsXmRQ25x3k4mZ W9TiA9kYiq4mJtnNerUAIa7/48t7hyi3b5w3ERo35a1/2HHUR1xlHqdrIQUzMXERJ2TI4IqgkwXc 5WCFsATnU9X4yUMBd0b6zDIxmXRJgxrsE3Jn7+yDa6zPV9ZkpY59TRYsrfRvffB0M7hWZmQeRy/6 cTdGoD9ij62jO7+Jr3KEYlv4eqAwptjHZ7mfG+9EuDf+ToMToK5t5ZxHyMFWtYaKfUVqtq90QWhq 5FE02kGq7UfAUcbnUJ4MiVQ0VNTL99VIsOrZQo1Cgrr0ClO8EENOqtVPZxs0qNYbNdYfwS4Gt+bX q4tVR/TPbDfErF/AnhFfkje9sjo8+YYM1TyP7yLYKtnav+inV7l6YABpcN8Fyym3ihh1Z4fj3XMz IAcnLEjkKeAbM8h7kxw1SylTvlskuimH6R7oUPgidNYF7tPntYb/qB/5qDmii6EOxftAspXx0uij XPNz6VFMpkgzEH3lE1eBObRwl7ojbfb/nkd+16D7+J5lXbb0WkQ004fHGKFXEl9euh8N26wI5hyu TFuUePmly1irvRiBzV2Aa21vEo2ggiEg+FAPqpkM+RYh+54H4nHfo3CoIgtWFzh5gzkwl6dWJGNU mRZ7U64GKa2C94uP21Dpm9q0Ts92oNmnrfOFv5qbCT0hOxGxOZ0gr1ZJRCVK0ZRqUKu1kwIWBBUL 5IKOML/0qpmjfnFoivbnqMEJRS+41511NKLcB43QNjBdhFqOetM+p6+wczoeTc4NkItlBeaZZCNF HqAYl4xUHBxJFONYD8h9p8/+4T674Ez1JG1KZHblNO7fwDqKpyoWAumL8V/J1xfytWw9jdEIQlWI jDWuXjdBMlxUQ+id5Sx7QnhdTDkTNYzJ+HOh428ODC3qOC4a5Fe0ks8QAjaCUGRNt89UjKwNaCkh 2EPi6erLjKxFUsWMjPOJiV7iFBI3TvGm/RUYKpsRadk8eFkUeOfIxfFP3lGzuYVZl0r5GCRrEvid MnZNqqqQ8eDHKqVV8x7CpKgeqyvIwkxb/U6bbIdIerj4a+T4JZx3FIPnXzKztXxuy53+emYbUKjf tEpicAu7WkdNjqXxt5j4LP/tq6YhK2QnmL/Gqupe63P4sjsN8SyUvjdN0q+oy9s6ycAGPujQYS7S YhkNtqPxBm5UUKK+r+M14YLIZLgnycTfuDEbEEXkMAmqApFjt+aRQTvqsZh3Hy0V/D4mDRE2LexM 9GMdTBhFdSvK+rTaW7pGpDdVa0yVtbLtwuuelFRYa3xGUgMLK4mL8tZZ9T/chEVCXyyXYinVeL2h RQm6KTAjYgcbnLhP/jl5XhY3MycjrwKX1gtc0XgtMbnSegcGCf8lotaPEvFaTNwUXQ6ajxAuIXa5 /P4tElnJ9cc5Kkem5Z+aA2Z9UDbzsXVnhyCD70MQ//pHQNhi6OPauTtzweATKBWicSM0scAozNAA xCgbJNF/9HphdcoRi02tej5Qkt+AY8IvaKDExZhYS12oMVJQpTID2O35BrkxfcJNOVzZmcAqzMMF gTCpbjU+X2HSvmr0BQ7j7BmBby6fe19s1GYB7Sq06FkKvulhsLTbhlp+OnzyGkmHRVO4X42he3rE V/rnWrQ2x2vHpu2PsqMaa19HaDf/rQ23r+iQjAU+Pl9VsPcU5ql2PCPb3WnmxFb6Bc7qajn+PwAr x05093bJLFglIxrJBLRwIwhdHOixX90+ER0o1qn09sStXyD2DFUTwCcJgcOxtSfoX67irUzbwRVF YaDieX9la37GMjtj0uPlOKEjTBBepCPuacFchSr4lP7s74+9G/4HBacm5jgnjF1JZpqWS2mqjcIM 52cz5wC6LrD4Xw0iYQhcqGP6Z79ap5rGCAtXK4Tw/x3AplDh1GQAiMZXQGxAEe3jVuoV3CjZ1R1c HTZgbJcChBnYDDb9JmqdA4ZUFSKBOb8j6r9G5mr9bsbWd9n987T203Ij+d8DXZoekJ636KKuB4jv SQWEAEDqUrig3HjOxBNKO1yspqE7yLs4WXwT/2YudIvsIvIc3tiuVN7Lj0F4qcAE8ngyUuCkkcat UHuNsNQznBITyFW0vgo0IGp/Lp3Z5Bo8eoYKdCdhtUHMPmJgpO4LzrQMDMH/j8oDD5jDXjKeSu+N zCtTQ8nH2ZDHwyVJMLdnb/dRhrXOqs3VnPXkvWbreY+tYlznkS4GHTC9/cg+3h3ma5aLult7jTxR nmPv62y516+d1VcQcm74DFr3bpcUHrPvH5u1de8iU1VJ1hHmZo+9be74NAYXIoKMCZdaqZMSqeFM LXLKwDItkrTRyhIb//eKWhCQIry9UPP0CekEWk/JT8J4Y1z2cAJ9vSKm2Tgdu+zAB/65/fuLSHK8 dZ+a5D7cqQLv8GUYqTUkhiWZMkbB050J0jpmCxi5FuPMl8MHmI1MkymkloEksZx/Mvlmr9AuuzmB 4HYTzvH8hE5T8ck3v3MY5P9RUmnzsox/1ZMa4bh25JfTev4ormnkrb1QapuWbYLsf3dnxpy6v3w9 33gB/2tCfLfTG6lyWoEtJuJX79NXL/0kxKFE/MWG2n9XdStKn89TNkMOS0nDmTd9lI7Q4JMQIpks b5RPb9sLsMkq2nUEImIDRN+B+PquxGzzB/72QIvw5MeSI1FFJ9R3YmpdcoxQVuJVZiWHzTBpLli9 e+wUgQKexqPYt14d5qTRy90EVuBZ81+WIBzZ5ibXDZizN+xC+Rbx8Ev6JB2nadF+ex6E939ZNEub utr+AA19eFces3kzebZcm081FOENbiP3i2PwBsS2MfGqB9JKjHFUL/P8W9QW2lA58PTF1LDM6sfj 0WBbcIdQGCp96Jtp8yWM9dm9f1zVjUCmNRzN3GmMvia3RlyBCgx2Agbkp+NobW4xzznxvMUGNHU3 ThYDJqhItVCfJB6BicLQthGBxQZ18prmN2AYsME6q15l1AXy9LJTYtgp8sSZEq5Id64MooRG0mf0 R+tlpfAjdXmYvFs2BTGAkLH1Yv1LFHR1tgK77MzwUCy+tjqW+VT45rvQsJPwXq2mpOg+Mx8/fDh9 Jhj9NruX/WcanX4xOFw6z1b3LcV497nCD+OvcMAg29YUU6y314HoqMQ+2GbMJdMZJslRFlKCRDqj k/s6FB8TCWNUTU9mKJ6v8y4YlPIy0CORsvf7HVxSSaSkWRuXVV78M2mXh8JhzgkHulJ1SXa8KAEW wr/oetVIzuwKoM+RlCJUR1qghN6vqvk5Ty3Y02Onhq02AJyzzTo5HpSD3fPa/UKnFzgcvpazzOtV uNkRF77f5nNgsMS7paqYnWA0ZJ5wNRRpkY5By/4w3Fu468M7TvYTXJRZ4lr0LNf5UcfHBmWOB/f/ xXRAZPHNhTmV/H6TZxZKjDwxvSDTeVIVHql9O/pcXRGS75CK9XWBZoaUMDODZCYzDoWKCFjj3MBV eq06JYx0IKwKhP9Z4SOo6xRhYySJEVq7m777ix2+EedWU9DBW9GFSOwo83iW1XGNKMaLHx15Emh+ MH0+IdEu6/Z0N/bXTmD0gc3oQzNoRkg8blMvmJCjyolZr2uHl6i2o366ERezWaHMvJccMNYuoXO7 7+f6dRT5HwjvsIU1oQuP1AaWh2gOeweLES86q02l7MX96wuHktjij052AE0j8x//yIVmsOkhRd9V kgl8+il4f8FnfSYjdH+EQBF1sgvaUPAKEkpur9/3buU9mdVd9O2yaOnTQ2O3Nc5GlhMZl+EZc0xQ AhmoVn9JvPUdgws4HUD01HyR2NVNvXe2bXsXfHrSOYZD3z4wRtsaL8/wQxWP0UPZcwJPuezlr/Yc Rh4FVxfay2V8Dyka3zdetPOuhO4r1r0kLq9TY6Q4gt6WCcV4/a3VzO1Rl6CasTwDDkcntcfWe+g2 e5v6sI8rlZanudzpUNJmiKQ2nTM+ZPXRb8+Jncg2X4xtKcP9I/jhepNWBg47za6KiXJV5RHz+/gl ZF/B4Igmy2IWX3/I9I2DdKgVcFNCbbYy30GOmVEh/3LkdrLVUz7waEq7tDBbeYzIIpwWP798jcbe 9GVkMOpeAO75mOd6wuuHecqZyoq6q+z0aKikMTwXQf9k/YS88NFJnV3aW13q7+wuCezLxI50qdAh MEv2igpfpPr8q2hz9sQArfHvG39FkUQyioXlYJ94trmpfldPIxh2mPJZrku5k330kt/xKuOy8B0A ZZwHCmQztmSMApBPzL++ZF/J76IslkWbGI2/mwKH1xd9kQRwaE4IngJHNegOx+SK3Ov+WBB4/0TD TsfCz6CpYbLM0emJEAaSEBC4GQgctDF6A+IPbDnii/zBjxFZIgwF1x91Z6NPtgY/WRvMaF9GpvbF HveB4LDuyR77bBt7KcTSIOLWs+f6YCm9VYG73G8r27zD+AbWVZyx4SsBXeDsY6Xy7vcOEbbXNzJ2 qZpnKeTp95A1ZDBo7pyW1WLR7Ne8dBwNJkPFq9DBv1F/CEQsJqStFxSbwWFepMlayTXzalygb1KU zawJ4C4lHgydUgBDJeWmhgWXnQzeQBb16ytgGrF+E3v/BWaj7yiAdwWwmHw9y3TWURbmKuUBK9OE kwRzuYhI0mg8Yli57FfGUC5IRQQ54fnydlSZdeO0QGBmITskYzL0R77SdvO6zCIG9umfXd9Dws8L r4RTlGiDJsbqUBf2rvK3j+xW2Rg+GmXhwlXgGp0Ah31Svu6hduNQ+1y5dvTJ/Wk0f/iTpLx3qV4T 1NHRH9y4g0SJKOPoLbcWWRKrUcOM/UShu1eMPga0SoEd8IT7ZSZRdawa4rxnyNRYIcrOkvYbpgw8 t7gb0WE9TIoMpznT4CY64N3P33cMiONqk6PcCChhD7zbAhrbBqEAcEIrIZY1ac+Pd/Y9dj7NMPSq KFtqEFPuNBSnEC2jQ2MSf3JfINm4kI01MWkUKIR1lgvo26OZ1WhFyHrv0Sn5zk0z0d/eAM0VrB/5 eJDsvpjv+tY/gDLkxS0Kxmn+rY1/3KOb1qXuuxpWz3NPq1a0AEKx7xPg86URPFisPhi2jyrd3+44 2r7uWvVRNjRdDkq2xsE05bTvhsemqDJtBYaWSekC+x3jPJMifXx7qpOecMcaBORQ2xoKva3ZczDB ehmwE1pgepApK66uAqXjB6cqTcka1HSxi6+0W8RqABK0oNOVamalPFnhJvkjOEyVe/LVtZsIYf08 pMjABApLyRwZ95buO4rdl4UYa48l/ltD/EV4SSq+vJdDcpwXBmf4TZUKDtEEPxCKIw+dg1upYfG9 AlGSoAlqtEvTLmG0Ngsx3wqwI79usVMtO78c1I1RDCEMxyE0MtNu9AqcmnQAxZDbIdCVXgtpeqxl qR+Qeh/7hyJQx1UdlMHPYOzAqimyLKHpJuaa0Y3+I2e2fAVt/gKKgOjt0PgFZt3a3e30/6adJBKz HHpEku6KeKUaElvJ/INAoaQha+2VHvilKKs0MbqpJktcL8LDucPp1Jnn8NBkVpKk1zqJrx19DSX2 GuObYZknThapuHE2BRMfCMcTuGVxD9lX/r1ubFlvSkicyZ9Q9yuau7gJIluZlsOaf9w1NGfgYrBB U+aaihk6BYWdLm5yd2A52WA+S+fhl+R3ZPZeMpkHcmL0o01LjrQ1EM7FbCrBJEvY4+oQdX3SuxMS RxVVO00DSYrI/dFUoMyIKbzvWx1cFJiMwsWpUsLvfUvkhbikiqjgghuXtM6UacHUG3M8kaE00wC5 iJz3JKaKu3nv91FFQWZM/XiwZea4NCtlBux2I5nCmvixMXKlHxnptRutPmv2YMLEheylFpmuOr7K mTv6RMObfK0vaTWjVCdDN2VGZOW2VRqU9bsWu2qg5W3qvl48iP1afPp3DgHHNjjjk6bnaoS+vhZH mtU63v1YQUI7bJPSi4s1Rz71ac0Sz6dR5PIabEmBlu6jMBmGWIdepkYOr4lAdag842jR89oPVl2j jFPySd5K8Uu/noxYQKBiWkbVTwOxMtVWcx2/+bHpNize/gB0gickn0ie97dtTKJ1yfvL1fZkEHvx u0Ioqxcan0lcNKBFtc3tFIQm0bI5w1t+C+IaEdUFbhMrT0/hjaAoaCCW4gPX2AjkVrUSlyb88p58 Gnv3mVpuSt5XB+iG4Ycd77971Zm/vr38V378gDWPBtdrKE/BtW4t1wPdSiorjD/iwoZerOgJm+W3 ZeqokmYUsIVd3YWgFuMDpejgTQUNxLVDAZN7NObaKsP0+w+g6abwxAbY1mjJf0LvSlKGZztJsvZb DmOOWPxfhZh1UJXe1Y/3Hi42P8IVyET6hlEI65fNtWOz6JqqW7AfYJVet3ZuSENlvmv6mirp3K5O UdKNNxH4J6OkcDnvK6N9XngBdmMi/BcLTGcHha91AdgDJgyp1pxg23SyFg8SSrA6CeX9TkeQB8uA /cjwVIOrDo2XxB2b4M4vk6/4SM7NXRhjR8O2RYGA/+rdMoY2IBHL1GK4pNajazJBjZCPcSNEWQcM VteUZUW3Ff7I/toYQAVv9qcw6gl5rrO7LNYNCkGEfTk0dBIFgfCjzfxOKGTsHK1PlTrI3Rh48FFA c3nz3NXV5R7yQV9vqJ3qJBbV7MRF4BhArXv6crIS6EH4t2H2XrgA0a9cLtOt7/sW5ZI0gHW4/44J cdwa0gUNLvrRxQk50O9/B5h33IRjV8Wg6DcbML6BouGxnnHhPQWnpubKdtrggc0eNRXoyBdONeuO 8wOPBnNIcI/odqCBNPqMJglPKTkrtqHRcKpZdHIiREWBEpyFx+K1lhbG1B3RyJf2rdxDgSLsCnu/ 5dXgV4c8KiyFwp1cKvrzcfrTurITB9AbChNRMw9gujqyYqIPGpC4Io1xcKf3UOo57Lfvsu5AsUgJ 31W6FvJTEr+O5eLUH9IJGFz4uVxtTTlnGp/vxrwHIbokx6EtI+aLRjmLfenYq6EVq+QbmoDw5gad b1lEcTGTQIb/Qv38p/qSrA2aWm1DuDjLud3YgknbVcG5VjMwy/S0eeb9Fnov/rl4r601zK0NNEFV d2wSYQf84aXq8QpgXUKBHIwt3j2uVpuBKj6Eiz3MCigpGRhPZZ/PtLYe478WRJaqTQ2dRzxT7bNH e0Bv+3A5btb5A/IZX4DTeg7HERX3qFEFKDzMgs/UnvcqEotzpYc+AlGYoHPd/vGuY93HEQKYPUrz oPZApZRI7nqPTHwOjR0qEH8T0d++GkIa8yvxFSPG/CBBnMpzzwdRsq2pCnfovc1l2pBqcTtQ484g nCkhE8NhuywtpA/hofdfGzSKQ3ARJhOFrfRUod4XzeqWVYdDr6lest2Hig2NER3NWnklmgwFlK77 XIcqTNkCsbKMmgybMGhllGFVpE52K690aOTyUsayjwYWbdctc4j2YsQ4hyk6IPZ/SymWvJ2QswZP ROLq235bl1LpJ7sO3SDvyO7RDhKdU9edgzxunNC5uk0vjCuKjhj6vwxuMTbZM7YjulsX8ZL7e8e8 tLEDqMMvdlop4JiPytMwePZCEe9S4Q85UQZZRPnxCF2WJfiOPQ2ZbH+yGrA28RVdp5DsRvwUWOew nQM0aUnM/RHfjRoWEUIDlhugWapune+QIbv9QqnN8EN0jc4ahbJIGsF6yK37smaDJ5eizAtf8Eaa jw8yiq34D6Fpn15woZZmClW7BwfCNEO91UyhilrcdZWNQXADdeioN7HPHPSnnPE6ILRb2Cu4h0db zqB4T9CmBm2S5sBW1fF/tRkcTUbc0AJvcVQPh0HGRhy8m7iQMX2RuiL9aGiUiXzoFImykXOdDhHw C9XURiWOc8c2y8ZUCv6A8mfRwhxurtiIzC8ClgLPKnhpni/c+Op7AY5e1t+RJ+MAU0dvTtX0tsQU xt6tqbS+g2/pjx7+evNyfYc7SmKyLpo6WXzaSuxueOpTJ5FdgVHjcCz8rOJH+wQXBWpGptrKpa2B +0yRJZKUYX4tqKbIWAhi8H47fOgwG7CtxhpGt+LVsOhwvTMkE/xQws5HqEfS3G7T0XPKYq0/78XE 93U0V4HB+ghobKAVoK66tXtVTtKZ9TV62mfxFPgLbwwjO8C7j9wKvBcZb9tEC0UWAnbnSNVhoF3n 78vE9p05aZ+0EBnLe43+VJL0JlEe8Soevht4pRVrXZBrWlgm+X4fMX/oD1NwofC/QccTEU1z/bGl BJ8o4QMOWGMfGmhJwghDBxRJyg6qvzU0klHbh3iJekSetBqCz92g2uccjXA8Vs6ZOpw22TN5DP5U Z2WKOj7O36wNrLXm1MG3x8f3tQYdGFznfR20E0AU/6qt1whKVY1ns+Ve8SQUrjDwGlHSOfUErX4V HA9czAzNwsXS3pYaskx6amv5kXAMOW7TNKxMetxIEcYnesbU9DUp3G1xw5wwg3+1+ZcLFOK8nVKC z6uyVqXv1ZE/ZdHAMNcWBbx5GnZzsKEKBM31WZGscOPZ6k68ZPM8/ZaL54/juUgC7UDRl+xiD3iT 2Z7Qb36Ml07HpH3pphA/GueGzSLqokpNT74ukmUVpvW0Q+DrKCTnmZJj4JiF4dzPcQWVMzsuM+Dm dMRUXr3xlyrbHUpBOp0pE95gnIQWfmiac7fkWeNDnrKoit3UGqnOSFQouCxSRLDfN2d3NgUgnfC1 NG7avIarvsCwnQQHKVy3RSTlwIik9vRorEjm1rlpWTkrK4y5A3AkxjND+I+w4rRR1Iz7uKj2nxRk qr4wpeBe+qV56kzL9QHD6bvb5lcYPHlZDMXuzbZ0cdVBFXh/Unx+0GNrZ/RM94RN0ByDpwKkYXSK UA4tsSJ1nFLB9OMumUHWzuyes2WJSxn6mngB36q9/L/BKtFfFpGkR806KIM5Y2Fnh04EiDVGcXx6 +7i2ujDkl6jQjlcAla+OpEFYofaDtF3vEodaQKit1Aw7WUeRfEMgZlgLQjs7w/0snohOFRwMmCUY DJbuaARxk0qG7hvydXgCAcw9CRTuSbP75c0xBIGyiWrn2dftMNSOFaDGoEUVRDEYeGpEjlyZnLhe ysO+JwZ8vckYgq9Dcd45YeyoSsAiuLhIDw8aLZVIIW2i64Nyvg/DuOIBVQP1dQo7Ty84qUuBOIwW TRfSfE2BjfDW/ehb8WwEe5UP4vDDIqx1fj+K0CPGBj5hYOZTCuJK8ACkHq1HOrIHtcSN5bG9qeeE C3NvC9xQ9fMMJJH7oExlMisf/LFBKyPukfVvKEm8F8fZBOOoNDD89GFlkXXe5TtUWA3jGc4zk/aj ZDsvls5dMdYMI+dsAGAAiTnucLd4jMlxXyqrHIiBN/EaKihimHSavYJCozS87M4RAO5FY6rJ3DEV H7QGkG2jbmFfCUK57xRdLZCfrkcXEIC39eaI/gsIaKefs1n2pcEI6qxtRasHENRdyS1LwQNtFEr8 lxtkmsLYF2eavaW/sDJ1wKE/tYwfaMJFxmAMJ0hyiodyrCx463EagnzmCQamNNFWuxW79q5yTVts sU7We80DvPfqvP8OrQl8eKCQD03tXllYqwZQ8g5whkTpjgjwCWTXaXqdMgasOSrbwzqZ98aEIQ6k 5R5m2xP7Q3GUAQZlsHqgLE49X5QrTuRAzam0r5wRVQ1a9KGMy6KYlyEGnhAhRz5YgVaKh/hSFjJF L1X0ea3BJJly8uHhK6KhzjTJYOKI0V5e7t8pgfIdKwQEb5G+rLsQVAVpuWcRXQUXvNxujC9V7X9v lqUzTZ4XW6aLQRkH7R32Z5IIPo3R07MoITzUavSrRker082YzJ+xUWip4ZQVyVj46qSSkdkUeTgv 7OyJg8daA5RApBSe/RLWdLlVt4TDDfIbIuvrkj8X2L/J75BksPzLFiZqEyQloZStdwvl5nHNxfga CmwVENglOxquBhGT4rrM2y6904yXmfnb/OclFFIwfAw574hKmjZCCMt1aJDm1MwHvv6PkQf7JpbN heWFdTnWbQqguAwo/YnZKqRWehVEuSlx5HdmzoNZH9QFuyqQY7Y7oBkKaJf7FcyWjyzGbbZ95R2H zEUPNDfSqJn7SPvxl7606Jullth+a1cpZ1cOvXp0ANnGjw6TukiNQPJdClSB8RzhhbgFvwgE/KyR RMbk3wiUBODwjxRNNGsboNDSnx+sgdOifE54YEi1BbBUDv1FGSdaWOeK+cDC+4I4xwz1TloRsiud R7chdt0Rs+awxj5RlFuzPq0C2fazq6jOVhScWlqf/463aeE3ByyuPfGw0Y5vZrGMmEY9PuLAFued LxKvnDNuTr8qWNhl9l/vKag7SsC7DoGtryjqvQTR5XyHtV9j5hrVXYZ1MUwlZWeF3ft7+o6ApFMl ChsY/lEa8zRxYbLNh3WBsyQO9rEYCGJo8BFxOfpzz3kAcpNcMcpz/03bAdvYYPpVCnc0/GYQsPJF JgE//njveFXkW61WUl/kavTl8QJ7oIojR6ZQ0qck0ptOtEWRxeer51T1GOfvuI0ZlDcoLAHYgllR 0h2bL2RnlAItlmDuWYX3j0KJOwDFQZXcuKgPJPh+Zn6K0oc0EjPcD0aVvNhHhwA0AXWcgaizdIN1 nFpFn+u+Rj4FHBFs3/iPU52p7VJivp74eIb3dXfnqLcgYYOnaI+DFv6Lgp4ohBbOCCPUE3ILGugN vOwrUDloIEDSb6w9ziCJC0LNud1E7b2usCzCtFaKJLXk5c1Vs0OQMp97yKBpoWt9yRIZUX0b0iIL O+9QsSym/gckiogQPYn4HY/0cVB/bBMKpC0OnYLitJQnILVmp2+/aI/RzaMeODuDwOlU6h/AeipQ FZL/QNUdIpldCrAqADorZOGxXxq/83bwq7FnhcZ8Aun3tH8+YRljm9271oHEQTsd12aemz95sZHP +Y0s6mwvcFds0vH7vV2Cdf48n1kYrUPHDDgU6KzkuMur3oVYMnfipoA1J5JxW5N9XSyxWdx9BBIu fBPCz9HzJZvyNhtHnBhvvsvc0tjpbOKa7BKw6zlEk6h402BvMqHSUO/Kyzpw8jUBVOTCi5jAzDCJ Ek8rabxbpQWw8oHDhPd9SwFag3BCfuLOV/XobEqWsT1mElsUNVoINit7Eddn34xbAsTZUi4E6myc 5fjHNzrk9holp0fmGy3h/rfKiHrknDBK780UJW+HtCrWm0zUwiWbJi6cZnR7JS13IcCoDWASRosA DcWCiyMxbSiEho2AEoSV8nF8pHA1YjYJuqsLx4R/ljp7RQUKxZf9Ce98l0OEzfzVPxPVIFhaMp2g vmk78BvXN7xKtUBBKkepaH0Fm6I9j77pcpfgybiyG8m0nShEF2w9DMPlG6spY8GwAND0skqtuDmz kcr+jinDEOJO9INgfCKNx8S9Pz71lwM4sUvM/T76O2HnqHAD6czs1dEFXRkRoqMyFOjeTRtBayBs gE8iLl8TsB5tdzJ11dgi5rpi4Bfi0SpyRgz5yLP9sggAiLQmlydO2aXkJqb5nJfrSxLCagGTBGJx J9VLFIbuJSm6x/aP7T4L9OLB1VGml1a6akRpBia4USxUMW+cC5qwK1xwG6dVOwUzA5dMbIrGF46l 4Qj5gIO7dZvCo1Q58NH59vc/iLqheBmbBvfU2HoSM+xERsR6ltVr+iV540kpBWwiZF7CwKPdQe5C x8bYql7ffSGuTWbXgdEQG5ca5hoUCRgolevBTZx8fHxh+AtM/amP8GHd+TUSFGOHd5SY8L2ykS7M 3/iHgkyYYgwk4pK5QidKEdfhH67ZtMUt2inDlZhAERRqZLDtudizQa3SlXs3l8cr7GD4zyBZGa0d Xvl9dqBB8TbN+eo5f3oON56/MQRIlviltibD83El126MV8+X5Aj73B65vGYWwXrrfk52inDUzOzN XT5RCBqWnW2hJHI7NTgWYaPebt4gu97+th6L/bjoqLHYMllXckEMmclS1XHYH4MwrM5R/jX+zLvg Z/EO9VWAzvvKkjDaTM3XEU5DJ9vQi6nBLJBH9pxtLCQe35j7hHDKDCuPr0M5lL25fHsX0srGo00E uEvVU/ZhPXPr/G41lcpA/CD7iPoCqGPst2rrszao195RUKwuKKA+TVJQByHK+Ig/l4poAt0MAdxR geEvQ6eZ9bZgkE/1JeiUDPF09ZnbcSDuUcfxSU63VOBRTAvKIsu2ikvvZ2Hb8cLRYYIwfdn+FABI XAp+ufJQC6XpcVuUOfvUL2JDdk+csfPaDOEPWw5WChSDov5nVrRadx/Lyuv945xt9i39eeVUvWTM ESUOnLn47TTskEfA6m96U+lwIWqmRJXoPD/JbwIGNgc1Ajx/0DXlNPhUlG+F8wmmCvE52oQaBcGf iqedROWunAjJjCskutJ/6eFArwb8abHEV/9ABr62JjRw1vog48yRAZfjqa3X14mHRK7s1iBJ2AnW 4h+3KnXynHnvjE+Bxwjru6oyqxF3DM12C5vPyzpJo9rYIfBso53Z48SOUAGmUrohYHZzwJHhhyBZ d5Gnaq5dG9gD4WYV40NFctdcyAP/XnVOnMYblSAhnEfWL//8DjkxDcZQHODGtIR2cVxuKvxiB3L2 J8eZ7YIQfQYiYjYnQAhwY8tosccpc8dR9vyobgPd8OwI7YdsWsVRwib6j13TqGwUaqXT8HSWr4a8 Urx+kZIChIU3ht9RfiUirx1LOpITVGgE3aKnl5Vob5xvydLw2EwLJGYZh55z6Gp6alzKxTv/dG0l 2oX/oBt6UbsdBA0r6aGa8UJDbVjzrlfIoOiTVmjlM19rfanJdsRSOei/znc9yZxVrRbfQyRDFqOS lEQlcH6NqHFrUlvkUZcqP+5ksAwFgdnv18ikQ4vunpo70QfzSSQoCx3q87hk5OwuwpCWPjokm4WU 16XaSpypVO5H2/9KxyIbR+ufRFhM0GSgPZMWZXh07H4xUM0p51pMbPgmgjs55HlWly1uUP5ht/VB cSa6b14fEP0EpSbz8QHoJa3pwQpIXuA81+FoqqAeQbHL625wYqI4byvIan1nvzyeR6m5dUdh63qf nuMGZGkQQeHUxwHa8LC+2R8pKapUX0Qn35d7Xa2pZjGeyAPE13MOrwH4pKz7cTEey6hCftdh6zKV i4LpgCmyNAcfpASjkZiEueRta8HX3Zh5ejrbmeOVMA00/7FtO3m1Z6fsOzs+exiyidrriICQdnD5 pPDJbuvh3k1CD8Sy4B75jwef5WrI0bD8+49aTxNUBxFVgfKB8boEgmQNOy2OIR0AYfNJOixYJtaM LQV4PNSOIji57gwZTVFrZC4kBtmsgX5Mk3HkCrlyv6L/+Fk1s02/af2UnrSUwa1rG0rFbCrEGJnE Ecx2qShaOs3fn6od3CXWvRrVN+1slriAbGbCdKcBNAWW6R8hUD2KEzZBQ6mfmmZgHzcN2+AyW97b ysQZT/m7ae1Vcn62X6VrE48WVax3f4QIwwvaOb1WMWSqN4i4ZZlL1N+e+YO2sNFst3eYckLrhf9K Qc4/kYD+1yZHRpi6pJN6smlUME6j5xpgFQ4QUCd/QbSh1NYk/FjjhycIQDnFS6bOa1Bd0vHDZ/hx 3n9jejNXqbKihcEwYGhCDLjqoNJlLBSenUgHPsTbWbfZ9Y3uPKeQ7iDixj6OhIiJW4ZBKBQjoaWp JKfJ8Y4f17/6ItTuhzoSKW/prReWcxl2vcu2CEdiQCrAg00gWm34WKvlgj5cRr8EVTddK1RGJ6IF IqnYzJy4WIoYGPKDC82Lmc9exEsKBj63L8rCQ7vUrI1U9Lbzvizb8jqwNh/eqpyoGmSaKwR5DZ4Y NvFtuXM81Mwfu10+AzKcCagabgKSksi27zrL8fyCGmYsCwip22wpVfeVl1HXwaSn1EG19il+Wim/ ulKUC2oWjr14zbR8qsG8nNFkxhlFCBWPpLic77PglGA6MoJr/CjTNr7ypcNJA9aWPP3nHirs8/Zf d+vFdlfbZzgXJwT/Ne91qVNSh0C6kabiayItLPNnPJN3gYg1Gc3o0OTwczWcSgmnWHSlegoD36Lm nayo2CZzIj9cgqNjbnxToPwutkKyPqTi8Nzl66ToYNsAmymOMx6IEwFXpoCa2HbAWZ7rLCQUvq8K JVfRdgCJ0gEjkY+JOpYbGwl4r+3iwDiCGWNyHA0lR2MAvB390crAiESCtN0iwxyrH1hswcUzkP8g N424iKKnKM15gewPALJ5XOsjM3b1PFK8J2IGlmM+Ix99fcNerjKiCGm1kIVfiwPd9IZlfUgN0mGQ PJeYP0PUBpZ7ye5AnJmIj4XVXOXTKVT0p6pkoqeLHJ24+kSjs+mPXvCQ7wiOHxuCxNLu6y5X84Jw rqcXazsnP0ouyKIhDHcOBBTph848YT8Y2xcTFxOyDRny1HVQobwOKnerP5LmORUsMeHZyh5lLhFo 15golKGdU+8zJe0ceeZ8NJ2Ct7dCH1d9yXNZzcF5t7n8RjaBnSwt6kFtYtrS2uKgGiXLoE3BBCEK 9WGgaGHUQzn8OrQ0uPeemqhPO69mabGOK4nIgnvUop8+nNSot1NXTmCfzwX5G8w2wEZ+CKSHYYlH AUhFUr5KnuUGBzno2CgDy+V+E+OqvPACxSgLkPdLJg3bH6mvgzonzRkBE5WFjXAAi5i+M9Q0c5Iy zxi8znkdb/mBjXUmc5Hq8749kpLj3WRhhj6wc903YQMJVUekgRNq7OJSgWfYYD91SdxmNkzh3QSi sO0MSeN9pYnye59O5Y203xb7I8oPEGHEKzg5GynJlh0z/kJmzsOV9NtYzCs5SVqE6pdMfRaatPwF ZU1wXO5qAr4c6i+mNqdpCfLEvUxQHkRnylQOEKvfbDD75nvfqXoft3MnsDlhYWT6V/Pq8/vGkRly jKk/8GaqqBwePT5osAlmCU/dWDNaFdhnDvxMb9VhDr53vVQy34TgVh/LJozWm9W70/h2j4U9Ck0Q IcgcvzI8jB2zD+w48zID4Plyt/LNu3B6fH7DOj+y5EUChEmtwxORK1PChOSIvsAIz0IXRJG4bDxM PEb1Bpz9p3dCHs13NwbO4fzlvhZggtlrS1f9Fm8oHCj2PbyotWJ4n6r+eXX8tBztwbA8DhU6CZ/L PUJPbPY7Ynj5KtvJjK63FQlqu/J5HbpwaECvjlVbS/onxxOvfrqAUWz8KElg9HVM2IoCVmhgdeAQ cQ/IeO+PPyDJE+fiXdvS9Zoaw668TWIXzVOKDA3FVHbPpiyfW2PJu8aFm8SkMjTMLL7ESvJEDquA VZnX7ndM/9v8PdLb7re2+CJZHRpIccPi1p+x6LJvStjMQsFe+G8jUmSt6in7UjSGBOJFIRRzOCpV JNWYzOBZ3XDW5uXr2ECOxsP/+TMvJIxb0dBxcozlMgoVGAF5UlvM3U2c3tynPFGVzbUtlZHw4y7Z NHHbezwGhahSjC+WRGU2ZMNY1FiQmxlgzUrgu6h2bPjL0JaAyaSAORTPNg9JmXwONfGxIBipVzNf VhAAnajllBLcsxLg4yy1CuIH/IzpGH8LgsGtFoOSMh8LNnVhcLZPuHZBDxkTfiRYQTbAc04hf1wc xHQ0V251/NYV0THJfAk9I+QVidJQZoxjNa/J1GnhknN+fQbiyo5gMXGlIthrvLyfGkuRpU+NV3kD ap5apxMwb+Zxmylok3oxNjx4u1mkpt2W7aNYC56J3a8MNUL7Tl6nE2T1+lvJ/B1eaZGwGkGCeUE4 KXJ2GDzvr/y7o5TKD9pvzlDlcRza7rvl2zWiXWlfxTPr3cal9rmfu+PhpOjnp5Jrx2alErzzOjpU ZCaWgLzxFyVkRHISWNJbds+E2NSAxFJbe5ayTvJmt3bLk7Px8I3lGuWGuPBx2+Vb0vKlWvZap2al mYVi/vX/hhi2neSfBYGbYeUgdAo/w0+FZ6ekoE9QgVd37cEOiAZahfoLutJg+1NGDqPUkp4uzbeQ rD5XT1ZO/FF7hEZTFA4r8ZIZE306cuOxK39vTs9cUI0QyRblm3tPDl++c4fScSKT28o4S5lwHYBZ ynWcxkek9y0J1VDzegC7gG5vEB/sd1dFhQ4DkdpAvUhZLicvWt9MNnzmc7T0lfzxmG536uMOvCML PgwEeF+wntHUxseiPvojnmM7Na8rBQhFAxG4sVxC8N03FQ/DYtGfn62F+W+WTaGmFzcPWhKFVl5+ cdZN339vmFncYcEkVa3dYvPmtcM/WyTEvubRzG6cvd2Gdk5ZLwVeNpEdHYuNFjW6Wn42CiaRtek6 w6fmuCt49n8zyHRDHiRofkJphlZzTHsZf7LU+yxIXUUtkAXxqOJqy955KhBUUkPyOVq1LBcxKr50 qENWw9D7p1jleb2aF4C8TqbkCie60rNC33nMJMGwgFry5m80NSWzLfxXAv2gLb1taC7cNmFpYex2 1QUKLSImeXgWKmp8iknS4KfxYdyG4MGZuk3tn0oQ1Jb2/+4uqSLgEq5tCs0jw6D5mI8fDghoekK8 E5C94IJZGXWlTPUQ0dF6AMmBHmoucDZqfTcTMKHoHWeJm9TKMF0GBlbxdwEnPW5+MvLfX7jNTsTc hD8bfkrlfEhm9snQfMrV/H86ey77o6aVSiHXn/nE85nQALZUUKcNMKnrEvFTas2SaMWUFP7WH7IG FTcdBMmYtA/KWf1JiTsvq0wNp/wZqea8nsRQczsPHCxkprPg4Y4EbqxbdSFPj6MAF0BNrXhcxYEI gakO7nDgvmxsBtJrwjXuEP4nbkcXRbw/OphDGztbuKRiKazFGYY3sRrnuAXN8zMB4eJ4LtiEwKpe f+er5mp84D8tguAsC95+KjosBV66D4DBh1BQXYHkziEITAYx4IaVpbBNsLqdYWx0+fv3ztchR4VS x5/6FVwUjPZ+DxCXj0ysI9JqzKeKSvIbAMBbQeX90fo+G6f3fv4FZZ8ShT0ToWeFM9Re2e2mAP7x p1Uur3W5/NP+77TgKxklI+zvWE9s5/rMnY7vzeru/DOhM5Eh8Rv6P1zEcC5n+AACBDvmfYr4XLuD vbZHojAYefyTLoPc1BUGLA6+U85RK80AnH1/8SuKRUOnE1qrUw8NL/GOEZYMrw6m8y1fhSb35G7w /FS1o4e0SpM3wbQFeHs5UjOT1OESTM85O3TlUKOrRWC9p9iLZDwRnJYfOaCULHJSJEd2BHUEXcS/ WiOKXnhr2NDTg9yL3fk78uGrjcQZ22Gm2KrasFh9koiD0qWYPZdOOEeVLq9vroYz/TUbzhqb13G2 kl653k/zn/UDL/7kNn5d+rS4L4V5UV3MCfsq1Hthq/uKqI5UvDOKRCN27nHBzhdp4kVR7wbikZRx IMynI74k8WBKjF1tyt0nTzzxrHr+2I2gitPKcEkoo5OCGpfaDgQ7MCC//AL7bz9AWNHrb/sm1j0/ pDsp/66nKoUVXAoOsd+1wl7HkW2GNtc/A7tUo4adbmR+mkfUK5dyYXeJz3yL0oelViP5aGhmi9M3 0qfOhE7y+7tyVDAjq16avmO9h0a53iDUCTKL+HBQYppj+Zm5vb6dqJpWQvs1Ffxr4VAAU7QSKV5s 4qvsVgIWwixatepchxEMfZBQPw9RjXes7E1xNJvAelhhZFz/jnnFXR35D3GgaJ+9CU8sVynQTcx6 T1qwp0San6e0itVMA+iz9C0+Q74l/GMy4F3nCOx9MHKnanK5r24j7kYnfLw2SlfyY9KNB96B9kPc IYVbZmsPRLLi1fhtTNLcQ8itGWlh6q8hQ37PrTbi0Rb4r56k21ayVib478G7Jl9O2+PfOB88Eboc VYwP64QjEqxDwqRj+Q1a6i3AGCzjsKn89EFCENF7Jcvtm2HeFl3iNyBtZmqqhd9G2Eq3y1kSbFVb pvagkHdmvQ8npSv4kxYB3AwZnB2Ti6+UcAhPEbfsSik0stJJh3sfcHf507uNx3NWMbVev9WD3z9u OVS+iUC/nDKiUN0BMQBZW3eh9yYvY8OKlYzyJtz2hAsU52A/0kLXp2GzOtgdVeOYjJW9a6ciJEcD IlveYjnAdu/TsoHE+yIYgc7h1Ctvr+FOi4a2QznUSgzBNa1vtMK+2+ZGK8eN5D/6lj+CE+GkquFl zSyi4us7O/yrmj0HJF30Ge3R8SvpYvzCs2l/FRTTKEXHYwkqp8McNjMQm7krGOKqBK9LWCxbAAOb bFeiN/8/sAv5XJvy4OiMysichCHMn3xnbfQBynDQbQhj9gNVF7VPutgrw05vBCs9bi0WeJgyo8CI hYzRNdLd1E5iGDIjFzupZDPAYr8qUtV4fOrPuCWWyygg8eBVUFd4VG8KnTaAPgx4y/czmPsI6u2n mLg9X2zsBgyWVYHKEzQSGmA2i2l7yjSE7meLd67QZDCrJLIyrzMtIjz3bl1bLOHAIxLA0+b5r4ax ESY/KpK+vssFgXdQaj1/9P+TRVnpw7WxW68qxADennUnw0PiDpPkxShyUDqdxk9+NNSsgeofvNmM bLgAIE0jy9JiM2YveafpUpwMg3YBsW/EkpIemjCDbNXviTHSnbsXLgkbEOipOxnxEu8h/6njimG1 NVHrq0D4TRDARin9d+FOkp4SnocDwVlqF2RqauYxonNUV4PqQm+6zn01VH8HPp3esKIMxEri7Z/e TD8yU6vOmRpUURGEyxYmZx7KEQLrBDxIstcHSIJambGdCUvoCVHA8ZMDeQm0mRWTizpNYv1ITYcm X2CvmfcH7V3431LbSALWp3usTrYwIeTzFIJomViafWkPX/MQ8nPxxIejr6uPeTVL+rcaPW+drNPx RH7Xhaf2ZbBAvp+XTzYlhXm7E3bSZCq7FVsF8f0ew4G+f3q7a1L0tBnIy+ZuqOLipj6DCcxgOx38 mxbtmvOeelRd0jk/f0iFEFAx0+D4xOxqzexQc2nYDs+KL9W013uLSdQSlNs15/N532uHBlFc3d8/ BN7d7EWpASbsq6OC5I5J0vKrnVzNbTPzIRGazgpRb3jmOj9ItWWLERThRTCbKJiUnDflzOhPDuJ7 HrRugnSInFST4F6Az7sp0oP0E6pwccZM5pVPlZOjHmSaFtuhdcNpU4ruBVfGxpK+OGf2tpbp2DlP 6xtDlalKKWMTpL1AkoJvPMJKmYkNVcrS4wl9ngLcd7EVbwk8mbi3N3ZvtjenUU8ZTKQFdo1Bgnq7 7jDvzdqOJsYAkQ7J8UXceZKXVezIFeb7/lMecLqvfpT+B8t7wR/pAjG8z3OVeDej2FOwGdcvNq0D 0TIrcWPCCGjoiTk7pGTBRvEfW4j55VbCryVBc3jXPuxCVerPY9vkxtGFhmF6IcBJZo67sCbmm6Lz qjhtELykff+6uDbVtAMoWWY2g/9FEPnzKmCi6fl4jUk/WzaeDFlBtZ//xOah9ll64imqxSTPEwys 1YCiO5Ux4UJP6zDX4bp6L1ePGOK1wBpxnKvWgE3oFY5vJ3lV5iF+4CzrYCIndFuJolUG/tBwpMSw rnh0RNmgLpmRUDNUYIu1oywr1lNx9nh5BR3j1iQqjB222L6OECTV8gH3TDVXDY7dUDxBXnYQhqqI SdNQMpfwxPtfXyd2AaNFIBWfCPO8Fn9sNnDU1HIShrEw9m4Ql+DcbQ4RuorStFwvsgIgsNK/Wknz vi9Z83nfr3SGyf22NGvd20yKYxDP8DatQdrSXkuFFuyjeV0UkeuHgqPIyEeQcYKIoErc1AudxtU5 A5Lu/phwa3csEJ+FiHQjOcTU3jggBcNe0+xXJivzP/9fzYkadvIFmGjrYYzE3ApsA+bUciImIzmC 63SM0lp9P/X81mei5CcCqcU1b2p9h+bqXuyurUpDK9W3WEZkaCnwz3GkIa5uOcfRwRXRiODwYLoJ iiJ5Ml6xrKGrvbiwEroWE4n3N7QV2+mTU3RJfMIo20Wfu5KixW6my+GpVizhJAywlMubcww3StRs 5jmvP6UFdCBfXQYcEIn8xVioCy7vBw2chADgF3OdtWV7wwc8Ct8HFkl76m1IpSBFAFfhQeL+Jfaz mxtjhtf26qRCtHFmpiKYtBUHBnY7vHDLM3Y+sfP9wvEeXXy3brulavUqLI6rGw72KsFTQX61x0eY cVC60MMVGKWU67sJSe3XcsK8JSsOYqz2i5jjuDf4CYttdYsg53yLPSUX4MW/O3cuDoYE9OKQWFmV MKEhdZAZs96lirCRdg+zFjdjGw///uQWOe5Otq+lNFUxsXpWgBMNiiz+KOsL8zJu/fWfxN/57RRq cAAsY89QSAI4g5X10abMh9ZL3hiJ999cfEC79s+Sf9HYzgCuBuJkxWfwBCdehc6vhMOl4gVRZO5P YIL9W+Zh7544X/veDhzt2DVZtcWqNEpTlsSfsOKNpJLJb9KKvcuSGQOtCYdonZs1Wo9sMSOVGYrp ZMs0Y4yKdRBQ+Hl4BbzIU/iMggzVDeohZHc9hLmMIGQ36VmSK7rJyS5IExf5e4RyoG34dfdj31Fv BQspSazX3jRurvuItAT14THMxyZBKGpPt82B/kA5SQ+W0Jll+JPAiDkROHceWIyO6hZa9Qs4UwZF CsO34mle9c0uSBFcLycaM4SxzP3ncOJRuddydwIN5hz2ZSbvpXpQ5+NPPqt44weU0Grtlb30l785 teBn4aiSwEnty7Z5NANxVlrJoxWR/WINvtAM1q7eZ2PtEXzBAqUFFG6T1vAjaMZ5sPQS1NAay+fR 3Kz+SljvwKf8r3Pf7927J2ieW4a7zr9UpduhyxAvr2dm9hVBBbhQmcRV0A7cUQVLpqQoWy9yEhzI 6Sk7JZ8WtAgOJDlVyE9FHTFB1dKEyyTwaEiE0mdi4Phpwiv3+ieTCONH42q44diQVJ9HcssD5YZG pQTpjU7AV1GLc9QErHQpVf9UvDZPRDIniccvTTfIQoeDgqTq6Z0GI2RT+hXIAQoiq8jSkDa3+WnE rrNmtTG2lJX/QMXe9nuDW3TeCMEosBFoXoC+kO1h6IEa6DyMq6F1NE3M/+D4SjlxfQjVJPqnUDpK tF1g3xfygcG7Qkvo8yr0lXtdUE3EnYZ9sPJibtwQalghdcwRI9Erg7MzB7w2w3BrEKUy3YwEtY3z s+nKJngsMi20AV4ZCm0g9d616Cl/4BEIlaPKMJKl7Zx2pZV5de3s7OVCS9f+SpfpD7x6zXiyfJ78 j836mDZrelFoK4bScT5F2NMBInJPDHeRpjJSx0XdrPPthblWZr2z1HZNakvRWM4ftnBiTVyKd1MP PKWKBOsZ1nT6EIg8wvkZIPbxp4b/uzIffjfMxPhnzXgAt2zFE99z7SPomfjPuQRMD0VPHqAnVn/M secDpcUM4fRKqmvko5ObWydcTk963RnUns4Nhfk/PvTZWtZ9RooqMWAKkQlUJdYAWOOR/atvpMbU yKdNUHfzwNZ0z7lC3UnMoAiotCVbvFBICCNLP9/LNsgirCnntgtVSHDAAc+Phzb0QodZA8Dp3oOP 0IqfCnWCl5mvmHlU6LI6+4z1WJTm56qWY0K9owLtoMiGL2OlGfzgQO/GDyxPrKD6a8Ak+VmsSDuA jY0p/sblo4VevqJqlQVjnh2rpGePcC4VjMPJG2YU6axcfstapyY2UT45ehOniHlBTRdnISxEeptT sxb/7vz6pijkLebxx0EBakFUV1BaGdkurRgmajiWDDfk0CifpsKiTBDuRJ/9Iwt9xwNJlJCiIa8i DJhYcka3Rhqn2Xv06v/zu4+Z5sk0GfrV8Mo5IlGKM5J3wJ4p0OjSvD2vL+bigjNEwDWMvOx8ot+N 4C+wqadiRkWCVjXF9Xk+K361ovuKLqFe8ezaHP873UpdXJdyF5V1toh7m31Sblh8prEuYUOcpi7/ sRzoprQIk4I0II4E1nNK95MtasAOR3KPoE2OBRTKq1TOKYwUo3xAkNmLsaZCYBgyVe+vYM2AJqXt +KfINZKWyslR0pzA3BfaGqoXhA8mpdaAnXF0FJ+wkX+e8bZr/An7vpeIBUGjGtvNq6sC3C/dz63o zaQvQNPgLRoEoHgwc68sdgWgrgkDWFngZ7TJqmdS+Lo5rpckODKWYE8vZu8HCATr5/oGyJyfblCh T9fRfyWWkpbPyj8XzL51eYVteNkUc686SiSS8gD9ghdFDJOq/O4jfAIejgcTh1qPwspn+apjhkmY 5RFsQGspg30MVu7I5stCWFTN/8zTulvRmo8BQHpVAmSe02EvsDpEhkKNIbqQBcaWJrFHSSSggMHk WC/OojjLGkZotXAqJ7G5FtZrXjpwJu2RtgBbZX0eBCJxlXQV7ZpGTUCPDQjN7tv6MPuxBa2hobzn N7RbVpXghWVqYOH2+4OOlQn5BW+DT5/RruoEsZcYChZRPXvLSxsr911ykIT78G357MMFCJW09R6u hzFlkWO64y5LNh4iNFtf5nPtuMJAwDx+g0IpOfnQnTJiMsEvHfv5qCWaai5czOgshv/H+t5+2ZzJ Ws5aFzL8n0d0JMjGgoWoU8uKSaSRNUXC9e/fsjzTHuOamTvDrxn6vDPBnwTWzeNijFt2nTi61v+p zgEZTUstg+28l4cbNeuPum8P76nBsj+1jDI0jgsxBPyUgNbvoBOewke2NVwcA5zONH8Hb2k5JyxQ HKM1fvG51v95WQ7q3NgDRCRrx2ROZ3MmfGiOMZ6BDDFir61nqJ95Q0JWqyXJiXFvszuYrh4kkyon jJXk21pXt0+fS1m3I7p3XYdi+vPmgyIqW0jX9QkDkSo749vNbi3PPohdH0yW7KizaZHcmV/U5Hc1 UA3taZKk4cChovIIo44MYdNe3+1uO5R5aIK6gknn5KJl1bos0iBItHQd+s7DcuKR2+Mdki5IwNo0 CzUm35ED/fUMHD4VJ4P6ph4txWrBA/Htq3v2CLfz1MIAHM0hLDVkooOHDeB5ixq7/2H1oBSHiSPt 9CgfnjqEhy4VwW6z1OMJhxeC5XAGktdTat3uIcAdBMLmw98ZzLFL3/vadP0xxTk/q38bwQ2NELUq YS/nghc/+WQqmTBCWo4aDQmNfy3Mvz2rYe1zQ/6HmyrdX+h1/H0EAaT+XvMSoH8gU1t7NlzXPGUJ sMxFR4bQlcnetFCg9Bq1has7uM1sTzABhkHkXVbfllvhb4VRsPsoUjkmcUE8uu+u0bdAogx0wiCG dLKppfYvu+pDBBbYE9oJRM9YN9dnu1vtNP30FTk281JqHJvYYHpj04AEpu60aMaskIc3IAkgUkmz xyLj5O7csFsTBAngXXiL3+hWX6xDpQegeF2P5nz0lfMzLswMCN/oeRU56857oGIPKwlwZ3P9diAc tWmDb7CJTKJGhFVFFZVsoTum7mrshvTgLYuFZRkw7h+ibjW2Ef/P54iUTBL1HQdu9epPuRw7hnVz 8TIlCuhlWlUeyDquIhkETCsllLUzP/CNirU52Q8CPGrHduOfVaWGIDaiHLnucuthfOA/Q34BQ4ig EJ4G8p8/7moB1mfnfcVzEwuAjjYXdlfow9N4yldygTdcgp+oyuJo368JN1Mc/3wXt+nxDXhAWqAL Qp6V+9LPuv6kRdLkolBT+BwRGQFVOkL6qWGQtBRrCSlU6W92VqEjeR2KYQzwOaZD4tLzPgeu/+4/ 4NIQq4eaV7/SyXxsElOdN6vSdTFK3U1VnAyTBgxAux2n+VglwQxRDFCOyWv6WhdS5Ko1dMF4YlEt IJv68YUURC5IXDCX02qqGqz5k6IvXg6+3NbbGsCXR3SvxvS0mQgIvMgVF9/v5K8DCvT1qba/d7yX f17Oli7u2aByarSANltCPVjsJdjvclfQUJ2zKdU3g4mu31k0jqORmU4yuMi9tKi6j7rJ/xvuym1K 91PGwyw4XgIDgsj/ttacIEYdWlId/VMpfgNNKsnMPDh8u5pQR+/evgcR5LvDQtn3ac1ZjshLAsT4 LwDtYilZU91zQIu7Tu5sWkw39U2BG/icvttbC1qmrTvPUH+AXV3BEEIvtMPO9mWy4hULR2XrAZTw oRHnRBmaOfQpDDo5tEMxQqlF3Y+tqzjcEo/0ek48MgbGadwRYvewfVPuqZJTH9JGhG1/x9a/853n nIHwr7vaxhq5WxxDHkagkRjmRujWG+tzl2YW6+L+CVpFY2i4dvKyzAg8NlHGmE7+7RED+m1a7Wyh rteNJJlHxbPa08JVDJ8i3bkZlp/CetS73Dbo7NEWo+Xh1Eiok3DeAluXB4Sba6p4g8NYeO9PiyWF mn2Wgc+kTxfBaZsr5TIp2zPJuB/8HQXLr+7PySbRLLDbkDZT8kQOrryeimeqKr7l8HAePgYAh4RO 8/CQ60w/XYe263U2FgJxhxCDsRV/d9WbqquZDNnUaX9zDcEV/Qro5Re+iXax4dyJODDS4xsTsxI8 Ruzorqk2/4YOvG49vXpOVaxzUiH1sggCKvifAk6RCoab73qONnVIIagrKjA0u9xIQeDBpwHjg/YW QqKR7FgqgCyUWJwtvrV9oJjhRMnCvm2CtKjkKbII/Ix85zyhhITTuDF5rPYYI9haDgfAVZAz6exX //TS3MVDI1sHgo9pLWZOhsAYRXiQfCbG3QmWcz93NCuuxudwSEmcnkp74zsBhk1fmjhZX1HD1o+d 4njAtJ/CyKHtYOLxa69s0uAlMY/RVhgecmgrBlR3IwlbF2F+QAn5d4fMXzX3otGFzRoA8dgI6tHp U+viU+579HGbnqdv+0EO052LEOLfCHIExU/Ie7QkRSLtArkdHdTbCevvWNMCngDDiw9OimS1JU8K 6tHk28dbog2xL+6KqdeIUg73qA5cybMOKrcwV2vqhKp1qlg2WKtnWYO/Nz1j5eWaic9255EI9FpK TjErzCk7kDboWBZmwIQ2rCi+8GweT60mtr2iJUcKI9PPxfRab0I1PGp4s/JmKnTzNvS/joS7+82Q J3N3Ma7vvo60kVROwP1qEEUOttc9yQELE5cFkW0TzM5Pz2j0HPHgB2A/r7l7UsZqA/AGPlFHvCIL ssqPL/4a3LyONfe++7DKBMLLh6qGF8oy6N72Z7EOz/ri9gswwdahuj+kfDmh6yQlZsjR42hlxoA6 ZwMxG/ll4X6lDGaFz7J/mDX+dg8AchSI6U+tP9tXavOZ7LFViPWShMC2moEdHNag49qH3DfxScfb Q6uxJdvVOtNwgedMxeHh/e2bs3ouZ7UrvMoYaGdni3Bfjr7kyh2KV0IdNT3AYFhfW5hJu9lW/zIO i/xnYzsiNY8nbVDi3jW+gwk8fd1g9FiTYHEYaOlS5p+Xc/IS9bvn8ZvdCw6gFR7NqQ5MBWTtArB5 hxLlBuw5lV978GFlC8TJ2aoib8xGS6cN2Qxd/LzAh89Mf8DfcZFdFNQ9hU7mWV7XVy9imwNuQWq/ C6+m2ojZc+R7UJcHHmhD91veYzbuxx13qygFB3PPUzz/CMYeV+Ru/XemQGWbIWuEowx7gzHKrSaB zCbY+6WlqJBGi7y72PFQo83q/+RwGMzd6qmyt9ResfKCiS3C42ET06PxSkUi4DUT1ODBFsiSr4rK SO9VpC8PvuXkROQ3HJ5OyVkGHssKzn7nS7tCvp+xKr3wb/APpmoetkuG7II4FcFoa5OfZ7u/6jE0 dhio+bYruqw0nU/o69qwPwNWaUcTJB28lrnA7m9SyJc3tatGXcFUBTixD5sHN2+EYqk90VBuDMNJ fNFcVKEoGakSPRBc4YqKCljW68iTWFO54V20nnb+SLKXhxSRraNPRJVTuTkvGof+QFHTDgWR9Rwo 0HIkmq1nqVcy+x6RYb+VDcvvl3Ta7iZMMvWHymCpqE4O/+UNnAPt2kD8WNzVdocJHHULpDYo7hsV 47zcgXJJQk/Uuc/Mut3oKOLOYgC38S+WxfjmzApbSEMlDBeIiGX2o/hq/gkn+hYa+j0waBFN50So w1ECa1iZFtSrx3u+UYcQ/Z9ldAhaBgRyQgxDNvgsQyqnwvbXL/tN7pnXmievoPdQ9nPvcsgzQuXz aDOH0AJ8/eIKXUoCvRKNT0ooVLNbEgR3/QW7/jfGiFMedCberIvftVDXzByfSED0UOyjC1fLesvl ghNpB+/Tv1Fsw3R2MrQgXUBb5n5F3YN0HwiaknRnq8i7cnGshAFkXv0xA1oksdnCw/9o6tCRiVl0 XKOrN3UcTAjm3nvG90G7hTJ0HmZFM113unTjRwt5C+C94tqIRTDbMC/cxZc7NlywadCuzIvmx1cF UPW1E7KmTawgs7qsXMjuFSl51Jy4plZ9wCv/z5IE+9zLktmHO9p8QkVDdCDTiGi+sG85nxap8wfN V5QfQatfDyIze1aztxKHFKp+abGaClN2Snzzlvs0BbHeJPAGuZ0a3SvTiedYaXmKZ+oSY+LlPQWL lnFjbKWqBqQfvnmrcQxrpsT6y1XaspGlRphWAaP+OgI2S9BUWBTNj74CC523eOzR3Ru258GLS1wb F8c1goUReNW/DX+zV6ZwgAB+y8uThLLII/tmYOKCMuSgps17vTuNuR28pQoS7okcvpyR5cHts9Ao d7frlmpeZHUuirIIakuYTHI7uIqrrK5UHtO7Yc88obWCPvFPFawgkoTg6ebeQsCG3ysBfCSnk7h7 bjySvrkzEFtDqod4H99nFJn1M6gwOBKa+EgF3z+UE7FKnUMBUvJn5Yi4B4jOIuxyUIUnARTYPTof Xo+FpIwBCl9Y/YiAHymX3LH538qTHwYfC61gyE9nknRmkBfd9BReLeMX3UijbOlrHxVVKPD/PhTt FQv65NNCA44fQA8omWQILzpSacwdI7WgDH0VpnTVq6hKt0tswbgGn7b/zfOtlkHitV+JmdXOcc4s w6qMcFc675wmsl8IwqTuPQrNJx5bbjXjbksE6CcViYwBzsHQc349y3KHFwgK09vn2SE1Rpve/jn7 VqEHv/mq+gzaANSt9hQsZsUtvx83vwCvSvMzXUq2Dovl3o6/etQtf9ItVCTG4tMzakqq3MK75YL6 rLenAq7+m3BvHqzvhFpWYzxti2HiCruhednsUCsq26NcrzYHBCyJzwPhyNlDlbd8AwQgl6pSXBmF +Xb5em27fBF7xmjh3GR+s00cbMxhavN4wKAqKlK0rHw5gpulQE9nAVkOmzZ4HYKFOD/gVzFdCvCa 2dBu3WgJnVmcV0zVjepyyzIkmSIN2Q2MUPvyk0ctoqS/3Xz5yeaLlAPnjhfakSWoqVKZw3DPy6Rk xXBB/Kis688bPDcFpoH7I/XXvVsM1YcFmFHk4MfYFclQxxqUoF35yeJ2gr9MAUT5267lsldFEJXh 7Y7V3H3gXyAA/bfdyXdkdHNoqQ0uDV8iqrppm1jGZJMCkuWUrsbfych1ZaPFJPL1XUgwn6+vSaWV 3WCDaCaoQQC5Q+G93B4wjrlyAbpyNMsMtuPl2kop3bLqacaVnViVZ+K4WF1UDnt6u9UA7uFqhsJf 0a3o2hWbCS6mVKdnlgijTV2GwPvkAW3tB9fiszSz5ch1x+xitiXaV8x7t8plo853GC779qzoKanN RIQo+BnR7TAdaCgi+ZurcKKVmNN7bMbgNPolO6kl8Qn+QBky4hgp0pl+g9ul20xZzkpbl2grDPSX OczW7sGgNrmUzZXdi9uRy62loQ1Qgfj1x7omwxiQA+Fo4qtnG4FsAUFZAAOtk+tGOchAOTCPjmKq jMpCZSYyAqbSkldk8K3OMdiw0JG0dYewpdlqbmq6illdd/GFzArHoE7u0svIRjpnvGMdvJgAkO5H tWssQEDS1cdd2kJnJtQNzK3SUG/ldFJHllqI2QFCePXSKUIzHq0tXSnH2ZEFhlzgDG4EExLCb5vg bdaEBQKE+T0NSd6+5S4iYN+kIT9TJWzRZk0XDGG3exQa24lRbchJRT/bqwgHKAxfHxFDr6QgTuVq 2+tZf5/mZZ8WnuDQc+FjsZyKes3lPwnCgGgU/FUEaq2LYegGJYJ/qP1nmIdl+Auhpfz8/cv9ZrO1 ElO6Iqs0BOTEaKOcEBnwozTsxqniQZZhoHCPNjo3/A+MNc6/oR5mg6In9l49czrf0SJfe3XrWQEC 6FmbxLmYHL5av9IeYQov2YYrkSw9MrVy2tNceoKE2N3LyU2Rloug74Kgt3NTgHfpBFyaYsYf4o9U nfNmT2awjdOkndn3looI5nCt65ZW90cg4zJNKFRbGupcfauJySN8CcvJwss8wFiDs9YGvF4BQKfG enYD6MScaicdwqkQBQ0JdotMtndEAsML963XGVnbekyyE67N5KbM+W7jpXljqb841mYrM5TDjRHi jKtGUjD6LPQow1u+CpOBn3COaMdHxJppb56wqinK114BMPPqO+mISJRCWMOhuC1LcDZJKojBlcjo pc6UWJNrYRoGOL60iaT7AoFyF1UuljjnL3Gi5h2jOBSDEAvy9BgdH9jjHXEUygRPZe2yKn+i9rqL M5F3W/ImgLec1XKXka56ckHGWErSLl2ofweoY250rsgqTwqdgVwMaijMfBZWShk1OHSpnx81Sovd CXtPwS4qYWx7KP8nOhKzBTRt1TcrOroMeqfOUTKbgphpe1MaoQoa0VfeBMAsYDKxj3CHIa26Dmpi 9xSJn5OtiFV6mvN2TR529+UVBP0/Lk5gJEVNs9EvC91vxO7y1Vwb6aGzRol4XQU3jFzRHnLlGrkw YQ/8QaI9S9mnyanKPWT0IbBott1yPCr5LYUnmd8IO52u9Fq7yQSrXJipTqJjPUNOiAHJsJKcO1bk AO4hIjXPHo0SOh/HSConfRSa6QIu9Y/SFMAhiZe33WQxWkMV09LW2mWaevqd/ZA8Xk4mYJBAaudN 55Y4OOGt/o8pXaZEBk0zbJqgAC0GYKyFtX3Mo+pRQ8uCMxPOexXCKcW6u/GCQiyuNPMWCZxCKEqF uOUoYrEHyDXozQ+6GG6JcLqEV3AooCzQMilBzr7C5WrICFzfI2+O+s7OVMEkqAVhfSr4t6OGqp5E nc5q2BjMsq4+JjjIgeZtldPeRp922KvO4rI7mcsqlb4UuaIFWkc+3+TKAZGoy6hiuZKsamy6yle4 c3Xb0xdQ67fc4mDmCJbL8YCL2S+u0jRDzc//2lIYlZl+tMFSA03AOT4mNDdeJP02F28qxpMWCNFr 0iPXpd5foeOL07SrgLzLV+p1mRQy4MSxIHNUV4QADL5skLd8g/E+b8dNVTRGx+9ZTgDENbNs/L1C so0gm58NvpeiBbXThqBKhPlwx7slq1RGIkpeWSjz05qb4EvKmyXASOIHneEVEqp30fPM9yMiQWn9 pBcq9+C5Af6jUxBbpclRaJqj8zhb/CorF+xoFfb2WB9C9a1Die8C2p6tf9y1cGDDmkCxpfboEsr+ kXjGIcrV7kc+nsd1+Gm2CUT09lHvYq0dz6JSOj1gHj/2HtdeDMbRJ//V7BwaTbhmCASpBu786Xl6 ixFceGi5E2nHDAk7TeVmVxS3Vb3LyJsawgrp6x/4gyBdOyaCJgX/hIfyBnGeOiWV0ORbIERsjOqp nDMI6vhkBsBTSkM+uLCL5bmo1uCamRaATkDerXN63yvzM+hyd6O/UQTgnyNDBwdgb5n7iyAHj2Wn iFpoSLz1NzNxiwaKPCpv6W6SDAslMHr81Kh3oVEuHb7At7VurIjpUlSQe3nTwFmTHte/SqoiyZ82 heGoBTmmayZbGWyKPf3tbXPludqmVA5x5Xm/TZHhvJYF821vRr/983A5zzI+40XUUmYuMmgh+pWN Wpz5+HucfknWbnLF1iKrllumHPWgP314dG4SXjHnz83UTm1L+vraaaxtUEIf0VcupIuA8t5YcWnh NIcKPAojdgCxWGgwc3fsmlk/80D7O+XSQE7niUiHkVgYtgwN8nz6QVUzMDH0JuWPr54XmxvG6Nd2 RQrMhlk1kcD3LAvrNb7VBwKQJMshtCPKGViG9o55epkDcmYZfYQehajx4MbNLYEISed4slDkFZPI cWUH9TmJXyBvsugGLa478lmDSRWQMKQNMMDpH8dvEeVYrnhg1K/S6kaw6424OPs8H9+vwkWMrb2q PZUGSvCDv9HHpcTSy8Fhd2h7H7u1xeWx/21XUJjwHmv3jUzqP6OWEmQDadfE2OytMWbrSjq+0m60 sC/GtDMKohAzct3dK23PRHd7yvcBLLdnUofU29igcql0ILJuwKJOSNjg0V8S0aHrZV/hjinKcogU LdVFE5Rb1VsxchfNZaBaucmni8L4cJMYOK0ljW5kWU/oxJGjjZ72Cb2U2EDkLdsfSfmN8Hrk1eFe hb0hjYUgtqivqfc6/irVuKQFBB2dpES4EdPk7DvRM57jhEjNWluRsynguHMLperzFdx8IEAr7ENf vIP06MRFrQXx1ipl6vxaQgpVxm9C4D0py3ked9P42iRhrBOjBc5pIRvKki9j/n6y6j7n1ty1BAbe sEPx+tRa2gUONol1zh62wzBR4X+O0yoETwW9E0M1S/Bx7CgGIrUfYb7QzBGKG0NnJrhf6mvKp+/n Qd5l65OSukf42o4KP3QzhaQb2n5HaWyQq7DSY8uwgmtQ8P5Qc2ulPgxShv1EBfVBeQidTdbCrA6H f0yCLguAMjc1THFJy2C6msxw60VNZ/kKFGheo14aHDx0QvoaXJKha7M/NPW3heYKp2VnX9wAkNDV Ry7SMJCeFUwC1F2TyO+xI0g7X1z2ft+YGTA6QO+ILAIKrxix/KoiGYkX/LJNKrdKiNQ5A+A0QEBC mEpkQqRtW9uuISONlmoF0pRyVhI1yHmZEAVGQfgtoSnuPFysayQ3ZcNKuUY1PoYHApLWTgLMDLpd zeyOMMSxq6uW+QNulA23OIikbEO/huSr+069FvG9pIwtIfYqvyEoKSgyxnOILL50nUyuJWE01ONg mkYO35fWYWOcfRcQFsPClsSRwEPOfKHjlqW+WqitOLO6UUec+6xmdHPkRkAfYC1laR7scxmwmiG2 Lu4czURYbV/PeuCNOGEEXZ9pIldB1hgxnehSDd42/BcFcH0C/hUJmx2OeRqJ4UYxxbhn9OGhdwHg GqhkJPj5/LllLzxuDrWHCXI4KCip44bu5FQUIV9A4w3TGalgp/zTttpWy0CSOPRYGEx967U8QCqJ Rz0+g8A13vKNqkdPnUFGxyU3Mm9AQ925p5mzvA0dBHE6XbJ5g6K6e9rD95RlsjjDVWEZeBEubVkZ f4sowI+hDrRl6w+zvQtmpMDETncwFe6QN1A3hgUWAIp6GsgBFs5xLfwoNfVNcdtafCxcBlTSSj8g mqFM8IOVEcXF/F97663TbnAIj7JJo412Y1PGw84tt3sr58R3ETRiFhe/cKiLIoapbFK+bbv19A6b VAvZa12nsJv70+ng12Bp1BDj4mqzW1+87pGjWRTVmrVxY/HRGlJKZXESglQVi9IHXZkHgw0FMCz4 70mI57ZDEHwx7epJyfBohI/GiQKE+WC0FtmYHmr4SXuSbqs9GJnnhbkMti7T8m25VOeBRxZ/obV2 kIBOiRxpDbgRjOYWYSIKuMZhOQ+jXRF7pJQSEx8v+QJACHo1u/vvRepK1puwUvWk9GEAJ/CUS+OT h7mxeFFphgB9EA80JBSL4ukutFTLwDkPhxSQYF+9zSwb+eAuquiuBJPwFMqzxVZPDUD1w0UkqKYg JbkU+uuoDwBddx6iJCzGIbwZqSn3sicp4rII+j5dKe/pLVUI+uxRWKuKfjBP4B7SE4ADZkGvfs/I FBSKErXpPOUBptjojxMHEPFZYbI2eMLTlxBfx3OlvIsc8mFMWa556DnoUCZgqrjS5c6lrfsPBIgp xMCrlgMsPxojrNKDhexo4mVfjhEUViA4uCCTBljF9HyvIb6tKz+AbCUFobJegw8aI0z+WLlll+mQ eXuzeAeaf9hzC04wXk/M1UgibPetIjE7V2P2SrFg0mpI9UXUgzyORcm/s52LSnQ1rdtJgsw5rMdc xVcqSgiJ8PJVAIx4C85ARDXGiWP+PLPKrjvuMssaCc/YiLIxjtYGqNX/OV6chFEU/iEhPkkNxWf7 FEt1zLFqwnf0Ft8zUzhzsL5cczOxN77mGU4PZAYY4nEKQ7jgMpZZeKN271p8bCwIXd02ncs9+flV z+FYg1i06UEh4FCBOe2TWpZWRL85zS73twAvw7CkxwkBQCMIQtqLq7uha9nfGkm6dV7jkERDVPi1 MHzC0zaSaIfnvkKJek/1p2eQ8xHdct2aYdSc5UMiGcMgLF2TQysYYqk9StRyJi5Xhr48Leq8j200 zfFszBYlbBRYfQReQOGyL7ZMDsWQc+xqniPhDW8drbdMoD/iCl6TnFFsbR5oTY78CdN9mnTldhSx lVp9DwyPoe5qo/yUO3owO2VELBZ6qT6o2+NDg97mutU5MEVu8EgpSOymkbk3HYPtkkgLgYkTeeQW tVWE3nkU/YFkK+zFbGhgXtfd8teF2fvt6zIO007QJYNqLq7L9y+omwLUVFtW1z39gQ7ArM6J0ZmF 7tg8d+cxtO5UdZNQfkuOMjipEo8qpc4nUAqmzkGz9rGn2U/ahhIRD/I9xtPv2BuhO22bEyQPK/ID MOSZL8LNmcqnoazbuWKlNGkkG19aOXcA+6YEZWyCGayexFW1F9Zhgc9o9CyNatU1gW6tyFcurlhs 1rXeOFxDTvTY418Et5XzZtSfCb2BF2ot6bDW3U7nCotelU0EOSuu2Wy7tXGYZCH3MPrRRNDKr67F p1VtPjbEjzOzEoen8JFYiYUGOhJdv9VeRWYBA124cAc8WhFXyeBFH67oFjb566ftZeZ/pgd6ZK6j VBl+EgRyrcrEroKhg4wOBfcj4cdiptwRYn5K+agjHNpnAiQbCQoPx9nykgeHAPIAyB6n8PgkiDa4 mPVGLeRXFH9B5FRr2xHsn484zz52ur8uuR+BQdE3iWByR6iy2r6PZIsnEGmBueOXwmXaGPBCAtme QCG1wfM+aEf/y3LkNtgarN6TcQL1bweasaDC6o9gqiV6RWk1isDyTWSRR0MuTv7pIceWU55UnFiw urBhI85b6zh5I7DE4TaxVCnxk63vAtg0GUu+1AQPmtu1nQvGHhk5zXfdNpRmegxcKcxH1iI3mdNb tMGBPl19+RDzIWkrmSOCUsf56Wp/YYAhIb+ahHRBCMaaXrA4jTtAz4W8vk0gw4aSP0xs5RAlW0+m Spl6R4vvJtZI+0zTaz5aGXlRRvbdYIidRNpEFftQ8qYocZ/qtstZ14Efhp+UWeyHwyduzLMhMQvM +EOoFZfLadrHLE8bNFgPWVoc+pms0LHJocd+iqAIALMEHbkwGrdDXNoWSeLT72ybD1fTwCfbSO2b PHSaz22XGRE9J9LU7s7eFhgs2hrjMg5DxLPWP49iUmeKY4vSuYuUPV8AYAUeh1Zys8DkZdK7Htqn RWtRVhR5YoQhQ8F0ozPQOSNlhRJQJh+PU9YK3SPkIH8dKSOdhymTIK42HqkfM0Uq+Ak/0MJALaBN gqmO7ulrYSgcsADSnHQmvAuBtlEz59b/E7ul4oj+FYZ5kNIZ2mbjxZbTwrZccGWpGPtazDsXAd7K 9S4Zpxfx2MiFLfMLKxVL85tuB4MzZwNqtrMT9jtt/WwPSLnw/Dp7DGqqA/OpcWc5k/viZ92UCvFE aiXfGpHEkakcNQNGB3L9ZFTZ3jLFEsNmR/7xeZxZGFcl9G/hUbCyGVFnEsvVqmN1vrLd/FQkvqqV liD4Zc35FS3cOHCGVxX3icsoHd5nbxsCbpcRODAkOt+8906yXvu9skAh9J7AfGPT7gEvGfNYDpBR 28GxOwIukEBSmvU/zqaeFlsF05sPsOsd0iEtqOKjntWa1rzRNDRFx8QajMdZBHjdvNUerStVjLpR 9XgUwviIucIi7DaYklfPuabVXl2DkquUwf3ZDa1aoh8AI0ByUNiRq16Snm6WQtjF/9kupFFVmk6E ugU3KxkJQFi8pPgbV8GFEIgKJP26tGx9Gl5vlNkNwbQlMHwUUw95Cs+C0lJwtu8UawNTS4n7T0Dc ghsT3rw44rSlwlaFdumoG9pthZdpmvQw9e5sfa6HyyW4UVvVtPiKhxxlaC/rcBqqOUPBDzsUAVTd 5eTQ/yC4QzmLYre6To2SoAxd6MC+8BcKDHm5FAmJ7JQn3gDBJ+JC9Z/GPAz9agvi8d6WLk3APhRa Ek1Z1d6qHDZ1P6IOMMYz39HuvYd1vn3N9m6O3C44Qa1u/Tqblf9t1U60ngHIIa3gwtQTGZ6+ZTK4 +irn3u3V5wJlRwOX7kdN7xVQPaZA/SnKHnjUwxoc8DEs9T0a9ipQWN+FYCAnIi5BXedZhzXK6NgZ 93qbTOjEXSzZebvAOe6+buVQV5I+W+w28AckcXXeWUM7Q5VzVZVRmVTESBMsxVeTjU5ddGgwrb8O d40DL2ZhS9Q/cQEPzLSu5FKHLmD00luWMGaaYqJWECgGIu3oLU84fpD3vopQA5WziyES0HgiegqK OG08EgjB7ijPY69umLjHjMFdJ82Ynb6Rw8l84se2xHs9QAiAi72sGVi/2jBAAT5gumQLc2upismy gGLqPa9OqJ9CwEC+Lyc7i9zk3kB1gTgEqDW7PvCnIYSajuR1gKN0D2X8MaEYUcr53/sInw2/UZFL 04qzV+Kv6wvPg2g7oNOOhUSD8wmviah/vYtV9ah9N+BsXttq2KNCNFUdtvg0gvjFpR6GoSzksebY GHl7bHlfhFY++G6f/3s7WrcExeuVm79VphZtKQtsJOVIlVB5dGOjkla7jsPhliM4Uegv1gQxXfe3 wcFOFq4dboZEBzAinGVymNItz0lDEs0OLE0GaDElhwtR3KzYwfDF35jF9AejmwgkrKTa8IxPRV8N jKNigvtd+d+K6mSXRmRMBdXsqWGgeHQvVtn8bNKBTFHe0oyRzQt3CvxdcAylNOU5v3gNCeMIfSdf evY6yxKpLskGL0YrIQQhZOpgu/bxcjHhUDBUqSa2YRKRdkSiWyqWUzO9j6GouULKZsagOkSOIMIU zvnHNh25KETsi6yQQi8unkMRLjdyDLneAooYgzQPVE8SXLRs8jASHtlHS1nXZCQAX1qk5A6GphdU /R3zBAveoKWqFB/JaueXTttC774fxB5rPXdHo7jzXCiD3tAqCqhbSAZgk/UPFBVt5qnzR0l4fL7E h+EAdt/9qcfuX2x6gbq1ZA675JziFj5KCaeTwI/Na6IpNw8EMnjqtPu1rAiVgWo9VIsR/Lre5OII spR+Ten1X5Z3BG3SHfFQ9cRnlg94Lu9ZvtKNnq/HktMsD/mZMVX1KaTZYw4jWcVbevTmE/bPVmtL 681f6lTr8n94CSJxQ2SGMASUpFNqR8VMMPhgrIXvtRxw2ELOtCP8Ck9lfT/aIapnKesKdiO4HAU0 9MtvayW0Lego3UHkPKr897t25D4+pw3QpS7oBVFaYT/8wf+9GLTrhE5PgnQa6RurPjjzWIiJC9I7 O7cR4Pbwf4dnV5V7i8NhLTj7YMWzwaU/tIJwaFzbdFcrgqn8Tb0kRQQsLPJtz366QLRkpFov5jhU N42d489e3O3bigSl7G5TZIszS6Jxjzv0/E4OJjTKao9lnN48t/IjiEOr4HppyX368DrzeY5yfNfZ 4pDHDDxf1CnsOt5ZCSi+oGeGE/3fPHaGD4akPDwuZZWd8CCPA6STrXQKtoPYs6HY+gpLL7TdJnAC TbxhKvIUiGwaezmCUsfi6JI4/DyRZdP0EA4PPUKMKE1tYo7FQ1lEoMCjGaZ271UBU1/iSXLrZBLv OAvPrDoyxAdVT8ha9znATBlY5Nc8Gyejyy8I17FZBGYO386HCWroLS/f+HLqioAwIivQSjKt8tdp bT4IDCgKQAc3YTTlF7HSEArhtNmIY7DfAxbynih94AqpZ+sN7V6vX6+KdVBHqCw6IQuo9XqE8l0J zG9A1v6mGEHjLClYdDylICNnnYvw7m5ychLD7INE5HPgodIlJIWIZVr4PKhwtgEqGMfDq2k9iWe8 0B+bMIU+3MvY3X0qc5h8teHsMRn0076nT5DNbEI+jHAwHkEb3bjop6SkeAVDGKUc78AKbje9UGEU TmYoHy97bu9omNvx5GiLNh32/CvxH7D/rmAWPdYR/WKUTbtO15VGnDZq2XUP14xFYUmU4e0F59jc hLagfqoS/guARXFZYIyTqvfw5faAsL3uuGupXJwvDC1AbbHzTlaB/gZ5VuzoWSM1WD0SwDXIouLV xlYTMSRBsM/elWtkakSs0ALdZezH4r+Ol95NFvhhJ02yN5Edr76INKzlxMQ1nl0fzOPpffq1S6pL Np/Ys6SGNSytDjyeYmQ1cb5ssAzCN11EODopWRE1X2xfAgwQt4Q/abaCkkz29mJmpWHw5rxT27S/ AN9aFHpCCB9ZkKfpT8UGxLw4dqku6aIR+nGt+3A2sjXt9VSGUZQLWKJ0GEJejD+uEmmlov8n6yI0 rTnm1gISq5bCJr2xnCsYX3kf/G+togxK/EEvyDrC/6duZ+Zoi7qkbEOl+vQncJdRZrr8yyDFX9/o ko/llswaGJxc9lgjvAl881DDoU7/hTi/d/E/bmzQ+3MATWyQx+P6HI8cwxMxsPFHij9y9bXapk+n DkVinLG/4Zthp7yekVdSJ95N5j3R1IgtuyJfegf/zC+UgbG5BpzpnPWTgw0CRX3hW70StMo7PC/S b8+h13bG+ie59GXUdS+FnoFGGO6MaH4C2zm5TMNtBOIK6FrjFmbjUWfVmQgpuliIlTVO8rBS0Wgy jpY32Zyju38IjMQd98SIRsHhv/6CpeH5J9AbNE3bbf2Rhx1d7l/m9FmJ/B1El517xOkDt7C41ach I/Ds1ncphAajHuTCI1Wi1cIW5vgvM5VHkGASHPZ7zc/QH/56EoYxrJ8sMaZF5wxb2QqafCu6drM7 PkHp40+uADCkpKdH+OthKN6UX54S1LpUw7m4SBjBndcz2v3OCMEhzXoABaLN4To2yqEi/2vjFr2B ptPqUxNtrd0aimHBIZXEmNhy4XMELhumtDsEs/Pup8p8R3xzJyoDImKI2kAQU4ymfnogay6H7hJZ oAW+amCIRdr2flyrlIkDCqMO8alGwjclHAo8RhNl0QY5rbWGB8u9kduhPgG+8ur2RqtxXBDT0Fkp fmS8GGtFcP6fcS6W7TbBzP28OveAv1c3QO410F3jkholB4Iaf9xMM8yi+1ASvW/1vcM8F5YffqoM sKDTnkkEP1fptvdkrShuk22DRFPwo7fYo1GG89Y+GyTmG1c5DtJtKiQ8Dhcx3slWdxAqy+lsjMzG dPj3W8FB0xBGEUY4qlye2gpE1qaBd7u50/aNPUCEGV4qOep/wFdPJRdsqcX+KgGeQmMgTbJsem5/ HJwrEN2bNNCcA0V8mdMqXayO3916lQmiAbyWSV7fbJuIFgg9YBokgZOypdgVmHlMcZGecrfM/IAE 4xbZlpxhyBjzwr24WNuu0hMunPe5Bw731vvR+A9Uty1GDmvjm4EkCwveATG8vj+z0Kfa+2nfPfWe 00SQIAIHYa/Dn+clnuBLbyiK4SwgsUmU8fgksdC/A4tbCc8pels0b5Ir/i6AbgoZphXsk8/M2+Yh VKCynjtbYWwivEGGPX3eVLO5p5TuzbQQ/G/MX1bbvFSOIZa0EftXySYorRAYxoN+orZxR5ZxE4yI j+at3m5EYingKF+ufnyQLx1nvfZ8lLX8G4GW13eTUngY5mXWj5nBxKFJXxdkVKZW2GjNayWMAjmV u51byxHdZRGmm8BxJ79U+0XLrHzd2v17Bn1/Pln5Or2tkJVwR3Bcpuetz77U7hY+ww8dkAZoOlfp KyANzDorbBmso8sSHG3k+kJtUc+nyZVU7C0SuR3o95sXki9fGtoMOK52d3mBfbVxFJeewG8qawJ/ QZ36mvhKGxKsfpqmzKyj0LldEr10XKzJ/zlAg5X+yNY87efNmFby1hMKjrTqeZxfFHGG6kjUxDDn iuSnhlJ0b7gZAe9O8wLe5d1KPnlR3ra1FEILji0Tt5ZWgjXupTdJ5FuXtMYzXCHWnh5iHhI8a5Gv /muEirldajL5Ynh4GZTif/HrRTB6PARoMDscQNO1aJmitJI7vjBGOtyLMhn2aajXkxrDklLnnXlB h++Sy+SZ8W078JohytJAHzeq+E9ZCVOqP0ooQaySS+BPLjTuBAJBMMoJkjfZxPIlFDvukj1/E8VY d43505wvOvnzmVjnuR79MqLS2SvxCrQbeE6HGvyeudVAXEWrJ41uNtrZ9741HYgzPBXTJZ7ztfCZ G8jSvZOll3r66jcoMqIaQ6IhDMLUP7hV+A1Q02cV4kWnLmrt6YkJ5qF/WKsBYhbyqKiGwfAorlDP myg6wRdM9wmVxmpwA/z8UgDoKFeQKv6/HgpOwCJc2qJMS9vyOXzVgOAJQvhQJvvZ3uL2V1P8f51x thjmgf/4WXSAYpFYs+IghccBbopnuJj/J4KiXCEq8SFz48VHbVgRIA3fyOaOeFplTO++I98KUat2 yhopDB+NZ+biWz6Az10DIThSjhBDX9bSEipLFmmX+KJaN69VR6+b4tzkC45j5p/JoqpB/NmglTS6 OtPhxcgxUI0RuOSii64X02Bc3rorSVKIuFolon53IVoXZMpAo4IEeWTT96z9m69MVYwQ9O9ie9z/ hAATkuAKvS6nLOSvHRhTQh09/Qura1iHZizdMhkeBIR2nT8rqUr1Rn9rBmPoJfG+H5CrERTAqp9I z2H9gzyjt/6UR5gUBRrn2dvNzWIr8vDqsOJb2eYqpTGHRyy+Cl+axGiBU69KWsBZ7mpcDqTbsr+o fEO9AnMYIg52fFD7AET+FVOnJa7YPAx4T8wNdb6+RwTY94KCwhvIHFfUwfvVoDgSOiht84xgDFl9 nn717TSC9C5Y8s86zB4TwxKUBDAhoPswoZVWXq3roM9wDyfUdUWLZmaj84crNn046TTTVmq0I3LW EPOU3elv5wosdtDs1KaXzWVcMJKtpdQuP/j4mhgiJZd5AzzTgsZnxfKhFo5LsGWEioLX0aQWDpiR cJgnPppK3zt/1HLqkqIblJdw6ih7oj2P6bxrBwgCxT2IPbJGIwYxzlwalFIcDDmZBT4rXDxie3sy f31Gtl3u4Tg6kJ7bm556WOdQh0XemXS67Lmwrw0L0D5r3VMafdd3H/t7O1icwC9nnaRUMqyG/TdV UfuzH5A7p28zRirhLARBzxoV/aJAUlGLW5b7fzEyMOAKB4/lKrSsIa1ZmjEb+fVKEWDtndwYXAbF ZBFeg8xfIMgUpwinnJt4TDBFBO9ZzrrpU2xGvhQEMXEmlpOn8pF6RoaqF9SwoL8WmenbhqUjmtQm aCHgzOJ1VjRkE7YIkp1Oq3pHCPPEGvQuLt2dFjIVu+RBKNRiK/14POmSpcDHYTXquJgb8t+gNzb+ cwOYb94rAfwlK1lqAe78U1XB3prWoePa/OUm5eUqgqtBkvJouBwmumpTP3Ef6C72mrAjr4NlUMp0 7ADut1N/P2o2Pxd7IPVWCiYMQFPF2E1/YswKdGM4mrmBwm9Fa1/ls9QINhFgBoormTw3ZSnfmC8Z ATXcz4VfCnR3z+2uAvhINdOdC6P2qKPWe1Eisy22lWZYwG/MPKRF+c0ErcRTs1gd8AMAhr8FQzso WaYAEuXfI7NBRombQaoYyRcms101X78lx+JhbQfrFWfOzf5CXvPzzvczogfcIk6cj3gjrS4En263 51sv3g5zOS/LIUk/FxCAdLja0Y1+jhqv+dkH817XhF9ibIdfDloH6QVlR32yJselwEr0gRkN5vyu nW5thdBkvkj46GaP+skh8/MzpDGr8swQXAtfXgfv4YMWPCkJMMVRLcBFCbKTmb7YMwEs0seOiT9d nHX7zcNWVAd/4HtAPZOqYxhFZPD5PJ5RBTLTqRXLB99HyxXHD6otkHEoSTNK3LmDXMUG2vr3ewr7 s7k+PvKNaXJs09mpPkfapBOfE7rCVfFONNPyeGUvms/6c3IRRjBhHrc/3+XvB93+w2Q7dcp16wsW a11B5Ub4PtYT1634Xqn8ojowSkiTQRP168s+k8LtmmK+t/DR0Knbqn1rO3as/aKod8MAc3X/t574 EEezBu+CUzchilTMQN6nMCAoV8qv7240lpL9OgUC3tke2yTbWgMq+cqeUA4vtRnI2Q269jWi5hYW CNZ6s+OdtFS/r5T619UAG3xGyuFtrTudnXTLev6iAuEKW/qFiLuFf0tT1PSCVVBoofhLC5lysrWh LOWp6ytUU0iNjpBjPQ8XrppURRz5WiQlZYITuvWrz5VRWpKWEwmKZQDLTcnEH+IOt49LPfmLgGUM HJkPBgMktiTO8H24Q/s3JBnDVOxp+/YDYCtcDDfvzF2rwHbL4czcgLN3f/n6LVzZ5Tja6QUPf5U0 OdsTlADAluHgQvT3eJj3gA415YvezcHUR0OICgB5+Sv0/0OJMT/F4sypYcBfVh+AZojwFcKgd1TB ARStpwjaEt4QJCqWIVuZXSENKu8P7rNFoXQ6BzF08F26Ugc0J7K4vATQyDUqAWW91iTt5Ab8pE98 4+uMVcn+bf9Io/vUHY/R5fObFp8TRPj+vEtu5wHNXyZF1H5J2QSfF94harcmSIDalBS9WeCpOLY/ U4suTqifloYMVkog60qjf6f/5Ir8HXMiXwNKemKDXYHMLE+sTtoMQHLZMw5Vpoi3XgJ/37AV/Vwg AlNnN8e8yMyo/qRDbUoS3nVT/eEFLAsB4hmSn7WKFPMyDtx5s3HVf8shSBVml1lk18sWc0yiVMVg BswA2SVvITG6emPUnAAJlLr0QyM4eIxqQ9BPUdrj0zdjzN/zcp3TE/8+q6iAihLZIADJSGJwDHQP wZM7rm8QWb8w7t4l0izBT6TOuf7M37anSxkZ9sqqyVoj0qfJXWgOE7EP/fCXZ1/gxVmfj6vA/+7h TqNaYYsvLHnTYm0MWCpRDqIugCfzSV060SrCTdOiNVwThZ8kYlDCgR0l8KljzF6h6TJRiy5E57Ak 8L00BEtq75kaRHL0pHM4YHU+2nZ8NVzEqsyVN6AZy67YXFfpcA0eU+PXuZ3ZXm9ePL9ADoQi7LYG WUBqYFDkIxQyPWAZxD2xMIa3BCKBI2yvGczBWpMrF9sUpdJYiuatjFCG7RpjU/Y44ntdAaXsP8Bj 9jq5xpe7iF2idl41fMg1L0foNdvRQwyQQfjE/cBvss7XtqR50R3uc0hdlnBeWWq/ZiiAv0xOqD1j DPQoPrm0sDNZnfxSYWYGa3/BhM2RUiY6U8umisVFq1hrDYH13QQ1YGQ5X7yb5mV2N52dSo7yeQX4 Q8ok8YJEFmu2OIJlPmUcc5Qiz32mMYNjy/AlMOdkF7Vzzprerjm6TiMT3O265jfLhfgReyaMjzLe xq9zH4Nia4lFHQZAVnfq9luJPY1yQ+CpN8B2eG+ATldOGSHxFlm5sydpNwze9/khc7jIGJ5JtiRc fl77SOe6IwungC9UTn/9sQoxiZv7RJoPG5YDrJlz497mQVhhIzeqiZeJAH9RaZ/D900T9PT2oiJr aazGUs7CflUy+0DMy8ePuSQMb1O2c4HUeo62j2YWyim1J741WbRaxHIhjWJcozujPrXOivaXEm19 6LPnDr2RqIV75UJxpiEItzer0b9uxRWnP/AYt+gQxrvl1pKjKKYveztp/wcLLDzup9IvmtA9PN5Y qHkYpdWd7e7laUPQZFyza+I3j5MObnVxiPdKOgAXmr75SbxNs1zHVoNFFrCF4IYQ6vrcFIKeiGzT 7giiDHSip/Q52gZP6UKNCAj3cYnaoYdgi+Hgot/FB9yfJJb+cVfYbSEhq37g7oXVYA7mvbGtZrtw Mx8AkHCw491fyskL0p9AAgz0ZCPjweiFn/y8P2CPan+wUim+ciXRTMbAY9ydAb2QWLv17PEhvJK4 gOyvN/04SthbDt+cK5YC2ydMRGiXhhBe0PAAF59pDf2XiHRyfcYzhu35/f7iBjJHZPMW+aVkMUUZ hUJaEsOVWG4y4UYIPckdCDqsQmXNka2xPlqcJIabo08K3uE0bR9RFBkXS2n+QsCqeNn4mNyf+FRw IV0o0kSktiDkjmY5i1guaMU3S2AMz2w0xlVu9R0Oeo4HZ2eZBXECsBLGwBpGwoE2xzamDiaC7lzU 3MiH18g+rvkZBqZdaVKeRG6j0iVy2NJk4Y7l2RrjyM5YUDEjaG4qFyWmBDAk0az2dF67rD9Omv+R YQ3xQxgbSQLjzh+ctV3CRst37Ea1wd5kz2xOWLixM9sfrXTlOXAcStqNqL7w44CDPTi26hdPFpLU BgiXyKPPKWq2yDAd5+9s/sEooSWGXuZwFso3kKrqnPKtEa7SRyCKlB+KrP+1oRo9rh8kB4LQoc0l E0JMpNg7IyiCrcSJVaTGPNBdKiBhvc/d0dw/6f+qgASxmOk0YtUsWMIzWsY4kDNxjuB6b6MgF5Ft W17u5QXqKoYe06jRF5V/eHZZw3uRd8WIaiotL3J1FWfliT8BitJwxZ5NmLHdMNqMEpy3Id9PMd/j t/yVZcWsfad/iVNN3hBgEoXee3EKCe4x/ld/vckfZqdpOQK6ayhsXujE7CkrNfTaFNcADUlviY+2 /I4Km+G+HkEgBjmskOC5TRqUOTggcKWvfCjIQLJ9eUOjYGoPLVuHCt0ifMmVKEe8pMz1inWviauo 3zmJUf3EMuLYgeQVOzIxP0qObD0o6iLIkwLbKaSPntW1AGcvIf8pXSMY+IUk+vgq+AUvTtFM5yht nROVgVKijZo77WvcWzxixd7GlL5iVlKm0Xb2q/qzcrKK7J3WuZDWOAU7RmcgkaO4Z8RwIIhd2IO+ EmCAsq7YuPtjnHQ2yj3nutfkrLEeqThflKspIjchAjgSv8ONTb2I/U6qzE22yzaUNzqZugSPZvDS FJtxyVY5VcrBlm1E/UivL5P5eK78dFxRz3sQf1vnlm01QA/eQClrMOMn5gxfxBUl2IG6H/iRl29a nGxE4YEjdg3vXTLpNBjOyVezsiPCPbocXNJ9iewAxqEfaTGUUbDDPl+OWh2Uw8HE5cACBtf6Wdqf cvfU1vpvufxAIgpGQV7c5HrdwMdthiG1bC/YiGEzKqbp38Asw2xWggoYVHiM+dTh3Py27aU2RRIE i7SITHg5rLVYLcoOEkc412mKco21hrkXOnfa6QQUnWIC9zrOXz0s7CsTRUxO9n0n/cE560LMlsXz HaSVEIaRjdXHhcnv41xDCY8zp93+zeFnOX1FRB0ymhUlJEPVfMZ7NJClW+REQsrvpRpbgpaXMSpC J6tuFEKEwYQ+VpItpHxYKFXojl3jiI5BRtiC53SKk49xRfupRu8bA4geiZxFu2YdVAfyYaHKlQTp 3dzOeAi8oHuoQcuQHDugFrOfPkXD0Ai+EM+GOioQCtlbOJzwPy1G2ff89s2tiG08loFvJus+K/cS CmJ8sX/6LKu0UZa09LUPeKMR7TDohjSDFCcZc/6X1exOmaIBTuLBbR6wrzzXdTavyNVk3fWxvNKD Vn73S7/RIdalrTDIqvb9v07XEvcnOKPqHHs9s+gPFsIwWwTk8Smvx1Txk4oXDQo/7iasM3M6x5q/ 16GI/VufEo4Y2zPMgMYnBf39aSqaa3Ji2pFx2ER7vxSZRASqNBhYV/UNxLtwyTujDU/p2TRXGIwW KaEuohXIK6BT1aaZaFM9NS23LLzOVGHB1z8QDyMDMQJgk4H3LoDxJInKn076KQPU6VilvPpciKuI 7dNkXEOUGVefobyRD2yXUNUkq7i43jI6ZTGcOFssy9ja8Z36clvB7evmTzs9ZVd9jy+NO6M1mK+5 7/ouoPShJ6YmSt33iwikXNJe0xX7hTQSy5WZ8EdGkQ9zSPyx0DFu/IcfCp+ijP5eppVOy3rsipHj vg0mRwpAmw7Hrc9/rwZqRme5oFLzMYxEwWOChfid5YTPQdIyzPYH4kx8hlOlGrx+mo7vgCpfTldy FG1CBCes6ifsG2FO0goEADTzrr07Az7hMp+yFZBZgedfiwpXznhKhIK06iT9RPKcPTN+X7fNqmMT rHCu8+A31D4+9JRJZhO/S25LeAgKxeAGdV0OpuNlVz2PLjFOy/Aj7elGP9QuNXXVvZbEz0TszVin 3cVS3IJAELzW4/7u1/SiMQp6Y7n1bTzVWjl+US+g7w0CsTIU7itmiUQ997c/eWLclsefqeetkTsg PQT4gnGLwb38yw2M2D75cP9jG32vPAQRDwlKLAVBdAufQCzGvfg1rnfGEcMO/MiiYTwZMUVj9Nn7 7QkM3/fN6y0AYnrSyhdMN2y6TVChE8z9+0ehCXUpxbb9Kcb1S0YIuAsEgyBZ6MmRcWMEUXdKQ2dk IGApF+VORe7sTNvmGfdXjZojIDmxkgqahsxDs6ugmGamp7tWTS3cD5Ns8LQau2LZ9R8+dw5uH+5l nGf58rLWyutJ3NHMs7s8n81IPHHPMqy/NKjFwWtDODyjrhtUDZp9jUvWHksTPHYRaiwYuiZwiQ7l XKtG8qLPjtPMqfwcT1nYjyupH4VGOC13jiFBxkZ3f/6KLAzLX+2lxXPB2qePKABgx2eV9AA4Asy5 PFatDMeCgWZ/A0Sk7eyXA7jZ7ILeHU4i62Ii5bPVqatoPweW7ymRYIuq77cp1J7Zx1S/n1N6tZzh p7DmuiyhpYW2p1DDVHw/HtVYQs+lquIlJzdV9tqTUJ2VybNa8vAwR5wxFvLtld87JvzkJzTYCkzF 26QOwfhWhLe0rB0KINKKWFw5ocwyA6H0Cs0uBtJGaGJD1FUMFMrVQGZtJvUfHqdGSfkwaxQISdFj QGSEPIrrNM8Or+2K8wEZYgBJqKhBzqG7gmsf8HDL7L+eRBGWI5sek8C+dt9shmdMghnkn4xv77zx QfppkYizHkXj+Sw7db5WAvRwDAYrQKQ/HFnEU9z01W57hPwX7OvuaT/GWaUuYftOx2oAbAOWOrhz P5Not1izZ1eYErE8iSjmFWku34ZlYZMo2Cl7Q3JBvDmmr2QwxPjex385VROenczwM+y6S1fROCEE 1nxJcASE7huHgD05bC4gZG6i+gF45bC5pXx00WpgFeEXGq56fc2Sa1uRyb2o8HlOdbmdk2nayUyI O6BCi2tmG8cepuKSkBW9jKfChq+D8bN1RAD1ysO8pMOClVvZcJs6Qyjz+uEoy++HXTGtoS1zq8JL EtJTjDlpw0rpsfPYvJU8Omk194XNQ9WPHeWN9/YSK+fZ4oWeiZ540OBn1gxdk7XfzbYOW5BYJ5m2 cc7KXQqHq3NBicP3BhRJiu6t2Tx1W1vYNSM61d9MOYDzWZn8g5VPy/hbUWbCK9mnE2JFlZgxw1c5 v2iXnWvVIL/CPQxd8B0KnZ6MhJc9+KypQ62W1U0SXtcAbGaJW5S02P5babIhNADu9o1BElV53n9Z yLUrCIO5rf5szhPWmqz8atiX47ZlRF/E/CCTVODuLdm32ZsMYjdkofhQ66xAVXtDv6sfZsDAqQZ+ oDmfQOht8xOGhsy8gunwIVBYtzbjf95YtrWeZ/9D3UG+jiN/wJmbtFfHIz2Pc8B74vMYjhj2EHoo BklIB2N/wrDSfKfdQZfE+56WD/AY9tmK0UqRKgAoY9CCXBdKKnkaJyq/Rl/iaZNTHXQnVKk7MbGQ 0AfzOOu7nXvqbB5LdFXZYkcsXor1LhR+8LYte3IAkhoeB/wcdBjsXhld9OqOlqPdNjGJqo5sWWaW BmpV37Id+xCwTokEKjq0uRjSwf57Wp1RoBM1jZnZPER1rcJldU690KZLA+eHv2VcJo/F5zRWR8sz IhCM1qgfjBqEXxnEIKAAH5kqUHgD8CeIb2ypsYs6a4vPVFFkNeC4FWK2LhnN8tlRD4NyITToPn3Q kKP2P7ulnkHcR17+EHGPSPTYIZ7y5mZw1Vy6TcPBmgAf19P9VtU9unVTzd0DfrKx1nPxlLcepMfh yVxKE7P75Wz3xvyN2PR950LBFSpDfMjUDkXCMqj5DfekIOThzVSXkLrmRwZVZdyeVXqN60EVVNaE S3HDBsuZs80XVEj3JobIVNWGboYbLZq2gZ8Ax7C6w1JIdaRl7aI/NOzvRarGC0xK5lwY0Y2SxOMK /hWWLCJigh1MViTZQ9r5SYdlilisDfDOP83YduNiWU7YvLPXysA/vlyYR0jySgNLz0ZfFZALVm5V NaCq8wO47om7aFfRhn2InltzLECSncD/yXqlHV8kBC/YVqbrr/+sxH8CLqVQX9dd4Jax3RK05VW7 LjgqmpF4MHamJVzyUPizh8yayOc/BlDNLfprhWgdRIVU00JYTI9LSMi6OeMPQLfS/WLklJ5i4EMf 92s5epRd1vI++Y1Mfw7gyIaZUaJPFMBJ5tKZ9XkBVehflK4Qt81zzLGzJz6yhrYr1d5/e01ZbaUf J7ZowEKrz4mTAxSgl6PPQYkx950A3rsMMFYFlhWt989jY6N4FHg1usdCxxcwu/tKgF78ZEFHyXAs u/vx99rbsfCwMrDK2Iiba8w/oqgz1GB4l4YvtN1KzRJQSkdRH36sfnKcWFGeotjRmnHSFaIlh/Mn 4c28Z4xEPM+rIsSvOWKp+blqOm1PLz4yT83xIcbE7SXYqEFEc5lvEDGjF3ClwluP1RJXMeQh0T+D 1AaUmUmqS2GqhU+ZbOqUjPtL99Zpx8B0U7RmLx4Cx/DqPMlzVCTIaGB67SbbddFV0feXhaSb/kPo ujfVfw4xTnjzjxyRE32Pqx6JDfOtYUJy2WLMGew39TVAQHt1pw7FBLoOF/7rNHXLhMdyyXTQe7Nk bLKQCuFP3/DAytrU9Xl7WW7PnJVg0JBb1V3PN5jQoHIxJVVMoruxucmYNl8mkmPRWicQNav6rvhH 6QMiyskQZbWIciOKqHH67P06GMQZXfZ6x+xsBaOdVeJ18+QocpYHQDGFwHc16GsoZ4mn02kIhJME u1PCMSb8E1B2yQv3hZtTXzh9rNhfudAno6oFaDkXWBGNN/VI3HWY7eAP5JhvOR69aP7oswbv9Ysi RQ2M503E/i5wJbF8/q/RjqeIwWsapoGOiUPD0Ko53khQF6tNjye2zAX2w7rgdDMAZ97BiRfxZmw/ 0HLKmcgQ2pX/Vg42o/lE3Yak8BVYfQHgo+WRvU6ZJ0BNcu9M5jUmWGZlRwgbJYCDS9S5fTAC4Z2s plFzfJF1sLI3B197TFuH/jYvpV3VhkSigtQO/R4XFvVx+IVA1CHO95dcnByr6RRIsBVWrVfRm9r7 9DI4WAs0qfVA/1Nnm+yladjUfFfUqg4cTqsPmBXoiw3q1/2VcxvY/z+u6mgbQZg5hV4lVBd1Tstr NOL8NVmOK/KDy4DGLQp9hlmPD4P58Eey55vN1tOp/q2vxEj6IssR2v7aJyAK7pEtAKOx18oE5bYH ZIeedaSBV8r1MaZq+LBe4i5iYGSG0EsFn0Wq1kDVxDKsUqGGX1FjZmeDeQ2oGdNFteF3u8McM8zP TdhsJ2YolT8lFFVxw1eiUbMJxru4XX5LdxGcJfD9ZJaO7egQNOirxFQDVxjSSYavwKOv/wbthXnJ sYgI3Z3wzHWGAnEZCEz2Drr9J3juj9xk6CcqnimMMokeZrJ0r8vS2BdhH5yB5nBOK2SU0lHB1cWY hGkC6nFkeUosXbFTUF/THzzeDBrBsy3THebpNK4qnBP92nPzEd9069HIEDxCi49HQDwOyQaOhdlm wsxVuT1hy+dVdhBc1thW92A/rftYbuzA49s770QFruhlVw6xCdQShFuvwN6v7WWdMj7Xxhg53Naz YUOIGIeneIzVHI+7xXY8vWVbyN81qfSK8lYMtkonX+pzSKapPr9nNUnIOt7Gg7lYnCBAMSiFxbP8 +CphFsNzjJOuBXfCwJVg1iYwoMIuwluFEh6BZj0iBCx4p7Sadf4R7N8hky14Q5nf2IUzTtx1EoHx x5hMyEGVFnpD169IFKVwg4wRUNXzKsLcEn4DUMSUtJ4Bs/YYN/KPpOu6QDOlMGtJM3cd3AFlvnfL il3S9HFAl8HXuHHN8Z8UzSh+rXpzedTo/EqtwT/v86LW+KPHhfY/lvS03kpaPgOsefFCU71x7lXf xji9jngOJDQc0ekxI1/L6DjSg4Sx3MCgD+BTRqkqLg1oX9zyGn6Y8V+t6iyY6MvVUTGHv9SkNmmc EM+ehZIZZdg164H29Pg8tpIHn7PX4lSuQ/lt+Bo2xRPGCHzaU5FONhb2dk8aIpKdA90fxOyDg+yr Lo+wU8vEivWs+ehhlpfskemuPJiBbQcE1H6tAIyqIIxiqs0XlR/bMxh/9Fs9ZersOmsP3cHzNS5V ndA7K4iJ3iOct5UhUcCoR2UCGgxpZuCEpPJKlZggXktNmFZ3YbTKViErgtMc+rheLLExq0tX3Tsl qsb8Vluisu3y2pSJlhdD3kgS8qi1heR7pqv4DUiakEP/BMTb+e5FURdukz7oTP/Y/5krVi9n1wSp xlYJP7jX59RHhCJF37RDKHOmE/RsHfyvdwgQnq83pLA+WF0OVQFDkhlz5CVZf2E0Lx7Ervyk4frG 5KBuVX2zfODncQcK7ATHDDjsYI3HUd9ErpNwR2CeqUG0o/EHSta76bv7TCDWMapeUCrk+j8HKbGF 8p1/n0HdE2Cm0VlQJ447uIMGmJ4BgZL+k8b2yJYAuYsH53t30bWZjRnA+haxKu9f2bLEoCz1UNMS or1hwdYxoZDRIhOwywzXCsnXVtlp8MCKAwmLOGamqnxI/H/TrAbY5QAJV0OiGKuG1ZR0et9ZS0A6 NTSz1eXrffSF0kf+eZnrMXZMv5aWeFgnUCthtftUkzwPyIugN69xWo2aT2wDFJRBWSmnQ4Ymq7ZB WyOgtvYhtOUKLJPsoYwSpdiBQUY8/56dIrsMl+s2Z9/t50VDvrytn1ozxT15fHECdCFw2bnny8vG jUQg76BWceY/1DBkBSMJL6Z2l0QPI9ph1AdP9gli8YJfhCmf/P3yRmns3tREmtn+iP5VygA7OWKd Gc8GXiPCsXyXH9ctL4ofsV6uEZO3VFloRt2kcEEfGZtAsK+YYok4AClPjj0lrIsn2AwNGrLTzjMz mE0afh6DjjeaVg2iFUxzdQtlF35sMjWTPXzl8PPLEI/uDx2bi3m1FdF98dgVJu8htY9m+dLX9xB4 Q6upuQg8xdKfpLOeSsnX6LeUcv3toByetmvihKY9jBGsHDTMS+RB+8B/v2HQCCU2dyNaJaeSQuvr Ovvhht6EsMyBSL2z/0BCHITEhS4lkGsKCOww+sKOruPImoI2cYfzav9naiwwAVL7inXCyvnBkolM q/ARqBCzULQ2OXZSFPtqZHCDrwwEcSBHS6/GzCp44Kjku/2H8L5R8WrWG8bfsS+iklJ4w8cUkg91 scU6E+BBgoFXE1Kz0EJRQfvJm1i7hfGPE2iUBUyz+ICQmvZ7o3UQNgKx4ktLJJ8LEQq+IFUjtnq3 Z6K6n826m1qdKrJf0pag+GAKB3xCPBtuLN1OGBkNYeGgmvqX7vtxpErkbIHgOi2NPTYnNUNojnvs fyTmYeTiLjo0W950bffyL1Bas2YKpDBpp827owMHux05lJduUNOJoBrReN71oNqAED3b/ANleGkY 8BcWr42hMghQLh0LMngLW4qktWLT5tzAzKUK9yvEeeOtC6DtPjoak2JJN7yAgBPYg0GBxVmgY2Ni OHljknxSukh13ISNdBSs+wkq6kVsgkJbOAZoHJig4HeVXOr1u+kJl7OcOZTa5s9jJEVUaZIXJqxg HZPMV9kvob1YOheR/DF72Ne56NNy/W/HZLeh9sLQUcPW7XxFvN7wK0n5iWkmJYcq3FDeF1c8v1oT n0s7BmxwpO0dzB2YK7hU/UwmiR+EXbJSblFyd6LfEboSUhXJoxfoHwTrp1aPzAhqIyksMoRCKGSj gP4HIpAObB6U2f4yfvlLg9D7AB5jISHvLg3cVsHH2929ChEIXa0HLZYR50iYNzzB19QwVpjJ/URv ldL7djGSfNrTNfe8qBXGqtq/YhTmOmXEyT1FT10GZIkgxQY5w/CIcHur5RWxtayDuMaXWWQ+/L8i eIFl7zP5J1WBEWMqi+y/FskGRHlL/cPykwaT4CBpBJf4eoEQgYeMGOa+SoLSZRVK1nKRhlRBuUEh QyBvVoc0dszniDvRflVU2Q1+N+nRoxDPvDCNoq0HklHqjL7W46M439qHzxLcWmbaXpTJb5Jam0e0 yzmCAU1aOLkC67DGMdeQAVvRGYr/SnGlJ9eHPl8MT4GGnGcNYsnhutRp0i5O+QpMVXBdOOibqXH2 Dj60MZiiAuUXgTF1okB/5TIh5A6aXxyqJgl8rp2RmRk6MYU3veSNJIq+PueBeCp8B9Wio7UZOIqZ HMVp/0NHtX+JQEhbEndO3PR8ii2VHytugszEvRno55lujM86Bp/C0HwmP/pQsUvvH8PatQ2mo1DL U0PnXAt5r450sRiKx2fBCt/kvNrLqLn0YX6WvvYJhpQtIn6Y00FNlcsqiDKXaX/rFFCHD/V35jHc BnLwX7322P7Qj1jxiKe+W1Yc4zgbWEcUBSEYXjvUhXZ30x2Aiwn+H3nupCYb8V7vbRWezpgykZuu fEbtuX9iuhBasCl8SwShco7i4pkXk/ikN+Tgf1cOf3CgtWGZMm59e1GIov61vDJrYRmBJHjP24FC H4NDc824ObnO69nrbEi/mC7vYcuYpW+Ivr6Q3quCEwvJhmjpa0tbJd9Oa5fyOleKHOLWa84brA4G Qg0i0XkS/v5n7Be42YsD+B47IboRxeT7DSDNMAOvvADMjUPbkIS2iWzcaoyUT3oOosJJ+DMI1zPK ul8ez1w07JiDqylwFRGfYzxr78Fvcc+FTlegBLDdyoh6l+H5LwUJuz4tp2NY3Eq+tlJfKAuOqpq8 iXpZur1O2+mBK0JURdwGz1al5a6JbPMVTRE+FuVeOOFM873WFTIqrgvy1qcZiGSNhk1RMywfWQO/ +2Lwk0I8rUN7gP9Fo8sJqcad2A1HSTZu1v+bwn66QPgRa3MMY9zMO5ictK8BKPxLtDJuIHS5YF/T YXYMstFIn7HsqD5X4la4atD10eBDO2BjwSZf6PtSRNMxqKDP/iRgTfi4N3Fw7NRdE171ko+rSyvP ye5MAqp3zjdI8oth7/eKZVtkroNLxsLWRGB+AoBMx8FPjrPC0+zENoww1mHD5oG2BY/rybIXLPRc B/WzMJZ4dLmLBt4Xwde9Fdy9ZDWBYs4+GfZYBykSTG6CDBFUEmXSnMdZPaIqc0iFTmy4BhS6oj8b noZMJQB1BTe6gKIGpCDpwHJRbzN86mBIDgRPM8bXcGBVrnKbUXvHPLpqXjJtM9f6Xsx3JPqBdxp7 dx7nvINBtUEko2PTRiqM6sWEQ62YA7fa+cxCMeFYEAtqFpovV7/Yk9XD98r9nJviUQY7NtXGt1XS JACF4Jhn4KEJws01CJ36SwoCxt7f0+3w2zFi7IiBFQ6KZsca7L+bGpnuGJ+klGqsRtSMoGjgkeRn mq8DBuSmEroKW3OGBkJjEDxGQ2Mn1Zj2Pq34LKTi3+coDSiD0wODGgRUVROpAf67TQzaENU0GJgx TANnVbHDZs3OMaDRtlnzm9+k/SeE7PMRAJM2XBW9KNN5yh6TYFEPoc15qUzLF8PEPDEoLouNHa05 rkpuu085GNih1yfcjekI0RxE5hBLgS3Ypunsm0d9eZUnOuHt87Ie1Aaqci95jrR68o/IN69j9NEC SvYewJpt1g4o8JDA5NoIFHhU4giEdXYKVoYSgZtleE64abLad54hWItYAwXbtG0XQ2QMleyYcBEp B1yPOSJKxjLjFDX9CVR5Fwli37y/OWgW0SqGoKDTUahmszrutoo/TJqLThlrkrAJv65XEsEmT4K1 lNNktTVmxgYUeqweyvYcko/cLFuNn6SQIxpmDj7sfTaWDqM0HIIw/IwJW2bsEq9jNzYIu1eMYLAz 1MH3hW5P+hznfworcwU7r0Az7fw+oIWwrk0xnGFijLYibBewuaF358gU0Uk40VQEB6nudgoi1dGO N2cMMLZGDas3uZTt8Xk5qKH3+hg7EX77rCXL4dX5M8KRomoyX1igEQjrKQlOkbawC92nTrjb5wDi 6LXirT0rITm0BUcQsdlx6H00lp6MnYFcoVNTlg+GRJvE6dpDYFKZev+bo5SwD56J5gQAfEbnKEMC phQuLfyOnbVCW8DCwo/pKvfphMd0lEe8o+97md4n6Rd14hsOvzldGrJZxBZvs+XnHxI2lKRdietB DyzcvfRrHwKW3igEkXu7CysN3qMkiC513jk/JIsYPJegC806r/sKorhvx/B27DBxjy0b+8eMU9Z5 SD0qeWtx1+dZI+2PzMMahgYpRE3TmX1o1dfHZnv7TmAblzxXsnJ5LryzJYo8Sjf6WB9KcsBO4OXA 7BncIlyLfEw0B9HvYzCR2taDkK3h6D88HVPopHSmVbPIwDqQF4fbnaG6aH7VWCTCELiqyibwl03H iGn+aPz7AGIM155OZ1aML3TD4jhyXY6l/V2qCPK3XZtIJaynuN1UDeUfUgGc/9+zPrWQg1yyU/W8 AmVb5JxU8z6tlD6jNn8zmEP3icigbg/5TpYv7wxIlHJRkz1AyHf94ABJaO1iogTQXe3Cc4mhvQNU GIHE3exIKfSp9oiCY2mUTP4ZBZuW/+N5kaPqxYK6XcTKlmXGHCXV+yCi2X8qpwpJ6aCC0cwQRyqv JVc2E+LaSan7TOSx0/Ethiv/H0D2kxvekds9bHG07bewLgbBcZoTrZouTi8Di98YIpgDbGepBXbP U76I81bmhw9yw3MFXdZwkbGfD+jq2Txs5BCl4KBBEf+tvgDPcIJ+buPCz4GEStu9RTHZwNXRizJs aSJ574q+UK7bHr1B8a3eHXvBhcWH4exdfBTJx55HZAbHE+qo3i63uIq8HSt1nnF6kV3wDehfL86i Nm/i3Cz7n+p8Dd++Gw2YME/YX2zCuZygrjhov3mOK+5gExOhAx5j8imXhjtOXYLGDs1bktA+EIrc YvTf58MMbC5jYuM20zes+g== `protect end_protected
gpl-2.0
1fc3754de7635d7b9821c39b9e70a33b
0.951066
1.8218
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
JumpUnit/ECE368_Project_Lab1_Team5/alu_toplevel.vhd
1
3,855
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:18:02 03/28/2016 -- Design Name: -- Module Name: ALU_Toplevel - Dataflow -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; entity ALU_Toplevel is Port ( RA : in STD_LOGIC_VECTOR (15 downto 0); RB : in STD_LOGIC_VECTOR (15 downto 0); OP : in STD_LOGIC_VECTOR (3 downto 0); CLK : IN STD_LOGIC; ALU_OUT : out STD_LOGIC_VECTOR (15 downto 0); SREG : out STD_LOGIC_VECTOR (3 downto 0); LDST_DAT : out STD_LOGIC_VECTOR (15 downto 0); LDST_ADR : out STD_LOGIC_VECTOR (15 downto 0)); end ALU_Toplevel; architecture Structural of ALU_Toplevel is signal ARITH : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_AR : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal LOGIC : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_LG : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal SHIFT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_SH : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal LD_MEM : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal WORD_OUT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal LDST_ADR_8 : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal BR : STD_LOGIC := '0'; signal CCR : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); begin LDST_ADR <= X"00" & LDST_ADR_8; arith_unit: entity work.arith_unit port map( RA => RA, RB => RB, OP => OP(2 downto 0), AR_OUT => ARITH, SREG_OUT => SREG_AR); logical_unit: entity work.logical_unit port map( RA => RA, RB => RB, OP => OP(2 downto 0), LOG_OUT => LOGIC, SREG_OUT => SREG_LG); shift_unit: entity work.shift_unit port map( RA => RA, SHIFT => RB(7 downto 0), OP => OP(3), SHIFT_OUT => SHIFT, SREG_OUT => SREG_SH); word_unit: entity work.word_unit port map( DATAIN => RA, IMMAddr => RB(7 downto 0), CLK => CLK, OP => OP, RESULT => WORD_OUT, DST_ADR => LDST_ADR_8, STORE_DATA => LDST_DAT); jump_unit: entity work.jump_unit port map( CLK => CLK, OP => OP, CCR => CCR, MASK => RA(3 downto 0), IMMD => RB, BRSIG => BR); with OP select ALU_OUT <= ARITH when "0000", -- ADD (ARITHMETIC) ARITH when "0001", -- SUB (ARITHMETIC) LOGIC when "0010", -- AND (LOGICAL) LOGIC when "0011", -- OR (LOGICAL) LOGIC when "0100", -- MOV (LOGICAL) ARITH when "0101", -- ADDI (ARITHMETIC) LOGIC when "0110", -- ANDI (LOGICAL) SHIFT when "0111", -- SL (SHIFT) SHIFT when "1000", -- SR (SHIFT) WORD_OUT when "1001", -- LW (WORD) RA when "1010", -- SW (WORD) X"0000" when OTHERS; with OP select CCR <= SREG_AR when "0000", -- ADD (ARITHMETIC) SREG_AR when "0001", -- SUB (ARITHMETIC) SREG_LG when "0010", -- AND (LOGICAL) SREG_LG when "0011", -- OR (LOGICAL) SREG_LG when "0100", -- MOV (LOGICAL) SREG_AR when "0101", -- ADDI (ARITHMETIC) SREG_LG when "0110", -- ANDI (LOGICAL) SREG_SH when "0111", -- SL (SHIFT) SREG_SH when "1000", -- SR (SHIFT) X"0" when OTHERS; SREG <= CCR; end Structural;
gpl-3.0
f8bee4fea3098bfd08b03cbe5c05cf96
0.548379
2.916036
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/pipe_add.vhd
4
8,921
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YVJ/3X5HK8fTs50Wq/+1wz5KVAoN5DNwizmP/HCGfjOwwRE8K1pgRwLS9+uKIDm8Xw2/Cnr+66Jf smFfdlGtHQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WoIIy3mOUS5BC/RKumsn5E4lH7h6mjhgpUNHTdbwmd53YoP22JQtQjSQHNXJaTY/2ES95GQn0xvm Gk89NW5l2F9sewJgK4hNCTtgTisPYgotMwXnJyQhW8l3H51ERtaZXg748KOA+Yav5ArmxWMYWnpJ Cmx81AJGmG21qYE/f5s= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZaWuZMleuEP20mCD2ofby/M1PGHBxizzllAlkfT17pbiomkgtAbqZtrng/w702HouxM0i936myYa Qwh1dqgTfRT1SRFu5kpg9JKUl5+9oyfARJKsjg3MQ54mseJPll9hKAXcrgRS2cb61DQh1URd9e94 rnor8fz2NyvPzyIGKbkbsGO6RIy/WLrYT2yvf0inbTlOEPlnFWFkAx8BXS68M44kzw0ZrGEby3tp TbY98TQ7u2sfefN/VLoLAKT0gb5+JXWqIvYoY3fZz6gEKiYQ7hEPAufbj50zQOFK3CMFh50w4xCT TrYNWNlhBzk3aZNCajZObGCa9qp+Vp/KJB554Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oabKut7InWAjdHNx1TnxKqPTMOV0jcs3bl2U7W07/R6mzfYJbnyagPmKFUwN+gVRGrvj2aOGsqvd /P9ON8FP5crdm1deBnGPSiS8CM6D1LgEMBIxE2C631c4Ttyr+6Y2uq/CzPFtGdfAZbmrU9zHIgl4 9nlS3BloLZCcOD52QKA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block O7cU0XnaV1U7EGXqMqHpQoGYQv0gtw+qNS9V7gjFZ24KexmYyfCDk+qfahzgmSCmYV4SwPamrKxl U6ByETRvT6vuUIjeeLT/m+XK1eeblivYLDDKAtTLCapveOlYNKwqxa7/Ars2RhWRKjosUZRnrRaP fSk8JPI8t4hYFOshN4DPFJY9x1TfhYB+OPcUCVpJP685u6jLOwue9e4bf2TsDrCoaBUdZkQWFDHI dkFv39OMZLQETCuFI/iBOvvjcHmWUwLEgok1XrkcDOLd7G9rWXe/EjTQ+WkVLgP+5HE/ywLGEmUD BQYj55qBdfd9CcRrWjM2vVfE4gqyh0a4RyRSZg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4864) `protect data_block ONqgZPVMcUewBvpv1DnwcE9ptiUJwJgrqkkO8wEjVDT7S9hbvEXmVMeOEGk1o305upy06n8huyng xnwfIWjQjJh1wN/flG3AHPB6qwzrlYSlLzHc828UyqfP7D8I4vfbbQww/TV288C4z8cKgArSlA2D QTxLitI4hXjYViiy9SKX1NRNqS4HQMw0VUxbVJjuF+ZRIkQ/L8Qv70N9WwkO+2jWebJeSPNQnp4Z gfeIJaQJD420gZCuDuL6R+fkhGOuCS1/DMYkh2Uexc6+CI+/LnX7m6vhy+cPAu9Nv7ghR2sliYkn zVQhfoe0kGVazWPEZFMwSnhKaOG1LY+w4tyajBELssF5UpUBbSN4j3YJG6p+KHfWRJ/nyHiEVfYM ccwcUAxRjCbQ7bXQT72rbFqx/neBVoSlv4f27pqzpGEmnRkdwb4DRnZNhwk84RlASn+0xjtcI62o ZbZIVp6biZlkpya0RwRrTJVvLq4MgENHfChPof4yI/DXSEOqxRRz79v5eNkN1twh8yLum4/JjNI7 qKXmcJBDJAvfB1I0/Pxv1kD2fhxkrJroM6ZqIH1RHrP2eKb+VzTquZnV1dexB13gc+HYVRgmFC2v EP2AhFfjKKcEdN5MD02w0520/bjUeIEFa5LC1E68lXLm5RmI9ZvRuC8SjSnPDLKFPyyw5gb89OWq +tfTE6TLfwFhG0mn4q10Oif+XbmM8ms1GiwUSa9U7nTMAsDVABOjlN6OXDeD1F+3fn0zGkgCmnSE Q7owdQbibioGCbM6OJwrB5DmOjRm/N+NjppB4Pu7lDUyAcvpc95nAKSDnMApIra2/zWZtDJGXUZA PyaHRm+ONJhNYDFnxZsETx/aDMtti/quZY+kS8+OKAI5g4XZ+pm6KjKQmer6t8fpSgdE14O/R5uj n6NUZ7mgP+8JwR/LY//H+07+ivjDO16ubLmj16ry8Ro/XGoAOkshq8WOx16/s+Mw5elWr+clB9sT e4Ii9d4eoRM65/WCXW6dCRSiU8KxUKLBO87fBcYj2tVdquTjyq4nVIOZL6SVZWEc77sYWb6jzG/h sdIfj55G4Fbyz9GBNqUbVVsDXzTLwQOHXFyCaaJSsHT+Ne+rir89z9Sx0ZpgU2OBsfa72PeFAqT3 7L3zMToiunGXm2SI5zupINl32L/rTp84b4xVbuF/OdnuRyfqMYwm1MxwBTBFPqCG1th8DIgu44ie Fq7cZNxl0T7sCi0DsPdDB+CTfiP5wJzLxFuTUOWqb+6X9IIfHnJ2q9N9uFhp/D70NKqaGxzzds9e eGajsfMhQn6bmymx4s5echeyc7ojebfYIdvRvlC4VeZRPHxxkxWHdBbKDiQhyrzg02yqNxn3RLFe d5ir7ulTxwj+ROlOU+LqH9qOH5Lqw4un+drEU2r6aGWSRfMAJkWWRLlH2bChTN/smmFO64o7AKKV jMf952u/cFmrG2J7C4QtS/uj9FI2UGjMbDKYKrb4s1q21VvJAy+7e+LVz9UDGSGy+gES4LyxfbxZ vIEZO+8mnJhkRlCoZVCGYQc+lOr5wbtmFVGi/QjpyxtCUYgX9fXKbE6a0qp82zs2YaNblCrliuvt 7+2IL0irIZQhe8Yzk6Ojy8QdReYqRi2fEp1BMeuyX7Gf2tWVLtMKRrvYFI4j+Bztl7U+MQT0pwEA tR+su6aymevOPs5Ff4IhBJjBZ9AXPDvl8f/Tv37nE1a6F0WDz7ktSfrQBSmUSxlCj39uvIlkVBMw pc34TbBHTJwhXsS7IDKChbselYjKUhrlUDAT6T9evEPKl1YETQT8pfu78Dp2nybJ2GFs1blFrvD9 F2O7YpbSi6etuOHokUN3rmXAv3cFtVKpALrytBd/yStKnjJaKSkaIvvS1PsBcQp8J6BOFKHhuBwt VD7oOSWnXM1vfKdElDpd6Msba9AQIWxG4hd8o/HSlIvpMRD/xPitRWpwZwk3xaCaXBQa/ERcZ/QG XDY0LF1IwPiDp+4zBtKoQ2tUl0jtsSRemIhoxEhFzRISu6FTf7yDckkghzNNABz49T/n5t3+Z2Ys FeSMVCqWW06/IjNYSVZ3bbUGj7n/ZlNZwxZt+9Dz/y7Epw6L5AfvZFZxogwlg7ra+saHdcZXBwwb Zn1fW3gZTzE1oLfN9ll8b6ZtA63B62ZpsU1XzdGIK7owgdaAXzjsqz5swkADX8+M1syMYF7HEjZV GAV1fJRYVJSe0qtG0DS8+HBbtid2YPPG61rXdZwUoesB8/YhgAG0oSYqh18knmwpFk1bBWxfTjZa F+B0s2rLfbAyukVT9rQ3BvZq7DFfhA6R3ZbIM7SiR8y48Hjs2K/lNG13QUnKOGE12Xx1fUy+yQ7g 966QMuAL93lwJtC+Cbsesamfx7rv07XsRL7nqw+PmPnfNdEsEvfbQTBwQSAgw5CoavFAx2dreTGR SOr+GxOz5T9ixoz3mQTq3z+OZpoLjjTQM3l46fLrCcGiMdcOK1ZnaEPxDEseQrYt2pDUYk78Ih8r /zVFeZwrioJQvyN2E2yit2gLboUT7KvPdl5f6aqGGkAPFjTbTnlQ6AcEp8X/+b8sQwCFE3XYSK51 ZWOhrs1rphhdJDv2oBW+aUDz91QR3mTZV7a/9MFAttTyE1uUa8gp5fdjx2qWOm2NTkhLxX3AbOOT UwNNQE1xIEH0uLeCrNBgQwWM2AoqzO1oVtIgySbxqwXO5HIX8J/8VUX0i85qftSCDzxo03wuGOJZ vXgXtRo5/mzLyxkQRjJjPu2EC3IPNn31D5KgZKkk+YCZZ00tIT6RyPJtJgFgWZ/qPZHqFBLFI0Sl otwsFj+fMU5cEpOI9zGdtQl1NlIdiCSxTas5EqJcwJL9mewLHkxLh8sYCnLrwdvxbw9NNBH+0hEh DMvMxNZNcjSCD+4XkW5KVeqBytSKwg0MVsc3E17DaB6NHL4DK9wT9fgbX5cA2YxI9zyFUjFEzN60 lNTyhAXwL1k9URpFKas6QIG8CWMhyvSmCFLFeHhX8Tp6lCQ041TmxeGPC7zIlTBRYe7qYTvIGeCP YE2Or+A+2Sy9G/q3IHSlez2A1Myj1PT8FTh6VRezDbC/efy/ZtEm3poqh2KU+2ItBq8lsVCMbf1y Xf4WQDLHcR0ki5rWxJjfWY1n9vuxfH/d/kxGWgnSvmMHTUPYHFYI3TQM4PxbVlQPGGgiF2WfSzxr ndAsQvEC6WqZqZEE1fYyFrIyJgg+eQX5FlG6knLi0vckVhF/pIhf0VV+MQb+A7nu5TKsypnvAR4Z G/HR/JMRKVgQXV85E3LnLy76wHeOeUgE5+B2vOyniuIYKAFSWLEjeJl8oQ7xD1dIW8OZ01dL3Pni q1UvS3C5W11t5NHPQUha03lSAs2GXm6aXfnQe+zoJnN4+jQedNGArNF9xqr2gZbU19Le0qhQ76lu axJfJ3qyZ1IOdNluYLtD36MGKskooVuBEk6XAfK3m7/eEnvZCu63/PXpxrEiXIaffPB8EpHse8sQ fJ0Idh5nwHzogKs1Kg5pOh0lb8+Z6IZII1HDP9mAinyJWpQdAU/VMroPOOSCGJBBdV4guut0Ibnc 6ys4PgMipivvG4HjEb71lXKo3r+uLsDp1ATInnSQ9xEJL4sJfqVeUW29Ace+QFtx4Aui0k4RHwhT h4HOSIoo3kNm0bLXBkFkYCVxmuOZ10G2b/LDQ/RkbPefYUkceEHEqhQzLTO0jqhV4IYzCN68YMLz f6ajvgPd+De9XnLJ9asrHmFpPzufehrKmeLIWZ3Q0wX8Np/b4TgWJF475IK3WSt64y67NRLfYDst VzIHoJcnQCCM5bBK8tdzdMbONnoRyd7wsuctlqXE67IuHzaGWDqJhAwQtswoD0ZR4+wthvLuNJEe c/LENonN4P9g8L/nZskNfnI1PtGMI7+yIbJdgqXlh2DVk4ZUJEFOa1VgCuzJSaZfCL6LzlD+eSo6 6/9sqb5wayWVV+2xzXH2YoZmcrKO0IOOHoJQ9ymg/zEe9jhECp8MLEj/+xnE84cAF05Vj3xOj9ia mgsfAp+R4z5uDJuh1JzLMJ7KoDipstV4BRgUB5yJOrTSm2bHI8E3ObjywjIcGaFRouDnFq3M98CW gq5x6rZJmuc2inWYMbxYXifj5DklahfJDckvyjhflrbMN/ikW9iwiNWHJrIdQemQdgWeC4YPp4Eo wYZaO9n45EeVf4rICCQvEPajAxCDLMKvbjijX+YZ7cPp2XxehjxSKUxkL/BWdEAPiD1yxPM4j/Iy KvleCplwrWrolKyyBcTXp18ngMs43ieBrQQ/8xVH5ik2dZyIULO6D7Py0yim6Res+EHvSjRYaJVs TpuJwNtf5FGytZawxJEQl8eG3V+9x3QUDnN2ig1Cdr9la++nUZ2XDpsjEkOPBzZWrI5FqoSBzhem d6PW6LLDrEoazkUGKx38lEtx753snwc86JvzEDxn9kISh889ZP5JmkGbEi3XPB6wANapa8DSjbB3 L7QHuynoS29p0Rp0xOSboOlb0gNJBpVtf5tHnFjL5Y+FHKY/Nm4aGlsjyUj7hl+bUDVdA+VPl0DI DfXT63aKxm8EqOJWmmV4S5wcBMTe2s/nxhjaZpGt4Wd+gMPDPNG/qlNIovpF2hLItdVMj647guEn w0a3KRlXecpsvOq1vpV1Oath7EbkSinbmnq8m1pPQMixMwbBhoJ9AGBLEz3Ja+Ij2ZN6wp1TJpjr 2TxuYa8fBzTRAOjF0+CQbXRCpjuW2L0hTxsJuNPH/casPStOwE0FybrjBIpcI6Ce3VwWwol0IUi+ NCotjwjPd2bBaQfvMX/9PqJHeN5mlgfSFUzz0S7izkaMYekXXJg8yU9KBwgn1UPH1XY0AcdOnowB tgme5gbYr242GP57mSMGrOGrrty/INpwaj6nnmPghqSBn+GJWwyV2S1nKTqnFUWotuyJvywXzLwh QsaPxgg/FeQxeCr/MBYH0IO8QAcOi4yE7iuIc8Gdsi+3U/kHS+wRM3j156KiudTYjZnCjzTus8hC OHuXTQdguU55zJ7Wb8kd3MZleFdTqXv7azPJL1OfcNiJxJtfa4Adws8Bkqr2O9VBKm7+HNwtzSfT rRyqUztcLYoQUUGdM407dSlfl/2TRtR2ivVInzz4UAarEZqCaxd2peUbbOll3Ds4CeRhfBDRpH4D KGlwsl6OoTAYUMWGkH+NaslSXFjo4w7dWYM2q2mABhcqDkGM9U5at7UXQ/N0Inv5v4b2UOAZJGHE 0kWI7YLOwyq9v9PaSE6oNQ2luvWG/7lIZ50TMlrYTy/YCeNXCwQGHPKu+u+HaNYfjarewO8oet6m 4rx48UT8GQDU8d9AOpsIKZE5VHmWMGh1VbZhutP7ULOmtjvDMmLuFiCoRkHY/b37at5DmoGiU6zQ sjjPX0ZCVy7RLcpQIilNMSIZCB7+G0WXMUEQWn5RvU4WL6SVJLlJLLFPMHpvOeJ6+V2JtMjV/7Bo RhJQpE5oPIRXViN84qHqXcuRsD++Vt1mePFhvmGtBpoQU96xfVEr6XTtxHOevWDEUdQ39KPG8WTW 5zccjeC8ELT4uZQP/XpDGM4fSr9YpCmY8xDHJS9oOEWzWxpBv5hyw01AHm80SLD64aJqMcR+sQ0O 8Hzo2EzX7jVoQU39wzais+zbmVojaKN5aMq1PezGXJwIr5HQXwV3bq7lZtDnFmhde7UZZI0gY+6w va809ct7NGIogotFW6LJvFisDa38eq++fe5dDt5gQsC1tZA1j/HrddWS+mWniT71Zjg2Cob6M4qz /bq2gJB62BxQC8Y5W6q7quQEAHQVQUTii0Ntjjz5UQvq9q/3FaPBXjjy0UnWNXK2yj0BFDbUjTMY EWHwZHJM4mpdMhKBJuIkrBgCAn4lwsh2UjsDCLmi/+j1y/WDbDANyj8evze6LcM1Dl/2yxOaa2Wi A4m2LdJxqGeimczZ5RL46wNFHAk928LE7dSlnbaJkVGK+VnWid6oIDpCSGBJZ2PzMv7AWqyUB8rW rYZ/9U/is9XqAQni7cjqNC+g45FXaXGvlEJ9FmkSozCnZxh1db4uuI96Ykxwbo8FF+c5XnqJUxJN 2V2u4eyFD31UArpVC/EO/ayVLLFyWjCbKc3vZejwVvHomM1FqOPFSTCg0VFfxNa4hj8nKFYnLtfS WuwR9f9zFYCvY4/tSzEaK5b1ETYyMoRkqJSyk5KoxzHAunZY7JEdaAI5Tlphie8jLAf6ZC61fPCX y9zg3MkjAn23ibFvU0X/2aD9Cuq+htaOFbnodoyZxKr5JwLnxYbcaCEpLyjqYOorbb3gOz11/Biu 15wpZbstVqt+6xzjtDnTtxFu1aVXfYLYgUgc8uYhPwCl9BMKY2bPlZK3wmlAocmoGF5EbCpBcgJc 7oQjlDdvi1yxF3bR7Jmn6T4zDellh8llnIELRfTZ9l0O5D0n2DFlIO2KQHAD+6YhFFsNrdPsv31+ nCipYp9R83K3N5irYk1dv2G1ZA== `protect end_protected
gpl-2.0
9fc67bbd76ee6320c7620c3109e97e26
0.919404
1.907419
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/sim/fft.vhd
2
10,198
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:xfft:9.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY xfft_v9_0; USE xfft_v9_0.xfft_v9_0; ENTITY fft IS PORT ( aclk : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_data_tuser : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; event_frame_started : OUT STD_LOGIC; event_tlast_unexpected : OUT STD_LOGIC; event_tlast_missing : OUT STD_LOGIC; event_status_channel_halt : OUT STD_LOGIC; event_data_in_channel_halt : OUT STD_LOGIC; event_data_out_channel_halt : OUT STD_LOGIC ); END fft; ARCHITECTURE fft_arch OF fft IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF fft_arch: ARCHITECTURE IS "yes"; COMPONENT xfft_v9_0 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_S_AXIS_CONFIG_TDATA_WIDTH : INTEGER; C_S_AXIS_DATA_TDATA_WIDTH : INTEGER; C_M_AXIS_DATA_TDATA_WIDTH : INTEGER; C_M_AXIS_DATA_TUSER_WIDTH : INTEGER; C_M_AXIS_STATUS_TDATA_WIDTH : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_CHANNELS : INTEGER; C_NFFT_MAX : INTEGER; C_ARCH : INTEGER; C_HAS_NFFT : INTEGER; C_USE_FLT_PT : INTEGER; C_INPUT_WIDTH : INTEGER; C_TWIDDLE_WIDTH : INTEGER; C_OUTPUT_WIDTH : INTEGER; C_HAS_SCALING : INTEGER; C_HAS_BFP : INTEGER; C_HAS_ROUNDING : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_HAS_OVFLO : INTEGER; C_HAS_NATURAL_INPUT : INTEGER; C_HAS_NATURAL_OUTPUT : INTEGER; C_HAS_CYCLIC_PREFIX : INTEGER; C_HAS_XK_INDEX : INTEGER; C_DATA_MEM_TYPE : INTEGER; C_TWIDDLE_MEM_TYPE : INTEGER; C_BRAM_STAGES : INTEGER; C_REORDER_MEM_TYPE : INTEGER; C_USE_HYBRID_RAM : INTEGER; C_OPTIMIZE_GOAL : INTEGER; C_CMPY_TYPE : INTEGER; C_BFLY_TYPE : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_data_tuser : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_status_tdata : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_status_tvalid : OUT STD_LOGIC; m_axis_status_tready : IN STD_LOGIC; event_frame_started : OUT STD_LOGIC; event_tlast_unexpected : OUT STD_LOGIC; event_tlast_missing : OUT STD_LOGIC; event_fft_overflow : OUT STD_LOGIC; event_status_channel_halt : OUT STD_LOGIC; event_data_in_channel_halt : OUT STD_LOGIC; event_data_out_channel_halt : OUT STD_LOGIC ); END COMPONENT xfft_v9_0; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_config_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_CONFIG TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_config_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_CONFIG TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_config_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_CONFIG TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TUSER"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TLAST"; ATTRIBUTE X_INTERFACE_INFO OF event_frame_started: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_frame_started_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_tlast_unexpected: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_tlast_unexpected_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_tlast_missing: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_tlast_missing_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_status_channel_halt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_status_channel_halt_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_data_in_channel_halt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_data_in_channel_halt_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_data_out_channel_halt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_data_out_channel_halt_intf INTERRUPT"; BEGIN U0 : xfft_v9_0 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_S_AXIS_CONFIG_TDATA_WIDTH => 8, C_S_AXIS_DATA_TDATA_WIDTH => 32, C_M_AXIS_DATA_TDATA_WIDTH => 64, C_M_AXIS_DATA_TUSER_WIDTH => 16, C_M_AXIS_STATUS_TDATA_WIDTH => 1, C_THROTTLE_SCHEME => 1, C_CHANNELS => 1, C_NFFT_MAX => 12, C_ARCH => 1, C_HAS_NFFT => 0, C_USE_FLT_PT => 0, C_INPUT_WIDTH => 16, C_TWIDDLE_WIDTH => 16, C_OUTPUT_WIDTH => 29, C_HAS_SCALING => 0, C_HAS_BFP => 0, C_HAS_ROUNDING => 0, C_HAS_ACLKEN => 0, C_HAS_ARESETN => 0, C_HAS_OVFLO => 0, C_HAS_NATURAL_INPUT => 1, C_HAS_NATURAL_OUTPUT => 1, C_HAS_CYCLIC_PREFIX => 0, C_HAS_XK_INDEX => 1, C_DATA_MEM_TYPE => 1, C_TWIDDLE_MEM_TYPE => 1, C_BRAM_STAGES => 0, C_REORDER_MEM_TYPE => 1, C_USE_HYBRID_RAM => 0, C_OPTIMIZE_GOAL => 0, C_CMPY_TYPE => 1, C_BFLY_TYPE => 0 ) PORT MAP ( aclk => aclk, aclken => '1', aresetn => '1', s_axis_config_tdata => s_axis_config_tdata, s_axis_config_tvalid => s_axis_config_tvalid, s_axis_config_tready => s_axis_config_tready, s_axis_data_tdata => s_axis_data_tdata, s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => s_axis_data_tlast, m_axis_data_tdata => m_axis_data_tdata, m_axis_data_tuser => m_axis_data_tuser, m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => m_axis_data_tready, m_axis_data_tlast => m_axis_data_tlast, m_axis_status_tready => '1', event_frame_started => event_frame_started, event_tlast_unexpected => event_tlast_unexpected, event_tlast_missing => event_tlast_missing, event_status_channel_halt => event_status_channel_halt, event_data_in_channel_halt => event_data_in_channel_halt, event_data_out_channel_halt => event_data_out_channel_halt ); END fft_arch;
gpl-2.0
c5bd118f4ea6e1e0237d09222339f8d6
0.680918
3.327243
false
true
false
false
UVVM/uvvm_vvc_framework
bitvis_vip_axistream/src/vvc_context.vhd
1
1,470
--======================================================================================================================== -- Copyright (c) 2018 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ context vvc_context is library bitvis_vip_axistream; use bitvis_vip_axistream.axistream_bfm_pkg.all; use bitvis_vip_axistream.vvc_cmd_pkg.all; use bitvis_vip_axistream.vvc_methods_pkg.all; use bitvis_vip_axistream.td_vvc_framework_common_methods_pkg.all; end context;
mit
316b8c9773fbff9642eb398d3b4a80b2
0.542177
5.444444
false
false
false
false
mcoughli/root_of_trust
operational_os/hls/contact_discovery_axi_one_db_load/solution1/syn/vhdl/contact_discoverycud.vhd
3
4,164
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity contact_discoverycud_ram is generic( mem_type : string := "block"; dwidth : integer := 8; awidth : integer := 19; mem_size : integer := 480000 ); port ( addr0 : in std_logic_vector(awidth-1 downto 0); ce0 : in std_logic; d0 : in std_logic_vector(dwidth-1 downto 0); we0 : in std_logic; q0 : out std_logic_vector(dwidth-1 downto 0); addr1 : in std_logic_vector(awidth-1 downto 0); ce1 : in std_logic; q1 : out std_logic_vector(dwidth-1 downto 0); clk : in std_logic ); end entity; architecture rtl of contact_discoverycud_ram is signal addr0_tmp : std_logic_vector(awidth-1 downto 0); signal addr1_tmp : std_logic_vector(awidth-1 downto 0); type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0); shared variable ram : mem_array := (others=>(others=>'0')); attribute syn_ramstyle : string; attribute syn_ramstyle of ram : variable is "block_ram"; attribute ram_style : string; attribute ram_style of ram : variable is mem_type; attribute EQUIVALENT_REGISTER_REMOVAL : string; begin memory_access_guard_0: process (addr0) begin addr0_tmp <= addr0; --synthesis translate_off if (CONV_INTEGER(addr0) > mem_size-1) then addr0_tmp <= (others => '0'); else addr0_tmp <= addr0; end if; --synthesis translate_on end process; p_memory_access_0: process (clk) begin if (clk'event and clk = '1') then if (ce0 = '1') then if (we0 = '1') then ram(CONV_INTEGER(addr0_tmp)) := d0; end if; q0 <= ram(CONV_INTEGER(addr0_tmp)); end if; end if; end process; memory_access_guard_1: process (addr1) begin addr1_tmp <= addr1; --synthesis translate_off if (CONV_INTEGER(addr1) > mem_size-1) then addr1_tmp <= (others => '0'); else addr1_tmp <= addr1; end if; --synthesis translate_on end process; p_memory_access_1: process (clk) begin if (clk'event and clk = '1') then if (ce1 = '1') then q1 <= ram(CONV_INTEGER(addr1_tmp)); end if; end if; end process; end rtl; Library IEEE; use IEEE.std_logic_1164.all; entity contact_discoverycud is generic ( DataWidth : INTEGER := 8; AddressRange : INTEGER := 480000; AddressWidth : INTEGER := 19); port ( reset : IN STD_LOGIC; clk : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce1 : IN STD_LOGIC; q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0)); end entity; architecture arch of contact_discoverycud is component contact_discoverycud_ram is port ( clk : IN STD_LOGIC; addr0 : IN STD_LOGIC_VECTOR; ce0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR; we0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR; addr1 : IN STD_LOGIC_VECTOR; ce1 : IN STD_LOGIC; q1 : OUT STD_LOGIC_VECTOR); end component; begin contact_discoverycud_ram_U : component contact_discoverycud_ram port map ( clk => clk, addr0 => address0, ce0 => ce0, d0 => d0, we0 => we0, q0 => q0, addr1 => address1, ce1 => ce1, q1 => q1); end architecture;
gpl-3.0
4a6a7c8b6ae35b5a79c384e4f28ba05a
0.548031
3.525826
false
false
false
false
amerryfellow/dlx
packages/cuTypes.vhd
1
2,879
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package cu is -- Control unit input sizes constant OPCODE_SIZE : integer := 6; -- OPCODE field size constant FUNC_SIZE : integer := 11; -- FUNC field size subtype OPCODE_TYPE is std_logic_vector(OPCODE_SIZE - 1 downto 0); -- I-Type instructions constant ITYPE_ADD : OPCODE_TYPE := "001000"; constant ITYPE_AND : OPCODE_TYPE := "001100"; constant ITYPE_OR : OPCODE_TYPE := "001101"; constant ITYPE_SUB : OPCODE_TYPE := "001010"; constant ITYPE_XOR : OPCODE_TYPE := "001110"; constant ITYPE_SLL : OPCODE_TYPE := "010100"; constant ITYPE_SRL : OPCODE_TYPE := "010110"; constant ITYPE_SRA : OPCODE_TYPE := "010111"; constant ITYPE_SEQ : OPCODE_TYPE := "011000"; constant ITYPE_SNE : OPCODE_TYPE := "011001"; constant ITYPE_SGE : OPCODE_TYPE := "011101"; constant ITYPE_SGT : OPCODE_TYPE := "011011"; constant ITYPE_SLE : OPCODE_TYPE := "011100"; constant ITYPE_SLT : OPCODE_TYPE := "011010"; constant ITYPE_SGEU : OPCODE_TYPE := "111101"; constant ITYPE_SGTU : OPCODE_TYPE := "111011"; constant ITYPE_SLEU : OPCODE_TYPE := "111100"; constant ITYPE_SLTU : OPCODE_TYPE := "111010"; constant NOP : OPCODE_TYPE := "010101"; -- Jump [ OPCODE(6) - PCOFFSET(26) ] constant JTYPE_J : OPCODE_TYPE := "000010"; constant JTYPE_JAL : OPCODE_TYPE := "000011"; constant JTYPE_JR : OPCODE_TYPE := "010010"; -- Branch [ OPCODE(6) - REG(5) - PCOFFSET(21) ] constant BTYPE_BEQZ : OPCODE_TYPE := "000100"; constant BTYPE_BNEZ : OPCODE_TYPE := "000101"; -- Memory [ OPCODE(6) - RDISPLACEMENT(5) - REG(5) - DISPLACEMENT(16) ] constant MTYPE_LW : OPCODE_TYPE := "100011"; constant MTYPE_SW : OPCODE_TYPE := "101011"; -- R-Type instruction -> OPCODE field constant RTYPE : OPCODE_TYPE := "000000"; subtype FUNC_TYPE is std_logic_vector(FUNC_SIZE - 1 downto 0); -- R-Type instruction -> FUNC field constant RTYPE_ADD : FUNC_TYPE := "00000100000"; constant RTYPE_AND : FUNC_TYPE := "00000100100"; constant RTYPE_OR : FUNC_TYPE := "00000100101"; constant RTYPE_SUB : FUNC_TYPE := "00000100010"; constant RTYPE_XOR : FUNC_TYPE := "00000100110"; constant RTYPE_SLL : FUNC_TYPE := "00000000100"; constant RTYPE_SRL : FUNC_TYPE := "00000000110"; constant RTYPE_SRA : FUNC_TYPE := "00000000111"; constant RTYPE_SEQ : FUNC_TYPE := "00000101000"; constant RTYPE_SNE : FUNC_TYPE := "00000101001"; constant RTYPE_SGE : FUNC_TYPE := "00000101101"; constant RTYPE_SGT : FUNC_TYPE := "00000101011"; constant RTYPE_SLE : FUNC_TYPE := "00000101100"; constant RTYPE_SLT : FUNC_TYPE := "00000101010"; constant RTYPE_SGEU : FUNC_TYPE := "00000111101"; constant RTYPE_SGTU : FUNC_TYPE := "00000111011"; constant RTYPE_SLEU : FUNC_TYPE := "00000111100"; constant RTYPE_SLTU : FUNC_TYPE := "00000111010"; constant RTYPE_NOP : FUNC_TYPE := "00000000000"; end cu;
gpl-3.0
8685f34ad9ea2df4df5158c2d5131b7e
0.678361
2.797862
false
false
false
false
UVVM/UVVM_All
uvvm_util/src/uvvm_util_context.vhd
1
1,885
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ context uvvm_util_context is library uvvm_util; use uvvm_util.types_pkg.all; use uvvm_util.global_signals_and_shared_variables_pkg.all; use uvvm_util.hierarchy_linked_list_pkg.all; use uvvm_util.string_methods_pkg.all; use uvvm_util.adaptations_pkg.all; use uvvm_util.methods_pkg.all; use uvvm_util.bfm_common_pkg.all; use uvvm_util.alert_hierarchy_pkg.all; use uvvm_util.license_pkg.all; use uvvm_util.protected_types_pkg.all; use uvvm_util.rand_pkg.all; use uvvm_util.func_cov_pkg.all; end context;
mit
0f9f7bd9d7f4f9efb769bcbc5b47cd10
0.529443
5.108401
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_viv_comp.vhd
4
19,297
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PuPIP0VhRYOvmy3YQKBtmK2n6y8D0dWiKhfOFbgKPAexbIleKocvnRl8Y184WIBVZmvl7McO6xqh oSNkJUw1rw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bmWXy3fu5Cr8p9ffB3MhQuVIAxp3JSY9+rqQTwkcvIRwgJOQ1p+ZmYgQfTBIrKURt1Xa0KLubUnA 4EPlmILaIIwaoYgJK3KOShUZWEZt28lTZjreYRdC2SYMsT1E5Uia0fI/FUmtjfEQWKDVmgyTGBsb /k/6l6ocSG4L6fNbp1M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WmqfpMmbXQfU2YRufkLgK09mJpEAJbZF5nSHz9WR7unOpyiYZQczRy4AtWqL1tgnVC57J8rF3jY+ OXslCKFoqLCbTHyEpCKEAYFABHey1DDk+n3JP8jqWkeUbVpmHy6D/Gisy+nx2NsiXtkhfRJqeYyJ Ar0PRgROgNLIYnYH3Ko/CfukjGauLqyjUixZ1ZjqlXZTczot5SEnyuUy2OrDJU+VyrC/pVlt+ed1 QZ1RVmGXnlyEATObg44m+8kLdvNVZ7qnHea0cpJX/gS/SUUtrIZAT5VCGfaN74HB1Q+98xjn2vag DvRPTBDdbmzKYMn+A4VWqaWgfBDu+yerU5ElMw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xlQC4c0ATnsrb9hZyDH1Ac/lKNHa6X1E+DtMc58EVNeiHtJuPvZDKwAuLpndAyOrDlw/v7RpuRco oiFeiyUvAg5m4JcVX/6P/ZUTd4u9ezRCXDJlHtlSFyoghKzHOqP85Pgr17glzp0YY+1LD7Z1C6YU 6JfuWQhwjf9PeaQki8c= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UCkL2mBglOLzuPuHAcPj+nhS7wzoMsgL8YLVs45sPzz7+nHPjPcBObryn3a5WTYET2zyAelRdGW6 aS5AG+sGB4dJZFQZ4F7cmC7k/xeelk+U2RoWPc9r+V9KXMC/zlZRvlOftw2n2LQXoO+wN+PDhJIx UuAGS1B6xqpjT5ibkVYafkFgDYEtF7c3P4YHnv/aAfapkcf/wbGBoiQuP8pMsTJsH9iA8R6+QexN vUSIO0TxQWjZrpFHchvW7TN5z/q+cK6tUy1kEj+96dnAruyw5tOfudZ0xNjAq4k0BiJt8ZtKA3n5 090AmZJAgTCDVRSMD3zvUVz2+tBTXcef/kNMgA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12544) `protect data_block wAjuaJ/T1wd3j6yxIsMHZIm3rT6/sAFAmtH1Lop0JRcTMvCgyqyX07+TMT++eDyFcK/DMN+qUjfR 7NDs3XIkw8Vn8H0qF8IGq6quWr9LL6KxuTwhAS28oQm2jY9V3D+4yggkVpav/jrL3nqQg248bdHr aZqln6zxVbcGTHUI7yUW4wi4RhaA3rd/51G6LsryCKQQy3+KSN/jzwZG83AnfgqKicFKyuKfHJbm Zgi5TTl4xkm0DUU/5SWaqr3P54rZkN6Rou2SYTIDlUi+WukRCpyfBxQzLbZAcFxDj6106XKw3x+O 7IPc8TSe9DOD1vM9mIsYaOE1EEDEEbih93uZxydskcazog7QPJu8GaYSEFV60JMf6bVd/2MpUZXG JfJTaAF5Q5pOd5MbKGt4duh4MUxiyVtJanGWn87fIBi3lC5diUh9b4+LlkyPanO8uFCiXcxVhBfM 7JbEbj2TK3BiA9wrhJuLkUr8f9jsUBkVH4bgEy5tGVcU2Ipn0nDcxNkBN23nuTSrH9PVWdg+wlqy DQw1Ca2i3GQhvREnIE2cOFVPHyU07d71sMwPOHhvcJEAmMiZGak3HDOMG+YgeP2Ul0qY74Rq2M41 hY2p6OptpbLu26r0EP9c42tIZo7z9CPK4wcUkE85hQzoxsTKWYzKIwKU8vf3jMyI6oBHike6t7O9 Esm5+P3Zd0CX/GoPXwEFvD0/fIq3rAlX3fCjlS7B8NidV1r1jRhnWSDRWO0/kEV6DIeeVYuJGTv6 QYBalVn1kklYZKhzouO7r37v8zp9ePHQYyl7lKSe/zrLV2eVtCmeWEocbviELOGCa5lzMawC9IHz PUrkJwPaUDpwBlOnulLhN05obbrNo7qJsuIDzqkC+xAGUOyL0txFofB+akOX5qQWB89DwSIfxXqb MgLvTiIcW7xW4nvFh4mOh/w4DfNHqQ3NIlWAtJi0JhNRmvZwEtpcMElqMrPxwAWAK8HchX4EGJwz OOjZ6sOUDRd2t64UUjBY0BvnIY1bpcRIjFixQymWGEUw/lCgVeFTVceKyoUivvYXGkxtHJXwZ3Cj MBWQXZ4mOPjdXV7LhCjfwDiaD+SobmkgudIQ2asSF14BdQOY03yi6HXIs2bGYzbZFDEHTwUh0hiM +OVjfZM7puQEY8s9cTqpx2foD5MCxWQ7x18biDgFGL8HTqn4fx72sWYzT/uqWLTlcfrhTiVPuO3b 8vIURMT14y/tfJizOaWm+KPM9D57DojEbicqdnvaiopHHlnnuPjVWwVA3rd3SsK+xSu0T+46gSgW FLTtorgXAfa/a37aFB4mqT9x6vFSNgDa/nlRFVCffYgmT9cR8amEl9xsu7yC0J6VBhN+67n5HV0W zCVgMRvS5v9VVLO7XmzVIeRr4sCdHWhzYy06xvoDohbGbavhkGEQjO6k76IBaOjhcckUi1AIjsMK Vwd5tgc/UvBHDXtKjpbQyhtyJc1KIINJDz3y0R4OfedhhSo+3JG5xq4Rhtgt6Nwn5TCBBW6d/Dfw 5Svjis2vauCm/3DDSDG70C96xeW14wrxVzEYUEojLbxMBu9jzjaTgjlV0sBq9oHie4ynE/1NCA62 nU+aAd41i5dDm/sqR9XA248Nil8L43kUXAzH+ijOgt2q3eODGpnEoMrBcEQbgpo3uRXVrja2ANgu ptvk1jaLliUNPM4NKv0tFHIpTbOCMr6dWrJDfKp54WHDPB19p7GNx75ealWdD/gdsZWCOtbrqGQy Dy+OoAgYTZ10sg3MThAuD3E1EvT83mBw5JGwjyZ6wI2VmQGRPfaBdHWPSqVpKwbEE214ZrOpQkyX UovTJnylaw+lVxC4crDtOnaKLDB1xnMRn8E5LOtNbe2pakLvTV6P3OBsCiyj8Wdax0/cTUBhAbwx SfcR7FYF6vEI4BKp7xmdUnLPpKqMj7Ons3K92saqJ3/BsX1Wl3yOx+e4O5xsbQ2z1MRzAIPuu94p ui+YoF0OR6r5ChoGrBgrG2+mREFYkOrSBkSWnx+d+GmaEbPmsWLldClAZvLk/94Krhiw0zfkiCUQ jkSMT0sw7QfCgUhuVi2CXKA6iTdCfXLWgYulbDrVv7RWmyCdxP/hcwLAYJpJ802t1R963M37XtLy 8iEwYhUOsimmr4LOcw8/H/blPZq2FvYL0SrYWytQ6h3GtFOd6ao5kd9qXo+dh1tpbYmx+iDdpuIg DG40Q8kR0+y43kpO1trC9JsLYM5YAdps+agdMw3zAXt7eJMMMn8j7P4CISnNXUccDuEDgepa2yMn HIYhQNHss2XD0Y63iS2zQXfsIE4iiBuj9hZIulSBbniLjE7xfsV+W+CQBxObjpIv4yDlLtvSvuT+ sTrHLpYJP3U2CqX8rNmVwLN9+jHEhdA4fKU77SCiTDmHjx2e4nEVTr8KcxDi8Sd9z3Sr7zvloLtG +TAILctRXt0PVShzAvGekaf3Ue+IOdp0CzZs5otfV7LzA/KL9WHMoUGyhLGWkj/IzeLvV/YMz35g 2tDzc8uM2u6zRbBkpfW1zZOTcR7e2pwrGGWfeMyomLIbw1yUF2EUWqh9ClznY6bakres4K9I2xLn SgAZIntxIY2QqvTUw3Wf03kqexTbarYIYy0Dp9HwvAUQOUoBix99usBetGtzhuXdF3XkeIKkRcPQ nMzc3FqYjHkjMZFsMKpayph0N3+yxAs9DOC0kTmhL0A5rt2unjcuOo6IPLRB4fPbuqvMN32IkMwq beobKQygEGoL7eI5qlf8T8ERqQKBbZiu+gR+5H2vabs4SsPmb1m5Nh8H5a/Xrw0y3y4yGQC11GmK FNOrZnbLZw6FMAxD6cmr5vADfwTwVdaFNpZW+rX/WY8tLZzVe1rma9laN/0sfHS+fNX/uuCLKvq1 LVaLLxLVY8oxaUxetG7ft+gpAfBCtC+ZdQxuJmwtThMUPHyha4tCRTMNXNtCaZ9jGcc/CG5a+jBF S8VihiZctFm+bFzuFvCPwz1s0fWpc47AIQDZOMkja0RbyvFTv3KwlgyMQnYUIlvtuLG76ejlgROo 75K46G2uX08MElt7zAMkBeoZyx/37M1eOSVHoY2LXgB5mdc41Q0XroIG4V9V/5AliD2yx59ev1CG bqi/yyfi/HipxwuL8yAnHeHtQ/G+JuaHzuZN+XX3QNVz/BElc0Jg0xHcbE053ZKypBwVsgJ0HVsw MAcpk+f5UEYBoB1677bFNUK+lD6IMhw0nXPrMyVbt8/mzKeECxL2OEJveHTGRrqmrmM7YpCNkt5P 1rG2IYILKU7QN66pk6org2YeY5mo7XAVeBgi6INCMWMssRHaLfbzRDV4q0TqQwnXZkSSNw6E84Ef +a3WrsEeqxt3yt/tW6xLD7PQBWE9cjBUHowtGWDbO3kb3JsLzjBnAFh9NTeJfO8DZSDMce45/PPX CFB5FLKVcc7fHVzlfr57WqAgCh5JVjEHkedWWjj/USpna2f1P6Q1UvKfi4NqLXviaTjUxokQ6AIk fvkqmONf0jWB6jFzMqRzD2Ma+g1PmDqgYY7M9wNkLPoDsLtn+qP55GwYyG6qMTh67naaKpQR/U0A 3z4p0ziEAeq0qbJq+cK9JLxYXCMFfB9/IXKjZGDj9BZXVnFpTujjF8hmSkqiTIE1NOPTT1SAAnvi qW86a0IF0XdpoiFryy1mKHotqTEAyWpQqrbIV9kQeBddXXYn0tH0JJlTea2ogoLK0jBu4UNWJ8NL vzTod7LVXvh1NGPR7UME0LlSPjLnjzIpUdJNOpERU0S3Kk+S+tIGw6AnUD37Op8k11pXm0TBka6u siUqU6LnDrIKSrPNvMFvWosJ3eEcw3FVBbBoUlEmq87bT+brT/2Kvm8rbbjSpzNwQAeZJ+vVfRcI Q5fyXikbOqtw4zPbZvQb3UqcNs0RzY74s+hqABYZAOaodZzZ51dUJjr8VsbfuSmBfEGLpzvSr5CA ZxuwnUsuW2m2nNlN42/+nrzl3HFZ3XrnqkfQchktFU34o1qpWIhJ5DuxDkx7/6hLsNFZyuGzT2q5 fCcuQU7vQaJJMeZF6NtO0EIPlEXdN0rItyZcLYeZnS7+sYJ3K0GQUS0oAVbHp6V8PUsChaeoG+tU F9V7MoMSXKGCk0WcpemRx7fWdgezNbBlTLN1MSEk3DNsMerpGnBpKcogEiCVnthdtLiaajM2jSOg TICVupS3i+5Ql4rf9X3UjKT5e32N0AeqiMmxVO7YRMTIUAICv+gTjXhGDoxuJextCLUQuPUZLCO1 KI5Vl7gniFxMzJ6PbW8lSRs6746HFx7GrisHZX69KxMbegn9a4sVhYcP3nigT0bjRETekENmdyEZ gbHYZa0dC7anT8V3N7Qm/HHntsxkG0+rAaKKQ2NYBeJJt9WegqNtxW9zuixRfEh+oAxFVpHj6/b3 ru7EsqHish99lWEoqJkq5fYNBmftKfhuOqGR3RWblKGQjRJWhYck8/mkP56AHBnm+CeML0qhyC65 N1rDdMznqWzFrllssiZ9esgGYvn5Tx+8ecrBAOTLBUnuDz1RMfcnHWUP4StRQ2gwPxwDSOpEMlCv uLZYis4YcIin974P/zvKgPTo1u81AUUInX1SWy/t8gAFgOgtjAT24CPy2dwQu/Xb1ltDDk5DUl3T OvwzsCzJNvGe0m610jjskUOil7tr5E7MMNJGEty0shPLFazTHuzBu1IICffoyp6ftjdEVKLNndGv sV5DpYQsYVZ1SbSGxnQBHFQQoUUJaPnwhq6QJkajRE1YgM5Dcd+T8VjmxM5OcnMLMDw6bmNQfbvD DXF8OftBOYo90E6GmoadMhzy8nwBtsmKhXB4VmVk8CSpLBymwZACKqE5CHlKM+YvzJCYwyffzzxX CCElvYkqbIQTIgrI9F2diY8rXpneQVRhlboMQc46ZEIZDUvWm3pBAaNmjujN37Jd079FOuVM70Op LrHI0nilengflPoGaR8wvQG0Q40fK8kKBJaGvfNisnOLvj0BN/aV0TDn1D9k9vIGUQ8x1x0uVa8X TTrF03k5m85OeUoLPBeStTgS9DP+4PPJ2Y9q2wBt1k+H0jgQn074uC1/4GTPiRwhD0ccQ7JOKNXX 0mGMFXMkTL7VjKlM+qOBMk86TIbGbjNFuLYMRNeyH7BOQkxixFbrktsowvqJrYPvcJAtccRYhd21 bgD4b3NkGOFIXKXEDpJdz7VxLw6rlcyRLSZFOP9cr34vz517NU4yBqZyoXS90uLr4/ZJ0wprUJnN 1P52QBGiIBzfQeSYyU/mUez5hUmXN/7h+R6M9A/JO65jkz7k3v7Q8Dko8FUlVV6CVLvkJfxWn6zf 5+ztNmNGdbs/vLPaIZyD4IbthEWx2dXf4IjesuQrV1zMmOsaeSdekiFzX1mlsynn1+J0ncRRxjBw auY0EvPEeJjI1ETQlbNZjV3uveY9a0/PFsHlZlTU1lcFKgCfBk3MLk3GRgA3YelFWKW6HcoEobJO 5vWiZk/Pqaplrsy00OgjqrYVda4psfq4pdReq2vBF+itGZ6X9iecCnmJNi+GeZYuafTOQTbkrkPp 5aD+WKWscHgv2R06c5nGn1R5mO2lJmY/KnozB/J1EOAPNFrh+AP9qHVIj8dzneYuqUUW8WkZ/s28 KF1rueh8D/sUe0/3ildXGsC6yW+paJW9RJgGLUCcz434hq5sEE18yfwuaUlQXVc/SGJ+j3Haz+4/ qrFhNy06oZSpmb5kw3SaK9o+wjQ8uSe/GaPenJIuzkLCCUau70p7u4KCZTgQqDQr1e5rbTtO9Tng 7BDGP4M7gKNYpPtX/DOW5SHKGovu5GFhmEWNxKcigxHe4Al+94XKh8MrAbPL/Ha2tVvrFG14sjpy bhueIeIOcK/83R+iDPrCv1jsziiUx4vYw7QuU0V5PzUYF3PBhUc6qvG1vngicTY4dVi6LqxfcHQL suZ9o+Po3C3Ag5uSO17s1PAi4C/l6K94zKXAtoAzMrLQ4YePWjeW2rSJQxyjTTu0UXbbICit4TbI BgZDeK/j9Xi1jNmqC46xH3yeXt6bqCepndS5/2zclSlC6PPKwWibBwaev+OdzWfV/Ux/Cp0aZmha w6/66IT8uVF2bG/7tRJDwzcov3sKtjH6E/TP9eb4wYFsPzfTVEeMvr6OlEexMmoXatdlR7qT93Al D4jYsd287z8wqaUkYYxRjTE//pFo1BVOVINo+7nFlXv97q+69wrZC+M3D4d4lrrFwe8qS0/b59vs oJs88VWigW2hJ83GdErjsCVec5KSD9/67x+fmlsLxxtXyxgZ18H4hqoQE8L7W2SQ2K4a+ymHqvbA EHTco041L2PYb+25H1XnmxaYss73nS+oQ4PfG1PgSaLHPPACS9MmybrMCPAuI0eCun7e8KgmmES0 FdZmmbWpxSOdj1h221RT3GHDaw21/RDXx1e7K0G+7nyg7TnQpvWL23uMmgHnls0CvSUOqErogyfu vEtlQOIxcari5xRqj3kwRuIXvDNawnrKI0M2onbuCvUjGeGgEg/Wjvd3TKVMxvPONOvRAW3FvvJG SNFvZGolyaCSBLDiRZMMobVSAS9wTwY+61ABisCBhxaus5z6HLohgmU9l2ShEDuOoPWhuONCE8Ch cH4/nlw6vpxCLdh+DP81KpuAF/rXaNh7VUMv7oZMTgcUbNksTOSoSA/lG9ngnNtICclCKVYYsAhH Y57u8WGcTGi2tYGQgo96uISrt8RC1KvrOrHlRrT/7qpKkET8Z4Ld6tEENHcWqnqr3Igcm1XVNDHM mYYltD80Nxxol5c7gLAylKdghDe+nwI7pxuvb5AgeHj+wFJTOJmiVjefTUurFgVrRwmftDxOXB8N eNmHyIt47dMSOEr87rFlIGiv1rerAll1IJX45OdYYJmj1zDBRVPv7ZPmrVZfjkk6UHCYdHwZvTB4 0Sn96tpXx/9uUu7VGkf0cUs/k6sxUF8cISySZA7OY7+xzteW5d91m+au8HjoLy+HuaZIKZV4M3ph ubty3oqvUACnlIjEDHcJgYBlhafVDk/cP0XJ6lEISJWI1t3xpVjIS58MIwxO+vav2iTRPmaXnNmz Svl7ZJ0ahTnPv5oESdBTARlq0wTsCWUrowREq5mvkNtfo+scFzYgxuqmk/qqS/Z6oGUY/LyoDQ0s EaGFSWt4SXe0PMgqytm/muSiAVvhzKonRQa+8yUosFOpEjDAiq65zaDvgtffVTiYogRTrZZUD2m+ jUVm9fVQvTzXzAwScMjdxbJmf9/tO0bavS8ILZ/qtDUO0fL6efeewJofGbwsBdiHTvK4Yk6gX+HH /E6UweJiJOK5db27So+SyU7fVA/0j1buVDXoz2M1Svl6gnp6uy4URo6ohRN30/yyVskNwf6z/WKH 9QrWAJD0zOsfGgDsefUKrFw8aMUKWzCl4QwJ0339oTfxDnRRzI98yjL9Q7/KgA/upc2ewZXIXh0F 96N4p2e07cC11lXs7/aA7A5iNUbotppin4EaA240jIQeQCclo4LUTIPreCHpXXUvDwim9HnqwYhT PqM/p9kh8Bm1wCGeI5i5hbFisCdEvCRG2y5+Jk70VhaySGuVKi+iXMa3tyXIDMhRc26i3t1UXvUa CBXEdz8WkFAYLoRdxr4+URWScRAb47sGcuHvOB7gOzVO1a0xAHl8AadeQVFwwTDY53KRZetJJrdc bPv/Rcpnb/vKGNwXpjN4Ez9n1VufNHdOXwuyvbxiy4yDgo+7VM2XoeU9pu6I/khlAOq8S6HWfCmn v2y84oxfBmUXZ6tLFzTcIhmnSUvpvGQYaNILjsnExjN8FfJe0wEPMyhS/TVNmhO7s4T3WF9fcBtk tfKy22S5lbSfXZXSgTsKj2Vn3x8TQbgeBu4xhiz3hx9ioCZXPexd93+jrCx6wwfukFf6ZV9VwK43 EQXY0cjqiRcCywbnqqBxFbcwUExRkr3pA7apaGjsOI/+wh9G5x99nILHk8XunZsO9wVdZ5ugvjS2 1vjVY52NcCTDzWg+PbVD1aFdE9DClWKMvwLraXqEjQxHn+yrPO4m4L7PoPdFMoczh6eQwpzDfRun e9DrUZjOmHSug2LB6qsKT82nxu2eDPEkWjKEXdiBtZfIqf4G3nKLxdCdiDDk43ZbM1B46T3XSgEy WgW7TsX98M69WvxBuoYW+OuybmFg5DCGEwzgr+VH4WB4SF4mRypyVDS7IDwdPY6aRxDWMCMwh/PV buKjZAHNg7ksSuTPfzukbn08XPX67AgSNdgu3gEgSZoWIBPY4YZMa4QEQ3wKWHRsLE43rQaNWu0a 9RbgUyDIK2qm6kSo5RN2ZK323ntW6JDqtXgPqmlt0Jz7YxySxvis8vs8NYth8qA5TOsffhNDZvQt dDgGYNXKcAkABmvVXfUUheZodY7qWUPK5bjN/a9nDjf03zWAHHYVdH0UqNtx82yIOSkpYGVq1nEJ oevVKPbfUNqEYvV7UAXRO1XBuVBEmZ40bnYBmU1A9+nAkTKF4E2YVjebA8Hn3fte0YUbU+rNdwp5 cCtx2nTpgzF6OD29aTZbHWAm8riYf3r70p/0Un9+gWuJ4TBpFSn+Tmt3lO7SEV4jXcQjE3htF6gQ 3LBD+BkQ2tlC+AsrfVFnnaN7CRWqzxUTfk7Ko6mfHSvupYCpVMe0cTUC082rmtaoAZbWbfAJvNSX w8Z9rzZfSZA7jU9A0LCjyndfSfwbD+jaK8f2nK5vPDfkyR7EdOZdl8x56jRxRmniCsIQGQVR8IXE QybyaHRu2bnDEnqWJnu+QjbIYF/+iLXOPGVMNEiamfo0T5vrz7iDbw5n4QnzYgUpFnz1woegfGAB sytWMqGvMZbyOshyGhgA8AJdeZ7wBA26KdChSYQlUl8fhF6+xfY+Zz9UKVLNeYaIJvPOLfWqX1g4 yjUue1QCKzMUMDkV7Bhl9/LPnt3gacMoY4S2D7JyfRehpkSjNgtPjHkJ6rMsx79oRHViio4QhfCL iAVvrHPw3dYlaGv1bCtD+FYrVBaDiwr11tkb8iO5WETgjuepCIz0yvmc4EByrlQDBEoQ1EFKI6pF Qy+iGxEtbdtjDRkm9diUGb4zloCLRrSanuTokuDY/B1srQEG/A975gnmohrlqylbjpS0o4snezzR /Pxij8yXdCUvUnoGASToGIigxViLHLCW6wb4Xy74AqmwwoahmR/Vxvb8HUHkJWx7ld6b5gV4iSeO 5jj998CKrcnkUPa+qEyTIK6wHbWR9q537GB5Ai5qb51Kpc1/LxW76oO98oh35CQh2AlTLJerqN7D 2fmlnxcuVpy5sOgki1ekwERKokce/WtJoQGJTsPleH+GJ/gEU6gdaHORiCkBKGZPN3oNLL84azOo EXT76S8sMjdXlYiduN4SGJPvJa83fKkaR/bdlUvJhVU+uc6hywO6Hynn7ncxx40umv1jCw/lDnYS vCCE0wac4i1XhA7XKjFDrTRQBGAeDztw1Ou4vgCdciCX7Dk07V80gjp8C0SFcUHb+W9B9xbnRI0C Alk6U4LEn0xNjrYbVHRPQK1mr6ref3TF+yV5VGnmt6j3L5qOx6V04BVwguQvd0+tKKBt+w5ecOnx 0Zr6DS7CxdgmCzxEfmX6/MfvcqKYsG2Yv1ybHQVFriyoxuEf9fJ86jIGn37b/0WWFkEdWKqCeJP8 mSOsv6h+0yVd2D7N/L9D3wcUJNGca9w6tqcwTiZfQ4KVkRaY/gB2dl6sZZp/NLltBdzGOBXDtriH wIi9kfQNGt5go2j97TX5Bd9YXroyui+25QSquB9SiUFoiG4ZuZeqTpnuqYYpMa5OTJFwhpQjPKm8 yDcc263snnn2Neyf9o0I5hpGwIBspqvKX5ajHXhXtvdZyscWqyVWYUn+7ydBo8tGfjtFlFYvdy+/ G1XbQ+tfq32vjKHokeiLoXFAysxLRnzdj/dWjJzqQ5CM1v9KAujfdLzYXvTtF3kwWKYG52lLlssK IRR4FbSr2kY1i0hveQT5a/CX6bhQIJHck5tG3Twfo5QYWrNHJ7oKcFEUfE60DMBn0CVmbIuaHmOh MUi0eBdLHKcSjqA2/FVf2xDTPPu0gqKUgvFLpG+lo2+Xl51R3fdoeAzoyP2Zs7NZI005Uj7K7aKA uRpbIaWKzRZHJeXZhQhQIAqf7jas9LuK/4Z3Sjj5D8QbpD8Czp4g45dL4w5Lp02iJTfW1VwyUnOl 6ZY48pMApXh4hBrGTqL7Hxt518ZvmpvgMhrDsYiSRowcYlRrSmnXgtN5gqqcNqbIVz8PXooU62s9 wPvAy9TEwVqMwwaRsqITn6EVREcFMVgvUOjdbTp80tEJpsPO6NjhDX0HuFZVPzQkkt0XulCUbJB8 f/voZ0VsSUKXlVLZ3KraYmknW8BJU9isN7Kr5ECw/CfGaA5+3l/DAjJYRBv8rf0ixjT4DSs+tVyE qlIdPdeTRQwDIgJ58Y0v0+eMN9hc0VCmtjKp0rHE4f65yzLnQ11R7Ua5StI87Jg8lw4fon328JU5 DBhTcaOKmyVLTTHCUF1YcAa1POKcYiFa6YrFi3zby+swRtjhjQGAIOPE00zUo0g+CnwCdCcp++AI ygpvOK6me6CSpttyJWlM/N1/SQ/uvthYfuoPGv+JH+RS+yM/6V5UNgwhgj1c5lEilPUg7ZDPiQ2M 2Up7HFAsfItVl5RH+24X63P8fA7Q8cOSkUhKlwst+kWZNBpkT0cN3NfE/hqBJCg7QMnMpi+AKnLv r7ju89e6NhdGs/JZ8uQFuZTmB2jdgW/hnsiu+lGgYLYthRph7VChs66+XOarw4uELVKl14p+cQ17 SLstDiw/l3XZzehWvC1KuQARA0fVaoG1SDhOhFtUcegRQ/7Y5SUaJS1Adstzrgk1vdZIxCVBiN03 aKKEpOpuG47N2WtVGT5gyRQM+9cqlacwcQOlnTM7ProKDbH7tkyJO3r0/Sbqmso42baRq6dZpVKu XtPIn0linerMeynz3MibRNmcYtjU14BvrRWI8MH55Si88JKtPGWRcBZbOqNhWTAMRX5i0p/o6vW9 fe2s9FeXNvbNxaRgTYRf5CIzJD00v2SYtT85UBiwrQ6u6g4gARM/Kr/ZeZcw6MnYsdZEkLnMsTZ6 LHTUHc9HBnsGzwYEpsyv0rI2w7MAvO7KLkyZJ0T6dhfNB7STyUPzS8bCH2jgG3tFQZEQGx1FOq1b Nn/pr1XA6OGSGuwEwKgWA/95d60lgHIJ0oJCLbQRvP+5y26POM1xGzBJFW2h51vx3aVSBYiFWesM XUPBXaPqIxR2+oyWJERjreZ0IGC6VmUjdzoogIJQDw64WGXHn35iI15fbbwcZ9/EKQXL/bl93UCY NEUY9z73bPsOuMNidDtoqOVJg8nEQ1yIP5Mle4795au65VotgEYLUAyBNLxOxn/BEhuBIcZdi2wR SDx5ogDcxv1uLqUPmMhGcPfTxHH8dIF4otFjy+cLctsgxoFuANvBmBlGQIko+CXWH/BkAI9YYS21 hPXlgVzt2fhiv6SIyllWlfD1cF1F7DuzWNQyp/LXZZYmYe1et1gAJ1snXKRHy0I5r08DINTpH9xr Y6YdKPtZjI6DmNH9jdz4c5If6VATADjcxg6fogb2cZLdicoyiiDCDHxrAXvVTwW+DusFJCJoZvqQ c8DVnutNacec6Jk3+bam7O/kc9S1Y5cGlRPPFUBBpJrXhBKWcYPeWEzG8Y9QaxbI9WM9o065v+Hj dkqszrATrJpIh716ql955xLCyEKHyAgAAkxMSImEPC7GAm+ryKwrBStt8PqEM4QYQsHvE16tNyfd JyowwAeriQ34FGniS+DIuYl0Mzyi6uqBOfRnUUa5mkp6SmMFNIsxJHwnyxqYDGSV521nmUVP/lcV r6xwaW5yd8ALVYTkVah+SAOlFvO0EK+2veF6oAoqbyWWZNVCedi6q05+lM9Tz88eKxlgbZw7LnoF f3mhrryaWfX/HuzixM5wRifepC5p6idAZyM6pOq+1zbaBaPCjjLLEtuyfbr/0Eu4LsZ9VIaIhzT+ lU90QoROUGYMBOis+ekscS/QXkAGgEbyR70wSKjDV1MsqBrmIPbZiafTMr7Vo0x4Wvqq5jWJPlqK Eg8FnitEwmrCVb9fQ9yKQyruiIMcKzRTaPMWnK8/HiXnGukg0br1SPoBUEFbFLEyxEDwRcAxIaCK tWR2qxwdHA6asW6Mv3znZdjqG3rQn3DtdIPg5lL24DQaxM3B6BrsW/wEhd9iqZmvHvLUR/RE33y4 /12+a3+RJh4zt0XRIFafLauIasFXdukGju0N8QwmbBQ3+wMUGIqW/vF1i75O57gCUa4PCJ4WCnFr 0Xp6qTKSi6afs7O6t4nXcq+oLgLpP0r65TKCCM1eFwiubU8lD0JccjWCl53NYoChYpi5zX3OfoBL Vjd8URkap//B1migSSiMQqSx/J4dHQpFA62mXJKJh7BLrkRDerZh0LZsuCn6cCsEPTcN50S/WggM XPX9XLzNEJdHVEnKyi2tqX4pauR8w1CwjqPFvWzHplAz8RQfkmMBED+JtUaCl4O7lbbG3yBSgRH0 pJvwexwpaE9oXuTKWsbIJWfaQuwZSKSFBvyEfEhEtoqT1iLii/+iWGPBd86PIEl22T5+32aV7YjN Lt2aAPFB7a3TyejKhjXjY+tx+xEEq7vruESV6V2dnHGBG0llkGW1Nqh1ZnIPB2t2tfBjZ7hFjiz/ c4PI6DRrlv4dE435+f8rtsOb4KJdx/4xC6tKUDJFKzwfSYioXUkMwSXtLnVflNRu2aV3xM+57Go9 6SKAfw1x1LuQUdAwRGeMP32Bvmplx4q2yGPZJSDexQJ3pPIFi4Zzb/bsVt1pHehcEFTn4fAnZgAV q70koTNL9VVJu/oZ1EOBJoh+bflOntyoMbwWCLd2RMXaJwwmkOx6wCgXirL6OG7ZzQYY85GY4PDx I97TRAi84x+FD7wyi5jvFeg4egZ0rzPJXwaCwGyZxIDUSTDBnmxlnXyvkBvRTFbVlmDQ6fdlyLhf GYAFHe4KNd0Z73ugG7ZZpIkwu5hPLc2wndoqVDtp4E7K7r14mEGwVwddgpttbZbe8g9dbDZtNJEU Dbs4ocmegCpNYPXqa8UhWozn+InQIIbonrZA5qe4lYPow5dpoQUIPPh7oNqHpNpOnDjIxoXMU6/j eRENKuAJsj+QfmB7Pekoq1CrMzfuXzimZL1bbeffwMJuwrZBSr8noTIa5ilWZNrGi+B7/ROQfauf UuQJ459d+AU2whWpL8zYZHvdrnkA6T53jM/m24srvjsVmaM5vpd+rQ+wB+OatFyo9docuzAm+vzM oEld17s90RQLaSmPokAjU7EdaoCQvPjRfkpPl8aWXUDbRjMLnHw8EIvvrazECVB0Btv/yUIfz3gV utw+CWQNV8Hdn/3iedRr8QfA/oVVjL+atiLyFqvHoLH/+ZedGaHhcGX2gkUVYnCrZW64EbSY/PKp BCWw37RFf32WWTU09vUqkXlSyxrng/TjugoP/Ov6zA8Q+JAdb6B1W1qcwQus+nFoiYnTsTSnFRsT mxvhj0Rjn0wU85PXzSxHdMqidnUyKHBkGYmOX5rU9xpdVNLHh6GBo4NhwGlhsfs26Sp3g8WmDxeF OCO/TQEPbdUeinYgpPanHS9K2xaTooWgrMLkqUmVDUlkbh9uZnLpQ7JNqIJqKxewv416OF9LX/oR 1D5LKXbRnG50YPe89m9tKDL+RKhVHaNXINm5cmtgwyuePXab9ztqqsb2EZaR8xNMT5s7vmroEJfA xZKmfp4nJEIaEQom3Q2EGHuRGlF5snor5seP377WdwqvgdXEGxNx/1UIkmgbBvv3q8QwRzldn7PQ TgjQgZYE49we1sDOm9x5GXhv+0+2iZLYQw9jU5Z0T2Fth/ivyv6DK2wkyJgYwQ81FuA41RVqQpBb BtJLODSIjyygOg5lCXNOxdF6DHUlRFMQm/XXYgXvf90IPPrJZRkkR0XMIQj/e19h1iZSQwut5mLs mSwY081yByWbig3oHNmRb1vkeCGh2Rax5k8V4v8sAHvseJ/m7eiiPMEPsdbv9VM+FUCSRENWhXuI C6JapDhTo3BOKj9irk9YuKfXEf/szIz1m1/3DfZc5lN404ieAT+Z1KsD9nfQLtq+NJ2d0vAErf+4 gpGF6cq7yJVIC2FvUNkE8gjLfUMM1hcz3+3QjBDzF1QZtsGqC0/rkwHsddOKkV8+bgc3Nz4WAx5R cYC4sH56tE/Aax4RokxTmJI053S+NwOjUGDZ4tgO3SwIQ/sYn7HDilxXig41RSLti5EYvBT9iQmm ZvRBWsUXtxgSvkZMwr/EaJLoyIPfooGvhkbVlbL29Is4Zf59huf0zDt3wH3F8TrX3KuFi14TZuLX 7abRdMBDnFQhcpW4FvtIsQC6BoND1bXAFFxmCkRnSjguM2ydsryrYVH3huZuUqbJ18teAiMWfrVO KFY2e7zhP/iP6ycKhH6jDehgnP5uzKekKL3eTN4x9I0FHSGCKxJs37g4iceX0duUsJuDR+14JbN4 UOIdnxSMXiCNDEDPkUuxzA45gM2qG8in5r9WVKhjwcNwWJgefguGGySgLt4WBMmL95toJVRT8Bxh OJ7SKtj2L9o4uApyPrEJsJWFhN/BDHd/pkGfADdpGlKSYXrfJ5pY+MB82u1dzps4+6Ad/1Fhq3xE m0qCS0+n4Dnjr+jAkmx7VqNZtWnLRUAfG63kD505+I2aBajFYZi/uI4oIXK6Dq0dvxPERJiew9p0 BdSKkA5FuhSQUr2xCvs5wafLqdhqmznxre/5mIULZb2cBHqmWEEI4pez6GLiiyhuFDoZ0AkfDTn4 JY8cPz3cJXXKi/psJg8G7glpFxon02U2ymK1sAJqZ66ww6FPh2A+f7PbcksKlgAf65dBhmHmHDN1 AKBgPE1amkUT01aC0sIRRuR7PEQ6iGdbD9NqtnOYrCrsboGueTQjz9YzrDzRJirBYcqnixa0HXWa JU/ZBoSZwa4RUBcLXTDQWEhdcgzlxQ1WMKJqEbBPJTia37oRuepMZTjbR5oNmQlps6aipADlRTkR T81NVH3V/D+cy3uPZ5PlHg/kq5v+nTP/dFWeZUfFqEcqZLOeWPwA7/OvRgBkLvmY7ZksTNseoCkO FEm89IgvNT9mOe1xzfRfklkXvjQ46WV4a5V3tlaOTOAWb8EY+h7d51iIfx8R0UwNa9G0oz8Ye0px z0511F3WjVOrZjFH/ugvKCULMSvtwXVODnXJu1UKZ32CB9Hc1MjV/epYm2pOwlRYJZohnMV/dTP+ pa6o3rAltW1byTwro8znkSHorRIbN2PZR2aiD4KOSKtHX0Hzdwf0iUvhyNJh09wDo7HMKleCTfy3 9t/B5mwbUVRVH/yMG5vflETUwrUAYycS/KqIc0BRcBnQcBWw4ZVTNYPJU7QvslKj7Vz6II6r7kBy q+QmVmqlVD02VhFayTFjd+OSF44uLLJVJbe0Dm1NFnxbkrHivfEVGuNYRROs+KdP51qXj0Ft4o3f qoiD5u6gbou5LHLrscyrib84Veb5WDwXyIDW45UBto4ccTpIrVBiBL2xIJT2FGxuSpFzWN0Wwsy/ wJH9NPasc+Hqaki3s7kb71m1oC/HjUjZYDmDht/qHYcCIu5UuQz6WF0g55Uir0z/hZPV7GBDaIDr EmzG4UsEEK14kWi2/uv5phIb4O06lDZFhCAxRjtBy2VS6hj48HyeTTGYjrL8gAz7yQy0u8jDKUOU 8P2pGVhEj1iDFunoSjKA/aHSzBrWG/XDfpftZVN3j93xOP5DDf4o6z5nFwJLlv0Jr40BFn1akq3J efooG38VOLIL+k0cXI4690Dlc8Wsbe9+Xrl1iS22rlHr+UiR78sVyNE3nn2NcsVTHmLByrlmbeTk Rv/rocFdKWqG0nWRV116dEHEiYAAiwnFVFgtJHmH+/ZSQBKw7WJ4wqGIbFc1yeSJGjsyZPXShaaI 9jUJ8YB7ZDabV26u6mCFko5VWo2SrM/G6dx3kWL3LDrAR5GCx11N8XV8C9CsibI20D968JYEtlIi W/OrrcJKH4QlKySRVwaK7LTO04uQVLOsiM6eI1sNR/xQ/d5kcckj0sQIdy22LwRtPia0+nVw40o6 GDLjz4z/wyqi5opYDQ5H4oTCMCw2WMggQkRs4Qi5xva5lpU+8EZNANckrYCLBoLi8LI8TdKDRqSK McnzdzNcTA1VIruPiKlvf809+UBJ7G5p+Hv11dUk8LxeIdirUZyyLd2h1KA7x2kKhGYEq5e0sMXM jg5BT6i9a+Xrwncnv9+5IFb78EYmXtzUhKnFZStyvqKw5DvWiNTBMwbovy24K429iwH0be0H9rdd qyEzVG/TFDNTXuwqKLgsXh8X/jsq6WHSqpSvkSbVHl5wMEhSUOzIx3pUFkpN40/E/pk9YMUfKpcy 3CbOPWGG7cJCWynUn6m1CF3Ryv+XqxZXdgov1kd0yRvx8y4ZZbGAj4xwmkkJSpwlTMSWkHOvLxiL WjbvXHhjglSWSqcEu2mycWokQ/HcYKQFdhqNm/B6BBnKH5I2YfMsTr6XK/GMD2EAR/SJOrSTLyYM JEgl0psh4WwIPrSoIVtp4/6lpw+FNK3DGSP6IhBmPsFF8cZAiyJpU4HyAoNl1ACY4H7ZO2jIS9gW HsyN1iiU8PG/wGKErXh1ydu/eJ/H9f8UQucraOVu1z2FEwTNorepsWdi4rZIZPR//JBLr7kqpNpb AcnIrA== `protect end_protected
gpl-2.0
1aa0f575660299d7990adc5edae8b136
0.940664
1.865706
false
false
false
false
keith-epidev/VHDL-lib
top/mono_radio/ip/clk_108MHz/clk_108MHz_funcsim.vhdl
2
7,474
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014 -- Date : Thu May 1 20:55:21 2014 -- Host : macbook running 64-bit Arch Linux -- Command : write_vhdl -force -mode funcsim -- /home/keith/Documents/VHDL-lib/top/lab_7/part_3/ip/clk_108MHz/clk_108MHz_funcsim.vhdl -- Design : clk_108MHz -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_108MHzclk_108MHz_clk_wiz is port ( clk_100MHz : in STD_LOGIC; clk_108MHz : out STD_LOGIC; locked : out STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of clk_108MHzclk_108MHz_clk_wiz : entity is "clk_108MHz_clk_wiz"; end clk_108MHzclk_108MHz_clk_wiz; architecture STRUCTURE of clk_108MHzclk_108MHz_clk_wiz is signal clk_100MHz_clk_108MHz : STD_LOGIC; signal clk_108MHz_clk_108MHz : STD_LOGIC; signal clkfbout_buf_clk_108MHz : STD_LOGIC; signal clkfbout_clk_108MHz : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute box_type : string; attribute box_type of clkf_buf : label is "PRIMITIVE"; attribute CAPACITANCE : string; attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; attribute IBUF_DELAY_VALUE : string; attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; attribute IFD_DELAY_VALUE : string; attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; attribute box_type of clkin1_ibufg : label is "PRIMITIVE"; attribute box_type of clkout1_buf : label is "PRIMITIVE"; attribute box_type of mmcm_adv_inst : label is "PRIMITIVE"; begin clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_clk_108MHz, O => clkfbout_buf_clk_108MHz ); clkin1_ibufg: unisim.vcomponents.IBUF generic map( IOSTANDARD => "DEFAULT" ) port map ( I => clk_100MHz, O => clk_100MHz_clk_108MHz ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_108MHz_clk_108MHz, O => clk_108MHz ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 10.125000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 10.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 9.375000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 1, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 1, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "ZHOLD", DIVCLK_DIVIDE => 1, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.000000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_clk_108MHz, CLKFBOUT => clkfbout_clk_108MHz, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_100MHz_clk_108MHz, CLKIN2 => '0', CLKINSEL => '1', CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_108MHz_clk_108MHz, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6) => '0', DADDR(5) => '0', DADDR(4) => '0', DADDR(3) => '0', DADDR(2) => '0', DADDR(1) => '0', DADDR(0) => '0', DCLK => '0', DEN => '0', DI(15) => '0', DI(14) => '0', DI(13) => '0', DI(12) => '0', DI(11) => '0', DI(10) => '0', DI(9) => '0', DI(8) => '0', DI(7) => '0', DI(6) => '0', DI(5) => '0', DI(4) => '0', DI(3) => '0', DI(2) => '0', DI(1) => '0', DI(0) => '0', DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => '0', LOCKED => locked, PSCLK => '0', PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => '0', PSINCDEC => '0', PWRDWN => '0', RST => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_108MHz is port ( clk_100MHz : in STD_LOGIC; clk_108MHz : out STD_LOGIC; locked : out STD_LOGIC ); end clk_108MHz; architecture STRUCTURE of clk_108MHz is attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of STRUCTURE : architecture is true; begin U0: entity work.clk_108MHzclk_108MHz_clk_wiz port map ( clk_100MHz => clk_100MHz, clk_108MHz => clk_108MHz, locked => locked ); end STRUCTURE;
gpl-2.0
90f82bd540c40d638175630687db0e33
0.618009
3.315883
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r22_bf.vhd
3
42,356
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Qcvpg9ce4qGUGcNyEyqMjJvbC/eLy8aKDpdvvnviJdIEpoySAXQ43w6C7EAIUUNh+L/7Ibd+wlBa 9Xu41lTJ1A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block I6CpKO5SP7228GtesNf7g3F4EppfU6HwhlVxOIdc1O5eOEZI+tlCi8T2rzL4RLrg4EdgbVh5R8Zg GhXteuQGgNQrIXlHmo8muO9Sju616ik1GZjHyrKjxL+C9azhypp9KiXNCrGsP2OQJv1rmJqUmeVt 1pCgIV36FjhcN/NJv7A= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UHEot1vNdqIX2Q53m87kWm4UsNsjZbNjKyfZHtWy1G+iMOohCh2Dl02Zxnc8VOFgxcgHehg7zLgI kU8qRkBoWKC/zSVqQQgwZXfhF3zq7PqXeSHfQBXfAQBgBI5qKscmYKjl2sN48W3jSDfvEHg5uz60 5OWtCfTXfe1lCdnXIqNOFYmAvC/nCqGOhsyu+8ZLjx04LVDWKNHAUV0KCKr4thw3xZMLorflcvMV lhlu4vDWz1MfeQXWvCw0mJgIAjRZoS8gnOeXCBPYBUxt1AyzSB1Uat/fkeIp1WC4Sz9ZUExsZL6/ 69mPWEIS3oItRKS+PxWcku6kbt+qMQFDlpQPvw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GDxJV1Jti5GLzFBqJjXxwROlebLw+5JjYnLEfWhQPu2gnj2v2kFnHKtqhsFAzSnEKyTsOJbcuRpF W8USp9tBAC+T+9KmXXRjKmc1/pGBJxuSpAf3Do+DkWzkQcdXDyA9LxN2NtopC5HRL29xYIYBx3WC ey9JcnalpWHhMA22PN8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block H4kVn8QDe8xrwhh4F8tQdgOf7vYjBvSGXBBPts2QsQpwaymnvD4Ph4jeuAGcMMyXoJ52S5PqtKTn avK76GFBpc4nrETnsAObCrUqYdvMLnb5kKpCU4ImeCoUvaKatVHPOdVvGzr7XLYCdFpp9Qup3Pn1 IxAVvyv5l/+cVW2iOu9OiQsepgeUOaJzEfLTaW5pzia+HxtDnu5yhfUcnQlF5ufxIL74t7JrKdU8 4VYyO3BzyyVEQt2gK8DNXnlzebzUM/RNNBdFTDdixgUQvcq7YKQkjEBmlU56pH1izb6XABZ+YgqZ LkM1AYdi3F+oxJZ5xqABqkZmZkHQP+c6Qk+6KQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 29616) `protect data_block Agr71kFg6queqIaVDoxZbOd/TRJy7A5bT7rBSFTqVqTWq2JySQoHuVBhCMgfJM7HroItfoWBqSWF IN5fjXc7XI5Uzhz6bHMoDB0d9ziNesE4JjNscttKRRvVkHXw19P8Q594yrTZgD5AyV8O83cPuS61 OYCuNPC32FlgUcFagm4QpYYG/MvCRgkCPWLmsEBCxvcXI+Gipdt6iqkSby7a8wQb+w2/Cvaro80Z IxzcSl1W0tqXQzoOIQ/3X+Imf3XQOG92syS2qgnOjLcsxe6FIMyewHYveOPFWvU0Uy49pM1XE5wj gsgIJZgKONcLO61Myzq2jTJRM/n3UscDSmg5LQJ7rPiVfPNCJpfEfdN0is7ztKkCPw6CJW/fyLWw 9qSr2xopcuEBxe1RLuf6JQpIdmIyZDBKfR2t9NJfexnC6cQU4xIXEyHZrqK/bB1xDUJLCR7KOFWw QsE7LTng9BnYqoSEJcca5R1SyKUVJ671NF42FO/Aum9DA2Xz02Qb80KwV8xAqRNwmPpg5noQXjeo 5NG8tV8SrMHhJ4PS9T3d9xozrdifpUVZ/DkKao6mE7EqMJvNVCwp5Wq8O2hPZ3nGkvickc4mTQtk 61Gd7FoaG7/9Whbso0tM7JbbHkXGrQkJA/upkpmIyZGZkRSnnnofBCC5MNDDMYd2G9dw4DjqzVDu KjSJfqckfmGi4Ws12JfhqtFykfA7jWe0CT3k3xa39UCLl2+0AM8wjawO36A11sWS4yNN5oriBka6 TrWxdI+KCPkPC+Jwjg5qBpSKNqT8b0nsaimh7fde5ofrGPYQCtpNxLD0dH9KSbXw+ts/GUU+zOke SkUIEzSQ2+aU7QtKUnbmefGk17jB7T5vyBiaH5cnk63T9II3Ur5cCSTiUIjNLIDKSzzZIbtbS/+Z ZIcWt9leuOWfb+9n8o9I/bcHX/eRWweLnhiAncalDe4LNoyDVkA3u7t4/WTZWWFRsWFOlsVZ7Zam yevOS4i7ZSUllgzj8c0vNsIKKaMvmAUSyioSqE1xx5kZL5YjMS7be8a505zAy6kv0X3NZy980Zlm ZH9X4Yc4GBlDs2qyaD+ctRHEJg3a8fgg06LKNrBSh+RKq6xiUKElgh6Xa3z3RJ85Og7hCofs+Wc0 gqiBr9RcKq76HS5CR4X4vaYQ3wzz1oo46VArLKO8aIcZoemd5X2ngYomUBH+0kBfsQeegMJV0LsX H7mkZzDjhk1dScJ2kC5WRN4sxQxuZTnjKsXIUd3vSYuTF0WeMmrXkEAJypGO2tGIwjxQz4PyqAQ8 fu+mZUquUSITcXD6/nYR1AIpi6G/E8JcAumU9n8F257RnQ+dY9cguEtfYRRDu2+sJTOe1Du1wA3a sxCUzbBSdCEbejMxpSxxXChbfnjKhffS8UH3RRj+7WgIQjzT2h1dHoU+qqiuMbpGiOZypUk7YU/G zlgNuwzM3goUCMlTDk3bt9LMs3HI/QFxK+5H+pXlnMggvqSLrjNxxPBNFieXDKAeil87L7qKjwDX u6k6jxIJRVN8tTxNJARYLhqYIBTIr/zMbKwFcy7dokYHOH5wayMFUGnWjDmPJ4B9pBxeD5mLQks4 jSfFiTSoF/zxWCnmdnehnlkxtoP2yUIT0SBtOMr3yRBSNCiSldc1t7mFzL/76E0dOje5uAE2CA1V GEEWEpkC9bDnkluVfbjb/1TL2zSy3xHJnjtt24jybj/lM5D8OS6peW8dNzhd3ZN3aD0wQuRuRG+K JnbqBs4Aop39kO3RMvVt9IkfpXnEA8vxktq6Ia7o/cjJURQclJ1Xmg6DLf6ySf/LSmuAXDYqZrHo /eBNWkEClGglAeNZpXfomPOwdjW4zPwoOiBy6AQOla1urCN9SjF8vx2MSKEhyVbyzVPk4H7dZKfO QvPKYMtY08Zw3bjLlTSKGAB8FzyK5PlcODa1nVbStwKsX6V/1yRQ0uKFOCm/v5KmfBHaUzzIQBgr dF1BxThSKV8gCKpi5AGqOgCgGLHtDG0Htmi+Wg3G6v39Y21QdImqWgO7AqzPNCtsxqhs0xkHGYTy 6Cpb2ktKZUlPYSkEOBo9q5qsR4ei+lYdPk6/ew5Y3QJdho0BCGXei1OLNNEmqmkKFCMa6LBHTggW SS+NM10xNOw/E6RSxBzSrqG7OIoeb+7hD8sRsv6xDf82H48tDijQVl40Tum9lYrS3DhQVZK1EnGJ N728W2GaHmc+KwSHyDeDkMegBG6EG/b5DUAL9NTc4C2fVc1YczLQyrBmeDTHr6MaQelXNrKDCqE7 R1ZgVjsI90KtGZFJTTyebnQSvVfkFjiv0zGGrqnmNtz8VY8Xls+h1H7ZLNMNsSTqW1JQPdlyuvcZ xfR3hIrB9zAuBu7SA6TyHI6LgOI/NS5XB6hohraqX2JV5JJ5UCkOSqqdY8p7U91eY07Sx6p3xD5Z ZXhbdvUcICE4zyUmjMxbRnQvTseWXC4YGbQlHYxRu4cPH7LNWVKRcmq+tJqVNcm9LDXhfm7uD8Jh vNHxgQPE5LCAm1pY4bg3Iqjf8+RHbBWnRf14iGfUfQCoI5Dym5j3LwgVpI6eX1XKxdpf0WkiuXUo /Sa4V7edatOKx01YYrQ9QIzKt4Hh/KfwMr5+gNSrOx5rwNtUO8w9kCqNQ6oBbhIVlUm6Vaxs+mKz jm2o9NoxUQqsyz00dSF923gf82VhcP50I7o+IHsEkileEdI1tb4ryOiPrejo/gCGFrGvX95hyCOw saPEKxFkRSEe+88ASBdjloe3gpDDXp9fF9hYC6iLO+y+A4LDHVX0ZlycIN3fq978UC/WrkCodvTg 71/NrXbqT38optbr9NkQQG8wFDcBxaD0qCSyFKaIO3jlkeMib2BADvukP+B7WH1k5tNOv6gwZBKd FZ4Bowa/ikbjd2HqkIMpH19qeAJ0F4FXB0TlmZuAdAtsZVf2UsQ6Mm4ry9BymaYMa5O5Xpi3oXw4 UktvAZrN3UN61TZCPOSqxR8IChipXCHvTjJxQ32pLXUXSf2eTMbndmP3rNpaMV7I2eQjL7e/6p+i TmXjmV9de8MEnbck5KBb7k3WXNSgaxvXENv8IycmDTGb+QcRSfcrGxvYObDif/iqb0DV8dw3SZLP ofjtb6HAWfhmfLxsL7XCgyGkdk5jyONuvAjjF2UL9J9ttctmLZVd63KPFPP2r8awf0U73TALvQeX Qm+NCg1wYFCCSQv+CrVd6Dlo37WTvtuNcASBQ9d79crI/sAmUAxnFO032RVssKmE9o0WQTFf3afQ n2Cj76WtKohp0HZU27HRK1UzpaXMLcs2DoZluDU/9SuHX0cYoiyv4pfBg6lI7ZtOOko2I9sSdA+q 9BTyxlTQIx3Q37SCM/21XsfQcJDIiYnogyIB/OYBaLdMgjGRVFsnKkxY3C1HW+Z91Bb/aKBzg+jo CAxEFhQBeBCM5pFQ+1em0iLGz/qVFx0E2PznntNRe6worP5FzxCFwhpVzakC7vFklREFgpZ5uLHx f5TVzwRJN6MW14qIpht1JkRFQ83XmPPR+eB3RmGwnbxvGmWE7aEBE97meACipnTWrbDfu6J9LlfS pI0X+GSjo5sa9V2Ag0Hiu1TyDMX77OZHkLVZaTrRODfO9+nwo8b/d6pWhr4vu7kR0ToWWFncFWGr wy37p4+s4mNHvKe6Xu8EcKDI9Nn6JhJGHQQP3/o8AbciVpcYZNG2P06GfFNl6MOhGJbc14LPPWhn Ml/bgL//mY6wTtMt/htQUkBxymBwPTmeOL6cynQOFVScThNNG7CD3+gdGMq7QC2f188dsafBplG9 C1ZSQl/Sm9OYJokLTU3QyHTXbuvRNfEty6G9ulH/6Wl3zR+CJ77Jnc3gzhs/eBTe+w/Clnfj+OjF qj1OehxK+oyYnHFjkIaccBPqXOkR7d0+0sSB61WqcuSgjjWrrbn6YIGB4cz55sFKgkP9CtSdcpIL r+7tWuEwqB3aRn9mChfIYn0CW8JVk5UV8+/4DhWrYq8sumrriLdo43+AX20/Ju9iJzvr3z+IjTrT sNJL06O2dGuG5klm2oFN6EDQjyZLmJBVFKdryqv6bgEnEHqxXCRQyNj+Qp9Hs64VraJ8B7OqNCFc ZuYZNiEWcDpuqKNHLo06LhTtkVCeZGTPRWLOHMDp/wkqvJaehUC4/nEUn8n23nAnNTww2356sSeh 8Sm4DuNb8Ly1wUuFfFfyP/mDZ3uL6/u3qMF73QOVyCRYHp0amcyi901rqJyO24Q8WpgFqfJbi6t9 2/yUXMLY+aF/++4Z3FKbyzbRPTCZ7+S5OaFSg56z5AV2VGqZq/8mMRkD7qRVOJxC7lP84CVEFibn x9Fh+/K/bQ9zSVhfmr9bb1UaYqJeGjP4+CYH3TuoI7BVbOJQCKyXbujap2I9TZOPz9Vla6DWyeHr uS4XpLMRg6rI9V4zgRuR8TVyqxeHYF1sjYcnpGrl5v1L/abbjNF0IpSNL1p/xqvvOZ2mnqHrAuFT LysVw6vYSzpa5pvC69D1LHELexndvfOXNrRgVyw/Pz3Vb0fm4qWyljfdbshZ42J+odqqiCsj2lqO Y++YV7+WqtBVbCy7vyUDw5fvGkRoj1pGXU3FY8Blkc/F03IgHo0XO6xa6xd0KU7QgpTAgnLrZXEI ijGVDwUX24V068EsOd7fz4Ms9xh6TNpeUFcvQ/TM7AVtz9mBNGBa91ViFoK6mw/o5uCQgVZ07hpz heooEiGAIyWlovIU/GPp5omtxrLkh2NUIUzeN1QSVS/RebPWElfNoLYgD7lshkKa/7iPpwSX4RrF Y9fu9PZwUMPKM0f3K+Y9tXCKw8Bd+tQISClIyXdB9kH0xiOJkg7Ktbk+mOaneQOk48dVO/bpryj/ L5va1PXtYNMl4PYxXep45b7CJs3czKFXppTPRetms/iidoaGwo+upoLHpUy2rvEVtkwA1yS6xxzV nI2y5+qIw1cgrTKwLfqqUS4cVxNZFX1U9zHK8ghJijBNrBKeD+3WLPV5hKpA0/v3LIq+FyKDv7Qw iviSajv8zDNyF8Y/OXMtFo9dsJh+dAtc+0lk/4C9qT8isyVAlXBJ6BvJLqwQNnVvALHzclrkcEmV W2+CVunNFuZdKPBS+Y9VwIZvTHRXljy9YLhmBUufyaRrgEU01ge9pahsLEzKO+lrQvXOMxpz8fDd Z+POGq70YRRvqDn1VaSZvQigkoMKKoBHjyGFVatLGYY9Xv3CFDWW7FmwjMGLxqPtCiVtimpNCwHt iXVycjDJW1JtElKYrj1SxX9u8SudOvoacbeJKJj9tqC3DYOqLPuWdAY0f29crtrUuY4zT/v3YiAv syyu3lBdo7vxJwe2og4VKh77xvk3VolZPTeLavq12CzadKUQOBjTXmMdJuLFHhOTxwc6BGMZ11iQ VNVG8To7YGjbVjIKUvYh7MvOfHr5FsS5cpKcsrDUtEmwwE349K5NMzr3BwEf/beznLTlxP4iK67Q ho+Ols/NKiCsEuzBXu5dLvTUIZpxunui0ALSL4YAQ+zrnyFqSqdQ8litQFczrxbCX4oBO77Y5Z9R aYJ/6BYTmfwbLTWP9nKFnFn+XfP43otI/jkGJxjw3AUIpkdm/Aq2jabLvl/LHAxd5lb5EaOfrPnQ bm30hv4ZaJoMrq8TMb1taq6ju/AvUBx7R2a+6dpSDmDsd+JhZzFwmb1gbCZW8pyrU0eRQFkGh9At cWnBRopHIJuhiCaj6JGM719ZluPPhjne0XnfRZrS/CdEK0jpHzv+hmr9kLFzozz3TU/4UeFRVPZh RQW5PL2/w2lpiDl+PIpElpKDIPJzqaDNw5NxRuEO81e3dyxTozT3z9VLbe6kxB3Fg2unma89n5y+ WEOoi7xm9/IxLhMCi+m0xsH2TBvgIGkA2CZaAfoAyXbRvW4NvTYYrhaeYqbc04NgfXM2ABsIMSbe geQrpCHxsf0mOWprD6sj3By3LKjs5sPn93V+1HxW8SdiALsw0ux8STuivkADNQFYC2TPvViOLbc3 a7NJEpDOvuQTIeYwtTYI4bPtrJHceelZs0R1B6lY2gNp8Nl1d7T2iv3aIdmDEm+eDMNgJx7p5RYQ mo98dphK3/L9URaaYZOOIpL2IV8lvm0ytJoHAg09pz+YnXkakP0kl4PctMYQu2v26auX0xC9w+3t Te2ZnXZ6ZcLYh66xwWybzUVO+s0eW6H6NVCEHBC1Q0yE+oQTELR+7sT9lQGGhBFYKE3NtxFLqyLF 20Ir5AM9WVgkq34tvi36CJQG6yIW4Wph+FV5jlabC6YSXwFHrDOJgOjT/lfzp2BQK73TLwmvM37O wtCWwUB+xTwywCmq/i9Rylg7dcWlpFTl+Tc4rpb1niN+Kr3OzDJRIFxGe/cul0JQree4VyyIBadu q5nNcbvS7PkLP4qedj9nYIgwylfxTKqfRbCioL41JxIJQAkvCTrhVRanyMu3h+8aKVw9J8nfsMQJ nDF4HZk0Me8zxYanlj2kEQE8+PToDiq90+AbO/TP5fn1Ce1zPylk7wCu3Ho9awQuUr2WUlF48pzx tl6eduds8Z3ST5L6b6VoPzQ2mMlujIpMMjSmNKFPnfnIZEQK3NsMnrhEMfmuERbiBl0Pvxd4RMsN hM+rshqsBue8AdMozQ2HnWloSPJM9UPNoN5YEnzwWmKeY3JIA6Dk7DY/2SMFXMKqIeDIYHepZY0Y 2Dn8RBB3/cwUyEVcP4xwaDc+MZrE9RCVzfkAj/54kr+5Nh9tdpL/KyuZ/1kCs7Ur2LB8DGmGOi7M 8Mve5AxSQg5bILzmmWD2fZEuNdN460Lk+Ikn8vSWcCnluFj6Rwmis2L3UL5isGbJMV1/w2JcuScR 86tj419XghWKV6ry74+8xbMrJ4WcTMRwz8vk6r5aoocj/2yrNvB+a5YeZBPW39iFP2ulgEw6xRFK 4CoXYmCXJpli2y4qPcfzSbI/RQsV9HFluM9vzTUyijn5Fou+AXXPuSYuCBkleAWhg7SJtrmAFjV8 UVoQFSP7pbQgTvp8tn3xV6/85bWg5n8kapOhTz4tbTla2dt7aLA97zxqQCEgAPe96GOJSoGiYV+L 84rPPbETAx/pu3qgBIp7V7E3G5dG5xON1bYTl1QOk4q5tpF7VLSUPoJJYfi9Ud9pJEYegB5U9Jr6 S227dNBPcxrrDjN/GnZ0ZomN65HVgPED4/mgPSWmK3AwBRtOtOEqFb5QG6gOx4QVw/nQgUC3F1Rw 9OiQ5NlSEgDsOpVT7GOSo30aHe+fp4X8nSFZdtDzQdbfR3APPTSJOdXlfD+2pr15JOUBV5Hx7S3X C07QhKAPI0vkni6URhnLBm5tmU5o4BN28ZZAluoE3XIQYKYYe9pApoFi+lvjJU3uG89WQ0T7C+5c U4eDxVCTc/ikGXf8r41T3sEee/Lyr+92OEG8oCIHQ1xKqdZmhAzB6GhihV7W2q6PlDopyMnBGypr 1+OXvS2hvVtfezoXS+TOUk03p8I5IUinEo+uRNg20OZLdr/vn3kgViMKmGJbCkvevrwrtu6D6mMg 6bAvLXcksrVosKJrU6L8/WbfEUj41M6O7fik+2jEF5H/2dvzB8kMBmbTtZrQzxqLNFDRYq+1u0Qy 9k9+mwDE8de1mwPOJF6JyzvZpVEwTSNE6lPMLGqbmhlIMeYQVR3lSUx9YFI0HOTyS6CjBXBlBfYX MLxu9AiH3vYSnxSSCqwxup9VZzi28d+zLjtVU7pTSExHp7muk1ds3QF7Z1LnkqddBafzi1GEvNRv aMAego1Jq/tuoISyiidf/Jq1LkfhY02DwvB2PPbQXpApxsob/cF/atsuvv7ZZdOdzrpAoRSEWV3M tUO9iqTfeXYN4RrhovoBc/HOTtGhU6HlAn9GZ28vy1T/ciKyXFoGCdnkzNxAvrs3Qg3zXGUbYb2Q 4XhFrfRwhZpePuxTeTXpXVRlnvhD1ypwKDgObIAQZyoTVlJAlgEKRZroj7WWVyEGWZ0HnxD9bAU3 rbO2WpVCefzFb+J8UJYTjFgf1k60ljgifppo0NoN0WjKrozLimGEGu13+IEhsEUu/V50H5aSgC14 IZ5/RKxYQP+N55jboGCqmNHTdHYuWPEE+2suWYSzLQlu+hyheurpP6g2mlpgZSXTTOXk+9XLCcTF N3Rf/c29b8uIA/DIX+1OagQWNMIdG6uivgucDFXEAr8qzIjNINpYZ6PKC3RWquOHD/gQfzFMKEof ISeY00njsFSxs/0cW5ntMFrAuf3qew7piwkvfn+QjYzPvuSy5Ea5LOfQTxDIvu6O/CBd8wtZdyO1 YQYa8/xXcm10oViqOFRFVJ03/kcHzlzake0Ru9Ti8V5Tg0NFJVP5AWOsZU7TAPTvgS0RQWa1Z5xJ g/47JC2awaiN+fkNNOoCmtRqrDAfbq59fDqy9FupaBSj2FW+kMri+hZUo1ax0K9SLglD+meMrKnt FcmrEDl3IXkkZGtTRYH7W9uNl6Y9bw7hJb90Ws+W4VRrnsWU7jmsfDWHbArtHnoOhTkKV91NE/AI XcMKS1rFLw1AKkKbCXSV6AOZAB18ZWQYE86C/4iJRQUPKz/sXwBt2gnf4J6oF8WbE7F5jz1vePsy Q80+Rx2N0Tjf9OuyyHPISD02LDI3VddVmriqD7aLL6NHfUS4yfKhRhPV8fpF98ZdjVVC9dOAlk48 zqw2WPxVjAlyybXghWh12RDQZ/fJ9Ixu454Ht2oBcqrR4Snme7rWZRhEwVtP6BJwYGHlG+hiTqI5 gU5OXNEkyYPQzN7PEiFkKsArYQ1NlZNh3TS80eWOWDtBkidys/dkKXJG6VDyUK/JeFgz63X+HPbk EICtNKFLpWmIqyWWpxFxD8NGikB1ZEFMEEI/LtNYheMCqhdmWD4v0jXl6NPJjuTz4QlTfdB63J2h y2hsiXpLf8sYqf3Y7fRhnHkEZ0DuUE5mGm10GoCC00kDFmrMZswNjRuXCIvLslR043IthU8CLDU4 AwKg4qODcDxjD1teNnhSjpAi5FvHwYedmW9bKqaTUCGaCDd2BezfLqDU5gCQ3gbuaqnilizQ5QDZ mnU/p5wviu714ziKP1eDMj+eWCcX6Oz4pUCUfRHhgk42vEAaHQfdF7pD8XNgzUKxLQivfSVMnMCF mI9EKprwckaKzokHulNRpaeJ7QL9vS+UuFWxZQTsnCIsE4P/ncVS3eqTLA8avqfADidMf/hXKCii Da6lxMflxU+Gw5LkKMeXRW1jeW0vgom23yUdjuRC3Y0hgg0oSLqt7F4B/ukceh73ulVBpUDZUw+B KVxNVHOB1PNH4dbk+esmDNH4i6hDIQ1wewUzRd2X4dI6qDyNEaPhOe24bUyI8koxp2F/oF1ozVel GqzYB1itU7+2Rus6Y/Y9Ry8mdlgyy0GCshdIaEK+Rbh0eZCyvPo9/96s0rBVCLIQbTdB5GGqGNs0 bI8l6+IMP5phCK08uSTD1V8Lj8cd0ZpldVlB7gngz/Q0t0PxAzI/vTvno49pAiDiJZRhzVAI9kgw 7Nq/JcGQLwhEjPTYBTbYbmDgE6C1EFMOo7+HwHCoLPYdp5zyzBdiiJMyqaSHxBj7PAa/FJUmQy08 dnDVtPwne9GSwpxRY8o96RcYh+Qd+qR1HK4bZ2lv3nR0wlMn7Ex9ChdHPkKJ1vTMP625xKvKaHf6 sNgdBPemqsRXXuyEK8Sd/2y+RAjptafBW/95jy3g8zbtmHEGF9GZYUOvJuFfcRP7w7NRM2GW8p8O HirnnIi5PD+Jdc3MI1wToQsa9u7CYRSSWUvKOecjNvaDMoi1dJMiFEt8uYDfz0HMktg69ReL/Au/ l8TYT6RCG+g7ZrIte3iL0ovmXR1DLrCePVvT1PiWzPf4oeW1wGjnL2mCQp6WH4xWrvlf2dKeu7me N2u1c1H66uTu7H8tXFn4tGL0bAyacffv+6WjVl00Dq3/jtMlZuTEx3pBUX2svtSU+jSOsAoKvaCu RHJDnG1DFQ5cmITTYu/B3d9QalxRA18+i4Ixfs0CeWHtt977TMCovs971eKDNtyECE7pGvhEQcx8 XP0mdZwzhLT97ruIm4BM+TKCRwrfs0ASUiTu9Ip361vBRt714eK7klInmxpRxx9vL+aiNfZU4vel xIl/2JJ296siw2tGY2ceBTjngovbGkmP0omAuce8JUPJK8Zy9ABeVC2vfTS0Gy+Cbb3eVygIb28i ADb1754vyVoAr84bsU8RRlIHLsFTawNYNDziEl2WZ3kD0yu3BHknLC+XnZG/cKk6XqxVbsqG50SK epiRYghg8kXMpGA8UBUAweXLc/norav2+ib584MPC1te+I8WKIKt136N4uwBZBREQPjxWSEpdFmo YOihfD5eafZskGlYP2AtGfHJGB1dPyw9dv+HRoZ5WqurS0RJPMQwaNqpWP4cOBv5rkCNq48QIufa 7O38u4aRRThGWYSih1QFeckBLmVZWcW9UfXZu2ReYO8n7Kd8+tc7w5Zv6pbVIc4nMyjfgeo05mjE T5UWP8g4ELAFVcWhwBCW8bcwh/igUWPIApABYJEF4l7MxcUNZf0tzOseagWbWx6wH9Tpzo2ZHSOA MCCCKeBvKXHwK1Ye1+GuBcV4XYyDS9kNdmQ1b/zIwurLGCXzbAnHpfB9KG7IlrYLGZRBwCrMvsWe kv73vrTkMbqWbtSvnn+ra+KDiCSU+ozrYrSYDGJhAoLUvCjCf/B8CYkpyJGsf1CVM8tqJnYypNML YYAqAkMXFa+hxr+AJrCZqT7PfH0i0uz6qxrDiqNK1S+Sa5nYYVhVZ2djavj5aMJZVQHCsmpPIA9l okMAbUEQ3TrC/Zzzwzh5fj9+FsEoAN8/7ljb2FDcTumHQHWNgNWvSuC5oypwX7smhZri6fkKypSJ FOsFPf5gN8kIuiYfAZk1CiF8EBMtlJ9miH2CCMb61LktsxsA7YaxdccOnBHsniG1HlasRUcRdwvG HNx3PE3bKRTJJZFUrtn0TzSkDluWcAdEw4XxO57c4QYpuh8QaeBY5nWYvAuHxD8ch0kXIlnU2+XS LvIbaR79ukR91hvu6riFKJCX0zvN3mukS93Ij79TdTaFqWzfzFARU/ow65MLuNr3EGU8Q7zqMaVc QUEa8M5cA61qNwoCUBuVL4gc7cULNJYvKvgU4aO1xIx40v0UZc8nYApS7iZNWWDReW3V1S1wkzMJ hB2hm9a2WEYbGlN+DbWhogzO5x43Rwy6e5hzTOT/Q/1EbMgtCxjivyj+kx31qWmoSlrytjUWEkKG Ux60h0IqjsuVZqhC80HOcO4DXFykm1HDVTKYwz4UbvBCZUm+sqQeoHJEX/TeBKo5foSxmkSrC4si sieRrtONk/N32XgTPrKxgq5dyobJYqtfzGY5fQ41SAgJXg+h9z2B2mGEG9YmNReLwMRf3Rx4N//F fMLUq/VMX5x1+Z/UuG9eRiciIV69NQnZWzLghuP8t3f8OILbnWB32posqqhSryljDl+/9Z5pPtlO ++dcMl9temyorUaFqWQEba2nJqMdlrQWVs9b0eCQMiSscQ1kX6m8lHnTeXeBDxNAI9tfq5vQMsqn 4HIrd4L3GE03lARH6xaPXlVxDbUlxfNl8wJsiZy5Nb+cWd6LK0BFY9Fk4j0OWXNvay7sFYIviJjG KArp+0NytJ+B64eXLBWczgYSTpu7RT+NY/6QS2jFJmwJCgxbp0aczkDPwEouzkRi4hnpD0wPu9KJ BZGkoX8oY6ARnCXQT6ZaUfinbPGhiJSyHraeqcMf/6pn8biAPIHWMEGMsfVOP3iQrTESh9ZYueEv L5GkEUnb8ZjUmxAVKmwOlBdqRXjsQlLLsGQZkpdGErDcbSySe03e/gSLL2Kxol//lrsG2Am286Lv JSRWap6dJIAdDp34h+6JqwUO6AtrLLW5hfFRuZ+kS0cNK7sRCRB8yB5bR9yOGR8YWAnDN4mWzNZH QyLuFUYZ7gEYyZTehWC8e7BfcIrwi904izoGbZekIPoLzT8oVujTpNROf1s/JXbYn0RB8ds3XXWx t+A72MmwjGC7GBPD0pMd6WnjvJ4eirVTVk0MGAnotLMaHl+fm04gyqzz/nr/leQTUkC4L+gpyMEw 9XuNsYlGzJL+wF8usKSbW6QLpyG5tINd8g9IvRe1AN1bY1ZoWEY8SjJgwzucEGOtiLRwUVCKNJ4y LIyrkfB72tYvrb2ntlNCetTuxk3xJUjLqL3pr+0FJ8bA4hcuRvSb/2z992IpT5YICl1sDM0Vdf7e /QA6XPFLd8lPRlnsfnEZ/Ask52vz8XCFTEPBOIBCpDz0TZISI3Sct3sGX92pdkTxwVYnUd3SOOLK W+HgfjSRifPcF3PBQkqmbFUMLMYpGr+yvuW69uifXY5WqgipkxCABptMxUn3nqiaFq/ifcVa4/ll 3mO826yUEPLIgKiPrZD+q4cRMlfMDktP+esfTHSgqaVfBNgpUR0qqT+SayjFak5dGZAsR/m3CHiH hI392xGoPibP2AAhDMF54TtYnjXZWs3ZFdRkwmgYUJdd9bBlWVyuJQNHJjNBcgY2eaQ1NXNj+edK KeEibHp3LJSC7f7eEuWgt0suMLA3XQXEgouAqg15YjdrEMbOpNpNnU/dR2VPMecpcar3zKnCj3cO YqNRVB8yZr1he3X0gKvLac/PtmI9APIyrKEyTgn2ySKXKivdD1urHChOgZg8AinqaVVRYe/7kwgC G8PGiYLKlILDY5El5dld6kdl79xjEL/y2WRNzOrA0EXzoDwjsnhT7GDTdphZ2EcsJuHXW6OtRYjL 9pcQjq4xNPCeqbuEEnXbOEwFbk1bQvolXPvI5H2hhWG6tGfzXUsrg99lL3fJDwxyDwITzDouv6bo jiR2STyw2wZSjek8TahJZQq/WVhUDSga4bkixUupRP6WqMwzKQPNwQ63c1VmbWWXqovXm7qlWgF4 gK6/uVQumnrHPw/3iGTm28QmxeHoBtPxfds9zW1j/vbL+s5CKaJEOXoejVtmMlKUjB4Wbor/nBNP qF/FeMEi3Sf0qOSMjfYQF+Gl39DIVv2PcATDELCYj5wzMs7IeiiQ4yzGLG6gJ/Lr1DSU5Ej9UXd0 gFCAyP+ZzWnaAolIgRljazcV6Swmr4nhozE7dokUd2xZqc5suH+/vquuGbWYnPC1IhDchOxFmDkD lNz+9pHr/oVuF7LDebjmAvgO2yiBOT0Kh2PzW0QxpMR4bNusa4i+SAk+IrifOMUSQuErRVk3zyyJ t0kWHlYBa8h5L0zhqALcD3eKjyKl9zXGKIY1uMl0eTgfNzMhhQJ4LvgOInJIp1GKxi6me7SCNciN Q8EAwJRHrQRiZjOuwENiZqza+KxyHTqIOQvqjU6FCYEyNAxLLoQZuxqYczxdljWzFyTLzsVJ4xwH 05FtLJhXn8dA58YFHkAosqlPSprRtlb8iO2Lluq8p1ujrmAY1t38ns0vyeP2A7upaaB4+qOXsBJL 2q+yJ5viwp68dbs0mCgnqpUNAegmR75zA+greOY07it9tP5ETNDO4n4MVK2+fpAkEb3QVa+6EKhM dhOAn3VwPLEgMqimWdbRbxmJZ/Ou47koF41/LdpeXFUyogzDyOjarSmx+O80oRIXNB62U9QeTK4c qx9Ix+y9lTCPc3yrYm32+vsx8x4AZdDAEvNR31mrzVcvixUW8Ewl+FQB4FtMcLooB+assDDYypQ+ TkMCHftCZGmyHIBqQy6WQ34rHf+YPOYErOl2HMS4Rbkd0mMpCOEXrkpcNwZ6LQpUH0a67xUR7lZ+ z8/oRpSLM13GjBbiVzX4vmo5Mn/TIos8km8DLK/RBDlVudJVDV17/gs68FjuSU+LuldqQZte27/9 4JcyICV9cTk8zvjJhXwPHYh/v3mZRLa2XQLsOx2LqBcMVg0xLNnfGwfHX5zEQWwjzJDF6CQA0ZMY WiqgonoZ6vU01GrzHA3ZzNehDgC+fBgwvlD8e7jV4AoP2fpurkyH+sE1EiNPHJcR/7ABUDIQtKn7 YH3AIyABRW8dfyV1Jxwmz4s709QDO3XB5CCQLcTUWc255SZa4H7faL6EGqD6GDx9Heire6nHbpCP glVPHoD5Joq2+sesXMqFb83BWgmsAeKg54bOqtUhE17B+k2/gDx6fBJGG6gAYs6SEjz5VmlLKa5H gw07IKnVicgS5bHL55EoUOrRvtHAjimGV7fDRDER7fhnsots7TTYqsaGdMPlGrsGGSX4NKPT665N jPEx9zVxl+J+r3f62cVSvig2h+isBymM/S5o1HRL9kA4SuhtqaNFCsA+XLCTd1s4T5FhDdeKY73q c+bWRaoRLnn+1caVaRwBdKYH+n0uONp+t6vuJXa7I6drVy2Xfzh/BnEs1svwhvUQmMv8jRjfMaSe 3dvbpZtXmZh9iEdAL9rnrEjBySnTjbQSegLuKbWryZ5ZiZwSUQBkwygkW65eC23m8udaxqVzQogl iXnfjH5SNd2AqBVb5h7LTohe+nOuOyofuIXy+i3PnmWTOzedbe4GED1ogOYyPd9fjj0M4W0uIPFB f3AYySFJYFj7M+xMXqI31ncbJkMmxsQ5g2NwAJuBYKG3wR/u9zU+ZA3G1E9MyBWgd4aTqSW9AOmp WMAJyBzD6Eh3t2dm2FqV94F0gwcAzGeWt0EOFvXs5UW1r1YSFf++Uoj56XrEfktP+SQHCRC6cAwE h5eDOivh50NZ1d4VeCf6lVZe/Lgzq2pZH+DycmTqNoJAQpT7ICvqWGPXIvgyMn+vsA2hoDOLzo3e DV7EaKQOsdQAwV+ArSJ871fii1gB3oVgYHKcmpBMXwUAlwlqAN/6GHIDHBv24lrIIGmqtxlk+nf8 emxkSkJvPwCOAN7vVNCPiUcy1WaJOu2NUbk+T42U6dKprK0zOl3Mykgt0mVIHgz2ZgIYK86juxll Z8Ul4F0IWe7ya8yZfc9rab5FsagqPMD/di+i7bCysNOJJu5t+yFd3PQ3BvkyB8aHoXEKPgO8iHb3 7B/TwFr/kSF6a98/hoEHcMC+n3ITd721NEOpNKfCMKgWjH2QluQ/bQY1BS2vLMgGjBNr2Fpuyq9M 1D6B7SvH8WAbE+wRFpj4vyAUN8S+UpBeEVW4PLEU27+WiMGeGVsgsfN3prh04spprOHEJwvN9M9R MjHujoUFeT1UmFtGRaiGP5AA7paeR0yGvXOtW0dQJ89tCO2XszaaxZGBz9nLkbcinCVOovWfUBX3 dhL+FATB/O2xJ0nxolt/vN2aHHeQczr2qFQeyNLziq0VOCeMp+sqIut4wa08kCs+N2Pz+So8lRKM UswHJYpO+QfJ/1Z5ZAwsnS7fzJUWkxtNmyEc0iabpL9G55/rAjBOgzQdZATYGNYT8+1G+5zIhNOW EP3sPsHUy33BT577vCxdDcqXZReZli7RaMX5PKfJIB3jUStTQGez3aet64HJTOKhMxSNn8ZmGd9T 8vxevz9jkSLdh6CV/xpmMSRRIyzHTqzBfxnWQzdCDC3QpreT4NzhQBUFyg3jlSX+nzs6A8VvyrFu 7wwbnbPfZV37IjYxMSouve99VPeGIsuZlScIR+uvKWB3N+Ad0d5QBKQn5ctdDb6Ru/2sjGBuSgXc DGOc0Q5ZfuorklUtqJ3K9E7nqzHFszfZuZkKPJd4EJEKt5CkfX2wsiUiYrNZpnKOvgpNnTAOxTq9 za3QVS/l0Udlp9PoSlrVEuhS5DrVJwhBWfVkfqfnIt1qLGpgoTX7k7Tdvfi55Q9W9yDo635NPHvB hI251vPXfsJT5f0y9i67yeGwMME+FyhsOt+alYrtilBujG//hhlUzs3bLNysF1hbq3mFQ2QheydO 7Ujf73tbirpC+ogWaLQLk1ebGqI5boG9q+7qmyzT3mWY1JFtom4TDEKx1iWzROSS8rSLEGC/Lxsv oAW7GmkYK+2aMsYFrBSPsj4AH77tlWrY22D+VllziOmXs+97R7kYdznRFbEazcXHAsXm9eu9Rfog fJMM1CofbVPOiSVfyXxNlYJvV9JXuYKT5rjtftcg7TrTKo4lV+aaiFpvughDuTCJ4Pk64S67VCev eYHUHGqlcFOjweD/57nI1+pnU78ldqdeKH66dr2NSlocF0+jgIZO2kRNNcp/wlqIpQhuwvVdiewN NbrFTiYL4k2P3fLPFia4tnwbRpwIL4wUCVn6tjxj14wVfMHDQKg+A1/UBlBnxmuRt7d6T6HlDt0Q XsH2U/SkrHoLzaongGy332eNYNfVvf0svTHCgqZqwX8Il15rBimZ2RuofXWQJWwFtjuROqr7LsiU wPFipDpP4NslGlyNm01Ho8+0jMQtD/x5I0eIX1k6glgVzV1u5WcCvfkJBOPzxFnrFJ23VvQxZUq6 UE8KgZvUfvAmxrN4abbdzmNDXpG9CBdTUIlJIwb8V1Get1zRfUFjx9X1CpZIRzKrl1PQOSrSz9uy zoZWkuvUrwhic3ZMAqzktsJGsKFZybsrE8iipEOG3mwjiGEFJTaKFCAx3gUWrBsEM/BHxgaMAxgS 6bKQ8k7rXUyKLS1QbgX8prohOCvw8/xLjezUh9YGUfLxrBvrJbUAPMYrWo94sVW5Hh6GiwhX+mfk SPBgTBOAA9tvbqX+9DFuMVyIUf51Aur48OEIfec3avlxRy541sk8evL3ER3eWwNmyQnvsv1sCume PcgxGHWi2AEHpKnVpZ3PWC2gJ+XFoX3B1SikwnYWuNzqdE5Ve9bsJYKXeAPj5kbtYRAmNGWwfD+d P4ic3HgtYD40nBDH/MQStbcr1VRtRjn9RFzkmf+6eMm3gcas4k/L/q+nWCaW2dE7utoevTI73rJJ SL46JOR5Ovx86bNyaeqjdhhxbgP1E3MyOZh1YBxsSsGHNJSFfjlcQUENlw4Gr+4dcf3UXNGpjIUm BAT6diBzxMW3CPrjf0EsIxWZJSBfBNF1RhTIXUNKYYO1yUi7QGabRq55y0u8dVwzO1Qcb4ahpx8r ru3OckuD+7kUL3TN8XS8D/HCB92Fhod3tmtiEwfIpbZ8hXkCRZc+8Tsa5qgfgYE419++ybkad7ii aJPipNjuarlQqaG74w+0soNmDA3fy5SxHnFKmZZtP9G75fCwUv34+/j+6F20BV++8+eGPgSbEFf9 UoVKWTGY+knpA3gEqtpB60o+HF9He/tnOiSK5+fxkV1Kiz47SdAxGRkeenYt8lBf3n8Tgut7Q6sc CnxzKDplMOikoPsiTnSBVB5NrheV8Dap547ZzlZvLLq226BBrR/hm8lMpQhi882S2jCuaS48fP5i ns+YKQsFbiM+RR0YqmOIAzrnfWEXh3cqpZww7ohfdxWNfkFmqeD2N5IX65ulRgGVTWKb+DX70eQ+ 4q0JxTRoMEV4iKGYaaPNFF2ymvqLsV0i2IbGIy6YM4s0VcRA8iOBt19b1b5jlYNk4vYOBKNA4ObM a55++6XF9FjtehtFINwHbgcHo+kzMFCVFK1fne6HZkU+8zVyz7CQcA3r33xVecvCfpjniVYHfoup /IckhFJVSEgBPXwwR6bbXlRtTQ61aAsEStMXO2LRKiM92F0+BpOW/Dfcg+iNzSlrTBAWTNEaMfLw RhG6ZIf9TIiAfsqXs1cnnjFpg95+fvdEhORmwODL58puZKFNMVBcp310iAPC2wVW6ZfIB7EnTg0Q 9qgcnrZn8AV3NxVH3UM8hpk+SrZ1kmy92tw8o8APJj5CsrBLT+TQVdwJ4/sdbFYVy2gfT7aBqmp6 zbGhtdLBrTcbHItSAQuQVgpJ8FDhPTMF1xAHfVljkETI7an9mjLcJXpK5+xT8NE53ScINDBBAhjw xLr7/hpX/UCQiYWtpPK03GRLNv+4bUQP5rOVh4ntHCu6Y/4Vcfvphy2edBvHjmIA1vTa9VCAGRzt wynRoNx1Ghlamk/VLvKlWngmUkUJ7ylZbKoclxWBWtcCI6ymeG9JDN2q/Ak+s1Qu7Ji8iWaEFvfT s8RrVizqPEUvHEXtBeHJU9ZvCR9gwbdiPTHXaNARf3m4bNjxc3+b9GKH/bpFbTuw63b+Et0IO+6r 9LrmmwARHZfUpb45J7mrjQz7Ifj7V4o8ej44sXw/1KRCnhhBLQ+6WsyT47yyrEgVJJoFy4Z3uH4p kabLzQe9RQj2EqguOxbhHvp5oUrJWnoO0E157fSuD1IjLgvG77C9yX+l5C60MxCHjFVtu6mjJZ9N dCGnUpLCPihfQLze2+IGfrSfZuHuI5WMCG/gXyg2j2BxmwXSjHCIwVtRwcmmW7WAcP+LOPANWrg3 ZV0HsIWOJJIrzDcXYd+18uRYq2Ri4VRSFx32l3FpTLanFth6SxbzUGOF7mW6KAKyVXex4sgOyHKw 07elDIRNdrlTN8sAJY76XWJcyjbaRaCeCDsXJjIfAjPRgwtJ2PgqgygySGuJrVyRSxmYAxNWctAm CkGU45jSdd93/AaYejQv9z2jz//FDSaKNKkKJiZXIrn2LkF8+rvo2wZ7VOf1QL4R3MDtKZXmtJQm uLg8Spu9A7qHPZfunWaJ0NOLAgdJRAccVJ42XbnNiz/RaD1350Sn5vSM5zFFOyiloDbypiRWJGmq VQIJDYrcb4jEbZOdZdlD0qN+z7rC3wtEQhjCLxJPCFhDApiT1G+3nHdfuq1fV81pa8Utq/AZuXlj v5WTyYxhCFpviLsI8Ed02VlT3si4QhPOn+ICti490RuTREPnbvDOmuTGwK5NTNfS6zcw1GWqc5VS bde7nQWdW7yLccpzCSYiDsZ7SF+WQhA00iLYiJwWlCa6Eg066A9pj3Yt1F56S4j9iXDXn/9ECdjP EtbS7LAyKWBcnqyusgM4zJ3yD2UKYFwhYCP5F/Kc2vS03DmGYaVlY6Zfye8iBqHy+pq8tNAaEfnW 2UergantoXyjpTL/iEGNng/E/oQb8PAqhpE0xTbg38goxjVLWbgfJ80cV4BlkNrlKGtKVR+WOJIJ en2wvd5OvBhwqOS1l8SAsNVf9+3gpyAys0bRzPAIzAQgJufTJe6gXi+wc7eisN9s7vI+nrmYqXG6 spVSQM8tePWma2SapN8upt/LXSSYFaeD7whTPq1CAkzSLIkFYwk5zwfmlVKq6Vr66YFpCBo5a8Pb MwTMtp+D0XLS52BfoUGM6Y11kEduVyjchzbmmr/KPngJf/mBbiEQRVTzh7/xzm3yr2v6K+WnVWgG 04hFQgHSnlPXuyGPsWWGFR3lOMFaL+t14iHfzFl1eOCAad3+z1L5g/h6XXORLCHyqXOg7GESRYBf 18VBPkmSt7n5vo5DKelyeIqgaJeCnXngZ4cFDEocJp6RXKIs1d9VDGMxByGZf4Ls7tnYeGKJYTDd YLafyRreANk/9G2fp71LiS8LlGkuiEnUBXcqr65L1jJKFZEQHJT+a/+JT4XCXbb4RZsEa0gyRqra yuoJbSj6RxWxaM+6DsUxLhnfS4x0FqJs0kjVJrV4Lm/gtFoQEJwZMpe/CHglnTomm/jCL1Vajhu6 NTGZMAURkKVfFBbtxI+KW/UDLIs0MW3BK+yUXxgMB7mNPDj+A9znMgDgV3OXoKVea1kF0EQRpIXF 5L7oxefFs0F3x/tGUJpuXjtQxX63ixY+5N0F/xGZVMXA3V4YHC6zWmGoqztV57Ga66IGjZMGmwtJ wyei0LPemCCl/JYed9l00vMdTm7dXYjgJISvKyb0GcUt9V4RtDgUebIE8kBXEhmz9kGUCnPtmdUg 7hCbZjuXtcEXTl8zxle2Nu7Y4EthDku5StX2qrxCDsw8ua6htzGBEZL9sefFyLcUz8HzKWS/zuTJ B95Uiii+XJUoxF7vOTrFAsVk7kq9zw0cDrnZCwA/GAQn6hSRfzNFpvJqBrU/msoCnN/aTHQQLEVn JQR2me6kyMVQ9xexzq6risIBoJAnUVuLa4QKIUmBenl47IgicW3yrhe4LhjalrubY2WK1eePAICy xtCLtNYtl7FMRGvsNMSvhfpnms5xjEemGkWa1CZh0KCdpEqz7KYzVJyhbu5Gp9wlL3t64SBYh/nx PCaelegnejFhtRp00jn65oO7RLBVaQ6xB8kcR3US2jUJ0/hIaprvPEKLvHcEvtAy6UjXoByBCRNr pHA7saNcqD6zVGDXtmRprtPnPqMYo0fUrd4B33p3w6N9NSzdaRfzSNVKiXokSKMAQ09Z+7A4zYP5 6b9mTQOeG+S5STQdJmuLhgyRQWEkPG6g8fcU/rR7hnOwV3fcpkFQqFleGyYHDSmHkbGArlIjpK9Y vBin+LTmMLIadT2+ozMeaDiM3tSgDOL2lbw3XmOs53jtJodBMjQZ3rcNGmHZQ4Jpa8ZM1yqNDimW t9Oq6+yopIc2FteKgjR4jWLWLkT8Lw/05xysC2i8j+/uXLDEFUVL89v/a6rI2kXtDtoLdMRAYxa5 invqb8P9rjfTpt/GwBW47EAihF0vH3iLUWQ1RyxxDoTkdzq2uQdLQ/dOt4nR/PRGciFmCRF8+EpX oO3h18ei8KJGeecMPkTxHAa5CjkeO5p6kXusF9297QV3tb4cHZpV5OjKexzB44KHJE5c059KJYun SlQRA3uYwtovHQZbWD0YymQ6duZyp5MvG7n4+pKtyQDJJHE/hWXR8HVVnLyzVCLmIz7jYr184qZf ui5YwWJsaHtAGlR8zhdTVhtUyWpknoYSM/tnLGgx5J96u0zZA7uyc5+Hn55xbQzRcf8JwFje8Gq0 1HJDJ9/2nJPp74Vyqtj3ik4b1mqynH/zrMOiZvHYbd9a9zgLXeqVlxXlTTr/QwJ/bPAAEdGJWEd/ WHuxrhDrxmEgXh7F4AeI+jWbIKMTaYZZV5h1i51/oK9vmNZa0ZJ3z7reLd4NE9w3eDoqwmsPLpkS v4IklS7N6P7u5tntvF0gQT3CarsrCx7ZVmy2vOQT3C5JE9ZCqCAcZFx6R0cnU2xRgXVzdgXCjmW0 3NJ3uIjIVUq3GQRxR1VDhctLESaghzWWEGDVKkyf5chFnqHj147giI2ua8NaEt7F+g2xDcnAb8HZ GhXUtUJsyL/nIyFZbX31jj0hBH7YENURWEVfdnQzlXAWAZD0JmauL/vL9mnuhUy51zNrsoVjYzyp vg6PiN6+XTe9Z12A6bigrJF6s+tdfPS9LqH9IZYkmGtZtzng5VJhAzeDZQHHtyeQrv7czcFSyi09 l87cwLE8XgIMSNFRUyRK1edF1iIv6WVwYN+0ZQGJ2O5gDgHNX2Aed7WWyaYvzUgI5guyFCdwlqTv ZLWfz2fL19y1stMUN7WA3mJyDJWCkPhK2QmRN8fo0YXf5wfPdSRXiYr4Ba2Nomw00dVUtcwsb+GQ yjNE0VYjEelNBVuUv8S8Ifz3+kx1R38G8I/Ws6v4jdgmapu3TDD66gA4/8wvCSYniJO03/JtbkqA xj4z51D/0TtjVHmGjEXo0Idy2SuELaONRI3aNnqqzvrsClrCIF/xhQ15XKVKVukSmtHLaJW0M/V2 nxThE9ZS/oYciUAgcJESqF4j8RAIk1idnRGUy1i5mLv+PKUSeRGD3ZnO/Sat3vLN7cX2doHXGvVQ Pmjb7aREJ2PoYrFVQokhOJ0ckSx2N2rWhNoqYpd3tVJxMSOG1Pg/mruOfh7T10UUkUioxdYNOa9Y HwEhldezRem4GnghcgkxL8xIuYFYTOS7kp0koaRFKCOXyyT05JUa4E5qAR1ydeC34XBHdxXvE5+4 /HpaQVtw1jsfyccVD6g7wOMl3lYuhH/wMPwcfR4F5d+/rvp9ByghXz0wglF8r43Y9kXXIPrlDQ/H oTAa0pNwiISk4IBOnR7YUWCfTzoxES8I8q0hY1sE6y3UEdYlIZdFYprDAxXLXZ36gXlOFIVWBTqF 6cMxwdlLAQ6wxxxo30NVXK4oKHNv/miLS8wdIWVTTGuil4W4lEn/1s+SEaF9jBwCUUfe3dKXtRvV eDvkC5Pt17tqRrCIBypj8idf1pX6+XfrpVjhdK4qgItuhWNHUqXKSAE2SF9R372JlBVgkdG+furJ RkPDC/iw2gDAVYrLWJSXhanGHF+VhMA7vimYCZcijB/g5u3S2udofjckwZOzZEtHr4wrbXMCK/K9 QZpvdeYdc3t/JMJz3tmnMthVght2Hhk7LPLnVfuyHcsWkEchageTn88yXHNx98u5v2GdFp9WostY khQi7nTyX6U/f/4xOSLxNeh/Cl3yFX5yYfmDeTSQ/IpbAS3EKnOHcupeqL+YP371dl6BrTz30UPO jMN3xKTGNd85KYuFuuqF2oG4870W12J6zEI5FipIOmSoAqxyvzAQM6jXx4bycOkqIdjWBQ4umMFN 3yGOeW5dj6xf6qerzuiQ2LD7RVfIltli0TWLSjGEagmkFod0rCODWDPlvo0OW9m5Q4ESZ5isz6Sk yhP/6ohE8Ig1YbzsfIUOOhmaOJ8iu8sUhWjq3O0R4CpU3DvRnScHihxexlkDWFsPENMxyaCzAIfx ySHb4h5EPBixAD+RMkCUqStW6oTKVdZDZdHhAklEOA8pyr1zMIVfEBgtEY1ejWQUlfrhdC9Ch2RK 2Uw954XU7Hzw93puQ5X6VpnYCjvoZ0rCfvDyucy/4nnJ86BVerIsiIC/UBDZkgkiBlYS87r0TGQ6 43irw+spOQE0H0XJ7ADgP+UoPCYd6wDdSprPryNgXkDpDLp/mMiWf2GZa32TIoe2rl3+woGt73/Y s0688PowOpO/4oO1hD59MEqiKwk8/bsRTurHw0wyMchEsrj9yz4r/6oFGaJa0xsdtn3u2XiAlrZj oBtC+TRLjdoc916VdCeQCjf6KHuDXAX/UagobDsZAZHmf3oPmbyLVFZ0+huKvJ+GrsPifbL09zXv 1BHhRSDgqrv760NXi4GR+8/+p+Ta81YW7MsgYMCRvBCuM+9nokKhjYcNuJ4X1xA7edbhPdnoGpI2 Uv0y2IgkQXuKitsaAr6GyMNvK364AYWCN6z1BkF4q19Nej5aYGrLNAuvyWa2pdeiTqd/vk1IzO/p ui74eS8XzIzmh0NaxrVSIi2yIDzzMKid/EscK5Xj+adzU8A1dhgIMapE6fFxh31egLUe+7WPwohF t7YichburHQDKimpV1s33LT5lZFDmBBq1A7mnTCT4CGN9ayPn8jtW1KdJogm9lRS6oPWw1kOIs5C eeuejs2J72ywiQWIL5YOnho+pjCcSa/RfLrofu5gV1m0MI6Jf6Uom5z73oqYQvuvWtMr2SLZcvb9 QFl95xOHEBgUC07Rp7/h5crn8KViP5mmoccTghwuhh813L0ixWdHuAijlsiAinqZxBXf2aQgnKtM dsWiwZzpxnSQW/CeQRe6igoTHwg3UZu31Kw5gN4gP1jWq6jVY1k8aPiJHl5dKiN8+jQy/aQMNPF/ UcYHYfC9rsJT35wCvK0bkXx6anechAWT3dcu6PkAXf/4+u5yiSWD1MTqKuubA9mjNTw28pVczd6Z NeLtT3QainOGvuFRXsFyF8QL5DN9Hpjjc4uRrSATc2xuob9B8WI8YvHos0C3drsODP9q3MAUCyB/ tmwEPVhx9y55pLVRbdsEmfKt02VL41U8C2PIMxEZZPYd5QIzHad4rGuhlARZpkJWqpuNF3KovL+j Re3RphulukqeMFYaXhirImNHHX0A6hzC0PGZvGW0mzqAE4TeLwUPbF4uTzqy+7bbjk24y5A1dAxf HpAIjn6C33RfsMBxww59dzEhocj6hau1tEs5wtTizhVer1IYhGgSRRVV17Rjt/VYfdynpiZ4xD+W BqyvxYglXN+5HD9F2VQlgRxmr5GgQMW1kbcujkBMSTQwPt/hrsiBi+JdKnSPfcfOEywDBGwSwzio Y573VosEE365x0P+V2EBOJhfLNGD4bI5Kk1D3rJllNoxBBsiUK7AfQZqnSrNLWk0vGSmm737CL16 TVqAiSARZOl59Byk4ggquUQlkaqY7SBivXEd7SrKosNcB4AE7Q1CPFhlJ/kOoSRfC69sTAiKAKJa 6Cs79cCp8cMujfwTep3eoS3zkCpFpbDc8pNn113iaLHcETEwrUqZgMdnHrdzgJv5mHh/QlLbH5+g AOeSOaylow11fEPuwa6wyk5yX+qpDJjKhOF4PEqxbENo73AcQ3M0FSJ1JnP8UxuFxOrxJeSXSS22 UpZ7ebtE+ij0/1QwOozl7AkzP1e0iM+nQIP99k+GVm13NdhMWzp8BbKAIHPtOQNtU4T9nH+UnEQD aLJjo4H+57JSxvfEGgKoqpzVYws+QW7OTBEOBYzdUlQtVLxDJPeTMQhr2+HdiXPwpavwWIG67o4r BWE4/xi8n0gfCSUa/3djjGasIocvN/s4X0MVnjcgr/QzcDDhQKbWRwMeBnT1t42F2dtRK7JiKTKH OaibONfKT1xgApUsEOeRf1eBoSzOI2SxzGZrnWHVdArqp+gI7Q+Rlz24I49nlIGLHeVdXvM3U7LN YiAatxhoE5oukdLmlABlTDlegWQ/G3sY/JZoYnrX92ptI4Cc8drntnp/LVq5/G53gW0IRxnrScTw TZWAYYXhPDBNl7DyNlHzfgpg3f1a7L6WKN4KWzpKPKQdm/BeqY/+4GDUGLss1MbtO/jy8d9pSC3e R4PL29SbnnWZw4Ld6w2p4prYlkNSY2swAZ20xyy9ygPguaIDHkq1Uwq3r24IZsvNRczOskFYMVMZ eYZzUXjszPu9gs5aEqnJrWYYUoYUDgTGswkRWkWKvcZm8E1a12NehI+MG5TNScoNB+gRcGMembrt Uf4gNGgOcM5SpGNDDLvn/bo/sDlF5+zEWGQNSjewOKIiUUjSBbFPR2uPGuJF1HYRnSjJmUFJbm98 UUBNwr7o/wARs/6isTesLuuzYW+EcBnTwRomzdmbUnJqs979DjQPFmR7+ofG4pySvN6EuUPoN/r2 k6Lv9eX1xSZ0GRQ0ZnjHmMhGbcWrD3jA5WJGPo6ORpO+62ui2Fa3RkfsksAo6XdDv0Z+uQCpt0Vs KDOeZ7j/C9MLYLlX2//lLyUvBNFNb22REd/tQ54fKV1ZAu7mI88UCom/w9qzMrrgpxpXF4uUGe5v LMuHAopbkjMfAP4YlOtFxjZnPAnjICzBeRwDBuv2eyMjjh2SlMNZp07BGY8MaTCk2/UVTcn1pgTm 7jjQlClXsGmO21XAHXWUwfjogAj4gnGQkeiILOJYnzi0M/k4MhX7zJfBwt8TfM4ZWYQhshwQh+Ce 9Eg3QbkdKXcZ2InRsdQv2BDq7U60VJqZgPhdBwJrA07Wah2IuN8S0eyIDcUOb4PNhAn7NwBj7e9f uJvchiIIE8hTYkq6kG0Ku4g0YmBGiGfxQwVFF+EvsCqZXd2HWNQbjJA1rOf2n98CF1AhJ8y3l0TO ayL2VdHrqmmAbpydFkxnIllujKetieoZkby6DA2Iw9tiQprWnJssTbNxPBW5Wuv/rwKqezPGFW/N 7sDUYjnMc+Cg+vsZQW4O+mSL9KpQqn3/m7SOI7eQz2LdzyEtEXg1xNKTS5I1zfRKLGRUVUuoIk/p EIeX/qYuLJjjDAgS0rW1IlWVDQWsUkZWfgwCmS6sbkR3Qti8bGJnA9N5NSrUREBVjYgYZY60ljEx 5C86Y+G4jyej8QsSIBJez/4NmjbnyZ0vyKuPZkzPaHxdF6Mk7gpcEhoBkIyuvaOgb5I+pfVQZd4P /mA5CQl3a6zuDv7jBKJv+Ee4GeLfp34EuwLf4zj97b3Qsug14+sQsKHMeScrX/8r/amc87DQmwJ7 d9SuGMxHhskvDQYuevN3Qg66qxr9bGEJ5CNIqCZpSljfaOkLE4AQ5kSkrputEnyJeX+ZH3q+uL4H xnfUztqTpj+NyeXgMBsmA/ErHgN9TB+Vl/PciMYRFiV/pLw+U8+TNg6Xs56jUAEfF4Q1NZntb0sp OnG7OLoueMLeYcp8TZ23fzZDxa4b8NT76O/55zgfFulZ9Ie2/nSd5YeHH6DcOyNIvS4ekE76inUB SYBv26zhXXycLMkXLH76Xk9fjpO317+UyOIBWnYKHGKHIFpdnhxx9Fnqof+s+JTf7yJZtaVqp8hb ifG1ARfnqd/OGIKu6OCZmY/d4uHjt1oYe4Mgrj3fMeKRyjxN1lCPATS315tycE8Fi8K87tOVyl4t mkq4EfrUmmLhGw8wkpiKIXcqeBOi6Y4hyIrOX5MtQVa4DSsgSwjHPJNTYg347D7kaSvUOjK2nMr4 42/Tc3kwkc/7R4rNn4VcCQXDtMA6HEpx9BWRgN9PTyDFghEGL+VmFmQAk4uOo/JBY3vbeQmD3C08 4BUbIDQTGKpq5i75tAAYmbrU31A4bame3LsmWYCoeBRiLcB8GerXPaW+igNgnzaanuFgytldVi9I BFtodUng+Wkd7DMmenEcPXxnznzjS2HrFCqz03Sh2TbtP3K6JZHiLyKzCOrh8JLXyzKd3ml/Kul8 6eEryac9Bolxbqln4Nz67D10+s5eHK/Kp1PcZ3ONf/nkEaXoUY1Pdr5G9radmsRlvWH6WFwjJiqX +eN3NieuZ/Fo5ugQxbuGlINtrJY7hPwT4VRwMuLkFzU77r4rc+LULQ7htyYCO3Ks6pDFyGpTsWMz 8aMAYdCDEYCGdY+2JUJK9m79YISlTuEeHo1PTuAyuxBZWIUTkCqIUl4sPGGbZ2H5oRDzuwEXjxfL 1XL29tpmD+NFDi6obIH1ecYsJ90xI1f1c2OzY29TGS+zXEOYAFh21XbndrKtJOUqfy1jgvxOscQK u7gVCAG05upwgb/twi9VBfOj62Z1s/8v/97y1/2LytGv7/eJzq0boQbLpQsWR2cveRZopmnoIK7b yc23IBonyx82gALYVcGQ/n02Bn+wy9mu9XyVD4/hJXibAKqkt2Dgp2xGjz5TCXiG3djBBJQVEAkE b8ft6e3nPgMJNMRd+Tjuxc6iKnlC7SZYDoxTF2TZo67eTXgVlUGWBK96TnnHK102vjNsEkSL4BZ/ qX+wv5AmejvI6PBC1F75X4H8MiaX9NAwncO+VegYmmuqlhelIxeEILkqneksHBjKQxXErC9BoBHV VZHfxBVSTukwgn0ZXtxLzj4KKsniwZjfPmQX1ZBxHU/6NebnLc0VTKmgowXviutPtOvL1JFX7Zmi 6+KYDAMwKYtSJ3bUS3SbE8sq8RPM4k1ept67uuVPTZHbcy2XECXfH44N0vL94m2m03gi6QMP07P5 VC/8xt28hva0vX7H2XH5e3+c8jn5uUJ6myGZNiOvD5H+FISvNJrtYqXIA1m8s84/AJI/UetJeT+f 6psG6N4tlTWEvobm7oi4c6s3U/eKVSoLArU9Yhc+vAJfCNcgsJdNb7hEo1jP98mOHd+shYEPD9d1 QFZocf9A2YCkVeaSXk0l5ySBsRE8V7yDLhZILeiVBqxP763IroZqVaqLVmohy6lhozutkIkst6po uvPTEOLF4S4Pg+IHzTdf3W1S1A3YZLDQAKj876GukaQSx/6zW8mbgMlUQWGHRbdsER1srOPR/xlY sEL15hyoS1gU+y6r0ezVwEyZzjSjI6uViylTbVbdnHcZpaB9l40AVv+1qBzwk5GlqYogEJ7BwFI7 shDkRJu01su+Ubd1tvaUP3wZNb4ArWqVP6JIKBMQPSpORP/qgTQ5yCbTtxK7x/wl8FqOXopoT+vX qW8JxBCyeEtavOCY7l3p4Am78axiUHhyD3bjM1G/D7Iw2j+WJmw0tOB/8qjNzwVwIoC32KPQtx24 q6plHdrJnuPwjPY7ctOQDh0uQErYECj5kUGW8+vtF8ariSuGZYYRNvUO7TZXWehpbigT5V1g4c6h 8GfvVrczJUjImGU9kGcE7TWbThfzL//Rut61L2cR5LsXd+PbQVrBZPS2+U4BEvjNTiIZp2bM908d 6HBpSuYCTxLh9WJB00VMloAktL7Dtd1P498I219GqO1AauuFJ2YvaMErmVV9+s2cnGfNf+EZ+uxO KJVnTwtLyLlWDAfaYAzhs4SlyLddrdLtb47CUmp+npXxz94o/LfKOZhuQ68BH/YREd2ebRO4UZem Y+65bh3y0ZA0JHAKskL9kIZQr/jyfAGMhTFEKg5b30Oc/VjJCwPJYZj8C6374Fp3jOm+4mT48vp/ 20LfmMox9/gKCx3PXz2Omyo6lwxIfzq9ZJ6v0RFRDZGGEL/+k6kdmTX/7pGMT83BtfdU0Q59nsE6 El41ltlkiZlLzXILMa5sLqKuo7zs457U2DoceuF2HfMkdV2c7X4exc4IwJ3dxujv8KOgy0NS+Ays YAyfH0AjFgt3bRlSGrXmUiJ4eKqn+p1CpWC91ejC7bwlwGox8XffOVVoUVRCsD/j/ozaou/HtnJR F9Ri2s1iZ3SpLfezX2eCNVNn6LOmIx3ziLOqKfPOSCGmNx9XJ1lG77GaIKdpfgGGK12/NCOvqjVk nKxJwa1WLWCQwRFSjnRGbAAT6gBUgkpHCrADIPvg3lqoZs76utReQidjJ99f6+og0DaFlvwfvaTz sqVfqrkYuBybBuDRE2uagBvlT/A4yE5XKSCSSIRY9Z6f7MTgu6QHyjTbAybQZMJQQIUtKDX0Cre2 iZq53F6qdIRFE3gNSG9YBfjyQty5pu0izoZVvran6WNIBclvbCtbrdvUq41UYaLtiP8zLq2ti1e+ DWpOEIfWmgIrAMB6EdqNxBiALNfHC8/gc0kaUkoabHJDdVy8HQi+hG/AKq8NDs3OK28bkP/Pw/gx MbOOwgQD1hSOFKY7092NuyzMa1oUU+kTSH4vUuhHdfFZeelRPqCkIVcWsNT9YhA5Sn23SCLPoVDx HYiu90w470kDT1wRk4NoygNGUm+WQDqy5Qi/9/9A+n2TQZN4LTpEZUH9zTh1cbdmLCNbODN2nyWy FEupoUbStcIKFsleBNkyetbMGwej6JdPWFpODgrGe9AtvWSqgZ+I/jN7xEyX6WrlrsPJle1NEj67 gjOaRGomXPch/yJdWY38g8YrgHvrAvhLniQa/UNQ5E8WH/oAmOH6+FcQJpmr/FJGzOPB2Nlme+nj +UrHijTkH2KVTsqLPuLt3K4VUqsdUTIvxTwKPahXpsp8X9j+xB7IaUCKDUsuu8gesDLx+tGFpmol YGZCUT6qeo0eOQRgs4TndhIIl2FZ/pE6rIHOw40qLJvUk0axUi7nY4TgUeQqkLaa/wWYhduDXbxu 2pFUS3JR5WaVqcMLUA11+6b91I0Ur+039tH/r1cYR7TKvaEmBm462xXRdT0Uc8s8DnkOmzIXlA2L lgdN75GZJeGejb65gq+vFirWu81zuzR/wmIyNQdZwyzHxtNGBpXtg+9VmMRJaDVVDOQTXEpt3I5u 2gu9q8UpCBiEYCuomw6y75UdqEs/1x291eqxY9TJXKtWd4Hq1UW1YUq2rJFkjlbRKFZXFjsi2O58 Plp3vUu83KaoaBDCf1gQiQyRQYWuPQ1DjYA2z1qn2bKgkedl8tKv7ZGv1Q3KAE8Z07yF40J3bTst PEJ4kjd0CnmLeQwzw1rE3F7PNWU9CAKBJV6dvBK262lHKAKwInEO0y3JvCg+o8yhIp+2OvmvVbmW zQ2hDm/iMVaVPzrSIVC1M8Ugwr2AZHuhQg01u+Fuk+mF3SWnD4VPRlwRqieIiBsUQDKJyzX2H0dP Q4N84VoGjT219PkX2kEkR8fA1tr7LIgzR2DDjKneE/99snVgEr1cvxnru29WJHxSElotMznQ1N5/ xnEgQRamV4TLua9pcM3Kjby3PyTdhaLx/++jHJPQF8qcuOdjuVM63nZU5WXBlbEJVrhlQPExQ819 GFjrWZxzx5mzva31odqC27tfW8Nd/vBzElVXTo+VEzH9DdFQckNtDp+WmHAP0HFSXelzO1+0SogV 64GMD7rYm/6fMKaOMnkygKMW4jYFLFMc8kbkFbeebytOoQyEK37U5wtUZHX1M3POGWyoUsZ1GIWW toAsT61pPNhlrhTJWVVKtqKYaxfIXGYZbdxo51AQkYNOUbdeblBfqrlD1ONoDE/2Zy0ZKdKP55UK 7EDopPsQzdocyfGuehAJIej4pz8Vsgju6ZN9D/cQeWmVwIOmotAEdRsC6poITDCKNX1XAjfkeRy1 lZlxw9mpqHrK/fQoduOCaLruTfxKqmBPU7SfkSz0/chK9SgoW+B4/0Y0BZ0iryc+WtZXe0SryCzV qAR3DqFDPmbNCK4SITDLF1ddpPGS70MmUYLFJcAZwmbECVDB3Tln3AlXfFw0sxRN9eyaHt1R/F8H xP/vmYgSxsv01NssMF4HSeR816DcmH3Xbsie+3hBd9d5L/+01sY8ZrRg+GGCQSTxxCvl2D4E9Xel RqAWFCLiKaydRdK+XfWrN5NuQ7j9mtX6jE5p5r2+Y1Pa/1T6fxz4G81NAwI8caEhrNT/p07FCKYL 6yntQ1qgJQGpNUj2obcJ0cRwdBoh6/23ZbJu9bJP94207bnTZCXjhzlNYRNQPK7ryGjV/fSNvbr2 YH+8Wbwl7xue3xGqZ1cvHZBGU4phgmdgmid6UM2DqfLR+gvLeeUS1Es4qqQm9VlQAzTUh9CGV4VX L2pPu0Vn3Q0rQqSYM9zMWtgfYIHIj5nrheCvd0ZdYTGyRB9BFC8ssZjqhbmhGrxW+vPMsiSD/fB8 iYy5jp8M2z9/k48n1NP+a5/uj4lGlvrsjWy5V9J1je3sVPwl0aBBO0bAt82DxkFmKH1kSqGfDz2n HoFO8Wfr/hnXCHbHp0HiNRHN1YCi1P8z8IGbklWShcESu4Y+9VBvsQXVW8WNtyrQcR6JA9JB4x01 YaHTEMf9KPwy4yN40EyiOaopZMMoo8mf7xuqOPpFHWZDCqBB0CWPKk4ghS7RFwRlvozznY59Z6bN QiigVgdcCCl2hbTgY6WcJl4ryLW8AJMnSnd4LNvbznDfCwvPxcj8+AODm6/mBgWmAE2ePtVdQEHZ 1kH2Ow6FWBZ3tEOo4rqC4JXpSRzTXkUh94pMv5nUtwa2mMLCxdNlcyBlcOmS1tcjOyvMks2aLW8t vRy++ctxSZEiCMC9ApsowmAvQdY/VDRa/lHEnw297yaqZh47DcBq0r14cZttn5oV3WDxTOw6Zz4O Gs+nY91niBU211pdzlnZu5lgz0oHRd981rNclJnuANLPnvUe/x0L6N4yG1P8VutHpcCunNjSvean i/LyXYvWx5KLu3eba/znJb9GZL2l3FoMnIs/MUPDF7YpNnF98PH7CdEX0mDdMkGQAlr7A7e0UxiB BTt4Y0VHZ7TF1aKxJYmB4RKKw7rYO/AjXibXsUxSY8V1cBnc3mXvz+p9673QbG2Pvl0lbcZgU6X1 +6FttIVz02EhLLB4FrxgP9TLpWuWTCg/Xghvwz5gzXSFdXm6ooPkFYgTMZmoEXqzkyLUQ35UBsY+ J3F9V82yVbJlwpEkjzY1sKUMKCJ7exxrISY0onWw9uoojGZQQ0/EXlH+iGp4FazRmVAOQ+EeuqPB JqquaVWkWQCc+gnvFaWNEPW905KXFKE6pn8XFxG9M6PuCX106+sRTM94/dkIx/PkpPBk2HHGHNvs CrNJ5vbV86NunC1mhei/0x++u0o0tBAN3Qd8hT4SP7hhK68gQhttE9LEdbecKaJZNF8WYGnjQVxb Ao4XXbSUm0fL+0kNdhzRXB14GqBbYXaKZr87A69i7VOkUQ3suZSvNAJYAKlyxylSb/39bj/SyVCr 2qBFRZ41RdzCQwsErWt5eVSNYobvqM4cwa4UNFJ1xAMIf9x68OSwPqy8YkeLxHEC8pS8XbEZM505 C38E1DJkJtoLbdEPftJ9YyHm4ccV0ZSSM3NiPF38PlklNhhRdGsOu7jTFSNfCiZe6vryL+oX68m5 q5npGpE/94dEoHEQDiUu8dOo0FcZMqkxlPXzST4+d/r9xIGJpq5yUigqOFxarYNfcMzQ5qZPCBJt xCdpfhKKP8NmYqfKjMTuOKI+f3jFlriXcdcDg4B/zPhv53kafTpn/6VUqDNPrz7AmSpOSIkUyBbT EmD8aHXm82CgwydkhvhvJqngjN9D4SAKToW5SuSLxpxCPF4nB5DiVQL9hchyvcVnp9cEzVDZvfX3 vsvrdNLt0JtHZWFhOM6cwWi8vWlOHMatGTfTQZ7BQDYuSTyZo/v97i8cVt5KP4/uJEIdBgBU1otJ Fv0ODTtV/ACtU7bwZEEOXTkLL12yvJIVO3fS9NOnedrhAah2EMED9Ma7OBm8kJ1HOi+LYdoEtIMF z1AuFQwuUbC3zeQxWugs/SHJM7HId4nzYUmdETPwMNW30u4wDyeqDE8NNiPIQTY9aJ9DbnHis3gx FjxgjPeenWXmIDS+YtsN+3zKICwhub0PdLVLklzndPT2sD08kFZcd1dd+Ir10z5qa6/c8rpBhQAb A4xLm4qcWsQFyWswJ4q5yG0y5QXBg7rRp8oxs3lm4E32eEL5NbvV3nzKcNBTUrJULBcoMam905Ns RBPUgC5F9Ni1ld8MgQwVz90jYdgUwnY6Xj33uoJeeFHXCFqsX201/fAdDzu032pyhvH8wpFpCtwY +HQg3V371T424/eLNJa/1SzpzRdnzKO7C12bgfM1YfK2kMuTlY0Wa7ADCC/Z4fjnCNLfWkiNCmMh UG2hXZnFKZ6kUBgr0GgUTLZU5GhWzqO9xYc43H6czgiCQTfs6hAFoqwCI8ZIbY7lgvUe1NM2cyYE lYhWVeFwjHM6zF/PUA9CEDA+AgalXERJI4X7B1ULHHGRwe1d5yj3ZWT2XioH//Zdv0o0kx7lLW0d prWBeOwj9V8HQ1vGtGI9N6RKaP23XZw1Zvz586bOsjmZlcxUp6nHPjPiP3e3CFitdzRf7dHC1lbP P+70nQSl/keBwZq5/R/Y+Y8ViW7kEbTnLzL9yy6a2lpXk5StcpKLhGGiuwBFPYiSW9q1M2o+l6YH Z3HstDqbMT/OCpX0Tqam2i0RCnWwisUbXtMXyWd5vubBRplK0pRJ//wvm7+M3PlwiRFOiRpuc2kq 65lyIaGlzg2eHt3UrdwLcuHeQJCVqJ2j8WBSy/ySkWl5h5NFvmQqQHV5fqSZj/Hr2DLpkCph63fm OslQcUOLHNKFn5D2WT6kNLYtx+uMjEGAdxwLSDwKmio/or3evR6Z4lDJAKERXkdo6f06kp3M0D4R GQAES/ihFnZkYYOCvRLscLF8Pxp+lVvknc8tBC5Imt/IOMQAdOUQmJXKJqmCVu8IoWlKyuuBrPuy sJljlmeh3l/hq/eEBt1YLdTPFnTl3pQMEl5D7YAztB7DL38xIziwhNNCIuwKNJ+x9BULGmlRBpjG /j/PxF4Lqca/0bIxQlIP9KBPLURJIasfm3gpXtlXhBB/Zaid4LeNDiIV3wLg6mjuxG+jyJNjG7Bi 2mpXE1930cLY4K2gjqnJ8Nuxo2pMU9NbQui3xW2KWYp14D4FK2p2yJM4UQqVYOJKJfWZmDkUZfun xarN0zCkfuinwz9Z0ep3g8MRcAUuAOvWu/+oqwoFfDg5/yM8iXATbQ0PRhMJOpk/egKmwxpNQEfC lCiX065BGRgTvxhHXts2j9xq/3avxZ4PNiDxdtrIuQ9PyHS/uNmSPpRUNK3m1kgTneXT2PcnRSnO K/dSxwIyDO22WPua7AIRPM0KAxRJe9K3F4n6/c2/HWHsxegcy3+cM2yEgTY05QAch50pL1hxGwq6 Cg3EnwXOpdvbXrDstXC4Umj4MhcwiurAHt7nVzOChahoF9I+OOIRbaTVDZFnbcQI1p8Kb1A5cIGG VKD4HMlI9i3b9InpFpJa4s7/mkcauNza21G0anvv6T+lmsHRUFQqoYA50FZLEW4B/QTQIyaSEKZi WR9VkAmNXxkb0Mx58ffHNaHSDtOq3ygNhWfJl+h450RdlZemSDkqo4o/MhtNBqeG2ngNCgcQroju RgGeusM7cjHd3uyiNCzfXgEVwVuDd+g3irl8iNoZsTj52y2VQu7LHWxgMLv6LJffJC6LC0zgMSDO 8ry9vVJ5ykxYM1rr7tjUkPMVUMzUQ0BSoR1kx80fmjv9GjLK0yU3u6aSO0QbGeJb7pNvolWX0mJz Y9wAsc27uDV0VLLfKsROuVPjpKab4OqT4BJj00qPFqgFoHfCQkrr/4IvhjXFn6K94n03LyOWX038 EXI+X/PMv6sr03WH0Zl88I/9NNp7fIZnb/HEpcX3iBPxID7I/tlYXCanXwO0tw1SWBEQCt9OJ4uR BLFL293Z6GAM+RTahL5BtAsc4ghJiWw0Vl4RkhpynEXla9Z1TJK97HJDA+LDuKkJi4Xtep3I9sI0 zNpFgltEjmAd62oeqDGGv5R6xlGBIqGEBNLvtwEa9HlJ570XWpFGFVEFMlxZgPPO7YKfpu15ngav PJg5AdVSEx6OfY1ROiQ1CIXmzI/MvE6vpvUh76jVGsLFdXFweQeeji0SM13o0+0Q4KbmvUXOBE8c hOSC6bB2mFVRKLLQjGfpCGmZaXBffa3P1Cq43toWNGO+nX6w78Ap4q9VbnJQmnkWvnKu41bOUg7p K4dL2dHNI7eMsuUhXI54b8Eo01y7OXRdNEdILmljG392vUEU6DjslA/5zwgiIhjK/NPeplleZ1AP TOT5dkI+Gbe2nEOMUL90CI8Bj2BhzOd4eqjotp32ntWGkZK0aYpyhZ43C71mS9vW173/a55BoYUV L4Al7zFFtVLKu3FxD7FKeAKavr5tAa/pT5TVftbeNDSQhNGzLAvvAouq3C/cLgXI1He3P0BjCgOU bhwN997Kod/KxyIYX/X57vaP6u4RlXWdUN/XTa53wQumNRej+NjN9j5PlgoFQwmU20sDRxrXDU4m AMmFbxHNHf2glAZJ+HeWBxqeoOsGlM/SEoJAorsxFrkSQ314GqVEFuxW2DDc1cpkh/rnclwL3msk xCx+8DPWCL/v31WkQKrDpBsuphou8SHXFcFdrS2Jx6JhIhnB83RHqjnxrbPyJA5MbDUpDYT+bSJW URy9RjgctHu+DXKsPEYQp8QXh4ub7p2E1wEJpJZ+YYZZ8t7zQfZOmBrMa9ircZbglzRX3QMh0zZZ UyS5hxNJJH2blvEvTsatZmu7zINoBhYCUPk/XcqDMd6k5SGUD/H2KcEKbpTLmduZIeb9TP9UDV4c zQ67mTOaoTcYdJ81nAHAl3lWd4TZLfkmDlmrwQ+WdqmHnA9srkpYtTq8OqPqCkWt4JTnvVJpynq6 NW7LaBJiod6EAyvbo0WDdlN3WYE68DV1IXtyC72tudznd+wiNVawHvObMzan1nMXTqgUpXBPkwcC sxXSM5ZFFXGL3Glavh8LCe8V1IY3fphFW0bK0/HvSIynsbIjsbbsExaOhYLSnoUgBLcVeotOyMAy 76VgYFYfKh4mOFYdL9Ua+piM8Cq5Ag4BfnSuKPZ824EdeKK3F79iaQHAOvJi3mnzQtCFcPJfnKZq TbaUGxZC9gjp9d8e5YO/f3Wnul5sjeWsF9t3x5t9j52Qms3UiJPcK7XomlJr6vQwClcimyygDEU8 RMejiK0as8qabc7j7M42uNa0Giw72/zk+d0nH893UNptmuOJEfYia7l3u0j9LJ4iP1O0ktd/NnjL EO96O55W4iNrgvyhejx8Lb3FDXkjhm0BK0lJE0wYNfrY9CwYduVuC0CfBIFbiSrjKv+KyPEh2eRj HUmC875PXhwnTBtbjrGFje6k6F8o6Tmv2y8HCoTdBwxWBPcJ0ymTbljNUOHqbPxqr0LAGjyUbjra Or8TTDBwq+Wny/pc/NJC24z+3rVUZFPyGENHUqWXdLrQ2XxSxjwGRL73E4FJO4/HcZ+DFDNymdeH Ppyku37Fis0MoJjdgczvkx08gthJr31YRljmQ+a4h6BsitKOwp1Xsiglb+khVCaCaZwQxJCZFkF2 BBqDCsK04jM3RsQ99Oz020ilU+c4wXWA75jP/BOrQF2NZIq4wstQZVJQOnWmOQTF/xli3uYVhTuB rvhVYRV5maGSeBSAcwbLv4zrkFlbVVhsIm3ceyxzbfTyyxATMh0lVE8g7SR+cNAIdELrdK6+zkDI yqF+3yF2lDfp7TRWCQcjHKq2uf2Uon0O0I6b+JV6n6ByEPHS2dg4MY6NCawgF9kpkl1iiSuN97FC cK3tZrjlUzbQcYVan3MYLue3+3qgTBSNYMnQNz4X2mnQ/3Q3wq+1fBiUBHYXl4PfXqcuh98X8IPn jD9FjO0jWyUUO+YEw8kDvIQL9XKXZeUzNkGGWcGU+Bb/hEwUwrTFcR8/3MJdHAFoa9vgQjsSLBrl MaPVTTcYPJIaLW9QO5VQXWyQPiLqd19ojGXQQ4YJhrRDElXlOKdY884+r9NnbboifvW+lkb57kCS b1SmPOth9on0wS7Qv0yh/WvaBodi66hGu3x8q9Z9OLB2bV5R+Y3wZPo1Y8AS4RkPcJe4Q3t/2mh8 7xlHX5JPAGRqFvnUAuPSPrIQuNARC2h5KnNyudjT6o0hMNDW55nRa51ns7ire5OgKliXLWo0ee7i yqHQ6cTF+rcCw2dB15F9jUQfGwu9BZ8sNuQT5gnhSxNj93Zyi0NXe4eLQ+Vb3HKzcJy5+d6egEAO mnWQp5fkLdMifzQaOnNNSEm3/NcxI/wgzwxu7Jj1Jj9HLHR4GfjgVJvMshbFHJeiL0/w7DTHd4cI yq6gVyPd2h5WoUsdaaEK12Wyu/f/4N737s9mZ34I3r2yA4WXtx7LdB733HPHEiopkPUamsOcLUha IgRIl9U7pMxYUcY0nNZUQB/wGUOIWygZW3GNiasnZpTE38udZRUehTF56mrNJX88slCOIMuF/pOX ReL9eOj/p9Yd2NQQOVNukg6RJxt884Bwv8C0b9kEOkBji8Uac7g8R7eHn4PFhYcU2yeA/nsWSddz hFVP+V6cfRxbdQHwdHpLKHiS9hc0UEjmAaa9ApmV3uZa9IRjXlt37y+k5W8CiE82HqmblF9Qt7v8 DmL7r1WPFkgKXsUgDzZZ3rh9KOtIEAYKVOKHH8ISe2evd5rWhy3s4tN6V4qxt7UeWOKBNE0803GX AqAew1L+nu8C3f461BUSrjxC+9YSErsud12K9Nyq9yhGBmKZYkpOMvJhCp3X/tV8sR9EkdwB6CTQ vjov8mtJPAJgLbD6ePWyPfSyfFrY5Efef/6ZbikquF3LIZL7UqKP/5SdRbrQ1ZTV3hw7wIweHP7W 2Q7K0lb10klYbHCzRs8uzB1hrVnzfwEWjBAzyVsF9tID5yHlrORE3COpyhBYtUwRcWvT4pZOetgO tNbsc2FudFrWmShJVFKoAvrrgj1PgC0jsyoV0SVBCw64XapENonaOjWw/fN7PK2hbkJg/p0Mw+PJ lhqT5bxBnuPNWCqEFMHJHRTG/i6ZQcf+zqS7qvlAfCmqknKhuqnHT5SGKPgj64nmtzL+A42aU2cW niKF4bVjG1rT1cxWfnZi8iR0MTBQWNGHKl5g2mWdlH8Hfetvbq/i1x+fL69PkmN7LQpERbBHpb9d nr4V70klb3r+8XITPZxay71gzXbv5MopW6mqTJbPPhlZNsn2RSaXSeOFVvC+QVMonHXwQJK+SJRa A66tnNRe6lVQTLBsnxYofA2DJtJoiu9esj1/Jvw002rt/zxOG5OWr2Xreer8FR9SbQuzl05B021q XMJMJP8jYRJa0WPePNlruhx1U8yZ5qJZrnXRCxa0k88VVHhsugHbWAgK9BIaaXirSr4qDQQOzo5e zmTD1ermpTNSTWiw/LkIxbMpB3Yvl1uxdAVFnwq5xyHBFL56ZYOOpUWfTRWK49XpQwPIzHmx5quA XAumS2yT9vdqsA0b1/KDHemlf2fjun2g7f54rUnIXx6jlz4x4BJFG/2Q5m9VfT+p8OTDDFjy8IGt P+Kb4MzMUJmY+tk5bMqoAaw51KUjT6lQAKmhVc/wbG1JF9ijH1Z2r8kU+7pSryK5jMC/KUZS5H97 QSFMJgsAklifqwYZjHtpMGt9jOuV6i1EcGUAFOaF1GBXl2OREJW3ABuhUxfNjdEkHPXAPn/ZCtt5 Zc+OfjtXynfu5/Iq/v1HwhN4OqIg8bw8gF9+YX9o1fo8NazSA2VsrT40df6v8uz+4khNaLYl3EFQ bJPBs6fp7BpQVoBVotHXiy/ueQZ59/lmsNyvyodgMtdHttPAjEN5eKkDNxSt90XaEz5lA1bG71oi ylH6XCdAblvhVk5tNfPx/kWL8SrH6FVKEWLwAoGUSdG7cnmbUc5WsLmPkRvBQzmO5wsgF68HutRn gVzMiLzx5MMI+8dt+m8o0UaLRJvyb8BZpc0PmZh9K4PySRl75/PlM/SOKt9gklbfijdoieGYW9S3 tgMRDzs9ws21OfoOAKYA8lVR92N2xQwdu0994jugYQbbuh4KVqWj0dzOg6Pldg7Hn0vh8pEYHOF4 fgoF8N2yZmnotXwDmjlv3O/RSm3MUOrusWk2sYNrLafbpWMaVoF+LcGt+SbjibgLnlJrLffEK7vM W4RrUHBDaW/xFhqEMAZ3Gi7rbQ1Gp4c2OLakctPPXpR6ZXGKrlG0hCwSvX/4BcPQiScASFGlfHJK jlcGHGanL3b7AwBUryhXRr7yDJNSZ+gkEVlhew+c7+Jaz0iOjy5dLJ4kh0qQ9UNfHu+HPp/PqBJ/ FMbsk2UcHBGySQSzsuSWyFh2vKjR2vq4qE1v9BLbDzCu90kxi3GY+i0MoMMvI8sP+/AdDoD7DsY9 JUYpn2SA5qpmMubsSrC0vB2Vc8Ym97Nnuuf6kWg8OknlmcahaRK793BqhTXkAwCjJ5nV32sZQ+ay HOApJITuEcmZdo8LNl2xVzGcdER+t4as7jbAV8ZYp3iOjz1ds4ZZDlMDa8FA+yioHQcPYzfid92S iUZ5uU1Qk66ZGiwsfES3jI6nuaAwJaxZ1U8PlV5yqPl8pzakjdyy9zo0wyODOOnpDoRTRVaxCrKs 7pESrir1gffES9hQdSdBlbfIVOZHVUy5WOXtaAX7aESs7MymyHlnS0nS+TrZ2dzLa2gY4UbE/D4M X+1ZoMfgy7L2tVV097knit6mfBOHJMealvpScxFhaf5fc0MxDJ8p9bKd0xFQ3bC/N7xWOUi2snlC DEpCthxw7E4wWivkHxJ0UDu/k6aOuajQSO2zi2bBA2Dd8xR5zGbG4JlYkR+auDoBhqcPG0dzB4rz BAyGWBPY0dknM+X29Gt5tvvyxys+QKnDMInm7sbJJTgJQgX5vyr1I4iadzyGfe1vXpqGQuvqr9+E 48LmylDkkbi7V5qLEy8lXvGjSjhE0dl4CqAY75AC5jI83r6X8l2wYLcByINLMlmLokflFQyQFIP6 WaquRw8Ye35ZCrW5xcHMhh++eNGpG1XLU9ZCnpUazA/RedW1QR0Fp+DZ80vFPLJqbeUsKUl8S+KR p+bXupxCC2wgiXyyuiz0woqxHG9AKlOvm8fjst3slMDrgmxZ9MNEEQxn+XHI9IfUSB/oUlEm/R3j z5Pmysf9V0wgdFsp58jT7fq0bRj4hibB6FHAnNKZUfYwxBAGqA447HNmt8mtFXZPK9jJ3W48NVRN PnBLLw8EpZQ10LaDyJRxWJaGUz6BPMEPr/1Oi8Lfo6VT `protect end_protected
gpl-2.0
88be1560f67b9a7e1ee1bfc8ac52efd9
0.949145
1.830977
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/sub_byp.vhd
2
9,156
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block e91skdorvvGYCa/FuU5p2Z0d02JMBAQ6kpuzQBr1ZnajbmVRBiZ62omcRvz9qaNWDhDgPxEFZgBW lPV9bI8OfA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oTft9FFum6PBrFGNPz/pxAQ5sXvjCgoW6Odpth6dyUd3huxx2XdalScHnEtzL79bSQP5QCRCrvZg BLfBc479l/dpNkNRZERAujOpCscBh9NbrPJCvMEMHcGC387nXqP8mBCNCQOc+vfzq8zSYJpYbPgF q7gdA/MIY00V9o8I38E= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aB2cXt3OaUoP5P4OUqZ7q9w4qsl5ijTGIgzA/rCSBwwsIgpvXGCx1jyDprTlTCKTjKFuxIN0/I8P oY2EjoFUFcPt/am+aeMSL1MEIrPvIvUVU9KI+vdi7NIwd6YCmJuFISplC0wDKSnDgWO7FmPxgure EeZ6ZfZnM0F8xMYO5is2ofxDVI+byc07cnXOmnQ+ruAuQr1gvg4jrg+VAo3Op/aRgezqELARdw7a 4yg00ZihHQBMB4dTrB+naC/YD8vggkhfP049xqp2Wq8nqWsa0l91yC0W5fDjJ4E9JaxyLbCkznOt VwE9OgSPU+hXyyYYfdQIGnkFdZNrUOv9V/nkKA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BP6qowJGYtPz92s7eOefIYlmLUjxOfadgJhAVI9Vgel8/Gr8mjtB9G1beX9QQpOD+ETbh1TRMUIS Zt4rVGgfZIIxMY5JNjU7EBGknxj2xiJs/bj6hFRme4wgxSyUaramfa50rxKuf1mZ3Q5cvkepJfbv 9zlSJIerl44ReQPEVUM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hhBJNElvcFdRC9f48OJnbJMgGEk7Me3nNOadNeXdKZpd6OEG4/rMkuvQl7FzWScNti2uO8zrrAER lQsb2pl1y1FVoSTu0t8EKN8skxBtEO0WnLd68cJSx2MAeEWKuCeUP5Lz2wUC2JU7GkvTiN3vXNZo asiXK2b+bUbM9cLsMFJArg3zhh/rw9iFuIMJZ7bEEZv1sXVYVePHDlfIEJ2myLEnRbO5M9ghwyYy AHt8K+LjKRvp5pFxkfDdEQ7Amt8ybj7Azfxh/nKywd0I46+hjVr5fHZXR1AtidSI7yyGbNt+csVk QDQBfEVXh52eSVDoMT9TO8UclH7Ot8Nhmcns2A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5040) `protect data_block NCkAJIGFSTC7CZtWHmFztWBWPr6YAI1k4vjG23ZoXALcrDemVfqWGkKNJutkbl46tjYbPcFLZEZF 3QvKB78H2kmR0hsEDjiai/FpaCrIybnN+HGVsnrdbCV8AIA3lx45fpb46zjPHjX9WG7YA8jJdSaf iXF7pThJq6P5Swd9AcKia24jqFC84zIwE25wp5XmiRzWxyMdEMRvkEkxJ8NaLZnQreympO293sIi wsQ3u8M61iZsPZQTXzGsMKQPr6HFgxHh0ITLUlnbrVQQAoYuPPUNqgR+tKq4Xy/jm1osNng2/MXX /M3K+R7KFsHMEBZIwPenXT+DPctgcbWgs7Jo9p9t39MaY17X3nZDx6xDdzGa/8ejvz1bmTqp8yKk uszvmVLtY9lY7ipqEodgVLiccr4hAmOC49YizmAmaj8qjIEILzJZd5qrMHl2KIfOA30wI5c5vWYc nm00gEwnDcdJEQchhzyHhTjYKExKL/iSC87B1quiUqld3fNXvZT0I5IgtjOY5s59fGpRW83Kqhr0 Bw1VXHb96PyX0YjhnARrB+V5TL2uCKPB7dVKxIFt8bjw9lcItjmMkavs5a7RrTlwoEjp/omm9mag vcqtD5QKPTlxEbQWklu2uPkmD7Q8ZKliHAa8yr0s7lAV+ukezIkBlhPjuESKJfYHSQiGrSMzZmB8 SXer4+T54REk29paMlmFUdx0s9KL8TaiWpqYOX4YVwdf4Gy3pR9Ct/FYyryQx3KtRWtRF0KXSzGa OdJqnDEZpwHQorLYsKk4a1CS1ITEOCNiPRxEzlo7weGB5PKo2ifPNz26CyrBs/dVV6HLnI2obvU+ k/Z3W/JeLSpLkBIVADXFxHBuxPAnvgw4QGXfO7ruzascgLcV61Ytq+kssy+xOQNmifHvYsDcyeJ9 vmvFMsB/FHTiLE5jNivCfOox7x+8xauOfiPWx46J7oUqLgMgCqs5VX23uHTumEAFBrOYROZXckcE THmuwDYbjdDNxs84W2iekFYdxq/2Ko5sR8vNYszD0aQMXd3M/lV+JewCOSZ/ZpLW6PgcbAi1RmHg P6otdBFeXkSttQnkN7f6JZ1U2r9xUIeiYpJssoUASQ7JqH1rxyi0XNU0EO+YyLmhmkLJuN545oZQ 3PAlvvcVNIP1cbjROUKx9xf8+nqNxcw2waIBcOed2nk4opNq1fsLyQUbpFoTCwqIES7xUwLMyCwq PRq5a1EfKifMWf6oSMmKmyxOo3weD/IiAK+b4DNCJLqS0Do9E8eyHkON/2AdEVuDjskMQgzk4L6D bywmT3b75vdUC06HTXo3Ki0WMUPWnlZJ0OY/d+jmyMw0WoYJMOGal60+O4E9EDQhawMR50m+GZ7g yeoJi1eulat17YN+UxWAxCpTkiWobeS7zjSxz8CNbik2MwmkPwVFuuXUUxVdFZ0eKMGPZmgQSvwS SvaYwRZeTVetANsOZAsdyB1/TNJQHmk288o4rwyAxoAp9YZPLsNe5AHHznt+UV7ZP2igyYQ0/16n AxPsMdhS0CJb1uWrEpsIbtjmXJbme3ARcyrNspYPtTsHARxs09IQd3n/bsYMds6Q3CO+XyilG+7g NeiFZ6BVQ6sZJIEqhNXytUgnbbrxBSTPlCGoyJGcc3LjtzTDO2WEgshFZS6C/NBFBtKJomdcD9/A joSrbQ8LzdJLmAanwT3GmjMemYHwgVWNfr9NNyW+qpI39SwlxH17xicak3UCuY5n/UCrtkyGjSTk j4P9e8dgbn5oSkD48V++y6seN7JI5fwvyPJM9rw2nnpD9JvzoPyYz8FHTxQbS78TBLcN4XVncYeQ RjwOTeoPGvlBDHspF1Xuxc/L+O6E2fSfoZPV5uyHaqZbSz+DAfFJUNIGm4RYSaDrzDkctoufck8Q KSgJLMUWldEBPJmPLnkBuAIYqhOc12l+GUJ8JNnlWU4mp898Qg0JPFNZaVlIQ3qy/O9OwfcBXvyX k9K2cA4iMrZX5qQttr1XFu8yDOhyqIW89p7mZ1bCF+JT+q9rDk+50rHotvG87+vtEHVCYkstQzTf Rtv2RKVRY1pJAjfqj1xMPECcGHfY52XHcSp7hbAPNufvUc4MJnkoDgPHAg2YjkojaoBf4nLUgmuE 8xL4gpn3Nv+LwY6Eq3uc+F99galKsgckAqrAQXoB6ZK19NTTgpzoFF3sF4AnX2KvRdpgVaTLJVPf aqMUpqdCKoGGGR2JaZe1Uczx4HNYfchrTnk8NldG9EEShWa93PHvmSJZcXMzRxn1q3/0ibSmdR4S 7Lm2Q7RQ8NZzOCRzzL0WWfcgVA5NZYMCsIp8qLdknQMWhIp71w+YTY7cMwL++QKQ35YN6RNrQnGG 0bN1Sl3myipyy0D6u+Q1bvkhGZwE/AXeQz7q2zWQaj6xtYkZXSfARvCwN641r9CK8rhLZcWbC7wB Q1rwfsD9iMyGzSJWmG5HPtIC6xp3oMdu2Ha3LC9rs40H4CPye3aXbeqxJURFkGeJWfGBqM0XlQW+ o8Mlnzj6AKAvmkv+O1FyzlZ0W7C7TC+RVhjDIiTULCRAeBl0Hnymf5vwKdeUZJj+iGN6ehyJWnPi /WZshkZj6NpmO1cDKa1mbJc3NMUUbEqjBiX8oOV7fHoN1nJs+aeMKPJAVoDVJgt9aFcGJAhDky7b 5n0RLxoYylmEwHHidQpSX5Spjyd8E7wMzcGx4xqjk4j2Zr/FLY5qRbc+wyky8t9mgFjhusvVn+b9 coSDdrYH5EEQTd98uOhbDlV+6uKyHOyDfReg5uUjXS/Q9WYpro2BOrgmImZjC/qx9dkuLu5HK/1n LuGDxymyKopa83ZY9TE6inafsfvT2U4KzshzTyFAzqcYB6Fe+vjj1f4nYjMPcblhc0gX3R+Fdpkv uUiI2i8BDXUMKcJoYBqiw0oHQoJyF8EIrD+/9SmDEoNWFnDhsCRY1h861O6lm337MDrcwA1QgDkK lgdS3xuEPFlS20wYyTnL2m2E+rQDZ/EJN5lwpyv/FaKHNbs+6DyQwgdsk/5RErTg8UbNf/aUPBqc eqIEwwMbSofAdd0LVn1iDldlCCVU1gUlhC1xSn87B0CM5hI4HJgU3yVIhKsbHII8TP5cemBxZ0dW jjG26zBlcI4+WlKPeRFR4SiKiBZPeLpQmgpcJTw9h0p3B2C8HCOj6Q8XD/MjifzlcncNBST3W8en 6umtzH4mRoehc5UZe/SYLWi/FYNMwadnqIrzrjQvby+UJ2SWMHE84J8MIILqfVXiB51bVCtOvwwb Va7NujCDbKRduGXQndlP8Dg5bE7jSGO9DTX3WGDYolAILdDPp5vOibiX7urODOZbFGHj3qqjer5h t8BBUhhQEjk5NnI56/mg/kdkV2LD2UoU5FjffEGHWRehrp44+iDJHJk8ghob+ZSxoJJWzhccpEfj AjrrrtKGSO1GBjfgb+aM5Jj+81x7hDmD418PLXDMO0NWSdxeW5jS2aBIB4wOv9nsdTjoA2m9W1Xs j83c8de7/deXARcqXrbCjZjy2AbGHYOb3eU2RkLyhDnW33ZWoIgqkSbCG71TCLWx5XyC5JSGVgeg 1cuGv+bGR9DAHaI8byD8tCTHsOoktxB4j2gzJ29DD9mAxvrI8e+9TcVfaSCAAXRsavhpPP9KIBBD Otg8SKwoGPNF2UMHkDJ3WmWJO/ttVbLdjpPen+s0riWLWnqg7hVnggDsxTSBPpKYkH5ckk4gDGO6 c7+zVFte5BOrVU0qfAV4puHt8w1bpj4Tf1OTEv/pfD4VBqvnbJe/A9IeER8G3HupURyArLK0l3J3 udr3RYBQPFyI7DyA5ufmffvZsBDVJtgO//dcxq+FGDyN52U1wSfmUQe9PYRcGJNw5XYGQEhPE7af qJuAjvG+Uukb23VyyHUUZDIdG4Vri+3zJc+j/lyLycHKi+2qbtsX8naVV8jFEi/TEajAmWScvBAm 1+PGbR3EAry/0iAAsBRo/6ykNtx42+vCuz9m/TjvUsyekcNgk0V8ohPtyy7MqPw58rCiAR4ZLKY7 xRRSiYJrqcJY1Jvo0rjoZ6mpX61WIBif9/IcLXcdY4d5a7ddue2yS+oppueXMZynCWuzSU5PJofi 8xiAGZWS+wKAy5LAb0hfF+WPZcE/KamvCkEaCdEqIOcNpmWky/gZoVV1jJ4dyxn2gIIVKkrU/Unb 9wSGEo7KctkMDL/ZoI8dLRkEb27PyHlcSsE0ULHVavOx8t0Fp0Y40vNRtT038ySBCj8b4Z2r9X2Z n1HK6/dDdvxy4nAQJSG7Cq4ah95Tx6RfLOJbY/0BB7fB6MNRj7DewpA81FqfBDKfX1cCaYzZo8mC u1eetZrwB936z++YrGtKmtl079G3DOTg6AtsRwRDa+7y+tkw42HKr6hAbdub74aTprU3KL/C53gC 64sG1JnCyi8SSmA2CJ0zUQmAan9oNEUd69NiKFbFdQiSJORlb9YOdod3HRaUFxfIuW9hqs2RNYBX 0LYWhxKdK82DOpHOAuHLN+r7CLLdmEi9CeK5+0tR/Y4uSIQW4MqHkhsnJwn3rsDmzfqQqe/LpuQx pxHuaXnbjPB3+WMbbbjBpeAFJ5zF3DVrkCuJ1qKem8Y3kUokqFLwNv3PyCWZo71tRQVrs7PeH6ZC p75JBoyXupxScIPKgdJjaI/dJDlkCOlHCu2Xh9MQm9beVFRSKaUKTmwiLnNqyGjI8AkB42V6Fyuw WFiIPziMJZEzQc3/4VK+0O5Ccb+ajH0ihE9KWDVTFqT2g8dTY4fLasoqO53YnnT+e4KIR8NdhT8o +IywLtSNq16fk2ejj9PggboYbtVeZqgcO5nIgqBPInomkVnJd6VVQIbVpoTL1ySRkz9P15FYjSUg r3A9d1c61i1oFxJnTuJVvOeBskWKai2sJDLXpxElU8q2CJhZp4qN4f5XodyvKC4RgqZaqGy0JAZt 5RgM85zDkUzbMrOIoF4OyxopG6uY2yZIPH3roXgzC55mWNk2lTYN0mVZY5hYeA8oo1ykhvrU3KmM bNDwxNoraLXJCU9aotP4CvrtT/MIgBSVfNR/EBHpttG88j/ooE/jXD33HW0ZEAmLsvh4UBUf7Lri pk4lINXVkrqZVm630wx2swasLjC1ANGW51DzL8UdkUAkf7c3sa1AAsvZKmflkmNui2GlLnh8lmQH FCj8NnjcETMjvoYx2e6zFfVyXXdCkKQPfKYifYFFmb6WFmY5nOC9yN0ScwQXdHq9WC2S0MT2kwZf dthDozL3ISk5n9VFnOJH0CANKSmnUfqXIHYYEgXZOKupMJqIsxIkkZAiuIIPtcmnSRyJ033pOlMw OFUgllycWMy0v53WlXziZTmXICU42JdB5f8l9blJzDQvubwBuPDgrFL0OTG7KXghOrxQIwxqh91+ i6m8Xp703tuPG10Q+7XvKXQtzhjq33WHhSJkSZl8rHIjhOa1BpNJqD6PBNjPjp0l5SNWy/6NLa1l y9PgT4HuVnVXgmL+7koaf0kGcBSnMCTPXtv97FsU0l/7L0Xc5BRkF0TcsBEB5bgXtWSVjKuLc1j7 Qbz2b1nY6XbLZGodWM0sjz9bM5dEpFhzH66UhtQZyAE7+/KYz4y2s6O/yLKYfqMSNFoZC1LOjOBM nnO+cOaw73NSekMBNgkfBmyUlIE/6doJXNW3E2P460MXTFDnpo6n9dowr4+g9lW5CxdV3LOGe/a1 eifAs5Ty2z2PTtD1Wj+LVs/tA4XbJ1vDpkjI2sr5GcqVKR78Sv9oT5yG7k44sLIHGh+8SV4E9Wdx Cwu6YntI+Sqqv3oigNgbA1ggRwr8uJroVAop2ZAwn2w46V0gLuLZ1Evs9vQH+n0nIBpsySDNkoHH vTQ6YKSn3Kw6siPLNoEAkwoSDhMqw8kHrRpoNzt9qleou03dKVV12WytCJecwobeuVyofGBXHEW4 VX5E3+Oqke9ej6kpp+/YpNsDHEObX0VdcYOQL1iQB7AAeqLr3xFx5KhZOsBNRFnjH2qTJR5/26oj BOG3LynAU2OSr1c9xUJ/qW1PM5VS/HLQZJftIjl9EF+mSJpjZ1gMdqSPm2508l+F2PKLXFvvUmjX oKQzhtRzFu11ckJZMqYm8PaWF/lS75daOdzISHs0p/agT1La5tQZXcOg/53plrcmk4P1PXRoh1Rb JOl4MQxQY6+sK5O+wfznShX/yma2oUGRtYP0b+Eb+jrGky1zdXf9Hbgp02wLZes2cow59TgpKzpY q83DnjttiajfhBawLKBp32Vv5P+UfF6jcmdQBzKL5iwYlkc45Q3kLfZv+XWFmV99wsO6ybE5Q240 NjAjDnR0MEijNxG4KUca7T+HAlat/XWqz2EyPAncrFdkb0hML5mxY4cu13yd8kZko14DuXo98d+G luPd61RrEwVTDT+++YK1zmCMwiD+aieutr+BsgdiIvRc9rRxSmsYjRca7/vbk/Di2C81/rrUtOYo X3f6q8kp4HhUHRVgsfFzHVlKUtGcX6Pt48tEI/olkfyZkTm3HNuLXjqkA7wjUbU+erhCTTGgLy1V udOTfU2/h3X4n8zN8NOFwMYd/GIw6rqfSbDBYLlO7YCqLCNMjsvutlpaJuVEClAccGE8hbGc/AS/ zG71XEWHMjbMvYguBUgq31qO0ZZyb2hs6WjFF+xRW83RL8bP1hjsO1pgVAR4v7atlKYh+k36bT7L j3hFCoObK6KRQYwFGF/seSt5qjWbLCG5 `protect end_protected
gpl-2.0
cab2afed935dd9a2a9f32f129ae8b033
0.922892
1.911482
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/axi_utils_v2_0/hdl/axi_utils_v2_0_pkg.vhd
10
8,183
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dUotDiO/P+1eL1pYuR0rYaf3eqQJxS0u4SevgRCgAtuJDhVd0sb5c7yjh2piBj/+s/v1jdXlgUur 4fBQslDFnw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block chPzPf0StVQExUXLLKh4o7Mmt8tFZwBPBeDxFxVum/weWbtzoCz719Ko7yHJBjfadFhG4eLKiib1 Tt8hSp5P5MliLovyHWWSPE0lPi+03V8MQ0fZ6Hozd1JQpMioxgag7pjJWjzSdXRUKiMlB3s7RQbl HRTVOxsHggQXb0+fGws= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IUrIfP1uAFE1zO8jEhtFRR2pANYwA6cP9F4HX6OrS37XhJ/d1AoeW2gachwmPN+eEnzn2i844rnx OpAV8D/2wvjfpqkl/O6tcg7zYRzo+lo5/+ztqASMNEf/GnD2bTSq3IiR3OtjvREoqWSP7As7xfoN Au0mCkL4hL9rCtbmW87+oRvQdBM8WIu7IdHxHmuny4I012oCaOwzNWZJbq5748ve6VoVwd9fq7xV 4oNuJuYIo7x7A7XBfLgHjNpu+/BPKBLssi3KpswN1W6TdjzCBb0VMbk9hlEaQ9b0C9cNqsPMG2J9 Yp3qtrEl2XGLviKjsAWdQgy82Nv7S8TOA0XTtQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l+o/+1IlvkTmU18EIjBM0Uomvc2/BliRyUgZUP4BuLDwSXvbO/1Nz4krGrkpNbNoE1YesIwynIeV zBCn7SwMf79YK3kpWX8pbLUoTJH4MjUJDV3Tt1nXlr+Tu4XM62/sceeYSib+rwgQMRQkCwtA+hgB iw55gwIvwAdQoGximTk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YSfYyZXaSrPB9BhgW+PrZ6kTP9SDGwdiQZTt/cKghrq1MWbYmBc3lKtdirwr3SjE4wgXqvm9YHZ/ lotDQ3REvt8DdILIxfVljuWx4DBRXrwucz64RCkGsMsScuEvO98VAkaJGiJ5fdh0rtlmqbqLiIZZ TZN6yvX3HmQaF9KXzednTEWx4lECwlEMm3lcZq8zgKFt8QC5FQTn4Pka3qcPB/W2OC/4Y9TtkJBz m1aMufliOw7ZBgOPM0QeZYi3wJOFzizTKO3a3swstC8adX3zgRlANp/L3C4JXYfXRlvx1k9nuU7O +bpnJnOrbc8xwgW4G3khRDGIhLEaIP2/FqpRzw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320) `protect data_block BZtO54uybkY4pK4v44ryb0gUUcBpgKuKJOqf9KUZ+KoFVUwYyhRgtUa4kZZ0ajnHcmhZX5s5ZRqc dwaJy0Uk4jNSE1mXDXk2+S1EcTl95ticjzgZ3Fqv2BtTBuLyzYh2z19G9uGAh1oQFTc1zkcXjzw4 FL39v3Luys/m4Vqz7+sKJti02Ij4AJtITw89pOekHe4Xisdw06dEId5E1z46ruHXipvJHG9OCmgL Eo4VQvUoKu17lp9ScXd7KiWu54C/mri/Zlhai973HkO2eBFixSD7E/rhZkjzp5ZtOmxkfTXOgHwu xZ+9DS1QvTTk8QHBeXo3+WrUOC1B2D6HQs8likyUbX8EBIy9gwD5i0+jJQuARX1T1gHFlm0DU4Nq uz+ztVmY5xDRzNTP5OvBQ/QXJPKLJaEyfAnSQwztdz3oAQX/nrzJbMo0mpbUcLjtChtJc13j9zck EzFgcKyxTdfhfRwv8GDWJIIGlFBsZ+dMyrJkVWavPjn2GyqhBZflTUWM+KIYdhAD5LDS1kSIS3Qs lHFp63++h8fOO8DM1j9L3dGkn46p0r+aBOzdO7VNTFhcRx16MXma+/UNvf8PaZQZ1t6+v8d6/U7O i96JjO7px0ddiGQNqQ/pvj6q2ebXrLJDoR1DX2Y0qGTVdi1tSdVEmu5xHKHGMjKcy4bLO5kbyhnR ucKhhdfejfs1Wqp6+oWFAJQ9PlW/a8UJuAIlogTbhbaLTcf5YZpVJyoVd8MpfSQv33eWV2qfG9BU 76MEFTzFycLdtkVXpYHSxK/R4AIZyZO/RN5WYlQubbc0+6TXuokM5Jz/XP54lsbzmYS5lw9GACFd iasQx0Bu7RZzdnM1dVRp/JlzWqPRopaIvydJmm3hIyMXXzW3yoFrlveWS5cwCKK8ywkessay6xky jXcJV8YgP+qDkDQRLi4x25FCM8rMyO4UzY0rgIQyK4U2W9BFGi5qouRFsIqXadGCdAyZdXlDouSu ue5PZQ4B1QS6k4CiWhelsch4w69pQ8lmp/dgyUlHYymvGnx0oEwnR9KXBHBMRdGnRbu5BOauLT4V g9DYFelUWF7D+oZu9FpmZ3TePYIcIuTilOFnjsbVyyUgTe+TuQY1X5XFQrXAnAP9sabILEJDa4t6 aUqPydwBnKrsj0uBJfzRiUemXo+ctW0mFVGu5o8oiSmuf/1556UXFqXnJ3JApNOowRQjyLLXedU1 SrhUM1ULZBf5EVLdehAe1m0xQ2Xq1F2rZWz3l/V0IZujYwNUfBfWIhv7AGVBXPI5S6c9/0qJpUdG wRiyzxOX5u8SrJl1Yfn8gUguuie7TgkXlv85kRXOeaR2ntcSMSDq03GyQANNeoTzmHIK1dvUE1Hm LFfBXg1ptK6L0Njyl+rjkcs/OIqAGDOrqzY2/ITPlBOI4jtJqvLdWmokIiZuvK8jtdVMzhhc3Smg ukx/wlyj6Aimh9iQh8djKEbVcbDe0Doah8i+IvLzJGgK58Ay3NHalwELUWZgF8qk16Zs+zByTPom n/pTJlUwCYn6Y1NdfZPxQyMrTgEMX+UxeXHcs0LL0LuEy2/hfQw9QWUJWWQc9jt22ui7MMffU/kL oJFnQ1oNV6okYRwnXKmolOsoUmOLtujpmbzGXG0fFo7R6owmsAlaUgVZsdUOF2W45ejK9hyW+6YI 0f/T+Yu9kJ6WxvqxUDjzX4J+ynHZeX+HkMTiEC9FxrT5y6FmwN6mfzo7fjv8ht2EbkSgFx10RfQw RsB83U5+ebVx9Uv6ns+P2ar8HT830SoTwnp1pV4DbOx4M7pT7ACdCQ4HGTWvXH9up6vqE1/Swy+V FJgxmNMXnIBuEYPmDWfyKBeZBSNWDGo12AVz27rK9XQIF+SDuQZMNtxK3XEPSUlA2JrMA6XQ2j34 sKVEebBVanx82gDLYweYXOnYlK+UPL3GHwxI6jfMBjKWEspbymSW/C/vItcpodKAUbUcPcHUg/zw 1WHFysO35iLUNbZaGM98SzPp8rEyiqT0mnzCCiSfpFJFsnWrSmdxE5Aj1ex+WHBpduDRfC1Zeos3 60wkracJ7xJQQyL21RIlT+INsKoz2rENEamvyEOXXD1ZpvzM2XchpOEmrgvf7Yu2jw2l7XLOuMsv 0S3lQDtcjqnQJD601vT8a5Jy9HU3baI4KrQITSe9tUXY5UHHakXQfrmp1TaPXgXIXShUnoGn/wWc 0TxtRDLzdeC98reN+xb62iaFygtLwKBu/zc3LRa8BabZDIKp/QMAqukWfDOyLAMaosLhDZ/fpFwm n1hZ5idEzT8Jg6iZR1Ay3aRiJhxVS1ZbbIpVYmQIaLmlwMa6+TrIqyyeEOazVnGHAl/jlAaDhcOu 3u7IQ3hpgJ8frKHfxzxmL1jRCADEQElSRDBhoUu29Z8gyHPYREdSvKpOT/mXZzSRj4H4App6mWs7 gu39JSUfr1qLVot0D6t0SK73ccZuUbRRBuNqNqemUTaf4TZ7EFyoiBwlncsv9MWSqSuiXxPe2bJJ K2ZE2z7dn2rp5HDq3HUDg9lwbXY1UrInaFnZCaNmss4U6G1jA8jToe6H4e19i0aCBTE6OIXMwQmJ wVsRlKL6f31aZN7xuP6j9uThlUwLhF7srs83pzNX5JA0KdYNPdnsSP1MD9yJ1T7vUbnd8rStn/Xk RsFcu3vldLs1bHdCFlwW5BX2RJnKW5pU9CcnxTb2MZUWtM2Hk5jn3mP50GSzjW79P6G9xeejLF7a tqR06E5RXf27uQEl8hTWpm27QOi0qCyM6dpgBo2wv1fghWAOmahgO81AEhfWX9bTFVkIWimWDlnu +PSV2Y4Lwl5Wk8OYw51Oe2hefiXhX1sPaUBqgKLRL/CrywaHcpn/lTDKChdh7mcT1xxbYZbEvF/N S73HyRQgYLQqubzsgKWaRlQNfWxdGgGvzcYq7yB+jM18bw9BkRRVFSI156lDLc3bIBPfObUZ1huR dzVjgaVpbPPBWlxGPvqxty9VrNHMqqCWFIdg+50Om38m3HC+GDJXRplER1bpyzl6FBaxnlNVnhF/ X84hI79XGpj2SKebzj1Q3aEiLFBSISr3QCrIZaXgRAr6bzsmKB1D2CbeCQHUj3uKyIWRqaFICaGP AeEHzjufbdvx200Kjr5ZvgwRPHQGWxdraRSPPGrvH1y7atZhwUm7Z4/byYqH/7Ntr4g0VD+BuK37 co/QLMj2/bnq5dQkr0RWxDDCjiTToXkUBOu4wzrslTDMJs5E4ze5L41AGwzoc2q4yqf1WLK/St+g jngHq9F/t9ociE9Xx0rL4q4TzhcOjHldJGuCimG42fwLqZTW3+5CqsQXcE8AUgyHL3Q3rUMQrHHS 6sBjw+nueLQkq7BlBiv3Qy5VEnas+Ytq4d8ydJ3X3QT5if5LmtgkfkFCmjY9FRl5lhlzhSNQ39Wq lqtcJCWpCEgeWYJGVkGGP2r+TedsnsugxDSqpzUoE5ewGux9f7dntchpLDM33pDY1J60VW2HW/ba 0Ug47RXooUosY4J+ABoKJ73CNl6MgIu+487pjOjtK/ni5+JHzq6IhTk2gQUCzL3J3pX6i/zRXB1E e3fC3j2NW7eEoTr+tkRGC5gZDQiJIBcfJGGdbTnGKwDwoANF5kWrfdapY5Gi+MhyubS9JKBlWtrL kzZ2CKxYY3SX26zwm0SD6NEDyjm9i7TST0gTObVU375VTHyCyt6IcV7eRlIB6KSpWT37ix/VSREq jhLJuRXtX7NuoVcpMxhnqZ0mcwFWeKSmfg9SjlnwshjIcPGg86K4jxk2lJ2VPkRa1n4C417znCB7 KwcalIr5aDrWK+t/xiRSMJ2fUoEXOc+R0AqFti2bI2FNhaq7HU/M/cLbhxYUM8WopbXPKbqv7wtU WJAhQooJ0lHUuYQHJWYrOPAZehmwClu0Jvb6mlgi1DDpnmKUotvr6Wpr3yw6DkxMC9t/rj1nBp/U Ie9o+ELYenjp+xxrbNx2vWxKJO+EA6RJIitYWIXuv/PT//0IJD25YEe0opTmsxkOeh2T/0udVGlP DUO/d1EpNp8ZU1b+AK5fsmXMyn95Ohp2uW34QS6mCgfejKtOdb0gGRao2UANSXMQvJwJ8Pkjm+bs eVY0dpH1V01kdeIwFonyyDbfOer4HmOgPI/S1Sr6ZG7J8g0hw2lCEp6AB5v48eG+ZIMgg8K45ItU Vxs85Av/nfMrpeh3guAM+O6WbygHNiy6GkArwBoj0TKdNZ/3hWFLpgWeP3yiDBO/IdturlnMLyrf HLJwibIO7mPtM3KOHKGDa2p4DwejGdgMiyQsZunVbfRvKvVfEpJHHQJwm28O8FFtjefSl0sYA96n 9OkGKyiGHvqYkvv82ecnLooo4ssihP46j/mEB1vgD5tvaSRFAvj0p0K0n+agTkIahPYzHly0CFGp ioIBsdfFp83SYZXrkI5ywTRO501YeTcAJklBKD1N78jzvLB42QLCQtuXq+IHkR1uD/8V7cfl2P+5 VACuuSKTn+7zPzlDM/R8hpCj2x91IcaC6qQr6DmxDsooRqIg+4VriZZkwQ6TJB6NIMGPirdhO4d0 oEl+iEkFg+Hn16BdI7hrH+ydQ5Ez4znsNO+7NhRud54yDXWmZO1An6T/GDL7UongLTj9KJQtXqfi dnrLCPMXlQ6ikS6UkNYp4nniDjIqFSPJKsxiMiHlVzCdZ+sgGelruhQ4lzHTE8TS4wiNru0gTzOR CECRNW4pr6h5VE12PTOM8a6Rs7y0PdpFTj7Q2VB0o7PUKxRxGdbTj5x9qv2cC6+2dObNkU3APJIW VBe/j2zlNNwrmGOMHLf9/MslbnkYHON/jyrXXcyTGiS+wWLtQvy+W0gmrDZSoWLB3vCBDvKq+Ype Rx6oTtyNlBRmYYssVuLc3mLaBZ5IOiatljtI1rQhyGxfe3rJHGJrvyErkQhR73YDE9cvZH5He2E9 CE3cdsJmOIETJoXrxI2kH5ACJWMKDoapnkzL7lW+Ruf/Fg0RqCkQHKZhGN8y4C9DI5E8LPPskGRo Huy6wIZ7XezEpA4KmfPRhXQseL6I45OYHYsYf/l0qupx6f/CsmUTpc/u2NJo3uESJiAM7yt60sFj GDGiHQ4gwyghWqdSVJ+sPpryFI7wwcP4a9ewjNodHt64sGtLLZTDpzPO/qIUDDV22wxFmh9efPzS Dk+txu8clXrki030sqKG3se03Jjg1xZxlJqDCTIvU4D+ctRUcugjAxesoV9dmbV71lYsZu084A7m Wc+Oe3TXVUfm4V75sdtb5RGEJ1e4zZY8MPOEJHurnRF2kkr+RbRxZyH565K/GhZqoZHGEKm2KGBR Vmu2i5Ki1alTg25go51zbuCXcgldpu0hbymvRFhfL+PMiSQaMn4Sxmc/nbpMALDJfpB4Mhl7Itrx r28Ts7QZk8iY0eYzu0td68KcXtI3buxi3DNV+sKnpN1mRxQ3PusfaSg/Twp5b4YB3lhRbG3RyOx0 cv4lPG4825V9FA0nUUw+o015109LTmy9Zjbm1kjUOTO4Ww0KunCq7rnbIvv7tWEp3Ph3SwAw4tUO JJ/MB56Xt78I6mQ5c1Q8idchArhi2+bidAinXs6WKTwjf1dNRygKjQMCcL+wOHCC4Bf6EN0P1on6 Yuj05l1eEZz4ilhBP8DqtXvg8wmAtzuRWAGq5ay0gg0Iqt/yGo/GM21Vdco3E78zTxVH2q0aHkgt rQ6CD45f/w5y2uI+03jMtnYG/nJuLFnXZPWpnTnHsRW3oAwEPgl8L4D3PUJ8 `protect end_protected
gpl-2.0
47fcaed28659559b5febfc63a4da82e8
0.918734
1.924959
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/ipcore_dir/DEBUG_RAM/simulation/DEBUG_RAM_synth.vhd
2
8,888
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: DEBUG_RAM_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY DEBUG_RAM_synth IS PORT( CLK_IN : IN STD_LOGIC; CLKB_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE DEBUG_RAM_synth_ARCH OF DEBUG_RAM_synth IS COMPONENT DEBUG_RAM_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(63 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0'); SIGNAL CLKB: STD_LOGIC := '0'; SIGNAL RSTB: STD_LOGIC := '0'; SIGNAL ADDRB: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTB: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL clkb_in_i: STD_LOGIC; SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; -- clkb_buf: bufg -- PORT map( -- i => CLKB_IN, -- o => clkb_in_i -- ); clkb_in_i <= CLKB_IN; CLKB <= clkb_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; RSTB <= RESETB_SYNC_R3 AFTER 50 ns; PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN RESETB_SYNC_R1 <= RESET_IN; RESETB_SYNC_R2 <= RESETB_SYNC_R1; RESETB_SYNC_R3 <= RESETB_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 64, READ_WIDTH => 4 ) PORT MAP ( CLK => clkb_in_i, RST => RSTB, EN => CHECKER_EN_R, DATA_IN => DOUTB, STATUS => ISSUE_FLAG(0) ); PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN IF(RSTB='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLKA => clk_in_i, CLKB => clkb_in_i, TB_RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, ADDRB => ADDRB, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ADDRB_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; ADDRB_R <= ADDRB AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: DEBUG_RAM_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, CLKA => CLKA, --Port B ADDRB => ADDRB_R, DOUTB => DOUTB, CLKB => CLKB ); END ARCHITECTURE;
gpl-3.0
96d57245460a1c5265ffa01b5915a764
0.568407
3.589661
false
false
false
false
fafaldo/ethernet
ethernet4b/netgen/par/nowyRXTX_timesim.vhd
1
284,467
-------------------------------------------------------------------------------- -- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: P.68d -- \ \ Application: netgen -- / / Filename: nowyRXTX_timesim.vhd -- /___/ /\ Timestamp: Thu Mar 13 17:04:38 2014 -- \ \ / \ -- \___\/\___\ -- -- Command : -intstyle ise -s 4 -pcf nowyRXTX.pcf -rpw 100 -tpw 0 -ar Structure -tm nowyRXTX -insert_pp_buffers true -w -dir netgen/par -ofmt vhdl -sim nowyRXTX.ncd nowyRXTX_timesim.vhd -- Device : 3s500efg320-4 (PRODUCTION 1.27 2013-06-08) -- Input file : nowyRXTX.ncd -- Output file : C:\Users\fafik\Dropbox\infa\xilinx\ethernet2\netgen\par\nowyRXTX_timesim.vhd -- # of Entities : 1 -- Design Name : nowyRXTX -- Xilinx : D:\programy\Xilinx\14.6\ISE_DS\ISE\ -- -- Purpose: -- This VHDL netlist is a verification model and uses simulation -- primitives which may not represent the true implementation of the -- device, however the netlist is functionally correct and should not -- be modified. This file cannot be synthesized and should only be used -- with supported simulation tools. -- -- Reference: -- Command Line Tools User Guide, Chapter 23 -- Synthesis and Simulation Design Guide, Chapter 6 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library SIMPRIM; use SIMPRIM.VCOMPONENTS.ALL; use SIMPRIM.VPACKAGE.ALL; entity nowyRXTX is port ( MDIO : inout STD_LOGIC; clk : in STD_LOGIC := 'X'; MDC : out STD_LOGIC; strt : in STD_LOGIC := 'X'; reset : in STD_LOGIC := 'X'; busy : out STD_LOGIC; write_read : in STD_LOGIC := 'X'; data_out : out STD_LOGIC_VECTOR ( 15 downto 0 ); phy_addr : in STD_LOGIC_VECTOR ( 4 downto 0 ); data_in : in STD_LOGIC_VECTOR ( 15 downto 0 ); reg_addr : in STD_LOGIC_VECTOR ( 4 downto 0 ) ); end nowyRXTX; architecture Structure of nowyRXTX is signal write_send_data_not0001_0 : STD_LOGIC; signal clk_div_1313 : STD_LOGIC; signal reset_IBUF_1314 : STD_LOGIC; signal read_receive_data_0_cmp_gt00001_1316 : STD_LOGIC; signal N3 : STD_LOGIC; signal read_send_data_10_or0000_0 : STD_LOGIC; signal read_send_data_11_not0001_inv : STD_LOGIC; signal clk_BUFGP : STD_LOGIC; signal latched_write_read_1343 : STD_LOGIC; signal Mtridata_MDIO_and0000135 : STD_LOGIC; signal Mtridata_MDIO_1348 : STD_LOGIC; signal read_send_data_10_and0000_0 : STD_LOGIC; signal N6_0 : STD_LOGIC; signal read_send_data_0_cmp_lt0000 : STD_LOGIC; signal read_send_data_0_not0001_0 : STD_LOGIC; signal Mtrien_MDIO_1353 : STD_LOGIC; signal read_receive_data_0_and0000_0 : STD_LOGIC; signal reg_addr_1_IBUF_1360 : STD_LOGIC; signal reg_addr_0_IBUF_1361 : STD_LOGIC; signal strt_IBUF_1362 : STD_LOGIC; signal reg_addr_3_IBUF_1365 : STD_LOGIC; signal reg_addr_2_IBUF_1366 : STD_LOGIC; signal reg_addr_4_IBUF_1369 : STD_LOGIC; signal read_receive_data_0_cmp_gt000011_0 : STD_LOGIC; signal read_send_data_0_cmp_lt0000112_0 : STD_LOGIC; signal read_send_data_0_cmp_lt0000134_0 : STD_LOGIC; signal N10_0 : STD_LOGIC; signal N8_0 : STD_LOGIC; signal Mtridata_MDIO_and000028 : STD_LOGIC; signal N20_0 : STD_LOGIC; signal N4_0 : STD_LOGIC; signal phy_addr_1_IBUF_1386 : STD_LOGIC; signal phy_addr_0_IBUF_1387 : STD_LOGIC; signal phy_addr_3_IBUF_1390 : STD_LOGIC; signal phy_addr_2_IBUF_1391 : STD_LOGIC; signal phy_addr_4_IBUF_1394 : STD_LOGIC; signal clk_div1 : STD_LOGIC; signal Mtridata_MDC_1397 : STD_LOGIC; signal bit_counter_6_1_1403 : STD_LOGIC; signal busy_in_cmp_eq0000_1409 : STD_LOGIC; signal busy_in_1448 : STD_LOGIC; signal counter_cmp_eq000010_0 : STD_LOGIC; signal N18_0 : STD_LOGIC; signal counter_cmp_eq000023_1460 : STD_LOGIC; signal Mcount_bit_counter_cy_1_Q : STD_LOGIC; signal Mcount_bit_counter_cy_3_Q : STD_LOGIC; signal counter_or0000 : STD_LOGIC; signal Mcount_counter_cy_1_Q : STD_LOGIC; signal Mcount_counter_cy_3_Q : STD_LOGIC; signal write_send_data_and0000_0 : STD_LOGIC; signal read_receive_data_0_and0000_SW2_O : STD_LOGIC; signal write_send_data_59_DXMUX_1578 : STD_LOGIC; signal write_send_data_mux0005 : STD_LOGIC; signal write_send_data_59_DYMUX_1565 : STD_LOGIC; signal write_send_data_mux0006 : STD_LOGIC; signal write_send_data_59_SRINV_1557 : STD_LOGIC; signal write_send_data_59_CLKINVNOT : STD_LOGIC; signal write_send_data_59_CEINV_1555 : STD_LOGIC; signal read_send_data_10_or0000 : STD_LOGIC; signal write_send_data_0_DYMUX_1607 : STD_LOGIC; signal write_send_data_mux0062 : STD_LOGIC; signal write_send_data_0_SRINV_1599 : STD_LOGIC; signal write_send_data_0_CLKINVNOT : STD_LOGIC; signal write_send_data_0_CEINV_1597 : STD_LOGIC; signal write_send_data_3_DXMUX_1656 : STD_LOGIC; signal write_send_data_mux0059 : STD_LOGIC; signal write_send_data_3_DYMUX_1642 : STD_LOGIC; signal write_send_data_mux0060 : STD_LOGIC; signal write_send_data_3_SRINV_1633 : STD_LOGIC; signal write_send_data_3_CLKINVNOT : STD_LOGIC; signal write_send_data_3_CEINV_1631 : STD_LOGIC; signal write_send_data_5_DXMUX_1698 : STD_LOGIC; signal write_send_data_mux0057 : STD_LOGIC; signal write_send_data_5_DYMUX_1684 : STD_LOGIC; signal write_send_data_mux0058 : STD_LOGIC; signal write_send_data_5_SRINV_1675 : STD_LOGIC; signal write_send_data_5_CLKINVNOT : STD_LOGIC; signal write_send_data_5_CEINV_1673 : STD_LOGIC; signal write_send_data_7_DXMUX_1740 : STD_LOGIC; signal write_send_data_mux0055 : STD_LOGIC; signal write_send_data_7_DYMUX_1726 : STD_LOGIC; signal write_send_data_mux0056 : STD_LOGIC; signal write_send_data_7_SRINV_1717 : STD_LOGIC; signal write_send_data_7_CLKINVNOT : STD_LOGIC; signal write_send_data_7_CEINV_1715 : STD_LOGIC; signal write_send_data_9_DXMUX_1782 : STD_LOGIC; signal write_send_data_mux0053 : STD_LOGIC; signal write_send_data_9_DYMUX_1768 : STD_LOGIC; signal write_send_data_mux0054 : STD_LOGIC; signal write_send_data_9_SRINV_1759 : STD_LOGIC; signal write_send_data_9_CLKINVNOT : STD_LOGIC; signal write_send_data_9_CEINV_1757 : STD_LOGIC; signal Mtridata_MDIO_and0000 : STD_LOGIC; signal Mtridata_MDIO_DYMUX_1805 : STD_LOGIC; signal Mtridata_MDIO_mux0000 : STD_LOGIC; signal Mtridata_MDIO_CLKINV_1797 : STD_LOGIC; signal read_send_data_0_not0001 : STD_LOGIC; signal Mtrien_MDIO_DYMUX_1839 : STD_LOGIC; signal Mtrien_MDIO_mux0000_norst_1836 : STD_LOGIC; signal Mtrien_MDIO_SRINV_1831 : STD_LOGIC; signal Mtrien_MDIO_CLKINV_1830 : STD_LOGIC; signal read_receive_data_7_DXMUX_1869 : STD_LOGIC; signal read_receive_data_7_DYMUX_1862 : STD_LOGIC; signal read_receive_data_7_SRINV_1860 : STD_LOGIC; signal read_receive_data_7_CLKINV_1859 : STD_LOGIC; signal read_receive_data_7_CEINV_1858 : STD_LOGIC; signal read_receive_data_9_DXMUX_1893 : STD_LOGIC; signal read_receive_data_9_DYMUX_1886 : STD_LOGIC; signal read_receive_data_9_SRINV_1884 : STD_LOGIC; signal read_receive_data_9_CLKINV_1883 : STD_LOGIC; signal read_receive_data_9_CEINV_1882 : STD_LOGIC; signal latched_reg_addr_1_DXMUX_1914 : STD_LOGIC; signal latched_reg_addr_1_DYMUX_1908 : STD_LOGIC; signal latched_reg_addr_1_CLKINV_1906 : STD_LOGIC; signal latched_reg_addr_1_CEINV_1905 : STD_LOGIC; signal latched_reg_addr_3_DXMUX_1934 : STD_LOGIC; signal latched_reg_addr_3_DYMUX_1928 : STD_LOGIC; signal latched_reg_addr_3_CLKINV_1926 : STD_LOGIC; signal latched_reg_addr_3_CEINV_1925 : STD_LOGIC; signal latched_reg_addr_4_DYMUX_1946 : STD_LOGIC; signal latched_reg_addr_4_CLKINV_1944 : STD_LOGIC; signal latched_reg_addr_4_CEINV_1943 : STD_LOGIC; signal read_receive_data_0_cmp_gt000011 : STD_LOGIC; signal read_send_data_0_cmp_lt0000112_1965 : STD_LOGIC; signal N6 : STD_LOGIC; signal read_send_data_0_cmp_lt0000134_1989 : STD_LOGIC; signal N10 : STD_LOGIC; signal N8 : STD_LOGIC; signal N20 : STD_LOGIC; signal N4 : STD_LOGIC; signal latched_phy_addr_1_DXMUX_2062 : STD_LOGIC; signal latched_phy_addr_1_DYMUX_2056 : STD_LOGIC; signal latched_phy_addr_1_CLKINV_2054 : STD_LOGIC; signal latched_phy_addr_1_CEINV_2053 : STD_LOGIC; signal latched_phy_addr_3_DXMUX_2082 : STD_LOGIC; signal latched_phy_addr_3_DYMUX_2076 : STD_LOGIC; signal latched_phy_addr_3_CLKINV_2074 : STD_LOGIC; signal latched_phy_addr_3_CEINV_2073 : STD_LOGIC; signal latched_phy_addr_4_DYMUX_2094 : STD_LOGIC; signal latched_phy_addr_4_CLKINV_2092 : STD_LOGIC; signal latched_phy_addr_4_CEINV_2091 : STD_LOGIC; signal Mtridata_MDC_DYMUX_2104 : STD_LOGIC; signal Mtridata_MDC_CLKINV_2102 : STD_LOGIC; signal read_receive_data_11_DXMUX_2126 : STD_LOGIC; signal read_receive_data_11_DYMUX_2119 : STD_LOGIC; signal read_receive_data_11_SRINV_2117 : STD_LOGIC; signal read_receive_data_11_CLKINV_2116 : STD_LOGIC; signal read_receive_data_11_CEINV_2115 : STD_LOGIC; signal read_receive_data_13_DXMUX_2150 : STD_LOGIC; signal read_receive_data_13_DYMUX_2143 : STD_LOGIC; signal read_receive_data_13_SRINV_2141 : STD_LOGIC; signal read_receive_data_13_CLKINV_2140 : STD_LOGIC; signal read_receive_data_13_CEINV_2139 : STD_LOGIC; signal bit_counter_6_1_DYMUX_2163 : STD_LOGIC; signal bit_counter_6_1_CLKINVNOT : STD_LOGIC; signal read_receive_data_15_DXMUX_2188 : STD_LOGIC; signal read_receive_data_15_DYMUX_2181 : STD_LOGIC; signal read_receive_data_15_SRINV_2179 : STD_LOGIC; signal read_receive_data_15_CLKINV_2178 : STD_LOGIC; signal read_receive_data_15_CEINV_2177 : STD_LOGIC; signal write_send_data_1_DXMUX_2224 : STD_LOGIC; signal write_send_data_mux0061 : STD_LOGIC; signal read_send_data_11_not0001_inv_pack_1 : STD_LOGIC; signal write_send_data_1_SRINV_2207 : STD_LOGIC; signal write_send_data_1_CLKINVNOT : STD_LOGIC; signal write_send_data_1_CEINV_2205 : STD_LOGIC; signal clk_div1_DYMUX_2239 : STD_LOGIC; signal clk_div1_SRINV_2237 : STD_LOGIC; signal clk_div1_CLKINV_2236 : STD_LOGIC; signal clk_div1_CEINV_2235 : STD_LOGIC; signal clk_div_or0000 : STD_LOGIC; signal busy_in_cmp_eq0000_pack_1 : STD_LOGIC; signal read_send_data_10_DYMUX_2278 : STD_LOGIC; signal read_send_data_10_SRINV_2276 : STD_LOGIC; signal read_send_data_10_CLKINVNOT : STD_LOGIC; signal read_send_data_10_CEINV_2274 : STD_LOGIC; signal read_send_data_11_DXMUX_2296 : STD_LOGIC; signal read_send_data_11_REVUSED_2294 : STD_LOGIC; signal read_send_data_11_SRINV_2292 : STD_LOGIC; signal read_send_data_11_CLKINVNOT : STD_LOGIC; signal read_send_data_11_CEINV_2290 : STD_LOGIC; signal read_send_data_21_DXMUX_2334 : STD_LOGIC; signal read_send_data_21_REVUSED_2326 : STD_LOGIC; signal read_send_data_21_DYMUX_2325 : STD_LOGIC; signal read_send_data_19_rt_2322 : STD_LOGIC; signal read_send_data_21_SRINV_2313 : STD_LOGIC; signal read_send_data_21_CLKINVNOT : STD_LOGIC; signal read_send_data_21_CEINV_2311 : STD_LOGIC; signal read_send_data_12_DXMUX_2353 : STD_LOGIC; signal read_send_data_12_REVUSED_2351 : STD_LOGIC; signal read_send_data_12_SRINV_2349 : STD_LOGIC; signal read_send_data_12_CLKINVNOT : STD_LOGIC; signal read_send_data_12_CEINV_2347 : STD_LOGIC; signal read_send_data_13_DYMUX_2369 : STD_LOGIC; signal read_send_data_13_SRINV_2367 : STD_LOGIC; signal read_send_data_13_CLKINVNOT : STD_LOGIC; signal read_send_data_13_CEINV_2365 : STD_LOGIC; signal read_send_data_31_DXMUX_2406 : STD_LOGIC; signal read_send_data_31_REVUSED_2398 : STD_LOGIC; signal read_send_data_31_DYMUX_2397 : STD_LOGIC; signal read_send_data_29_rt_2394 : STD_LOGIC; signal read_send_data_31_SRINV_2385 : STD_LOGIC; signal read_send_data_31_CLKINVNOT : STD_LOGIC; signal read_send_data_31_CEINV_2383 : STD_LOGIC; signal read_send_data_23_DXMUX_2444 : STD_LOGIC; signal read_send_data_23_REVUSED_2436 : STD_LOGIC; signal read_send_data_23_DYMUX_2435 : STD_LOGIC; signal read_send_data_21_rt_2432 : STD_LOGIC; signal read_send_data_23_SRINV_2423 : STD_LOGIC; signal read_send_data_23_CLKINVNOT : STD_LOGIC; signal read_send_data_23_CEINV_2421 : STD_LOGIC; signal read_send_data_15_DXMUX_2482 : STD_LOGIC; signal read_send_data_15_REVUSED_2474 : STD_LOGIC; signal read_send_data_15_DYMUX_2473 : STD_LOGIC; signal read_send_data_13_rt_2470 : STD_LOGIC; signal read_send_data_15_SRINV_2461 : STD_LOGIC; signal read_send_data_15_CLKINVNOT : STD_LOGIC; signal read_send_data_15_CEINV_2459 : STD_LOGIC; signal latched_write_read_DYMUX_2496 : STD_LOGIC; signal latched_write_read_CLKINV_2494 : STD_LOGIC; signal latched_write_read_CEINV_2493 : STD_LOGIC; signal read_send_data_41_DXMUX_2532 : STD_LOGIC; signal read_send_data_41_REVUSED_2524 : STD_LOGIC; signal read_send_data_41_DYMUX_2523 : STD_LOGIC; signal read_send_data_39_rt_2520 : STD_LOGIC; signal read_send_data_41_SRINV_2511 : STD_LOGIC; signal read_send_data_41_CLKINVNOT : STD_LOGIC; signal read_send_data_41_CEINV_2509 : STD_LOGIC; signal read_send_data_33_DXMUX_2570 : STD_LOGIC; signal read_send_data_33_REVUSED_2562 : STD_LOGIC; signal read_send_data_33_DYMUX_2561 : STD_LOGIC; signal read_send_data_31_rt_2558 : STD_LOGIC; signal read_send_data_33_SRINV_2549 : STD_LOGIC; signal read_send_data_33_CLKINVNOT : STD_LOGIC; signal read_send_data_33_CEINV_2547 : STD_LOGIC; signal read_send_data_25_DXMUX_2608 : STD_LOGIC; signal read_send_data_25_REVUSED_2600 : STD_LOGIC; signal read_send_data_25_DYMUX_2599 : STD_LOGIC; signal read_send_data_23_rt_2596 : STD_LOGIC; signal read_send_data_25_SRINV_2587 : STD_LOGIC; signal read_send_data_25_CLKINVNOT : STD_LOGIC; signal read_send_data_25_CEINV_2585 : STD_LOGIC; signal read_send_data_17_DXMUX_2646 : STD_LOGIC; signal read_send_data_17_REVUSED_2638 : STD_LOGIC; signal read_send_data_17_DYMUX_2637 : STD_LOGIC; signal read_send_data_15_rt_2634 : STD_LOGIC; signal read_send_data_17_SRINV_2625 : STD_LOGIC; signal read_send_data_17_CLKINVNOT : STD_LOGIC; signal read_send_data_17_CEINV_2623 : STD_LOGIC; signal read_send_data_43_DXMUX_2684 : STD_LOGIC; signal read_send_data_43_REVUSED_2676 : STD_LOGIC; signal read_send_data_43_DYMUX_2675 : STD_LOGIC; signal read_send_data_41_rt_2672 : STD_LOGIC; signal read_send_data_43_SRINV_2663 : STD_LOGIC; signal read_send_data_43_CLKINVNOT : STD_LOGIC; signal read_send_data_43_CEINV_2661 : STD_LOGIC; signal read_send_data_35_DXMUX_2722 : STD_LOGIC; signal read_send_data_35_REVUSED_2714 : STD_LOGIC; signal read_send_data_35_DYMUX_2713 : STD_LOGIC; signal read_send_data_33_rt_2710 : STD_LOGIC; signal read_send_data_35_SRINV_2701 : STD_LOGIC; signal read_send_data_35_CLKINVNOT : STD_LOGIC; signal read_send_data_35_CEINV_2699 : STD_LOGIC; signal read_send_data_27_DXMUX_2760 : STD_LOGIC; signal read_send_data_27_REVUSED_2752 : STD_LOGIC; signal read_send_data_27_DYMUX_2751 : STD_LOGIC; signal read_send_data_25_rt_2748 : STD_LOGIC; signal read_send_data_27_SRINV_2739 : STD_LOGIC; signal read_send_data_27_CLKINVNOT : STD_LOGIC; signal read_send_data_27_CEINV_2737 : STD_LOGIC; signal read_send_data_19_DXMUX_2798 : STD_LOGIC; signal read_send_data_19_REVUSED_2790 : STD_LOGIC; signal read_send_data_19_DYMUX_2789 : STD_LOGIC; signal read_send_data_17_rt_2786 : STD_LOGIC; signal read_send_data_19_SRINV_2777 : STD_LOGIC; signal read_send_data_19_CLKINVNOT : STD_LOGIC; signal read_send_data_19_CEINV_2775 : STD_LOGIC; signal read_send_data_45_DXMUX_2836 : STD_LOGIC; signal read_send_data_45_REVUSED_2828 : STD_LOGIC; signal read_send_data_45_DYMUX_2827 : STD_LOGIC; signal read_send_data_43_rt_2824 : STD_LOGIC; signal read_send_data_45_SRINV_2815 : STD_LOGIC; signal read_send_data_45_CLKINVNOT : STD_LOGIC; signal read_send_data_45_CEINV_2813 : STD_LOGIC; signal read_send_data_37_DXMUX_2874 : STD_LOGIC; signal read_send_data_37_REVUSED_2866 : STD_LOGIC; signal read_send_data_37_DYMUX_2865 : STD_LOGIC; signal read_send_data_35_rt_2862 : STD_LOGIC; signal read_send_data_37_SRINV_2853 : STD_LOGIC; signal read_send_data_37_CLKINVNOT : STD_LOGIC; signal read_send_data_37_CEINV_2851 : STD_LOGIC; signal read_send_data_29_DXMUX_2912 : STD_LOGIC; signal read_send_data_29_REVUSED_2904 : STD_LOGIC; signal read_send_data_29_DYMUX_2903 : STD_LOGIC; signal read_send_data_27_rt_2900 : STD_LOGIC; signal read_send_data_29_SRINV_2891 : STD_LOGIC; signal read_send_data_29_CLKINVNOT : STD_LOGIC; signal read_send_data_29_CEINV_2889 : STD_LOGIC; signal read_send_data_39_DXMUX_2950 : STD_LOGIC; signal read_send_data_39_REVUSED_2942 : STD_LOGIC; signal read_send_data_39_DYMUX_2941 : STD_LOGIC; signal read_send_data_37_rt_2938 : STD_LOGIC; signal read_send_data_39_SRINV_2929 : STD_LOGIC; signal read_send_data_39_CLKINVNOT : STD_LOGIC; signal read_send_data_39_CEINV_2927 : STD_LOGIC; signal busy_in_DXMUX_2969 : STD_LOGIC; signal busy_in_BXINV_2968 : STD_LOGIC; signal busy_in_REVUSED_2967 : STD_LOGIC; signal busy_in_SRINV_2965 : STD_LOGIC; signal busy_in_CLKINV_2964 : STD_LOGIC; signal busy_in_CEINV_2963 : STD_LOGIC; signal counter_cmp_eq000010_2985 : STD_LOGIC; signal read_receive_data_1_DXMUX_3006 : STD_LOGIC; signal read_receive_data_1_DYMUX_2999 : STD_LOGIC; signal read_receive_data_1_SRINV_2997 : STD_LOGIC; signal read_receive_data_1_CLKINV_2996 : STD_LOGIC; signal read_receive_data_1_CEINV_2995 : STD_LOGIC; signal N18 : STD_LOGIC; signal read_receive_data_3_DXMUX_3042 : STD_LOGIC; signal read_receive_data_3_DYMUX_3035 : STD_LOGIC; signal read_receive_data_3_SRINV_3033 : STD_LOGIC; signal read_receive_data_3_CLKINV_3032 : STD_LOGIC; signal read_receive_data_3_CEINV_3031 : STD_LOGIC; signal clk_div_not0002 : STD_LOGIC; signal counter_cmp_eq000023_pack_1 : STD_LOGIC; signal read_receive_data_5_DXMUX_3090 : STD_LOGIC; signal read_receive_data_5_DYMUX_3083 : STD_LOGIC; signal read_receive_data_5_SRINV_3081 : STD_LOGIC; signal read_receive_data_5_CLKINV_3080 : STD_LOGIC; signal read_receive_data_5_CEINV_3079 : STD_LOGIC; signal bit_counter_0_DXMUX_3143 : STD_LOGIC; signal bit_counter_0_XORF_3141 : STD_LOGIC; signal bit_counter_0_LOGIC_ONE_3140 : STD_LOGIC; signal bit_counter_0_CYINIT_3139 : STD_LOGIC; signal bit_counter_0_CYSELF_3130 : STD_LOGIC; signal bit_counter_0_BXINV_3128 : STD_LOGIC; signal bit_counter_0_DYMUX_3121 : STD_LOGIC; signal bit_counter_0_XORG_3119 : STD_LOGIC; signal bit_counter_0_CYMUXG_3118 : STD_LOGIC; signal Mcount_bit_counter_cy_0_Q : STD_LOGIC; signal bit_counter_0_LOGIC_ZERO_3116 : STD_LOGIC; signal bit_counter_0_CYSELG_3107 : STD_LOGIC; signal bit_counter_0_G : STD_LOGIC; signal bit_counter_0_SRINV_3105 : STD_LOGIC; signal bit_counter_0_CLKINVNOT : STD_LOGIC; signal bit_counter_2_DXMUX_3199 : STD_LOGIC; signal bit_counter_2_XORF_3197 : STD_LOGIC; signal bit_counter_2_CYINIT_3196 : STD_LOGIC; signal bit_counter_2_F : STD_LOGIC; signal bit_counter_2_DYMUX_3180 : STD_LOGIC; signal bit_counter_2_XORG_3178 : STD_LOGIC; signal Mcount_bit_counter_cy_2_Q : STD_LOGIC; signal bit_counter_2_CYSELF_3176 : STD_LOGIC; signal bit_counter_2_CYMUXFAST_3175 : STD_LOGIC; signal bit_counter_2_CYAND_3174 : STD_LOGIC; signal bit_counter_2_FASTCARRY_3173 : STD_LOGIC; signal bit_counter_2_CYMUXG2_3172 : STD_LOGIC; signal bit_counter_2_CYMUXF2_3171 : STD_LOGIC; signal bit_counter_2_LOGIC_ZERO_3170 : STD_LOGIC; signal bit_counter_2_CYSELG_3161 : STD_LOGIC; signal bit_counter_2_G : STD_LOGIC; signal bit_counter_2_SRINV_3159 : STD_LOGIC; signal bit_counter_2_CLKINVNOT : STD_LOGIC; signal bit_counter_4_DXMUX_3255 : STD_LOGIC; signal bit_counter_4_XORF_3253 : STD_LOGIC; signal bit_counter_4_CYINIT_3252 : STD_LOGIC; signal bit_counter_4_F : STD_LOGIC; signal bit_counter_4_DYMUX_3236 : STD_LOGIC; signal bit_counter_4_XORG_3234 : STD_LOGIC; signal Mcount_bit_counter_cy_4_Q : STD_LOGIC; signal bit_counter_4_CYSELF_3232 : STD_LOGIC; signal bit_counter_4_CYMUXFAST_3231 : STD_LOGIC; signal bit_counter_4_CYAND_3230 : STD_LOGIC; signal bit_counter_4_FASTCARRY_3229 : STD_LOGIC; signal bit_counter_4_CYMUXG2_3228 : STD_LOGIC; signal bit_counter_4_CYMUXF2_3227 : STD_LOGIC; signal bit_counter_4_LOGIC_ZERO_3226 : STD_LOGIC; signal bit_counter_4_CYSELG_3217 : STD_LOGIC; signal bit_counter_4_G : STD_LOGIC; signal bit_counter_4_SRINV_3215 : STD_LOGIC; signal bit_counter_4_CLKINVNOT : STD_LOGIC; signal bit_counter_6_DXMUX_3305 : STD_LOGIC; signal bit_counter_6_FXMUX_3304 : STD_LOGIC; signal bit_counter_6_XORF_3303 : STD_LOGIC; signal bit_counter_6_LOGIC_ZERO_3302 : STD_LOGIC; signal bit_counter_6_CYINIT_3301 : STD_LOGIC; signal bit_counter_6_CYSELF_3292 : STD_LOGIC; signal bit_counter_6_F : STD_LOGIC; signal bit_counter_6_DYMUX_3284 : STD_LOGIC; signal bit_counter_6_XORG_3282 : STD_LOGIC; signal Mcount_bit_counter_cy_6_Q : STD_LOGIC; signal bit_counter_7_rt_3279 : STD_LOGIC; signal bit_counter_6_SRINV_3271 : STD_LOGIC; signal bit_counter_6_CLKINVNOT : STD_LOGIC; signal counter_0_DXMUX_3360 : STD_LOGIC; signal counter_0_XORF_3358 : STD_LOGIC; signal counter_0_LOGIC_ONE_3357 : STD_LOGIC; signal counter_0_CYINIT_3356 : STD_LOGIC; signal counter_0_CYSELF_3347 : STD_LOGIC; signal counter_0_BXINV_3345 : STD_LOGIC; signal counter_0_DYMUX_3339 : STD_LOGIC; signal counter_0_XORG_3337 : STD_LOGIC; signal counter_0_CYMUXG_3336 : STD_LOGIC; signal Mcount_counter_cy_0_Q : STD_LOGIC; signal counter_0_LOGIC_ZERO_3334 : STD_LOGIC; signal counter_0_CYSELG_3325 : STD_LOGIC; signal counter_0_G : STD_LOGIC; signal counter_0_SRINV_3323 : STD_LOGIC; signal counter_0_CLKINV_3322 : STD_LOGIC; signal counter_0_CEINV_3321 : STD_LOGIC; signal counter_2_DXMUX_3416 : STD_LOGIC; signal counter_2_XORF_3414 : STD_LOGIC; signal counter_2_CYINIT_3413 : STD_LOGIC; signal counter_2_F : STD_LOGIC; signal counter_2_DYMUX_3398 : STD_LOGIC; signal counter_2_XORG_3396 : STD_LOGIC; signal Mcount_counter_cy_2_Q : STD_LOGIC; signal counter_2_CYSELF_3394 : STD_LOGIC; signal counter_2_CYMUXFAST_3393 : STD_LOGIC; signal counter_2_CYAND_3392 : STD_LOGIC; signal counter_2_FASTCARRY_3391 : STD_LOGIC; signal counter_2_CYMUXG2_3390 : STD_LOGIC; signal counter_2_CYMUXF2_3389 : STD_LOGIC; signal counter_2_LOGIC_ZERO_3388 : STD_LOGIC; signal counter_2_CYSELG_3379 : STD_LOGIC; signal counter_2_G : STD_LOGIC; signal counter_2_SRINV_3377 : STD_LOGIC; signal counter_2_CLKINV_3376 : STD_LOGIC; signal counter_2_CEINV_3375 : STD_LOGIC; signal counter_4_DXMUX_3472 : STD_LOGIC; signal counter_4_XORF_3470 : STD_LOGIC; signal counter_4_CYINIT_3469 : STD_LOGIC; signal counter_4_F : STD_LOGIC; signal counter_4_DYMUX_3454 : STD_LOGIC; signal counter_4_XORG_3452 : STD_LOGIC; signal Mcount_counter_cy_4_Q : STD_LOGIC; signal counter_4_CYSELF_3450 : STD_LOGIC; signal counter_4_CYMUXFAST_3449 : STD_LOGIC; signal counter_4_CYAND_3448 : STD_LOGIC; signal counter_4_FASTCARRY_3447 : STD_LOGIC; signal counter_4_CYMUXG2_3446 : STD_LOGIC; signal counter_4_CYMUXF2_3445 : STD_LOGIC; signal counter_4_LOGIC_ZERO_3444 : STD_LOGIC; signal counter_4_CYSELG_3435 : STD_LOGIC; signal counter_4_G : STD_LOGIC; signal counter_4_SRINV_3433 : STD_LOGIC; signal counter_4_CLKINV_3432 : STD_LOGIC; signal counter_4_CEINV_3431 : STD_LOGIC; signal counter_6_DXMUX_3521 : STD_LOGIC; signal counter_6_XORF_3519 : STD_LOGIC; signal counter_6_LOGIC_ZERO_3518 : STD_LOGIC; signal counter_6_CYINIT_3517 : STD_LOGIC; signal counter_6_CYSELF_3508 : STD_LOGIC; signal counter_6_F : STD_LOGIC; signal counter_6_DYMUX_3501 : STD_LOGIC; signal counter_6_XORG_3499 : STD_LOGIC; signal Mcount_counter_cy_6_Q : STD_LOGIC; signal counter_7_rt_3496 : STD_LOGIC; signal counter_6_SRINV_3488 : STD_LOGIC; signal counter_6_CLKINV_3487 : STD_LOGIC; signal counter_6_CEINV_3486 : STD_LOGIC; signal MDIO_O : STD_LOGIC; signal MDIO_T : STD_LOGIC; signal MDIO_INBUF : STD_LOGIC; signal MDC_O : STD_LOGIC; signal MDC_T : STD_LOGIC; signal strt_INBUF : STD_LOGIC; signal clk_INBUF : STD_LOGIC; signal reg_addr_0_INBUF : STD_LOGIC; signal reg_addr_1_INBUF : STD_LOGIC; signal reg_addr_2_INBUF : STD_LOGIC; signal reg_addr_3_INBUF : STD_LOGIC; signal reg_addr_4_INBUF : STD_LOGIC; signal data_out_10_O : STD_LOGIC; signal data_out_11_O : STD_LOGIC; signal data_out_12_O : STD_LOGIC; signal data_out_13_O : STD_LOGIC; signal data_out_0_O : STD_LOGIC; signal data_out_1_O : STD_LOGIC; signal data_out_14_O : STD_LOGIC; signal data_out_2_O : STD_LOGIC; signal data_out_15_O : STD_LOGIC; signal data_out_3_O : STD_LOGIC; signal data_out_4_O : STD_LOGIC; signal data_in_0_INBUF : STD_LOGIC; signal data_out_5_O : STD_LOGIC; signal data_in_1_INBUF : STD_LOGIC; signal data_out_6_O : STD_LOGIC; signal data_in_10_INBUF : STD_LOGIC; signal data_in_2_INBUF : STD_LOGIC; signal data_in_2_IFF_ICLK1INV_3797 : STD_LOGIC; signal data_in_2_IFF_ICEINV_3795 : STD_LOGIC; signal data_in_2_IFF_IDDRIN_MUX_3793 : STD_LOGIC; signal data_out_7_O : STD_LOGIC; signal data_in_11_INBUF : STD_LOGIC; signal data_in_11_IFF_ICLK1INV_3825 : STD_LOGIC; signal data_in_11_IFF_ICEINV_3823 : STD_LOGIC; signal data_in_11_IFF_IDDRIN_MUX_3821 : STD_LOGIC; signal data_in_3_INBUF : STD_LOGIC; signal data_in_3_IFF_ICLK1INV_3845 : STD_LOGIC; signal data_in_3_IFF_ICEINV_3843 : STD_LOGIC; signal data_in_3_IFF_IDDRIN_MUX_3841 : STD_LOGIC; signal data_out_8_O : STD_LOGIC; signal data_in_12_INBUF : STD_LOGIC; signal data_in_12_IFF_ICLK1INV_3873 : STD_LOGIC; signal data_in_12_IFF_ICEINV_3871 : STD_LOGIC; signal data_in_12_IFF_IDDRIN_MUX_3869 : STD_LOGIC; signal data_in_4_INBUF : STD_LOGIC; signal data_in_4_IFF_ICLK1INV_3893 : STD_LOGIC; signal data_in_4_IFF_ICEINV_3891 : STD_LOGIC; signal data_in_4_IFF_IDDRIN_MUX_3889 : STD_LOGIC; signal data_out_9_O : STD_LOGIC; signal data_in_13_INBUF : STD_LOGIC; signal data_in_13_IFF_ICLK1INV_3921 : STD_LOGIC; signal data_in_13_IFF_ICEINV_3919 : STD_LOGIC; signal data_in_13_IFF_IDDRIN_MUX_3917 : STD_LOGIC; signal data_in_5_INBUF : STD_LOGIC; signal data_in_5_IFF_ICLK1INV_3941 : STD_LOGIC; signal data_in_5_IFF_ICEINV_3939 : STD_LOGIC; signal data_in_5_IFF_IDDRIN_MUX_3937 : STD_LOGIC; signal write_read_INBUF : STD_LOGIC; signal reset_INBUF : STD_LOGIC; signal data_in_14_INBUF : STD_LOGIC; signal data_in_6_INBUF : STD_LOGIC; signal data_in_6_IFF_ICLK1INV_3993 : STD_LOGIC; signal data_in_6_IFF_ICEINV_3991 : STD_LOGIC; signal data_in_6_IFF_IDDRIN_MUX_3989 : STD_LOGIC; signal phy_addr_0_INBUF : STD_LOGIC; signal data_in_15_INBUF : STD_LOGIC; signal data_in_7_INBUF : STD_LOGIC; signal phy_addr_1_INBUF : STD_LOGIC; signal data_in_8_INBUF : STD_LOGIC; signal phy_addr_2_INBUF : STD_LOGIC; signal data_in_9_INBUF : STD_LOGIC; signal phy_addr_3_INBUF : STD_LOGIC; signal phy_addr_4_INBUF : STD_LOGIC; signal busy_O : STD_LOGIC; signal clk_div_BUFG_S_INVNOT : STD_LOGIC; signal clk_div_BUFG_I0_INV : STD_LOGIC; signal clk_BUFGP_BUFG_S_INVNOT : STD_LOGIC; signal clk_BUFGP_BUFG_I0_INV : STD_LOGIC; signal counter_or0000_F5MUX_4178 : STD_LOGIC; signal counter_or0000_F : STD_LOGIC; signal counter_or0000_BXINV_4167 : STD_LOGIC; signal counter_or00001 : STD_LOGIC; signal Mtridata_MDIO_and0000135_F5MUX_4203 : STD_LOGIC; signal N23 : STD_LOGIC; signal Mtridata_MDIO_and0000135_BXINV_4195 : STD_LOGIC; signal N22 : STD_LOGIC; signal Mtridata_MDIO_and000028_F5MUX_4228 : STD_LOGIC; signal Mtridata_MDIO_and0000281_4226 : STD_LOGIC; signal Mtridata_MDIO_and000028_BXINV_4221 : STD_LOGIC; signal Mtridata_MDIO_and0000282_4219 : STD_LOGIC; signal read_send_data_10_and0000_4251 : STD_LOGIC; signal read_send_data_0_cmp_lt0000_pack_1 : STD_LOGIC; signal read_receive_data_0_and0000_4275 : STD_LOGIC; signal read_receive_data_0_and0000_SW2_O_pack_1 : STD_LOGIC; signal write_send_data_and0000_4299 : STD_LOGIC; signal read_receive_data_0_cmp_gt00001_pack_1 : STD_LOGIC; signal write_send_data_not0001 : STD_LOGIC; signal N3_pack_1 : STD_LOGIC; signal write_send_data_11_DXMUX_4362 : STD_LOGIC; signal write_send_data_mux0051 : STD_LOGIC; signal write_send_data_11_DYMUX_4348 : STD_LOGIC; signal write_send_data_mux0052 : STD_LOGIC; signal write_send_data_11_SRINV_4339 : STD_LOGIC; signal write_send_data_11_CLKINVNOT : STD_LOGIC; signal write_send_data_11_CEINV_4337 : STD_LOGIC; signal write_send_data_21_DXMUX_4404 : STD_LOGIC; signal write_send_data_mux0043 : STD_LOGIC; signal write_send_data_21_DYMUX_4390 : STD_LOGIC; signal write_send_data_mux0044 : STD_LOGIC; signal write_send_data_21_SRINV_4381 : STD_LOGIC; signal write_send_data_21_CLKINVNOT : STD_LOGIC; signal write_send_data_21_CEINV_4379 : STD_LOGIC; signal write_send_data_13_DXMUX_4446 : STD_LOGIC; signal write_send_data_mux0049 : STD_LOGIC; signal write_send_data_13_DYMUX_4432 : STD_LOGIC; signal write_send_data_mux0050 : STD_LOGIC; signal write_send_data_13_SRINV_4423 : STD_LOGIC; signal write_send_data_13_CLKINVNOT : STD_LOGIC; signal write_send_data_13_CEINV_4421 : STD_LOGIC; signal read_send_data_1_DXMUX_4488 : STD_LOGIC; signal read_send_data_1_mux0000 : STD_LOGIC; signal read_send_data_1_DYMUX_4474 : STD_LOGIC; signal read_send_data_0_mux0000 : STD_LOGIC; signal read_send_data_1_SRINV_4466 : STD_LOGIC; signal read_send_data_1_CLKINVNOT : STD_LOGIC; signal read_send_data_1_CEINV_4464 : STD_LOGIC; signal write_send_data_31_DXMUX_4530 : STD_LOGIC; signal write_send_data_mux0033 : STD_LOGIC; signal write_send_data_31_DYMUX_4517 : STD_LOGIC; signal write_send_data_mux0034 : STD_LOGIC; signal write_send_data_31_SRINV_4509 : STD_LOGIC; signal write_send_data_31_CLKINVNOT : STD_LOGIC; signal write_send_data_31_CEINV_4507 : STD_LOGIC; signal write_send_data_23_DXMUX_4572 : STD_LOGIC; signal write_send_data_mux0041 : STD_LOGIC; signal write_send_data_23_DYMUX_4558 : STD_LOGIC; signal write_send_data_mux0042 : STD_LOGIC; signal write_send_data_23_SRINV_4549 : STD_LOGIC; signal write_send_data_23_CLKINVNOT : STD_LOGIC; signal write_send_data_23_CEINV_4547 : STD_LOGIC; signal write_send_data_15_DXMUX_4614 : STD_LOGIC; signal write_send_data_mux0047 : STD_LOGIC; signal write_send_data_15_DYMUX_4600 : STD_LOGIC; signal write_send_data_mux0048 : STD_LOGIC; signal write_send_data_15_SRINV_4591 : STD_LOGIC; signal write_send_data_15_CLKINVNOT : STD_LOGIC; signal write_send_data_15_CEINV_4589 : STD_LOGIC; signal read_send_data_3_DXMUX_4656 : STD_LOGIC; signal read_send_data_3_mux0000 : STD_LOGIC; signal read_send_data_3_DYMUX_4642 : STD_LOGIC; signal read_send_data_2_mux0000 : STD_LOGIC; signal read_send_data_3_SRINV_4633 : STD_LOGIC; signal read_send_data_3_CLKINVNOT : STD_LOGIC; signal read_send_data_3_CEINV_4631 : STD_LOGIC; signal write_send_data_41_DXMUX_4698 : STD_LOGIC; signal write_send_data_mux0023 : STD_LOGIC; signal write_send_data_41_DYMUX_4685 : STD_LOGIC; signal write_send_data_mux0024 : STD_LOGIC; signal write_send_data_41_SRINV_4677 : STD_LOGIC; signal write_send_data_41_CLKINVNOT : STD_LOGIC; signal write_send_data_41_CEINV_4675 : STD_LOGIC; signal write_send_data_33_DXMUX_4740 : STD_LOGIC; signal write_send_data_mux0031 : STD_LOGIC; signal write_send_data_33_DYMUX_4727 : STD_LOGIC; signal write_send_data_mux0032 : STD_LOGIC; signal write_send_data_33_SRINV_4719 : STD_LOGIC; signal write_send_data_33_CLKINVNOT : STD_LOGIC; signal write_send_data_33_CEINV_4717 : STD_LOGIC; signal write_send_data_25_DXMUX_4782 : STD_LOGIC; signal write_send_data_mux0039 : STD_LOGIC; signal write_send_data_25_DYMUX_4768 : STD_LOGIC; signal write_send_data_mux0040 : STD_LOGIC; signal write_send_data_25_SRINV_4759 : STD_LOGIC; signal write_send_data_25_CLKINVNOT : STD_LOGIC; signal write_send_data_25_CEINV_4757 : STD_LOGIC; signal write_send_data_17_DXMUX_4824 : STD_LOGIC; signal write_send_data_index0001 : STD_LOGIC; signal write_send_data_17_DYMUX_4811 : STD_LOGIC; signal write_send_data_index0003 : STD_LOGIC; signal write_send_data_17_SRINV_4803 : STD_LOGIC; signal write_send_data_17_CLKINVNOT : STD_LOGIC; signal write_send_data_17_CEINV_4801 : STD_LOGIC; signal read_send_data_5_DXMUX_4866 : STD_LOGIC; signal read_send_data_5_mux0000 : STD_LOGIC; signal read_send_data_5_DYMUX_4852 : STD_LOGIC; signal read_send_data_4_mux0000 : STD_LOGIC; signal read_send_data_5_SRINV_4843 : STD_LOGIC; signal read_send_data_5_CLKINVNOT : STD_LOGIC; signal read_send_data_5_CEINV_4841 : STD_LOGIC; signal write_send_data_51_DXMUX_4908 : STD_LOGIC; signal write_send_data_mux0013 : STD_LOGIC; signal write_send_data_51_DYMUX_4895 : STD_LOGIC; signal write_send_data_mux0014 : STD_LOGIC; signal write_send_data_51_SRINV_4887 : STD_LOGIC; signal write_send_data_51_CLKINVNOT : STD_LOGIC; signal write_send_data_51_CEINV_4885 : STD_LOGIC; signal write_send_data_43_DXMUX_4950 : STD_LOGIC; signal write_send_data_mux0021 : STD_LOGIC; signal write_send_data_43_DYMUX_4937 : STD_LOGIC; signal write_send_data_mux0022 : STD_LOGIC; signal write_send_data_43_SRINV_4929 : STD_LOGIC; signal write_send_data_43_CLKINVNOT : STD_LOGIC; signal write_send_data_43_CEINV_4927 : STD_LOGIC; signal write_send_data_35_DXMUX_4992 : STD_LOGIC; signal write_send_data_mux0029 : STD_LOGIC; signal write_send_data_35_DYMUX_4979 : STD_LOGIC; signal write_send_data_mux0030 : STD_LOGIC; signal write_send_data_35_SRINV_4971 : STD_LOGIC; signal write_send_data_35_CLKINVNOT : STD_LOGIC; signal write_send_data_35_CEINV_4969 : STD_LOGIC; signal write_send_data_27_DXMUX_5034 : STD_LOGIC; signal write_send_data_mux0037 : STD_LOGIC; signal write_send_data_27_DYMUX_5020 : STD_LOGIC; signal write_send_data_mux0038 : STD_LOGIC; signal write_send_data_27_SRINV_5011 : STD_LOGIC; signal write_send_data_27_CLKINVNOT : STD_LOGIC; signal write_send_data_27_CEINV_5009 : STD_LOGIC; signal write_send_data_19_DXMUX_5076 : STD_LOGIC; signal write_send_data_mux0045 : STD_LOGIC; signal write_send_data_19_DYMUX_5062 : STD_LOGIC; signal write_send_data_mux0046 : STD_LOGIC; signal write_send_data_19_SRINV_5053 : STD_LOGIC; signal write_send_data_19_CLKINVNOT : STD_LOGIC; signal write_send_data_19_CEINV_5051 : STD_LOGIC; signal read_send_data_7_DXMUX_5118 : STD_LOGIC; signal read_send_data_7_mux0000 : STD_LOGIC; signal read_send_data_7_DYMUX_5104 : STD_LOGIC; signal read_send_data_6_mux0000 : STD_LOGIC; signal read_send_data_7_SRINV_5095 : STD_LOGIC; signal read_send_data_7_CLKINVNOT : STD_LOGIC; signal read_send_data_7_CEINV_5093 : STD_LOGIC; signal write_send_data_61_DXMUX_5160 : STD_LOGIC; signal write_send_data_mux0003 : STD_LOGIC; signal write_send_data_61_DYMUX_5147 : STD_LOGIC; signal write_send_data_mux0004 : STD_LOGIC; signal write_send_data_61_SRINV_5139 : STD_LOGIC; signal write_send_data_61_CLKINVNOT : STD_LOGIC; signal write_send_data_61_CEINV_5137 : STD_LOGIC; signal write_send_data_53_DXMUX_5202 : STD_LOGIC; signal write_send_data_mux0011 : STD_LOGIC; signal write_send_data_53_DYMUX_5189 : STD_LOGIC; signal write_send_data_mux0012 : STD_LOGIC; signal write_send_data_53_SRINV_5181 : STD_LOGIC; signal write_send_data_53_CLKINVNOT : STD_LOGIC; signal write_send_data_53_CEINV_5179 : STD_LOGIC; signal write_send_data_45_DXMUX_5244 : STD_LOGIC; signal write_send_data_mux0019 : STD_LOGIC; signal write_send_data_45_DYMUX_5231 : STD_LOGIC; signal write_send_data_mux0020 : STD_LOGIC; signal write_send_data_45_SRINV_5223 : STD_LOGIC; signal write_send_data_45_CLKINVNOT : STD_LOGIC; signal write_send_data_45_CEINV_5221 : STD_LOGIC; signal write_send_data_37_DXMUX_5286 : STD_LOGIC; signal write_send_data_mux0027 : STD_LOGIC; signal write_send_data_37_DYMUX_5273 : STD_LOGIC; signal write_send_data_mux0028 : STD_LOGIC; signal write_send_data_37_SRINV_5265 : STD_LOGIC; signal write_send_data_37_CLKINVNOT : STD_LOGIC; signal write_send_data_37_CEINV_5263 : STD_LOGIC; signal write_send_data_29_DXMUX_5328 : STD_LOGIC; signal write_send_data_mux0035 : STD_LOGIC; signal write_send_data_29_DYMUX_5315 : STD_LOGIC; signal write_send_data_mux0036 : STD_LOGIC; signal write_send_data_29_SRINV_5307 : STD_LOGIC; signal write_send_data_29_CLKINVNOT : STD_LOGIC; signal write_send_data_29_CEINV_5305 : STD_LOGIC; signal read_send_data_9_DXMUX_5370 : STD_LOGIC; signal read_send_data_9_mux0000 : STD_LOGIC; signal read_send_data_9_DYMUX_5356 : STD_LOGIC; signal read_send_data_8_mux0000 : STD_LOGIC; signal read_send_data_9_SRINV_5347 : STD_LOGIC; signal read_send_data_9_CLKINVNOT : STD_LOGIC; signal read_send_data_9_CEINV_5345 : STD_LOGIC; signal write_send_data_63_DXMUX_5412 : STD_LOGIC; signal write_send_data_mux0001 : STD_LOGIC; signal write_send_data_63_DYMUX_5399 : STD_LOGIC; signal write_send_data_mux0002 : STD_LOGIC; signal write_send_data_63_SRINV_5391 : STD_LOGIC; signal write_send_data_63_CLKINVNOT : STD_LOGIC; signal write_send_data_63_CEINV_5389 : STD_LOGIC; signal write_send_data_55_DXMUX_5454 : STD_LOGIC; signal write_send_data_mux0009 : STD_LOGIC; signal write_send_data_55_DYMUX_5441 : STD_LOGIC; signal write_send_data_mux0010 : STD_LOGIC; signal write_send_data_55_SRINV_5433 : STD_LOGIC; signal write_send_data_55_CLKINVNOT : STD_LOGIC; signal write_send_data_55_CEINV_5431 : STD_LOGIC; signal write_send_data_47_DXMUX_5496 : STD_LOGIC; signal write_send_data_mux0017 : STD_LOGIC; signal write_send_data_47_DYMUX_5483 : STD_LOGIC; signal write_send_data_mux0018 : STD_LOGIC; signal write_send_data_47_SRINV_5475 : STD_LOGIC; signal write_send_data_47_CLKINVNOT : STD_LOGIC; signal write_send_data_47_CEINV_5473 : STD_LOGIC; signal write_send_data_39_DXMUX_5538 : STD_LOGIC; signal write_send_data_mux0025 : STD_LOGIC; signal write_send_data_39_DYMUX_5525 : STD_LOGIC; signal write_send_data_mux0026 : STD_LOGIC; signal write_send_data_39_SRINV_5517 : STD_LOGIC; signal write_send_data_39_CLKINVNOT : STD_LOGIC; signal write_send_data_39_CEINV_5515 : STD_LOGIC; signal write_send_data_57_DXMUX_5580 : STD_LOGIC; signal write_send_data_mux0007 : STD_LOGIC; signal write_send_data_57_DYMUX_5567 : STD_LOGIC; signal write_send_data_mux0008 : STD_LOGIC; signal write_send_data_57_SRINV_5559 : STD_LOGIC; signal write_send_data_57_CLKINVNOT : STD_LOGIC; signal write_send_data_57_CEINV_5557 : STD_LOGIC; signal write_send_data_49_DXMUX_5622 : STD_LOGIC; signal write_send_data_mux0015 : STD_LOGIC; signal write_send_data_49_DYMUX_5609 : STD_LOGIC; signal write_send_data_mux0016 : STD_LOGIC; signal write_send_data_49_SRINV_5601 : STD_LOGIC; signal write_send_data_49_CLKINVNOT : STD_LOGIC; signal write_send_data_49_CEINV_5599 : STD_LOGIC; signal data_in_1_IFF_ICLK1INV_3749 : STD_LOGIC; signal data_in_1_IFF_ICEINV_3747 : STD_LOGIC; signal data_in_1_IFF_IDDRIN_MUX_3745 : STD_LOGIC; signal data_in_10_IFF_ICLK1INV_3777 : STD_LOGIC; signal data_in_10_IFF_ICEINV_3775 : STD_LOGIC; signal data_in_10_IFF_IDDRIN_MUX_3773 : STD_LOGIC; signal data_in_14_IFF_ICLK1INV_3973 : STD_LOGIC; signal data_in_14_IFF_ICEINV_3971 : STD_LOGIC; signal data_in_14_IFF_IDDRIN_MUX_3969 : STD_LOGIC; signal bit_counter_6_1_FFY_RSTAND_2168 : STD_LOGIC; signal MDC_OUTPUT_TFF_T1INV_3567 : STD_LOGIC; signal MDC_OUTPUT_TFF_TSR_USED_3570 : STD_LOGIC; signal Mtrien_MDC_3572 : STD_LOGIC; signal MDC_OUTPUT_OTCLK1INV_3563 : STD_LOGIC; signal data_in_0_IFF_ICLK1INV_3721 : STD_LOGIC; signal data_in_0_IFF_ICEINV_3719 : STD_LOGIC; signal data_in_0_IFF_IDDRIN_MUX_3717 : STD_LOGIC; signal data_in_15_IFF_ICLK1INV_4019 : STD_LOGIC; signal data_in_15_IFF_ICEINV_4017 : STD_LOGIC; signal data_in_15_IFF_IDDRIN_MUX_4015 : STD_LOGIC; signal data_in_7_IFF_ICLK1INV_4039 : STD_LOGIC; signal data_in_7_IFF_ICEINV_4037 : STD_LOGIC; signal data_in_7_IFF_IDDRIN_MUX_4035 : STD_LOGIC; signal data_in_8_IFF_ICLK1INV_4065 : STD_LOGIC; signal data_in_8_IFF_ICEINV_4063 : STD_LOGIC; signal data_in_8_IFF_IDDRIN_MUX_4061 : STD_LOGIC; signal data_in_9_IFF_ICLK1INV_4091 : STD_LOGIC; signal data_in_9_IFF_ICEINV_4089 : STD_LOGIC; signal data_in_9_IFF_IDDRIN_MUX_4087 : STD_LOGIC; signal busy_OUTPUT_OFF_ODDRIN1_MUX : STD_LOGIC; signal busy_OUTPUT_OFF_PCICE_MUX_4134 : STD_LOGIC; signal busy_OUTPUT_OFF_OSR_USED_4137 : STD_LOGIC; signal busy_OUTPUT_OFF_OREV_USED_4139 : STD_LOGIC; signal busy_in_1_4141 : STD_LOGIC; signal busy_OUTPUT_OFF_O1INV_4143 : STD_LOGIC; signal busy_OUTPUT_OTCLK1INV_4127 : STD_LOGIC; signal GND : STD_LOGIC; signal VCC : STD_LOGIC; signal bit_counter : STD_LOGIC_VECTOR ( 7 downto 0 ); signal write_send_data : STD_LOGIC_VECTOR ( 63 downto 0 ); signal latched_data : STD_LOGIC_VECTOR ( 15 downto 0 ); signal read_send_data : STD_LOGIC_VECTOR ( 45 downto 0 ); signal read_receive_data : STD_LOGIC_VECTOR ( 15 downto 0 ); signal latched_reg_addr : STD_LOGIC_VECTOR ( 4 downto 0 ); signal latched_phy_addr : STD_LOGIC_VECTOR ( 4 downto 0 ); signal counter : STD_LOGIC_VECTOR ( 7 downto 0 ); signal Mcount_bit_counter_lut : STD_LOGIC_VECTOR ( 0 downto 0 ); signal Mcount_counter_lut : STD_LOGIC_VECTOR ( 0 downto 0 ); begin write_send_data_59_DXMUX : X_BUF generic map( LOC => "SLICE_X19Y47", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0005, O => write_send_data_59_DXMUX_1578 ); write_send_data_59_DYMUX : X_BUF generic map( LOC => "SLICE_X19Y47", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0006, O => write_send_data_59_DYMUX_1565 ); write_send_data_59_SRINV : X_BUF generic map( LOC => "SLICE_X19Y47", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_59_SRINV_1557 ); write_send_data_59_CLKINV : X_INV generic map( LOC => "SLICE_X19Y47", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_59_CLKINVNOT ); write_send_data_59_CEINV : X_BUF generic map( LOC => "SLICE_X19Y47", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_59_CEINV_1555 ); write_send_data_0_XUSED : X_BUF generic map( LOC => "SLICE_X3Y75", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_or0000, O => read_send_data_10_or0000_0 ); write_send_data_0_DYMUX : X_BUF generic map( LOC => "SLICE_X3Y75", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0062, O => write_send_data_0_DYMUX_1607 ); write_send_data_0_SRINV : X_BUF generic map( LOC => "SLICE_X3Y75", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_0_SRINV_1599 ); write_send_data_0_CLKINV : X_INV generic map( LOC => "SLICE_X3Y75", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_0_CLKINVNOT ); write_send_data_0_CEINV : X_BUF generic map( LOC => "SLICE_X3Y75", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_0_CEINV_1597 ); write_send_data_3_DXMUX : X_BUF generic map( LOC => "SLICE_X1Y65", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0059, O => write_send_data_3_DXMUX_1656 ); write_send_data_3_DYMUX : X_BUF generic map( LOC => "SLICE_X1Y65", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0060, O => write_send_data_3_DYMUX_1642 ); write_send_data_3_SRINV : X_BUF generic map( LOC => "SLICE_X1Y65", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_3_SRINV_1633 ); write_send_data_3_CLKINV : X_INV generic map( LOC => "SLICE_X1Y65", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_3_CLKINVNOT ); write_send_data_3_CEINV : X_BUF generic map( LOC => "SLICE_X1Y65", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_3_CEINV_1631 ); write_send_data_5_DXMUX : X_BUF generic map( LOC => "SLICE_X0Y54", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0057, O => write_send_data_5_DXMUX_1698 ); write_send_data_5_DYMUX : X_BUF generic map( LOC => "SLICE_X0Y54", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0058, O => write_send_data_5_DYMUX_1684 ); write_send_data_5_SRINV : X_BUF generic map( LOC => "SLICE_X0Y54", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_5_SRINV_1675 ); write_send_data_5_CLKINV : X_INV generic map( LOC => "SLICE_X0Y54", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_5_CLKINVNOT ); write_send_data_5_CEINV : X_BUF generic map( LOC => "SLICE_X0Y54", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_5_CEINV_1673 ); write_send_data_7_DXMUX : X_BUF generic map( LOC => "SLICE_X0Y51", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0055, O => write_send_data_7_DXMUX_1740 ); write_send_data_7_DYMUX : X_BUF generic map( LOC => "SLICE_X0Y51", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0056, O => write_send_data_7_DYMUX_1726 ); write_send_data_7_SRINV : X_BUF generic map( LOC => "SLICE_X0Y51", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_7_SRINV_1717 ); write_send_data_7_CLKINV : X_INV generic map( LOC => "SLICE_X0Y51", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_7_CLKINVNOT ); write_send_data_7_CEINV : X_BUF generic map( LOC => "SLICE_X0Y51", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_7_CEINV_1715 ); write_send_data_9_DXMUX : X_BUF generic map( LOC => "SLICE_X0Y48", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0053, O => write_send_data_9_DXMUX_1782 ); write_send_data_9_DYMUX : X_BUF generic map( LOC => "SLICE_X0Y48", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0054, O => write_send_data_9_DYMUX_1768 ); write_send_data_9_SRINV : X_BUF generic map( LOC => "SLICE_X0Y48", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_9_SRINV_1759 ); write_send_data_9_CLKINV : X_INV generic map( LOC => "SLICE_X0Y48", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_9_CLKINVNOT ); write_send_data_9_CEINV : X_BUF generic map( LOC => "SLICE_X0Y48", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_9_CEINV_1757 ); Mtridata_MDIO_DYMUX : X_BUF generic map( LOC => "SLICE_X2Y56", PATHPULSE => 638 ps ) port map ( I => Mtridata_MDIO_mux0000, O => Mtridata_MDIO_DYMUX_1805 ); Mtridata_MDIO_CLKINV : X_BUF generic map( LOC => "SLICE_X2Y56", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => Mtridata_MDIO_CLKINV_1797 ); Mtrien_MDIO_XUSED : X_BUF generic map( LOC => "SLICE_X3Y57", PATHPULSE => 638 ps ) port map ( I => read_send_data_0_not0001, O => read_send_data_0_not0001_0 ); Mtrien_MDIO_DYMUX : X_BUF generic map( LOC => "SLICE_X3Y57", PATHPULSE => 638 ps ) port map ( I => Mtrien_MDIO_mux0000_norst_1836, O => Mtrien_MDIO_DYMUX_1839 ); Mtrien_MDIO_SRINV : X_BUF generic map( LOC => "SLICE_X3Y57", PATHPULSE => 638 ps ) port map ( I => Mtridata_MDIO_and0000, O => Mtrien_MDIO_SRINV_1831 ); Mtrien_MDIO_CLKINV : X_BUF generic map( LOC => "SLICE_X3Y57", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => Mtrien_MDIO_CLKINV_1830 ); read_receive_data_7_DXMUX : X_BUF generic map( LOC => "SLICE_X2Y80", PATHPULSE => 638 ps ) port map ( I => read_receive_data(6), O => read_receive_data_7_DXMUX_1869 ); read_receive_data_7_DYMUX : X_BUF generic map( LOC => "SLICE_X2Y80", PATHPULSE => 638 ps ) port map ( I => read_receive_data(5), O => read_receive_data_7_DYMUX_1862 ); read_receive_data_7_SRINV : X_BUF generic map( LOC => "SLICE_X2Y80", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_receive_data_7_SRINV_1860 ); read_receive_data_7_CLKINV : X_BUF generic map( LOC => "SLICE_X2Y80", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_receive_data_7_CLKINV_1859 ); read_receive_data_7_CEINV : X_BUF generic map( LOC => "SLICE_X2Y80", PATHPULSE => 638 ps ) port map ( I => read_receive_data_0_and0000_0, O => read_receive_data_7_CEINV_1858 ); read_receive_data_9 : X_SFF generic map( LOC => "SLICE_X2Y77", INIT => '0' ) port map ( I => read_receive_data_9_DXMUX_1893, CE => read_receive_data_9_CEINV_1882, CLK => read_receive_data_9_CLKINV_1883, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_9_SRINV_1884, O => read_receive_data(9) ); read_receive_data_9_DXMUX : X_BUF generic map( LOC => "SLICE_X2Y77", PATHPULSE => 638 ps ) port map ( I => read_receive_data(8), O => read_receive_data_9_DXMUX_1893 ); read_receive_data_9_DYMUX : X_BUF generic map( LOC => "SLICE_X2Y77", PATHPULSE => 638 ps ) port map ( I => read_receive_data(7), O => read_receive_data_9_DYMUX_1886 ); read_receive_data_9_SRINV : X_BUF generic map( LOC => "SLICE_X2Y77", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_receive_data_9_SRINV_1884 ); read_receive_data_9_CLKINV : X_BUF generic map( LOC => "SLICE_X2Y77", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_receive_data_9_CLKINV_1883 ); read_receive_data_9_CEINV : X_BUF generic map( LOC => "SLICE_X2Y77", PATHPULSE => 638 ps ) port map ( I => read_receive_data_0_and0000_0, O => read_receive_data_9_CEINV_1882 ); latched_reg_addr_0 : X_FF generic map( LOC => "SLICE_X3Y15", INIT => '0' ) port map ( I => latched_reg_addr_1_DYMUX_1908, CE => latched_reg_addr_1_CEINV_1905, CLK => latched_reg_addr_1_CLKINV_1906, SET => GND, RST => GND, O => latched_reg_addr(0) ); latched_reg_addr_1 : X_FF generic map( LOC => "SLICE_X3Y15", INIT => '0' ) port map ( I => latched_reg_addr_1_DXMUX_1914, CE => latched_reg_addr_1_CEINV_1905, CLK => latched_reg_addr_1_CLKINV_1906, SET => GND, RST => GND, O => latched_reg_addr(1) ); latched_reg_addr_1_DXMUX : X_BUF generic map( LOC => "SLICE_X3Y15", PATHPULSE => 638 ps ) port map ( I => reg_addr_1_IBUF_1360, O => latched_reg_addr_1_DXMUX_1914 ); latched_reg_addr_1_DYMUX : X_BUF generic map( LOC => "SLICE_X3Y15", PATHPULSE => 638 ps ) port map ( I => reg_addr_0_IBUF_1361, O => latched_reg_addr_1_DYMUX_1908 ); latched_reg_addr_1_CLKINV : X_BUF generic map( LOC => "SLICE_X3Y15", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => latched_reg_addr_1_CLKINV_1906 ); latched_reg_addr_1_CEINV : X_BUF generic map( LOC => "SLICE_X3Y15", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => latched_reg_addr_1_CEINV_1905 ); latched_reg_addr_2 : X_FF generic map( LOC => "SLICE_X3Y8", INIT => '0' ) port map ( I => latched_reg_addr_3_DYMUX_1928, CE => latched_reg_addr_3_CEINV_1925, CLK => latched_reg_addr_3_CLKINV_1926, SET => GND, RST => GND, O => latched_reg_addr(2) ); latched_reg_addr_3_DXMUX : X_BUF generic map( LOC => "SLICE_X3Y8", PATHPULSE => 638 ps ) port map ( I => reg_addr_3_IBUF_1365, O => latched_reg_addr_3_DXMUX_1934 ); latched_reg_addr_3_DYMUX : X_BUF generic map( LOC => "SLICE_X3Y8", PATHPULSE => 638 ps ) port map ( I => reg_addr_2_IBUF_1366, O => latched_reg_addr_3_DYMUX_1928 ); latched_reg_addr_3_CLKINV : X_BUF generic map( LOC => "SLICE_X3Y8", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => latched_reg_addr_3_CLKINV_1926 ); latched_reg_addr_3_CEINV : X_BUF generic map( LOC => "SLICE_X3Y8", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => latched_reg_addr_3_CEINV_1925 ); latched_reg_addr_4_DYMUX : X_BUF generic map( LOC => "SLICE_X13Y8", PATHPULSE => 638 ps ) port map ( I => reg_addr_4_IBUF_1369, O => latched_reg_addr_4_DYMUX_1946 ); latched_reg_addr_4_CLKINV : X_BUF generic map( LOC => "SLICE_X13Y8", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => latched_reg_addr_4_CLKINV_1944 ); latched_reg_addr_4_CEINV : X_BUF generic map( LOC => "SLICE_X13Y8", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => latched_reg_addr_4_CEINV_1943 ); read_receive_data_0_cmp_gt000011_XUSED : X_BUF generic map( LOC => "SLICE_X14Y81", PATHPULSE => 638 ps ) port map ( I => read_receive_data_0_cmp_gt000011, O => read_receive_data_0_cmp_gt000011_0 ); read_receive_data_0_cmp_gt000011_YUSED : X_BUF generic map( LOC => "SLICE_X14Y81", PATHPULSE => 638 ps ) port map ( I => read_send_data_0_cmp_lt0000112_1965, O => read_send_data_0_cmp_lt0000112_0 ); read_send_data_0_cmp_lt0000112 : X_LUT4 generic map( INIT => X"7FFF", LOC => "SLICE_X14Y81" ) port map ( ADR0 => bit_counter(2), ADR1 => bit_counter(1), ADR2 => bit_counter(3), ADR3 => bit_counter(0), O => read_send_data_0_cmp_lt0000112_1965 ); N6_XUSED : X_BUF generic map( LOC => "SLICE_X3Y65", PATHPULSE => 638 ps ) port map ( I => N6, O => N6_0 ); N6_YUSED : X_BUF generic map( LOC => "SLICE_X3Y65", PATHPULSE => 638 ps ) port map ( I => read_send_data_0_cmp_lt0000134_1989, O => read_send_data_0_cmp_lt0000134_0 ); N10_XUSED : X_BUF generic map( LOC => "SLICE_X13Y78", PATHPULSE => 638 ps ) port map ( I => N10, O => N10_0 ); N10_YUSED : X_BUF generic map( LOC => "SLICE_X13Y78", PATHPULSE => 638 ps ) port map ( I => N8, O => N8_0 ); N20_XUSED : X_BUF generic map( LOC => "SLICE_X21Y78", PATHPULSE => 638 ps ) port map ( I => N20, O => N20_0 ); N20_YUSED : X_BUF generic map( LOC => "SLICE_X21Y78", PATHPULSE => 638 ps ) port map ( I => N4, O => N4_0 ); latched_phy_addr_1_DXMUX : X_BUF generic map( LOC => "SLICE_X12Y15", PATHPULSE => 638 ps ) port map ( I => phy_addr_1_IBUF_1386, O => latched_phy_addr_1_DXMUX_2062 ); latched_phy_addr_1_DYMUX : X_BUF generic map( LOC => "SLICE_X12Y15", PATHPULSE => 638 ps ) port map ( I => phy_addr_0_IBUF_1387, O => latched_phy_addr_1_DYMUX_2056 ); latched_phy_addr_1_CLKINV : X_BUF generic map( LOC => "SLICE_X12Y15", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => latched_phy_addr_1_CLKINV_2054 ); latched_phy_addr_1_CEINV : X_BUF generic map( LOC => "SLICE_X12Y15", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => latched_phy_addr_1_CEINV_2053 ); latched_phy_addr_3_DXMUX : X_BUF generic map( LOC => "SLICE_X13Y16", PATHPULSE => 638 ps ) port map ( I => phy_addr_3_IBUF_1390, O => latched_phy_addr_3_DXMUX_2082 ); latched_phy_addr_3_DYMUX : X_BUF generic map( LOC => "SLICE_X13Y16", PATHPULSE => 638 ps ) port map ( I => phy_addr_2_IBUF_1391, O => latched_phy_addr_3_DYMUX_2076 ); latched_phy_addr_3_CLKINV : X_BUF generic map( LOC => "SLICE_X13Y16", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => latched_phy_addr_3_CLKINV_2074 ); latched_phy_addr_3_CEINV : X_BUF generic map( LOC => "SLICE_X13Y16", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => latched_phy_addr_3_CEINV_2073 ); latched_phy_addr_4_DYMUX : X_BUF generic map( LOC => "SLICE_X16Y14", PATHPULSE => 638 ps ) port map ( I => phy_addr_4_IBUF_1394, O => latched_phy_addr_4_DYMUX_2094 ); latched_phy_addr_4_CLKINV : X_BUF generic map( LOC => "SLICE_X16Y14", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => latched_phy_addr_4_CLKINV_2092 ); latched_phy_addr_4_CEINV : X_BUF generic map( LOC => "SLICE_X16Y14", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => latched_phy_addr_4_CEINV_2091 ); Mtridata_MDC_DYMUX : X_BUF generic map( LOC => "SLICE_X12Y91", PATHPULSE => 638 ps ) port map ( I => clk_div1, O => Mtridata_MDC_DYMUX_2104 ); Mtridata_MDC_CLKINV : X_BUF generic map( LOC => "SLICE_X12Y91", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => Mtridata_MDC_CLKINV_2102 ); read_receive_data_11_DXMUX : X_BUF generic map( LOC => "SLICE_X2Y73", PATHPULSE => 638 ps ) port map ( I => read_receive_data(10), O => read_receive_data_11_DXMUX_2126 ); read_receive_data_11_DYMUX : X_BUF generic map( LOC => "SLICE_X2Y73", PATHPULSE => 638 ps ) port map ( I => read_receive_data(9), O => read_receive_data_11_DYMUX_2119 ); read_receive_data_11_SRINV : X_BUF generic map( LOC => "SLICE_X2Y73", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_receive_data_11_SRINV_2117 ); read_receive_data_11_CLKINV : X_BUF generic map( LOC => "SLICE_X2Y73", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_receive_data_11_CLKINV_2116 ); read_receive_data_11_CEINV : X_BUF generic map( LOC => "SLICE_X2Y73", PATHPULSE => 638 ps ) port map ( I => read_receive_data_0_and0000_0, O => read_receive_data_11_CEINV_2115 ); read_receive_data_13_DXMUX : X_BUF generic map( LOC => "SLICE_X3Y73", PATHPULSE => 638 ps ) port map ( I => read_receive_data(12), O => read_receive_data_13_DXMUX_2150 ); read_receive_data_13_DYMUX : X_BUF generic map( LOC => "SLICE_X3Y73", PATHPULSE => 638 ps ) port map ( I => read_receive_data(11), O => read_receive_data_13_DYMUX_2143 ); read_receive_data_13_SRINV : X_BUF generic map( LOC => "SLICE_X3Y73", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_receive_data_13_SRINV_2141 ); read_receive_data_13_CLKINV : X_BUF generic map( LOC => "SLICE_X3Y73", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_receive_data_13_CLKINV_2140 ); read_receive_data_13_CEINV : X_BUF generic map( LOC => "SLICE_X3Y73", PATHPULSE => 638 ps ) port map ( I => read_receive_data_0_and0000_0, O => read_receive_data_13_CEINV_2139 ); bit_counter_6_1_DYMUX : X_BUF generic map( LOC => "SLICE_X14Y80", PATHPULSE => 638 ps ) port map ( I => bit_counter_6_FXMUX_3304, O => bit_counter_6_1_DYMUX_2163 ); bit_counter_6_1_CLKINV : X_INV generic map( LOC => "SLICE_X14Y80", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => bit_counter_6_1_CLKINVNOT ); read_receive_data_15_DXMUX : X_BUF generic map( LOC => "SLICE_X3Y72", PATHPULSE => 638 ps ) port map ( I => read_receive_data(14), O => read_receive_data_15_DXMUX_2188 ); read_receive_data_15_DYMUX : X_BUF generic map( LOC => "SLICE_X3Y72", PATHPULSE => 638 ps ) port map ( I => read_receive_data(13), O => read_receive_data_15_DYMUX_2181 ); read_receive_data_15_SRINV : X_BUF generic map( LOC => "SLICE_X3Y72", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_receive_data_15_SRINV_2179 ); read_receive_data_15_CLKINV : X_BUF generic map( LOC => "SLICE_X3Y72", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_receive_data_15_CLKINV_2178 ); read_receive_data_15_CEINV : X_BUF generic map( LOC => "SLICE_X3Y72", PATHPULSE => 638 ps ) port map ( I => read_receive_data_0_and0000_0, O => read_receive_data_15_CEINV_2177 ); write_send_data_1_DXMUX : X_BUF generic map( LOC => "SLICE_X13Y72", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0061, O => write_send_data_1_DXMUX_2224 ); write_send_data_1_YUSED : X_BUF generic map( LOC => "SLICE_X13Y72", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv_pack_1, O => read_send_data_11_not0001_inv ); write_send_data_1_SRINV : X_BUF generic map( LOC => "SLICE_X13Y72", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_1_SRINV_2207 ); write_send_data_1_CLKINV : X_INV generic map( LOC => "SLICE_X13Y72", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_1_CLKINVNOT ); write_send_data_1_CEINV : X_BUF generic map( LOC => "SLICE_X13Y72", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_1_CEINV_2205 ); clk_div1_DYMUX : X_INV generic map( LOC => "SLICE_X45Y84", PATHPULSE => 638 ps ) port map ( I => clk_div1, O => clk_div1_DYMUX_2239 ); clk_div1_SRINV : X_BUF generic map( LOC => "SLICE_X45Y84", PATHPULSE => 638 ps ) port map ( I => clk_div_or0000, O => clk_div1_SRINV_2237 ); clk_div1_CLKINV : X_BUF generic map( LOC => "SLICE_X45Y84", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => clk_div1_CLKINV_2236 ); clk_div1_CEINV : X_BUF generic map( LOC => "SLICE_X45Y84", PATHPULSE => 638 ps ) port map ( I => clk_div_not0002, O => clk_div1_CEINV_2235 ); clk_div_or0000_YUSED : X_BUF generic map( LOC => "SLICE_X25Y79", PATHPULSE => 638 ps ) port map ( I => busy_in_cmp_eq0000_pack_1, O => busy_in_cmp_eq0000_1409 ); read_send_data_10_DYMUX : X_BUF generic map( LOC => "SLICE_X3Y67", PATHPULSE => 638 ps ) port map ( I => read_send_data(9), O => read_send_data_10_DYMUX_2278 ); read_send_data_10_SRINV : X_BUF generic map( LOC => "SLICE_X3Y67", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_or0000_0, O => read_send_data_10_SRINV_2276 ); read_send_data_10_CLKINV : X_INV generic map( LOC => "SLICE_X3Y67", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_10_CLKINVNOT ); read_send_data_10_CEINV : X_BUF generic map( LOC => "SLICE_X3Y67", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_10_CEINV_2274 ); read_send_data_11_DXMUX : X_BUF generic map( LOC => "SLICE_X2Y67", PATHPULSE => 638 ps ) port map ( I => read_send_data(10), O => read_send_data_11_DXMUX_2296 ); read_send_data_11_REVUSED : X_BUF generic map( LOC => "SLICE_X2Y67", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_11_REVUSED_2294 ); read_send_data_11_SRINV : X_BUF generic map( LOC => "SLICE_X2Y67", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_11_SRINV_2292 ); read_send_data_11_CLKINV : X_INV generic map( LOC => "SLICE_X2Y67", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_11_CLKINVNOT ); read_send_data_11_CEINV : X_BUF generic map( LOC => "SLICE_X2Y67", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_11_CEINV_2290 ); read_send_data_21_DXMUX : X_BUF generic map( LOC => "SLICE_X24Y77", PATHPULSE => 638 ps ) port map ( I => read_send_data(20), O => read_send_data_21_DXMUX_2334 ); read_send_data_21_REVUSED : X_BUF generic map( LOC => "SLICE_X24Y77", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_21_REVUSED_2326 ); read_send_data_21_DYMUX : X_BUF generic map( LOC => "SLICE_X24Y77", PATHPULSE => 638 ps ) port map ( I => read_send_data_19_rt_2322, O => read_send_data_21_DYMUX_2325 ); read_send_data_21_SRINV : X_BUF generic map( LOC => "SLICE_X24Y77", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_21_SRINV_2313 ); read_send_data_21_CLKINV : X_INV generic map( LOC => "SLICE_X24Y77", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_21_CLKINVNOT ); read_send_data_21_CEINV : X_BUF generic map( LOC => "SLICE_X24Y77", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_21_CEINV_2311 ); read_send_data_12_DXMUX : X_BUF generic map( LOC => "SLICE_X3Y71", PATHPULSE => 638 ps ) port map ( I => read_send_data(11), O => read_send_data_12_DXMUX_2353 ); read_send_data_12_REVUSED : X_BUF generic map( LOC => "SLICE_X3Y71", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_12_REVUSED_2351 ); read_send_data_12_SRINV : X_BUF generic map( LOC => "SLICE_X3Y71", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_12_SRINV_2349 ); read_send_data_12_CLKINV : X_INV generic map( LOC => "SLICE_X3Y71", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_12_CLKINVNOT ); read_send_data_12_CEINV : X_BUF generic map( LOC => "SLICE_X3Y71", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_12_CEINV_2347 ); read_send_data_13_DYMUX : X_BUF generic map( LOC => "SLICE_X5Y88", PATHPULSE => 638 ps ) port map ( I => read_send_data(12), O => read_send_data_13_DYMUX_2369 ); read_send_data_13_SRINV : X_BUF generic map( LOC => "SLICE_X5Y88", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_or0000_0, O => read_send_data_13_SRINV_2367 ); read_send_data_13_CLKINV : X_INV generic map( LOC => "SLICE_X5Y88", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_13_CLKINVNOT ); read_send_data_13_CEINV : X_BUF generic map( LOC => "SLICE_X5Y88", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_13_CEINV_2365 ); read_send_data_31_DXMUX : X_BUF generic map( LOC => "SLICE_X25Y69", PATHPULSE => 638 ps ) port map ( I => read_send_data(30), O => read_send_data_31_DXMUX_2406 ); read_send_data_31_REVUSED : X_BUF generic map( LOC => "SLICE_X25Y69", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_31_REVUSED_2398 ); read_send_data_31_DYMUX : X_BUF generic map( LOC => "SLICE_X25Y69", PATHPULSE => 638 ps ) port map ( I => read_send_data_29_rt_2394, O => read_send_data_31_DYMUX_2397 ); read_send_data_31_SRINV : X_BUF generic map( LOC => "SLICE_X25Y69", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_31_SRINV_2385 ); read_send_data_31_CLKINV : X_INV generic map( LOC => "SLICE_X25Y69", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_31_CLKINVNOT ); read_send_data_31_CEINV : X_BUF generic map( LOC => "SLICE_X25Y69", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_31_CEINV_2383 ); read_send_data_23_DXMUX : X_BUF generic map( LOC => "SLICE_X26Y75", PATHPULSE => 638 ps ) port map ( I => read_send_data(22), O => read_send_data_23_DXMUX_2444 ); read_send_data_23_REVUSED : X_BUF generic map( LOC => "SLICE_X26Y75", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_23_REVUSED_2436 ); read_send_data_23_DYMUX : X_BUF generic map( LOC => "SLICE_X26Y75", PATHPULSE => 638 ps ) port map ( I => read_send_data_21_rt_2432, O => read_send_data_23_DYMUX_2435 ); read_send_data_23_SRINV : X_BUF generic map( LOC => "SLICE_X26Y75", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_23_SRINV_2423 ); read_send_data_23_CLKINV : X_INV generic map( LOC => "SLICE_X26Y75", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_23_CLKINVNOT ); read_send_data_23_CEINV : X_BUF generic map( LOC => "SLICE_X26Y75", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_23_CEINV_2421 ); read_send_data_15_DXMUX : X_BUF generic map( LOC => "SLICE_X14Y86", PATHPULSE => 638 ps ) port map ( I => read_send_data(14), O => read_send_data_15_DXMUX_2482 ); read_send_data_15_REVUSED : X_BUF generic map( LOC => "SLICE_X14Y86", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_15_REVUSED_2474 ); read_send_data_15_DYMUX : X_BUF generic map( LOC => "SLICE_X14Y86", PATHPULSE => 638 ps ) port map ( I => read_send_data_13_rt_2470, O => read_send_data_15_DYMUX_2473 ); read_send_data_15_SRINV : X_BUF generic map( LOC => "SLICE_X14Y86", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_15_SRINV_2461 ); read_send_data_15_CLKINV : X_INV generic map( LOC => "SLICE_X14Y86", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_15_CLKINVNOT ); read_send_data_15_CEINV : X_BUF generic map( LOC => "SLICE_X14Y86", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_15_CEINV_2459 ); latched_write_read_DYMUX : X_BUF generic map( LOC => "SLICE_X2Y51", PATHPULSE => 638 ps ) port map ( I => write_read_INBUF, O => latched_write_read_DYMUX_2496 ); latched_write_read_CLKINV : X_BUF generic map( LOC => "SLICE_X2Y51", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => latched_write_read_CLKINV_2494 ); latched_write_read_CEINV : X_BUF generic map( LOC => "SLICE_X2Y51", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => latched_write_read_CEINV_2493 ); read_send_data_41_DXMUX : X_BUF generic map( LOC => "SLICE_X17Y56", PATHPULSE => 638 ps ) port map ( I => read_send_data(40), O => read_send_data_41_DXMUX_2532 ); read_send_data_41_REVUSED : X_BUF generic map( LOC => "SLICE_X17Y56", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_41_REVUSED_2524 ); read_send_data_41_DYMUX : X_BUF generic map( LOC => "SLICE_X17Y56", PATHPULSE => 638 ps ) port map ( I => read_send_data_39_rt_2520, O => read_send_data_41_DYMUX_2523 ); read_send_data_41_SRINV : X_BUF generic map( LOC => "SLICE_X17Y56", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_41_SRINV_2511 ); read_send_data_41_CLKINV : X_INV generic map( LOC => "SLICE_X17Y56", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_41_CLKINVNOT ); read_send_data_41_CEINV : X_BUF generic map( LOC => "SLICE_X17Y56", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_41_CEINV_2509 ); read_send_data_33_DXMUX : X_BUF generic map( LOC => "SLICE_X24Y67", PATHPULSE => 638 ps ) port map ( I => read_send_data(32), O => read_send_data_33_DXMUX_2570 ); read_send_data_33_REVUSED : X_BUF generic map( LOC => "SLICE_X24Y67", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_33_REVUSED_2562 ); read_send_data_33_DYMUX : X_BUF generic map( LOC => "SLICE_X24Y67", PATHPULSE => 638 ps ) port map ( I => read_send_data_31_rt_2558, O => read_send_data_33_DYMUX_2561 ); read_send_data_33_SRINV : X_BUF generic map( LOC => "SLICE_X24Y67", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_33_SRINV_2549 ); read_send_data_33_CLKINV : X_INV generic map( LOC => "SLICE_X24Y67", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_33_CLKINVNOT ); read_send_data_33_CEINV : X_BUF generic map( LOC => "SLICE_X24Y67", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_33_CEINV_2547 ); read_send_data_25_DXMUX : X_BUF generic map( LOC => "SLICE_X26Y73", PATHPULSE => 638 ps ) port map ( I => read_send_data(24), O => read_send_data_25_DXMUX_2608 ); read_send_data_25_REVUSED : X_BUF generic map( LOC => "SLICE_X26Y73", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_25_REVUSED_2600 ); read_send_data_25_DYMUX : X_BUF generic map( LOC => "SLICE_X26Y73", PATHPULSE => 638 ps ) port map ( I => read_send_data_23_rt_2596, O => read_send_data_25_DYMUX_2599 ); read_send_data_25_SRINV : X_BUF generic map( LOC => "SLICE_X26Y73", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_25_SRINV_2587 ); read_send_data_25_CLKINV : X_INV generic map( LOC => "SLICE_X26Y73", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_25_CLKINVNOT ); read_send_data_25_CEINV : X_BUF generic map( LOC => "SLICE_X26Y73", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_25_CEINV_2585 ); read_send_data_17_DXMUX : X_BUF generic map( LOC => "SLICE_X16Y87", PATHPULSE => 638 ps ) port map ( I => read_send_data(16), O => read_send_data_17_DXMUX_2646 ); read_send_data_17_REVUSED : X_BUF generic map( LOC => "SLICE_X16Y87", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_17_REVUSED_2638 ); read_send_data_17_DYMUX : X_BUF generic map( LOC => "SLICE_X16Y87", PATHPULSE => 638 ps ) port map ( I => read_send_data_15_rt_2634, O => read_send_data_17_DYMUX_2637 ); read_send_data_17_SRINV : X_BUF generic map( LOC => "SLICE_X16Y87", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_17_SRINV_2625 ); read_send_data_17_CLKINV : X_INV generic map( LOC => "SLICE_X16Y87", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_17_CLKINVNOT ); read_send_data_17_CEINV : X_BUF generic map( LOC => "SLICE_X16Y87", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_17_CEINV_2623 ); read_send_data_43_DXMUX : X_BUF generic map( LOC => "SLICE_X16Y53", PATHPULSE => 638 ps ) port map ( I => read_send_data(42), O => read_send_data_43_DXMUX_2684 ); read_send_data_43_REVUSED : X_BUF generic map( LOC => "SLICE_X16Y53", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_43_REVUSED_2676 ); read_send_data_43_DYMUX : X_BUF generic map( LOC => "SLICE_X16Y53", PATHPULSE => 638 ps ) port map ( I => read_send_data_41_rt_2672, O => read_send_data_43_DYMUX_2675 ); read_send_data_43_SRINV : X_BUF generic map( LOC => "SLICE_X16Y53", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_43_SRINV_2663 ); read_send_data_43_CLKINV : X_INV generic map( LOC => "SLICE_X16Y53", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_43_CLKINVNOT ); read_send_data_43_CEINV : X_BUF generic map( LOC => "SLICE_X16Y53", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_43_CEINV_2661 ); read_send_data_35_DXMUX : X_BUF generic map( LOC => "SLICE_X20Y65", PATHPULSE => 638 ps ) port map ( I => read_send_data(34), O => read_send_data_35_DXMUX_2722 ); read_send_data_35_REVUSED : X_BUF generic map( LOC => "SLICE_X20Y65", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_35_REVUSED_2714 ); read_send_data_35_DYMUX : X_BUF generic map( LOC => "SLICE_X20Y65", PATHPULSE => 638 ps ) port map ( I => read_send_data_33_rt_2710, O => read_send_data_35_DYMUX_2713 ); read_send_data_35_SRINV : X_BUF generic map( LOC => "SLICE_X20Y65", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_35_SRINV_2701 ); read_send_data_35_CLKINV : X_INV generic map( LOC => "SLICE_X20Y65", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_35_CLKINVNOT ); read_send_data_35_CEINV : X_BUF generic map( LOC => "SLICE_X20Y65", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_35_CEINV_2699 ); read_send_data_27_DXMUX : X_BUF generic map( LOC => "SLICE_X24Y70", PATHPULSE => 638 ps ) port map ( I => read_send_data(26), O => read_send_data_27_DXMUX_2760 ); read_send_data_27_REVUSED : X_BUF generic map( LOC => "SLICE_X24Y70", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_27_REVUSED_2752 ); read_send_data_27_DYMUX : X_BUF generic map( LOC => "SLICE_X24Y70", PATHPULSE => 638 ps ) port map ( I => read_send_data_25_rt_2748, O => read_send_data_27_DYMUX_2751 ); read_send_data_27_SRINV : X_BUF generic map( LOC => "SLICE_X24Y70", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_27_SRINV_2739 ); read_send_data_27_CLKINV : X_INV generic map( LOC => "SLICE_X24Y70", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_27_CLKINVNOT ); read_send_data_27_CEINV : X_BUF generic map( LOC => "SLICE_X24Y70", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_27_CEINV_2737 ); read_send_data_19_DXMUX : X_BUF generic map( LOC => "SLICE_X19Y81", PATHPULSE => 638 ps ) port map ( I => read_send_data(18), O => read_send_data_19_DXMUX_2798 ); read_send_data_19_REVUSED : X_BUF generic map( LOC => "SLICE_X19Y81", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_19_REVUSED_2790 ); read_send_data_19_DYMUX : X_BUF generic map( LOC => "SLICE_X19Y81", PATHPULSE => 638 ps ) port map ( I => read_send_data_17_rt_2786, O => read_send_data_19_DYMUX_2789 ); read_send_data_19_SRINV : X_BUF generic map( LOC => "SLICE_X19Y81", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_19_SRINV_2777 ); read_send_data_19_CLKINV : X_INV generic map( LOC => "SLICE_X19Y81", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_19_CLKINVNOT ); read_send_data_19_CEINV : X_BUF generic map( LOC => "SLICE_X19Y81", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_19_CEINV_2775 ); read_send_data_45_DXMUX : X_BUF generic map( LOC => "SLICE_X16Y52", PATHPULSE => 638 ps ) port map ( I => read_send_data(44), O => read_send_data_45_DXMUX_2836 ); read_send_data_45_REVUSED : X_BUF generic map( LOC => "SLICE_X16Y52", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_45_REVUSED_2828 ); read_send_data_45_DYMUX : X_BUF generic map( LOC => "SLICE_X16Y52", PATHPULSE => 638 ps ) port map ( I => read_send_data_43_rt_2824, O => read_send_data_45_DYMUX_2827 ); read_send_data_45_SRINV : X_BUF generic map( LOC => "SLICE_X16Y52", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_45_SRINV_2815 ); read_send_data_45_CLKINV : X_INV generic map( LOC => "SLICE_X16Y52", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_45_CLKINVNOT ); read_send_data_45_CEINV : X_BUF generic map( LOC => "SLICE_X16Y52", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_45_CEINV_2813 ); read_send_data_37_DXMUX : X_BUF generic map( LOC => "SLICE_X18Y62", PATHPULSE => 638 ps ) port map ( I => read_send_data(36), O => read_send_data_37_DXMUX_2874 ); read_send_data_37_REVUSED : X_BUF generic map( LOC => "SLICE_X18Y62", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_37_REVUSED_2866 ); read_send_data_37_DYMUX : X_BUF generic map( LOC => "SLICE_X18Y62", PATHPULSE => 638 ps ) port map ( I => read_send_data_35_rt_2862, O => read_send_data_37_DYMUX_2865 ); read_send_data_37_SRINV : X_BUF generic map( LOC => "SLICE_X18Y62", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_37_SRINV_2853 ); read_send_data_37_CLKINV : X_INV generic map( LOC => "SLICE_X18Y62", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_37_CLKINVNOT ); read_send_data_37_CEINV : X_BUF generic map( LOC => "SLICE_X18Y62", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_37_CEINV_2851 ); read_send_data_28 : X_SFF generic map( LOC => "SLICE_X25Y70", INIT => '0' ) port map ( I => read_send_data_29_DYMUX_2903, CE => read_send_data_29_CEINV_2889, CLK => read_send_data_29_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_29_REVUSED_2904, SRST => read_send_data_29_SRINV_2891, O => read_send_data(28) ); read_send_data_29 : X_SFF generic map( LOC => "SLICE_X25Y70", INIT => '0' ) port map ( I => read_send_data_29_DXMUX_2912, CE => read_send_data_29_CEINV_2889, CLK => read_send_data_29_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_29_REVUSED_2904, SRST => read_send_data_29_SRINV_2891, O => read_send_data(29) ); read_send_data_29_DXMUX : X_BUF generic map( LOC => "SLICE_X25Y70", PATHPULSE => 638 ps ) port map ( I => read_send_data(28), O => read_send_data_29_DXMUX_2912 ); read_send_data_29_REVUSED : X_BUF generic map( LOC => "SLICE_X25Y70", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_29_REVUSED_2904 ); read_send_data_29_DYMUX : X_BUF generic map( LOC => "SLICE_X25Y70", PATHPULSE => 638 ps ) port map ( I => read_send_data_27_rt_2900, O => read_send_data_29_DYMUX_2903 ); read_send_data_29_SRINV : X_BUF generic map( LOC => "SLICE_X25Y70", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_29_SRINV_2891 ); read_send_data_29_CLKINV : X_INV generic map( LOC => "SLICE_X25Y70", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_29_CLKINVNOT ); read_send_data_29_CEINV : X_BUF generic map( LOC => "SLICE_X25Y70", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_29_CEINV_2889 ); read_send_data_37_rt : X_LUT4 generic map( INIT => X"FF00", LOC => "SLICE_X17Y59" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => VCC, ADR3 => read_send_data(37), O => read_send_data_37_rt_2938 ); read_send_data_38 : X_SFF generic map( LOC => "SLICE_X17Y59", INIT => '0' ) port map ( I => read_send_data_39_DYMUX_2941, CE => read_send_data_39_CEINV_2927, CLK => read_send_data_39_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_39_REVUSED_2942, SRST => read_send_data_39_SRINV_2929, O => read_send_data(38) ); read_send_data_39 : X_SFF generic map( LOC => "SLICE_X17Y59", INIT => '0' ) port map ( I => read_send_data_39_DXMUX_2950, CE => read_send_data_39_CEINV_2927, CLK => read_send_data_39_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_39_REVUSED_2942, SRST => read_send_data_39_SRINV_2929, O => read_send_data(39) ); read_send_data_39_DXMUX : X_BUF generic map( LOC => "SLICE_X17Y59", PATHPULSE => 638 ps ) port map ( I => read_send_data(38), O => read_send_data_39_DXMUX_2950 ); read_send_data_39_REVUSED : X_BUF generic map( LOC => "SLICE_X17Y59", PATHPULSE => 638 ps ) port map ( I => read_send_data_11_not0001_inv, O => read_send_data_39_REVUSED_2942 ); read_send_data_39_DYMUX : X_BUF generic map( LOC => "SLICE_X17Y59", PATHPULSE => 638 ps ) port map ( I => read_send_data_37_rt_2938, O => read_send_data_39_DYMUX_2941 ); read_send_data_39_SRINV : X_BUF generic map( LOC => "SLICE_X17Y59", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_39_SRINV_2929 ); read_send_data_39_CLKINV : X_INV generic map( LOC => "SLICE_X17Y59", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_39_CLKINVNOT ); read_send_data_39_CEINV : X_BUF generic map( LOC => "SLICE_X17Y59", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_0, O => read_send_data_39_CEINV_2927 ); busy_in : X_SFF generic map( LOC => "SLICE_X46Y82", INIT => '0' ) port map ( I => busy_in_DXMUX_2969, CE => busy_in_CEINV_2963, CLK => busy_in_CLKINV_2964, SET => GND, RST => GND, SSET => busy_in_REVUSED_2967, SRST => busy_in_SRINV_2965, O => busy_in_1448 ); busy_in_DXMUX : X_BUF generic map( LOC => "SLICE_X46Y82", PATHPULSE => 638 ps ) port map ( I => busy_in_BXINV_2968, O => busy_in_DXMUX_2969 ); busy_in_BXINV : X_BUF generic map( LOC => "SLICE_X46Y82", PATHPULSE => 638 ps ) port map ( I => '0', O => busy_in_BXINV_2968 ); busy_in_REVUSED : X_BUF generic map( LOC => "SLICE_X46Y82", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => busy_in_REVUSED_2967 ); busy_in_SRINV : X_BUF generic map( LOC => "SLICE_X46Y82", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => busy_in_SRINV_2965 ); busy_in_CLKINV : X_BUF generic map( LOC => "SLICE_X46Y82", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => busy_in_CLKINV_2964 ); busy_in_CEINV : X_BUF generic map( LOC => "SLICE_X46Y82", PATHPULSE => 638 ps ) port map ( I => busy_in_cmp_eq0000_1409, O => busy_in_CEINV_2963 ); counter_cmp_eq000010 : X_LUT4 generic map( INIT => X"0020", LOC => "SLICE_X48Y82" ) port map ( ADR0 => counter(0), ADR1 => counter(2), ADR2 => counter(3), ADR3 => counter(1), O => counter_cmp_eq000010_2985 ); counter_cmp_eq000010_XUSED : X_BUF generic map( LOC => "SLICE_X48Y82", PATHPULSE => 638 ps ) port map ( I => counter_cmp_eq000010_2985, O => counter_cmp_eq000010_0 ); read_receive_data_0 : X_SFF generic map( LOC => "SLICE_X1Y84", INIT => '0' ) port map ( I => read_receive_data_1_DYMUX_2999, CE => read_receive_data_1_CEINV_2995, CLK => read_receive_data_1_CLKINV_2996, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_1_SRINV_2997, O => read_receive_data(0) ); read_receive_data_1 : X_SFF generic map( LOC => "SLICE_X1Y84", INIT => '0' ) port map ( I => read_receive_data_1_DXMUX_3006, CE => read_receive_data_1_CEINV_2995, CLK => read_receive_data_1_CLKINV_2996, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_1_SRINV_2997, O => read_receive_data(1) ); read_receive_data_1_DXMUX : X_BUF generic map( LOC => "SLICE_X1Y84", PATHPULSE => 638 ps ) port map ( I => read_receive_data(0), O => read_receive_data_1_DXMUX_3006 ); read_receive_data_1_DYMUX : X_BUF generic map( LOC => "SLICE_X1Y84", PATHPULSE => 638 ps ) port map ( I => MDIO_INBUF, O => read_receive_data_1_DYMUX_2999 ); read_receive_data_1_SRINV : X_BUF generic map( LOC => "SLICE_X1Y84", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_receive_data_1_SRINV_2997 ); read_receive_data_1_CLKINV : X_BUF generic map( LOC => "SLICE_X1Y84", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_receive_data_1_CLKINV_2996 ); read_receive_data_1_CEINV : X_BUF generic map( LOC => "SLICE_X1Y84", PATHPULSE => 638 ps ) port map ( I => read_receive_data_0_and0000_0, O => read_receive_data_1_CEINV_2995 ); N18_XUSED : X_BUF generic map( LOC => "SLICE_X12Y80", PATHPULSE => 638 ps ) port map ( I => N18, O => N18_0 ); read_receive_data_3_DXMUX : X_BUF generic map( LOC => "SLICE_X0Y85", PATHPULSE => 638 ps ) port map ( I => read_receive_data(2), O => read_receive_data_3_DXMUX_3042 ); read_receive_data_3_DYMUX : X_BUF generic map( LOC => "SLICE_X0Y85", PATHPULSE => 638 ps ) port map ( I => read_receive_data(1), O => read_receive_data_3_DYMUX_3035 ); read_receive_data_3_SRINV : X_BUF generic map( LOC => "SLICE_X0Y85", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_receive_data_3_SRINV_3033 ); read_receive_data_3_CLKINV : X_BUF generic map( LOC => "SLICE_X0Y85", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_receive_data_3_CLKINV_3032 ); read_receive_data_3_CEINV : X_BUF generic map( LOC => "SLICE_X0Y85", PATHPULSE => 638 ps ) port map ( I => read_receive_data_0_and0000_0, O => read_receive_data_3_CEINV_3031 ); clk_div_not0002_YUSED : X_BUF generic map( LOC => "SLICE_X48Y85", PATHPULSE => 638 ps ) port map ( I => counter_cmp_eq000023_pack_1, O => counter_cmp_eq000023_1460 ); read_receive_data_5_DXMUX : X_BUF generic map( LOC => "SLICE_X3Y83", PATHPULSE => 638 ps ) port map ( I => read_receive_data(4), O => read_receive_data_5_DXMUX_3090 ); read_receive_data_5_DYMUX : X_BUF generic map( LOC => "SLICE_X3Y83", PATHPULSE => 638 ps ) port map ( I => read_receive_data(3), O => read_receive_data_5_DYMUX_3083 ); read_receive_data_5_SRINV : X_BUF generic map( LOC => "SLICE_X3Y83", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_receive_data_5_SRINV_3081 ); read_receive_data_5_CLKINV : X_BUF generic map( LOC => "SLICE_X3Y83", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_receive_data_5_CLKINV_3080 ); read_receive_data_5_CEINV : X_BUF generic map( LOC => "SLICE_X3Y83", PATHPULSE => 638 ps ) port map ( I => read_receive_data_0_and0000_0, O => read_receive_data_5_CEINV_3079 ); bit_counter_0_LOGIC_ZERO : X_ZERO generic map( LOC => "SLICE_X15Y78" ) port map ( O => bit_counter_0_LOGIC_ZERO_3116 ); bit_counter_0_LOGIC_ONE : X_ONE generic map( LOC => "SLICE_X15Y78" ) port map ( O => bit_counter_0_LOGIC_ONE_3140 ); bit_counter_0_DXMUX : X_BUF generic map( LOC => "SLICE_X15Y78", PATHPULSE => 638 ps ) port map ( I => bit_counter_0_XORF_3141, O => bit_counter_0_DXMUX_3143 ); bit_counter_0_XORF : X_XOR2 generic map( LOC => "SLICE_X15Y78" ) port map ( I0 => bit_counter_0_CYINIT_3139, I1 => Mcount_bit_counter_lut(0), O => bit_counter_0_XORF_3141 ); bit_counter_0_CYMUXF : X_MUX2 generic map( LOC => "SLICE_X15Y78" ) port map ( IA => bit_counter_0_LOGIC_ONE_3140, IB => bit_counter_0_CYINIT_3139, SEL => bit_counter_0_CYSELF_3130, O => Mcount_bit_counter_cy_0_Q ); bit_counter_0_CYINIT : X_BUF generic map( LOC => "SLICE_X15Y78", PATHPULSE => 638 ps ) port map ( I => bit_counter_0_BXINV_3128, O => bit_counter_0_CYINIT_3139 ); bit_counter_0_CYSELF : X_BUF generic map( LOC => "SLICE_X15Y78", PATHPULSE => 638 ps ) port map ( I => Mcount_bit_counter_lut(0), O => bit_counter_0_CYSELF_3130 ); bit_counter_0_BXINV : X_BUF generic map( LOC => "SLICE_X15Y78", PATHPULSE => 638 ps ) port map ( I => '0', O => bit_counter_0_BXINV_3128 ); bit_counter_0_DYMUX : X_BUF generic map( LOC => "SLICE_X15Y78", PATHPULSE => 638 ps ) port map ( I => bit_counter_0_XORG_3119, O => bit_counter_0_DYMUX_3121 ); bit_counter_0_XORG : X_XOR2 generic map( LOC => "SLICE_X15Y78" ) port map ( I0 => Mcount_bit_counter_cy_0_Q, I1 => bit_counter_0_G, O => bit_counter_0_XORG_3119 ); bit_counter_0_COUTUSED : X_BUF generic map( LOC => "SLICE_X15Y78", PATHPULSE => 638 ps ) port map ( I => bit_counter_0_CYMUXG_3118, O => Mcount_bit_counter_cy_1_Q ); bit_counter_0_CYMUXG : X_MUX2 generic map( LOC => "SLICE_X15Y78" ) port map ( IA => bit_counter_0_LOGIC_ZERO_3116, IB => Mcount_bit_counter_cy_0_Q, SEL => bit_counter_0_CYSELG_3107, O => bit_counter_0_CYMUXG_3118 ); bit_counter_0_CYSELG : X_BUF generic map( LOC => "SLICE_X15Y78", PATHPULSE => 638 ps ) port map ( I => bit_counter_0_G, O => bit_counter_0_CYSELG_3107 ); bit_counter_0_SRINV : X_BUF generic map( LOC => "SLICE_X15Y78", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => bit_counter_0_SRINV_3105 ); bit_counter_0_CLKINV : X_INV generic map( LOC => "SLICE_X15Y78", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => bit_counter_0_CLKINVNOT ); bit_counter_2_LOGIC_ZERO : X_ZERO generic map( LOC => "SLICE_X15Y79" ) port map ( O => bit_counter_2_LOGIC_ZERO_3170 ); bit_counter_2_DXMUX : X_BUF generic map( LOC => "SLICE_X15Y79", PATHPULSE => 638 ps ) port map ( I => bit_counter_2_XORF_3197, O => bit_counter_2_DXMUX_3199 ); bit_counter_2_XORF : X_XOR2 generic map( LOC => "SLICE_X15Y79" ) port map ( I0 => bit_counter_2_CYINIT_3196, I1 => bit_counter_2_F, O => bit_counter_2_XORF_3197 ); bit_counter_2_CYMUXF : X_MUX2 generic map( LOC => "SLICE_X15Y79" ) port map ( IA => bit_counter_2_LOGIC_ZERO_3170, IB => bit_counter_2_CYINIT_3196, SEL => bit_counter_2_CYSELF_3176, O => Mcount_bit_counter_cy_2_Q ); bit_counter_2_CYMUXF2 : X_MUX2 generic map( LOC => "SLICE_X15Y79" ) port map ( IA => bit_counter_2_LOGIC_ZERO_3170, IB => bit_counter_2_LOGIC_ZERO_3170, SEL => bit_counter_2_CYSELF_3176, O => bit_counter_2_CYMUXF2_3171 ); bit_counter_2_CYINIT : X_BUF generic map( LOC => "SLICE_X15Y79", PATHPULSE => 638 ps ) port map ( I => Mcount_bit_counter_cy_1_Q, O => bit_counter_2_CYINIT_3196 ); bit_counter_2_CYSELF : X_BUF generic map( LOC => "SLICE_X15Y79", PATHPULSE => 638 ps ) port map ( I => bit_counter_2_F, O => bit_counter_2_CYSELF_3176 ); bit_counter_2_DYMUX : X_BUF generic map( LOC => "SLICE_X15Y79", PATHPULSE => 638 ps ) port map ( I => bit_counter_2_XORG_3178, O => bit_counter_2_DYMUX_3180 ); bit_counter_2_XORG : X_XOR2 generic map( LOC => "SLICE_X15Y79" ) port map ( I0 => Mcount_bit_counter_cy_2_Q, I1 => bit_counter_2_G, O => bit_counter_2_XORG_3178 ); bit_counter_2_COUTUSED : X_BUF generic map( LOC => "SLICE_X15Y79", PATHPULSE => 638 ps ) port map ( I => bit_counter_2_CYMUXFAST_3175, O => Mcount_bit_counter_cy_3_Q ); bit_counter_2_FASTCARRY : X_BUF generic map( LOC => "SLICE_X15Y79", PATHPULSE => 638 ps ) port map ( I => Mcount_bit_counter_cy_1_Q, O => bit_counter_2_FASTCARRY_3173 ); bit_counter_2_CYAND : X_AND2 generic map( LOC => "SLICE_X15Y79" ) port map ( I0 => bit_counter_2_CYSELG_3161, I1 => bit_counter_2_CYSELF_3176, O => bit_counter_2_CYAND_3174 ); bit_counter_2_CYMUXFAST : X_MUX2 generic map( LOC => "SLICE_X15Y79" ) port map ( IA => bit_counter_2_CYMUXG2_3172, IB => bit_counter_2_FASTCARRY_3173, SEL => bit_counter_2_CYAND_3174, O => bit_counter_2_CYMUXFAST_3175 ); bit_counter_2_CYMUXG2 : X_MUX2 generic map( LOC => "SLICE_X15Y79" ) port map ( IA => bit_counter_2_LOGIC_ZERO_3170, IB => bit_counter_2_CYMUXF2_3171, SEL => bit_counter_2_CYSELG_3161, O => bit_counter_2_CYMUXG2_3172 ); bit_counter_2_CYSELG : X_BUF generic map( LOC => "SLICE_X15Y79", PATHPULSE => 638 ps ) port map ( I => bit_counter_2_G, O => bit_counter_2_CYSELG_3161 ); bit_counter_2_SRINV : X_BUF generic map( LOC => "SLICE_X15Y79", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => bit_counter_2_SRINV_3159 ); bit_counter_2_CLKINV : X_INV generic map( LOC => "SLICE_X15Y79", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => bit_counter_2_CLKINVNOT ); bit_counter_4_LOGIC_ZERO : X_ZERO generic map( LOC => "SLICE_X15Y80" ) port map ( O => bit_counter_4_LOGIC_ZERO_3226 ); bit_counter_4_DXMUX : X_BUF generic map( LOC => "SLICE_X15Y80", PATHPULSE => 638 ps ) port map ( I => bit_counter_4_XORF_3253, O => bit_counter_4_DXMUX_3255 ); bit_counter_4_XORF : X_XOR2 generic map( LOC => "SLICE_X15Y80" ) port map ( I0 => bit_counter_4_CYINIT_3252, I1 => bit_counter_4_F, O => bit_counter_4_XORF_3253 ); bit_counter_4_CYMUXF : X_MUX2 generic map( LOC => "SLICE_X15Y80" ) port map ( IA => bit_counter_4_LOGIC_ZERO_3226, IB => bit_counter_4_CYINIT_3252, SEL => bit_counter_4_CYSELF_3232, O => Mcount_bit_counter_cy_4_Q ); bit_counter_4_CYMUXF2 : X_MUX2 generic map( LOC => "SLICE_X15Y80" ) port map ( IA => bit_counter_4_LOGIC_ZERO_3226, IB => bit_counter_4_LOGIC_ZERO_3226, SEL => bit_counter_4_CYSELF_3232, O => bit_counter_4_CYMUXF2_3227 ); bit_counter_4_CYINIT : X_BUF generic map( LOC => "SLICE_X15Y80", PATHPULSE => 638 ps ) port map ( I => Mcount_bit_counter_cy_3_Q, O => bit_counter_4_CYINIT_3252 ); bit_counter_4_CYSELF : X_BUF generic map( LOC => "SLICE_X15Y80", PATHPULSE => 638 ps ) port map ( I => bit_counter_4_F, O => bit_counter_4_CYSELF_3232 ); bit_counter_4_DYMUX : X_BUF generic map( LOC => "SLICE_X15Y80", PATHPULSE => 638 ps ) port map ( I => bit_counter_4_XORG_3234, O => bit_counter_4_DYMUX_3236 ); bit_counter_4_XORG : X_XOR2 generic map( LOC => "SLICE_X15Y80" ) port map ( I0 => Mcount_bit_counter_cy_4_Q, I1 => bit_counter_4_G, O => bit_counter_4_XORG_3234 ); bit_counter_4_FASTCARRY : X_BUF generic map( LOC => "SLICE_X15Y80", PATHPULSE => 638 ps ) port map ( I => Mcount_bit_counter_cy_3_Q, O => bit_counter_4_FASTCARRY_3229 ); bit_counter_4_CYAND : X_AND2 generic map( LOC => "SLICE_X15Y80" ) port map ( I0 => bit_counter_4_CYSELG_3217, I1 => bit_counter_4_CYSELF_3232, O => bit_counter_4_CYAND_3230 ); bit_counter_4_CYMUXFAST : X_MUX2 generic map( LOC => "SLICE_X15Y80" ) port map ( IA => bit_counter_4_CYMUXG2_3228, IB => bit_counter_4_FASTCARRY_3229, SEL => bit_counter_4_CYAND_3230, O => bit_counter_4_CYMUXFAST_3231 ); bit_counter_4_CYMUXG2 : X_MUX2 generic map( LOC => "SLICE_X15Y80" ) port map ( IA => bit_counter_4_LOGIC_ZERO_3226, IB => bit_counter_4_CYMUXF2_3227, SEL => bit_counter_4_CYSELG_3217, O => bit_counter_4_CYMUXG2_3228 ); bit_counter_4_CYSELG : X_BUF generic map( LOC => "SLICE_X15Y80", PATHPULSE => 638 ps ) port map ( I => bit_counter_4_G, O => bit_counter_4_CYSELG_3217 ); bit_counter_4_SRINV : X_BUF generic map( LOC => "SLICE_X15Y80", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => bit_counter_4_SRINV_3215 ); bit_counter_4_CLKINV : X_INV generic map( LOC => "SLICE_X15Y80", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => bit_counter_4_CLKINVNOT ); bit_counter_6_LOGIC_ZERO : X_ZERO generic map( LOC => "SLICE_X15Y81" ) port map ( O => bit_counter_6_LOGIC_ZERO_3302 ); bit_counter_6_DXMUX : X_BUF generic map( LOC => "SLICE_X15Y81", PATHPULSE => 638 ps ) port map ( I => bit_counter_6_FXMUX_3304, O => bit_counter_6_DXMUX_3305 ); bit_counter_6_FXMUX : X_BUF generic map( LOC => "SLICE_X15Y81", PATHPULSE => 638 ps ) port map ( I => bit_counter_6_XORF_3303, O => bit_counter_6_FXMUX_3304 ); bit_counter_6_XORF : X_XOR2 generic map( LOC => "SLICE_X15Y81" ) port map ( I0 => bit_counter_6_CYINIT_3301, I1 => bit_counter_6_F, O => bit_counter_6_XORF_3303 ); bit_counter_6_CYMUXF : X_MUX2 generic map( LOC => "SLICE_X15Y81" ) port map ( IA => bit_counter_6_LOGIC_ZERO_3302, IB => bit_counter_6_CYINIT_3301, SEL => bit_counter_6_CYSELF_3292, O => Mcount_bit_counter_cy_6_Q ); bit_counter_6_CYINIT : X_BUF generic map( LOC => "SLICE_X15Y81", PATHPULSE => 638 ps ) port map ( I => bit_counter_4_CYMUXFAST_3231, O => bit_counter_6_CYINIT_3301 ); bit_counter_6_CYSELF : X_BUF generic map( LOC => "SLICE_X15Y81", PATHPULSE => 638 ps ) port map ( I => bit_counter_6_F, O => bit_counter_6_CYSELF_3292 ); bit_counter_6_DYMUX : X_BUF generic map( LOC => "SLICE_X15Y81", PATHPULSE => 638 ps ) port map ( I => bit_counter_6_XORG_3282, O => bit_counter_6_DYMUX_3284 ); bit_counter_6_XORG : X_XOR2 generic map( LOC => "SLICE_X15Y81" ) port map ( I0 => Mcount_bit_counter_cy_6_Q, I1 => bit_counter_7_rt_3279, O => bit_counter_6_XORG_3282 ); bit_counter_6_SRINV : X_BUF generic map( LOC => "SLICE_X15Y81", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => bit_counter_6_SRINV_3271 ); bit_counter_6_CLKINV : X_INV generic map( LOC => "SLICE_X15Y81", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => bit_counter_6_CLKINVNOT ); counter_0_LOGIC_ZERO : X_ZERO generic map( LOC => "SLICE_X49Y82" ) port map ( O => counter_0_LOGIC_ZERO_3334 ); counter_0_LOGIC_ONE : X_ONE generic map( LOC => "SLICE_X49Y82" ) port map ( O => counter_0_LOGIC_ONE_3357 ); counter_0_DXMUX : X_BUF generic map( LOC => "SLICE_X49Y82", PATHPULSE => 638 ps ) port map ( I => counter_0_XORF_3358, O => counter_0_DXMUX_3360 ); counter_0_XORF : X_XOR2 generic map( LOC => "SLICE_X49Y82" ) port map ( I0 => counter_0_CYINIT_3356, I1 => Mcount_counter_lut(0), O => counter_0_XORF_3358 ); counter_0_CYMUXF : X_MUX2 generic map( LOC => "SLICE_X49Y82" ) port map ( IA => counter_0_LOGIC_ONE_3357, IB => counter_0_CYINIT_3356, SEL => counter_0_CYSELF_3347, O => Mcount_counter_cy_0_Q ); counter_0_CYINIT : X_BUF generic map( LOC => "SLICE_X49Y82", PATHPULSE => 638 ps ) port map ( I => counter_0_BXINV_3345, O => counter_0_CYINIT_3356 ); counter_0_CYSELF : X_BUF generic map( LOC => "SLICE_X49Y82", PATHPULSE => 638 ps ) port map ( I => Mcount_counter_lut(0), O => counter_0_CYSELF_3347 ); counter_0_BXINV : X_BUF generic map( LOC => "SLICE_X49Y82", PATHPULSE => 638 ps ) port map ( I => '0', O => counter_0_BXINV_3345 ); counter_0_DYMUX : X_BUF generic map( LOC => "SLICE_X49Y82", PATHPULSE => 638 ps ) port map ( I => counter_0_XORG_3337, O => counter_0_DYMUX_3339 ); counter_0_XORG : X_XOR2 generic map( LOC => "SLICE_X49Y82" ) port map ( I0 => Mcount_counter_cy_0_Q, I1 => counter_0_G, O => counter_0_XORG_3337 ); counter_0_COUTUSED : X_BUF generic map( LOC => "SLICE_X49Y82", PATHPULSE => 638 ps ) port map ( I => counter_0_CYMUXG_3336, O => Mcount_counter_cy_1_Q ); counter_0_CYMUXG : X_MUX2 generic map( LOC => "SLICE_X49Y82" ) port map ( IA => counter_0_LOGIC_ZERO_3334, IB => Mcount_counter_cy_0_Q, SEL => counter_0_CYSELG_3325, O => counter_0_CYMUXG_3336 ); counter_0_CYSELG : X_BUF generic map( LOC => "SLICE_X49Y82", PATHPULSE => 638 ps ) port map ( I => counter_0_G, O => counter_0_CYSELG_3325 ); counter_0_SRINV : X_BUF generic map( LOC => "SLICE_X49Y82", PATHPULSE => 638 ps ) port map ( I => counter_or0000, O => counter_0_SRINV_3323 ); counter_0_CLKINV : X_BUF generic map( LOC => "SLICE_X49Y82", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => counter_0_CLKINV_3322 ); counter_0_CEINV : X_BUF generic map( LOC => "SLICE_X49Y82", PATHPULSE => 638 ps ) port map ( I => busy_in_1448, O => counter_0_CEINV_3321 ); counter_2_LOGIC_ZERO : X_ZERO generic map( LOC => "SLICE_X49Y83" ) port map ( O => counter_2_LOGIC_ZERO_3388 ); counter_2_DXMUX : X_BUF generic map( LOC => "SLICE_X49Y83", PATHPULSE => 638 ps ) port map ( I => counter_2_XORF_3414, O => counter_2_DXMUX_3416 ); counter_2_XORF : X_XOR2 generic map( LOC => "SLICE_X49Y83" ) port map ( I0 => counter_2_CYINIT_3413, I1 => counter_2_F, O => counter_2_XORF_3414 ); counter_2_CYMUXF : X_MUX2 generic map( LOC => "SLICE_X49Y83" ) port map ( IA => counter_2_LOGIC_ZERO_3388, IB => counter_2_CYINIT_3413, SEL => counter_2_CYSELF_3394, O => Mcount_counter_cy_2_Q ); counter_2_CYMUXF2 : X_MUX2 generic map( LOC => "SLICE_X49Y83" ) port map ( IA => counter_2_LOGIC_ZERO_3388, IB => counter_2_LOGIC_ZERO_3388, SEL => counter_2_CYSELF_3394, O => counter_2_CYMUXF2_3389 ); counter_2_CYINIT : X_BUF generic map( LOC => "SLICE_X49Y83", PATHPULSE => 638 ps ) port map ( I => Mcount_counter_cy_1_Q, O => counter_2_CYINIT_3413 ); counter_2_CYSELF : X_BUF generic map( LOC => "SLICE_X49Y83", PATHPULSE => 638 ps ) port map ( I => counter_2_F, O => counter_2_CYSELF_3394 ); counter_2_DYMUX : X_BUF generic map( LOC => "SLICE_X49Y83", PATHPULSE => 638 ps ) port map ( I => counter_2_XORG_3396, O => counter_2_DYMUX_3398 ); counter_2_XORG : X_XOR2 generic map( LOC => "SLICE_X49Y83" ) port map ( I0 => Mcount_counter_cy_2_Q, I1 => counter_2_G, O => counter_2_XORG_3396 ); counter_2_COUTUSED : X_BUF generic map( LOC => "SLICE_X49Y83", PATHPULSE => 638 ps ) port map ( I => counter_2_CYMUXFAST_3393, O => Mcount_counter_cy_3_Q ); counter_2_FASTCARRY : X_BUF generic map( LOC => "SLICE_X49Y83", PATHPULSE => 638 ps ) port map ( I => Mcount_counter_cy_1_Q, O => counter_2_FASTCARRY_3391 ); counter_2_CYAND : X_AND2 generic map( LOC => "SLICE_X49Y83" ) port map ( I0 => counter_2_CYSELG_3379, I1 => counter_2_CYSELF_3394, O => counter_2_CYAND_3392 ); counter_2_CYMUXFAST : X_MUX2 generic map( LOC => "SLICE_X49Y83" ) port map ( IA => counter_2_CYMUXG2_3390, IB => counter_2_FASTCARRY_3391, SEL => counter_2_CYAND_3392, O => counter_2_CYMUXFAST_3393 ); counter_2_CYMUXG2 : X_MUX2 generic map( LOC => "SLICE_X49Y83" ) port map ( IA => counter_2_LOGIC_ZERO_3388, IB => counter_2_CYMUXF2_3389, SEL => counter_2_CYSELG_3379, O => counter_2_CYMUXG2_3390 ); counter_2_CYSELG : X_BUF generic map( LOC => "SLICE_X49Y83", PATHPULSE => 638 ps ) port map ( I => counter_2_G, O => counter_2_CYSELG_3379 ); counter_2_SRINV : X_BUF generic map( LOC => "SLICE_X49Y83", PATHPULSE => 638 ps ) port map ( I => counter_or0000, O => counter_2_SRINV_3377 ); counter_2_CLKINV : X_BUF generic map( LOC => "SLICE_X49Y83", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => counter_2_CLKINV_3376 ); counter_2_CEINV : X_BUF generic map( LOC => "SLICE_X49Y83", PATHPULSE => 638 ps ) port map ( I => busy_in_1448, O => counter_2_CEINV_3375 ); counter_4_LOGIC_ZERO : X_ZERO generic map( LOC => "SLICE_X49Y84" ) port map ( O => counter_4_LOGIC_ZERO_3444 ); counter_4_DXMUX : X_BUF generic map( LOC => "SLICE_X49Y84", PATHPULSE => 638 ps ) port map ( I => counter_4_XORF_3470, O => counter_4_DXMUX_3472 ); counter_4_XORF : X_XOR2 generic map( LOC => "SLICE_X49Y84" ) port map ( I0 => counter_4_CYINIT_3469, I1 => counter_4_F, O => counter_4_XORF_3470 ); counter_4_CYMUXF : X_MUX2 generic map( LOC => "SLICE_X49Y84" ) port map ( IA => counter_4_LOGIC_ZERO_3444, IB => counter_4_CYINIT_3469, SEL => counter_4_CYSELF_3450, O => Mcount_counter_cy_4_Q ); counter_4_CYMUXF2 : X_MUX2 generic map( LOC => "SLICE_X49Y84" ) port map ( IA => counter_4_LOGIC_ZERO_3444, IB => counter_4_LOGIC_ZERO_3444, SEL => counter_4_CYSELF_3450, O => counter_4_CYMUXF2_3445 ); counter_4_CYINIT : X_BUF generic map( LOC => "SLICE_X49Y84", PATHPULSE => 638 ps ) port map ( I => Mcount_counter_cy_3_Q, O => counter_4_CYINIT_3469 ); counter_4_CYSELF : X_BUF generic map( LOC => "SLICE_X49Y84", PATHPULSE => 638 ps ) port map ( I => counter_4_F, O => counter_4_CYSELF_3450 ); counter_4_DYMUX : X_BUF generic map( LOC => "SLICE_X49Y84", PATHPULSE => 638 ps ) port map ( I => counter_4_XORG_3452, O => counter_4_DYMUX_3454 ); counter_4_XORG : X_XOR2 generic map( LOC => "SLICE_X49Y84" ) port map ( I0 => Mcount_counter_cy_4_Q, I1 => counter_4_G, O => counter_4_XORG_3452 ); counter_4_FASTCARRY : X_BUF generic map( LOC => "SLICE_X49Y84", PATHPULSE => 638 ps ) port map ( I => Mcount_counter_cy_3_Q, O => counter_4_FASTCARRY_3447 ); counter_4_CYAND : X_AND2 generic map( LOC => "SLICE_X49Y84" ) port map ( I0 => counter_4_CYSELG_3435, I1 => counter_4_CYSELF_3450, O => counter_4_CYAND_3448 ); counter_4_CYMUXFAST : X_MUX2 generic map( LOC => "SLICE_X49Y84" ) port map ( IA => counter_4_CYMUXG2_3446, IB => counter_4_FASTCARRY_3447, SEL => counter_4_CYAND_3448, O => counter_4_CYMUXFAST_3449 ); counter_4_CYMUXG2 : X_MUX2 generic map( LOC => "SLICE_X49Y84" ) port map ( IA => counter_4_LOGIC_ZERO_3444, IB => counter_4_CYMUXF2_3445, SEL => counter_4_CYSELG_3435, O => counter_4_CYMUXG2_3446 ); counter_4_CYSELG : X_BUF generic map( LOC => "SLICE_X49Y84", PATHPULSE => 638 ps ) port map ( I => counter_4_G, O => counter_4_CYSELG_3435 ); counter_4_SRINV : X_BUF generic map( LOC => "SLICE_X49Y84", PATHPULSE => 638 ps ) port map ( I => counter_or0000, O => counter_4_SRINV_3433 ); counter_4_CLKINV : X_BUF generic map( LOC => "SLICE_X49Y84", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => counter_4_CLKINV_3432 ); counter_4_CEINV : X_BUF generic map( LOC => "SLICE_X49Y84", PATHPULSE => 638 ps ) port map ( I => busy_in_1448, O => counter_4_CEINV_3431 ); counter_6_LOGIC_ZERO : X_ZERO generic map( LOC => "SLICE_X49Y85" ) port map ( O => counter_6_LOGIC_ZERO_3518 ); counter_6_DXMUX : X_BUF generic map( LOC => "SLICE_X49Y85", PATHPULSE => 638 ps ) port map ( I => counter_6_XORF_3519, O => counter_6_DXMUX_3521 ); counter_6_XORF : X_XOR2 generic map( LOC => "SLICE_X49Y85" ) port map ( I0 => counter_6_CYINIT_3517, I1 => counter_6_F, O => counter_6_XORF_3519 ); counter_6_CYMUXF : X_MUX2 generic map( LOC => "SLICE_X49Y85" ) port map ( IA => counter_6_LOGIC_ZERO_3518, IB => counter_6_CYINIT_3517, SEL => counter_6_CYSELF_3508, O => Mcount_counter_cy_6_Q ); counter_6_CYINIT : X_BUF generic map( LOC => "SLICE_X49Y85", PATHPULSE => 638 ps ) port map ( I => counter_4_CYMUXFAST_3449, O => counter_6_CYINIT_3517 ); counter_6_CYSELF : X_BUF generic map( LOC => "SLICE_X49Y85", PATHPULSE => 638 ps ) port map ( I => counter_6_F, O => counter_6_CYSELF_3508 ); counter_6_DYMUX : X_BUF generic map( LOC => "SLICE_X49Y85", PATHPULSE => 638 ps ) port map ( I => counter_6_XORG_3499, O => counter_6_DYMUX_3501 ); counter_6_XORG : X_XOR2 generic map( LOC => "SLICE_X49Y85" ) port map ( I0 => Mcount_counter_cy_6_Q, I1 => counter_7_rt_3496, O => counter_6_XORG_3499 ); counter_6_SRINV : X_BUF generic map( LOC => "SLICE_X49Y85", PATHPULSE => 638 ps ) port map ( I => counter_or0000, O => counter_6_SRINV_3488 ); counter_6_CLKINV : X_BUF generic map( LOC => "SLICE_X49Y85", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => counter_6_CLKINV_3487 ); counter_6_CEINV : X_BUF generic map( LOC => "SLICE_X49Y85", PATHPULSE => 638 ps ) port map ( I => busy_in_1448, O => counter_6_CEINV_3486 ); MDIO_IOBUF_OBUFT : X_OBUFT generic map( LOC => "PAD210" ) port map ( I => MDIO_O, CTL => MDIO_T, O => MDIO ); MDIO_IOBUF_IBUF : X_BUF generic map( LOC => "PAD210", PATHPULSE => 638 ps ) port map ( I => MDIO, O => MDIO_INBUF ); MDC_OBUFT : X_OBUFT generic map( LOC => "PAD12" ) port map ( I => MDC_O, CTL => MDC_T, O => MDC ); strt_IBUF : X_BUF generic map( LOC => "PAD195", PATHPULSE => 638 ps ) port map ( I => strt, O => strt_INBUF ); clk_BUFGP_IBUFG : X_BUF generic map( LOC => "IPAD28", PATHPULSE => 638 ps ) port map ( I => clk, O => clk_INBUF ); reg_addr_0_IBUF : X_BUF generic map( LOC => "IPAD189", PATHPULSE => 638 ps ) port map ( I => reg_addr(0), O => reg_addr_0_INBUF ); reg_addr_1_IBUF : X_BUF generic map( LOC => "PAD188", PATHPULSE => 638 ps ) port map ( I => reg_addr(1), O => reg_addr_1_INBUF ); reg_addr_2_IBUF : X_BUF generic map( LOC => "PAD187", PATHPULSE => 638 ps ) port map ( I => reg_addr(2), O => reg_addr_2_INBUF ); reg_addr_3_IBUF : X_BUF generic map( LOC => "PAD186", PATHPULSE => 638 ps ) port map ( I => reg_addr(3), O => reg_addr_3_INBUF ); reg_addr_4_IBUF : X_BUF generic map( LOC => "PAD185", PATHPULSE => 638 ps ) port map ( I => reg_addr(4), O => reg_addr_4_INBUF ); data_out_10_OBUF : X_OBUF generic map( LOC => "PAD220" ) port map ( I => data_out_10_O, O => data_out(10) ); data_out_11_OBUF : X_OBUF generic map( LOC => "PAD218" ) port map ( I => data_out_11_O, O => data_out(11) ); data_out_12_OBUF : X_OBUF generic map( LOC => "PAD217" ) port map ( I => data_out_12_O, O => data_out(12) ); data_out_13_OBUF : X_OBUF generic map( LOC => "PAD216" ) port map ( I => data_out_13_O, O => data_out(13) ); data_out_0_OBUF : X_OBUF generic map( LOC => "PAD232" ) port map ( I => data_out_0_O, O => data_out(0) ); data_out_1_OBUF : X_OBUF generic map( LOC => "PAD227" ) port map ( I => data_out_1_O, O => data_out(1) ); data_out_14_OBUF : X_OBUF generic map( LOC => "PAD215" ) port map ( I => data_out_14_O, O => data_out(14) ); data_out_2_OBUF : X_OBUF generic map( LOC => "PAD230" ) port map ( I => data_out_2_O, O => data_out(2) ); data_out_15_OBUF : X_OBUF generic map( LOC => "PAD213" ) port map ( I => data_out_15_O, O => data_out(15) ); data_out_3_OBUF : X_OBUF generic map( LOC => "PAD229" ) port map ( I => data_out_3_O, O => data_out(3) ); data_out_4_OBUF : X_OBUF generic map( LOC => "PAD5" ) port map ( I => data_out_4_O, O => data_out(4) ); data_in_0_IBUF : X_BUF generic map( LOC => "IPAD228", PATHPULSE => 638 ps ) port map ( I => data_in(0), O => data_in_0_INBUF ); data_out_5_OBUF : X_OBUF generic map( LOC => "PAD226" ) port map ( I => data_out_5_O, O => data_out(5) ); data_in_1_IBUF : X_BUF generic map( LOC => "IPAD224", PATHPULSE => 638 ps ) port map ( I => data_in(1), O => data_in_1_INBUF ); data_out_6_OBUF : X_OBUF generic map( LOC => "PAD225" ) port map ( I => data_out_6_O, O => data_out(6) ); data_in_10_IBUF : X_BUF generic map( LOC => "PAD203", PATHPULSE => 638 ps ) port map ( I => data_in(10), O => data_in_10_INBUF ); data_in_2_IBUF : X_BUF generic map( LOC => "IPAD219", PATHPULSE => 638 ps ) port map ( I => data_in(2), O => data_in_2_INBUF ); latched_data_2 : X_FF generic map( LOC => "IPAD219", INIT => '0' ) port map ( I => data_in_2_IFF_IDDRIN_MUX_3793, CE => data_in_2_IFF_ICEINV_3795, CLK => data_in_2_IFF_ICLK1INV_3797, SET => GND, RST => GND, O => latched_data(2) ); data_in_2_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "IPAD219", PATHPULSE => 638 ps ) port map ( I => data_in_2_INBUF, O => data_in_2_IFF_IDDRIN_MUX_3793 ); data_in_2_IFF_ICLK1INV : X_BUF generic map( LOC => "IPAD219", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_2_IFF_ICLK1INV_3797 ); data_in_2_IFF_ICEINV : X_BUF generic map( LOC => "IPAD219", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_2_IFF_ICEINV_3795 ); data_out_7_OBUF : X_OBUF generic map( LOC => "PAD223" ) port map ( I => data_out_7_O, O => data_out(7) ); data_in_11_IBUF : X_BUF generic map( LOC => "PAD202", PATHPULSE => 638 ps ) port map ( I => data_in(11), O => data_in_11_INBUF ); latched_data_11 : X_FF generic map( LOC => "PAD202", INIT => '0' ) port map ( I => data_in_11_IFF_IDDRIN_MUX_3821, CE => data_in_11_IFF_ICEINV_3823, CLK => data_in_11_IFF_ICLK1INV_3825, SET => GND, RST => GND, O => latched_data(11) ); data_in_11_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "PAD202", PATHPULSE => 638 ps ) port map ( I => data_in_11_INBUF, O => data_in_11_IFF_IDDRIN_MUX_3821 ); data_in_11_IFF_ICLK1INV : X_BUF generic map( LOC => "PAD202", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_11_IFF_ICLK1INV_3825 ); data_in_11_IFF_ICEINV : X_BUF generic map( LOC => "PAD202", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_11_IFF_ICEINV_3823 ); data_in_3_IBUF : X_BUF generic map( LOC => "IPAD214", PATHPULSE => 638 ps ) port map ( I => data_in(3), O => data_in_3_INBUF ); latched_data_3 : X_FF generic map( LOC => "IPAD214", INIT => '0' ) port map ( I => data_in_3_IFF_IDDRIN_MUX_3841, CE => data_in_3_IFF_ICEINV_3843, CLK => data_in_3_IFF_ICLK1INV_3845, SET => GND, RST => GND, O => latched_data(3) ); data_in_3_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "IPAD214", PATHPULSE => 638 ps ) port map ( I => data_in_3_INBUF, O => data_in_3_IFF_IDDRIN_MUX_3841 ); data_in_3_IFF_ICLK1INV : X_BUF generic map( LOC => "IPAD214", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_3_IFF_ICLK1INV_3845 ); data_in_3_IFF_ICEINV : X_BUF generic map( LOC => "IPAD214", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_3_IFF_ICEINV_3843 ); data_out_8_OBUF : X_OBUF generic map( LOC => "PAD222" ) port map ( I => data_out_8_O, O => data_out(8) ); data_in_12_IBUF : X_BUF generic map( LOC => "PAD201", PATHPULSE => 638 ps ) port map ( I => data_in(12), O => data_in_12_INBUF ); latched_data_12 : X_FF generic map( LOC => "PAD201", INIT => '0' ) port map ( I => data_in_12_IFF_IDDRIN_MUX_3869, CE => data_in_12_IFF_ICEINV_3871, CLK => data_in_12_IFF_ICLK1INV_3873, SET => GND, RST => GND, O => latched_data(12) ); data_in_12_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "PAD201", PATHPULSE => 638 ps ) port map ( I => data_in_12_INBUF, O => data_in_12_IFF_IDDRIN_MUX_3869 ); data_in_12_IFF_ICLK1INV : X_BUF generic map( LOC => "PAD201", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_12_IFF_ICLK1INV_3873 ); data_in_12_IFF_ICEINV : X_BUF generic map( LOC => "PAD201", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_12_IFF_ICEINV_3871 ); data_in_4_IBUF : X_BUF generic map( LOC => "IPAD209", PATHPULSE => 638 ps ) port map ( I => data_in(4), O => data_in_4_INBUF ); latched_data_4 : X_FF generic map( LOC => "IPAD209", INIT => '0' ) port map ( I => data_in_4_IFF_IDDRIN_MUX_3889, CE => data_in_4_IFF_ICEINV_3891, CLK => data_in_4_IFF_ICLK1INV_3893, SET => GND, RST => GND, O => latched_data(4) ); data_in_4_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "IPAD209", PATHPULSE => 638 ps ) port map ( I => data_in_4_INBUF, O => data_in_4_IFF_IDDRIN_MUX_3889 ); data_in_4_IFF_ICLK1INV : X_BUF generic map( LOC => "IPAD209", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_4_IFF_ICLK1INV_3893 ); data_in_4_IFF_ICEINV : X_BUF generic map( LOC => "IPAD209", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_4_IFF_ICEINV_3891 ); data_out_9_OBUF : X_OBUF generic map( LOC => "PAD221" ) port map ( I => data_out_9_O, O => data_out(9) ); data_in_13_IBUF : X_BUF generic map( LOC => "PAD200", PATHPULSE => 638 ps ) port map ( I => data_in(13), O => data_in_13_INBUF ); latched_data_13 : X_FF generic map( LOC => "PAD200", INIT => '0' ) port map ( I => data_in_13_IFF_IDDRIN_MUX_3917, CE => data_in_13_IFF_ICEINV_3919, CLK => data_in_13_IFF_ICLK1INV_3921, SET => GND, RST => GND, O => latched_data(13) ); data_in_13_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "PAD200", PATHPULSE => 638 ps ) port map ( I => data_in_13_INBUF, O => data_in_13_IFF_IDDRIN_MUX_3917 ); data_in_13_IFF_ICLK1INV : X_BUF generic map( LOC => "PAD200", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_13_IFF_ICLK1INV_3921 ); data_in_13_IFF_ICEINV : X_BUF generic map( LOC => "PAD200", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_13_IFF_ICEINV_3919 ); data_in_5_IBUF : X_BUF generic map( LOC => "PAD208", PATHPULSE => 638 ps ) port map ( I => data_in(5), O => data_in_5_INBUF ); latched_data_5 : X_FF generic map( LOC => "PAD208", INIT => '0' ) port map ( I => data_in_5_IFF_IDDRIN_MUX_3937, CE => data_in_5_IFF_ICEINV_3939, CLK => data_in_5_IFF_ICLK1INV_3941, SET => GND, RST => GND, O => latched_data(5) ); data_in_5_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "PAD208", PATHPULSE => 638 ps ) port map ( I => data_in_5_INBUF, O => data_in_5_IFF_IDDRIN_MUX_3937 ); data_in_5_IFF_ICLK1INV : X_BUF generic map( LOC => "PAD208", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_5_IFF_ICLK1INV_3941 ); data_in_5_IFF_ICEINV : X_BUF generic map( LOC => "PAD208", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_5_IFF_ICEINV_3939 ); write_read_IBUF : X_BUF generic map( LOC => "IPAD199", PATHPULSE => 638 ps ) port map ( I => write_read, O => write_read_INBUF ); reset_IBUF : X_BUF generic map( LOC => "PAD196", PATHPULSE => 638 ps ) port map ( I => reset, O => reset_INBUF ); data_in_14_IBUF : X_BUF generic map( LOC => "PAD197", PATHPULSE => 638 ps ) port map ( I => data_in(14), O => data_in_14_INBUF ); data_in_6_IBUF : X_BUF generic map( LOC => "PAD207", PATHPULSE => 638 ps ) port map ( I => data_in(6), O => data_in_6_INBUF ); latched_data_6 : X_FF generic map( LOC => "PAD207", INIT => '0' ) port map ( I => data_in_6_IFF_IDDRIN_MUX_3989, CE => data_in_6_IFF_ICEINV_3991, CLK => data_in_6_IFF_ICLK1INV_3993, SET => GND, RST => GND, O => latched_data(6) ); data_in_6_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "PAD207", PATHPULSE => 638 ps ) port map ( I => data_in_6_INBUF, O => data_in_6_IFF_IDDRIN_MUX_3989 ); data_in_6_IFF_ICLK1INV : X_BUF generic map( LOC => "PAD207", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_6_IFF_ICLK1INV_3993 ); data_in_6_IFF_ICEINV : X_BUF generic map( LOC => "PAD207", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_6_IFF_ICEINV_3991 ); phy_addr_0_IBUF : X_BUF generic map( LOC => "IPAD194", PATHPULSE => 638 ps ) port map ( I => phy_addr(0), O => phy_addr_0_INBUF ); data_in_15_IBUF : X_BUF generic map( LOC => "PAD198", PATHPULSE => 638 ps ) port map ( I => data_in(15), O => data_in_15_INBUF ); data_in_7_IBUF : X_BUF generic map( LOC => "PAD206", PATHPULSE => 638 ps ) port map ( I => data_in(7), O => data_in_7_INBUF ); phy_addr_1_IBUF : X_BUF generic map( LOC => "PAD193", PATHPULSE => 638 ps ) port map ( I => phy_addr(1), O => phy_addr_1_INBUF ); data_in_8_IBUF : X_BUF generic map( LOC => "PAD205", PATHPULSE => 638 ps ) port map ( I => data_in(8), O => data_in_8_INBUF ); phy_addr_2_IBUF : X_BUF generic map( LOC => "PAD192", PATHPULSE => 638 ps ) port map ( I => phy_addr(2), O => phy_addr_2_INBUF ); data_in_9_IBUF : X_BUF generic map( LOC => "IPAD204", PATHPULSE => 638 ps ) port map ( I => data_in(9), O => data_in_9_INBUF ); phy_addr_3_IBUF : X_BUF generic map( LOC => "PAD191", PATHPULSE => 638 ps ) port map ( I => phy_addr(3), O => phy_addr_3_INBUF ); phy_addr_4_IBUF : X_BUF generic map( LOC => "PAD190", PATHPULSE => 638 ps ) port map ( I => phy_addr(4), O => phy_addr_4_INBUF ); busy_OBUF : X_OBUF generic map( LOC => "PAD41" ) port map ( I => busy_O, O => busy ); clk_div_BUFG : X_BUFGMUX generic map( LOC => "BUFGMUX_X1Y10" ) port map ( I0 => clk_div_BUFG_I0_INV, I1 => GND, S => clk_div_BUFG_S_INVNOT, O => clk_div_1313 ); clk_div_BUFG_SINV : X_INV generic map( LOC => "BUFGMUX_X1Y10", PATHPULSE => 638 ps ) port map ( I => '1', O => clk_div_BUFG_S_INVNOT ); clk_div_BUFG_I0_USED : X_BUF generic map( LOC => "BUFGMUX_X1Y10", PATHPULSE => 638 ps ) port map ( I => clk_div1, O => clk_div_BUFG_I0_INV ); clk_BUFGP_BUFG : X_BUFGMUX generic map( LOC => "BUFGMUX_X2Y10" ) port map ( I0 => clk_BUFGP_BUFG_I0_INV, I1 => GND, S => clk_BUFGP_BUFG_S_INVNOT, O => clk_BUFGP ); clk_BUFGP_BUFG_SINV : X_INV generic map( LOC => "BUFGMUX_X2Y10", PATHPULSE => 638 ps ) port map ( I => '1', O => clk_BUFGP_BUFG_S_INVNOT ); clk_BUFGP_BUFG_I0_USED : X_BUF generic map( LOC => "BUFGMUX_X2Y10", PATHPULSE => 638 ps ) port map ( I => clk_INBUF, O => clk_BUFGP_BUFG_I0_INV ); counter_or0000_XUSED : X_BUF generic map( LOC => "SLICE_X48Y83", PATHPULSE => 638 ps ) port map ( I => counter_or0000_F5MUX_4178, O => counter_or0000 ); counter_or0000_F5MUX : X_MUX2 generic map( LOC => "SLICE_X48Y83" ) port map ( IA => counter_or00001, IB => counter_or0000_F, SEL => counter_or0000_BXINV_4167, O => counter_or0000_F5MUX_4178 ); counter_or0000_BXINV : X_BUF generic map( LOC => "SLICE_X48Y83", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => counter_or0000_BXINV_4167 ); Mtridata_MDIO_and0000135_XUSED : X_BUF generic map( LOC => "SLICE_X15Y65", PATHPULSE => 638 ps ) port map ( I => Mtridata_MDIO_and0000135_F5MUX_4203, O => Mtridata_MDIO_and0000135 ); Mtridata_MDIO_and0000135_F5MUX : X_MUX2 generic map( LOC => "SLICE_X15Y65" ) port map ( IA => N22, IB => N23, SEL => Mtridata_MDIO_and0000135_BXINV_4195, O => Mtridata_MDIO_and0000135_F5MUX_4203 ); Mtridata_MDIO_and0000135_BXINV : X_BUF generic map( LOC => "SLICE_X15Y65", PATHPULSE => 638 ps ) port map ( I => bit_counter(5), O => Mtridata_MDIO_and0000135_BXINV_4195 ); Mtridata_MDIO_and000028_XUSED : X_BUF generic map( LOC => "SLICE_X20Y78", PATHPULSE => 638 ps ) port map ( I => Mtridata_MDIO_and000028_F5MUX_4228, O => Mtridata_MDIO_and000028 ); Mtridata_MDIO_and000028_F5MUX : X_MUX2 generic map( LOC => "SLICE_X20Y78" ) port map ( IA => Mtridata_MDIO_and0000282_4219, IB => Mtridata_MDIO_and0000281_4226, SEL => Mtridata_MDIO_and000028_BXINV_4221, O => Mtridata_MDIO_and000028_F5MUX_4228 ); Mtridata_MDIO_and000028_BXINV : X_BUF generic map( LOC => "SLICE_X20Y78", PATHPULSE => 638 ps ) port map ( I => bit_counter(4), O => Mtridata_MDIO_and000028_BXINV_4221 ); read_send_data_10_and0000_XUSED : X_BUF generic map( LOC => "SLICE_X2Y64", PATHPULSE => 638 ps ) port map ( I => read_send_data_10_and0000_4251, O => read_send_data_10_and0000_0 ); read_send_data_10_and0000_YUSED : X_BUF generic map( LOC => "SLICE_X2Y64", PATHPULSE => 638 ps ) port map ( I => read_send_data_0_cmp_lt0000_pack_1, O => read_send_data_0_cmp_lt0000 ); read_receive_data_0_and0000_XUSED : X_BUF generic map( LOC => "SLICE_X3Y82", PATHPULSE => 638 ps ) port map ( I => read_receive_data_0_and0000_4275, O => read_receive_data_0_and0000_0 ); read_receive_data_0_and0000_YUSED : X_BUF generic map( LOC => "SLICE_X3Y82", PATHPULSE => 638 ps ) port map ( I => read_receive_data_0_and0000_SW2_O_pack_1, O => read_receive_data_0_and0000_SW2_O ); write_send_data_and0000_XUSED : X_BUF generic map( LOC => "SLICE_X14Y79", PATHPULSE => 638 ps ) port map ( I => write_send_data_and0000_4299, O => write_send_data_and0000_0 ); write_send_data_and0000_YUSED : X_BUF generic map( LOC => "SLICE_X14Y79", PATHPULSE => 638 ps ) port map ( I => read_receive_data_0_cmp_gt00001_pack_1, O => read_receive_data_0_cmp_gt00001_1316 ); write_send_data_not0001_XUSED : X_BUF generic map( LOC => "SLICE_X12Y78", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001, O => write_send_data_not0001_0 ); write_send_data_not0001_YUSED : X_BUF generic map( LOC => "SLICE_X12Y78", PATHPULSE => 638 ps ) port map ( I => N3_pack_1, O => N3 ); write_send_data_11_DXMUX : X_BUF generic map( LOC => "SLICE_X0Y45", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0051, O => write_send_data_11_DXMUX_4362 ); write_send_data_11_DYMUX : X_BUF generic map( LOC => "SLICE_X0Y45", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0052, O => write_send_data_11_DYMUX_4348 ); write_send_data_11_SRINV : X_BUF generic map( LOC => "SLICE_X0Y45", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_11_SRINV_4339 ); write_send_data_11_CLKINV : X_INV generic map( LOC => "SLICE_X0Y45", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_11_CLKINVNOT ); write_send_data_11_CEINV : X_BUF generic map( LOC => "SLICE_X0Y45", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_11_CEINV_4337 ); write_send_data_21_DXMUX : X_BUF generic map( LOC => "SLICE_X7Y3", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0043, O => write_send_data_21_DXMUX_4404 ); write_send_data_21_DYMUX : X_BUF generic map( LOC => "SLICE_X7Y3", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0044, O => write_send_data_21_DYMUX_4390 ); write_send_data_21_SRINV : X_BUF generic map( LOC => "SLICE_X7Y3", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_21_SRINV_4381 ); write_send_data_21_CLKINV : X_INV generic map( LOC => "SLICE_X7Y3", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_21_CLKINVNOT ); write_send_data_21_CEINV : X_BUF generic map( LOC => "SLICE_X7Y3", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_21_CEINV_4379 ); write_send_data_13_DXMUX : X_BUF generic map( LOC => "SLICE_X0Y40", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0049, O => write_send_data_13_DXMUX_4446 ); write_send_data_13_DYMUX : X_BUF generic map( LOC => "SLICE_X0Y40", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0050, O => write_send_data_13_DYMUX_4432 ); write_send_data_13_SRINV : X_BUF generic map( LOC => "SLICE_X0Y40", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_13_SRINV_4423 ); write_send_data_13_CLKINV : X_INV generic map( LOC => "SLICE_X0Y40", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_13_CLKINVNOT ); write_send_data_13_CEINV : X_BUF generic map( LOC => "SLICE_X0Y40", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_13_CEINV_4421 ); read_send_data_1_DXMUX : X_BUF generic map( LOC => "SLICE_X0Y30", PATHPULSE => 638 ps ) port map ( I => read_send_data_1_mux0000, O => read_send_data_1_DXMUX_4488 ); read_send_data_1_DYMUX : X_BUF generic map( LOC => "SLICE_X0Y30", PATHPULSE => 638 ps ) port map ( I => read_send_data_0_mux0000, O => read_send_data_1_DYMUX_4474 ); read_send_data_1_SRINV : X_BUF generic map( LOC => "SLICE_X0Y30", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_1_SRINV_4466 ); read_send_data_1_CLKINV : X_INV generic map( LOC => "SLICE_X0Y30", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_1_CLKINVNOT ); read_send_data_1_CEINV : X_BUF generic map( LOC => "SLICE_X0Y30", PATHPULSE => 638 ps ) port map ( I => read_send_data_0_not0001_0, O => read_send_data_1_CEINV_4464 ); write_send_data_31_DXMUX : X_BUF generic map( LOC => "SLICE_X22Y31", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0033, O => write_send_data_31_DXMUX_4530 ); write_send_data_31_DYMUX : X_BUF generic map( LOC => "SLICE_X22Y31", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0034, O => write_send_data_31_DYMUX_4517 ); write_send_data_31_SRINV : X_BUF generic map( LOC => "SLICE_X22Y31", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_31_SRINV_4509 ); write_send_data_31_CLKINV : X_INV generic map( LOC => "SLICE_X22Y31", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_31_CLKINVNOT ); write_send_data_31_CEINV : X_BUF generic map( LOC => "SLICE_X22Y31", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_31_CEINV_4507 ); write_send_data_23_DXMUX : X_BUF generic map( LOC => "SLICE_X12Y9", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0041, O => write_send_data_23_DXMUX_4572 ); write_send_data_23_DYMUX : X_BUF generic map( LOC => "SLICE_X12Y9", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0042, O => write_send_data_23_DYMUX_4558 ); write_send_data_23_SRINV : X_BUF generic map( LOC => "SLICE_X12Y9", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_23_SRINV_4549 ); write_send_data_23_CLKINV : X_INV generic map( LOC => "SLICE_X12Y9", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_23_CLKINVNOT ); write_send_data_23_CEINV : X_BUF generic map( LOC => "SLICE_X12Y9", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_23_CEINV_4547 ); write_send_data_15_DXMUX : X_BUF generic map( LOC => "SLICE_X1Y37", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0047, O => write_send_data_15_DXMUX_4614 ); write_send_data_15_DYMUX : X_BUF generic map( LOC => "SLICE_X1Y37", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0048, O => write_send_data_15_DYMUX_4600 ); write_send_data_15_SRINV : X_BUF generic map( LOC => "SLICE_X1Y37", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_15_SRINV_4591 ); write_send_data_15_CLKINV : X_INV generic map( LOC => "SLICE_X1Y37", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_15_CLKINVNOT ); write_send_data_15_CEINV : X_BUF generic map( LOC => "SLICE_X1Y37", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_15_CEINV_4589 ); read_send_data_3_DXMUX : X_BUF generic map( LOC => "SLICE_X0Y27", PATHPULSE => 638 ps ) port map ( I => read_send_data_3_mux0000, O => read_send_data_3_DXMUX_4656 ); read_send_data_3_DYMUX : X_BUF generic map( LOC => "SLICE_X0Y27", PATHPULSE => 638 ps ) port map ( I => read_send_data_2_mux0000, O => read_send_data_3_DYMUX_4642 ); read_send_data_3_SRINV : X_BUF generic map( LOC => "SLICE_X0Y27", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_3_SRINV_4633 ); read_send_data_3_CLKINV : X_INV generic map( LOC => "SLICE_X0Y27", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_3_CLKINVNOT ); read_send_data_3_CEINV : X_BUF generic map( LOC => "SLICE_X0Y27", PATHPULSE => 638 ps ) port map ( I => read_send_data_0_not0001_0, O => read_send_data_3_CEINV_4631 ); write_send_data_41_DXMUX : X_BUF generic map( LOC => "SLICE_X22Y48", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0023, O => write_send_data_41_DXMUX_4698 ); write_send_data_41_DYMUX : X_BUF generic map( LOC => "SLICE_X22Y48", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0024, O => write_send_data_41_DYMUX_4685 ); write_send_data_41_SRINV : X_BUF generic map( LOC => "SLICE_X22Y48", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_41_SRINV_4677 ); write_send_data_41_CLKINV : X_INV generic map( LOC => "SLICE_X22Y48", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_41_CLKINVNOT ); write_send_data_41_CEINV : X_BUF generic map( LOC => "SLICE_X22Y48", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_41_CEINV_4675 ); write_send_data_33_DXMUX : X_BUF generic map( LOC => "SLICE_X22Y35", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0031, O => write_send_data_33_DXMUX_4740 ); write_send_data_33_DYMUX : X_BUF generic map( LOC => "SLICE_X22Y35", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0032, O => write_send_data_33_DYMUX_4727 ); write_send_data_33_SRINV : X_BUF generic map( LOC => "SLICE_X22Y35", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_33_SRINV_4719 ); write_send_data_33_CLKINV : X_INV generic map( LOC => "SLICE_X22Y35", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_33_CLKINVNOT ); write_send_data_33_CEINV : X_BUF generic map( LOC => "SLICE_X22Y35", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_33_CEINV_4717 ); write_send_data_25_DXMUX : X_BUF generic map( LOC => "SLICE_X15Y15", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0039, O => write_send_data_25_DXMUX_4782 ); write_send_data_25_DYMUX : X_BUF generic map( LOC => "SLICE_X15Y15", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0040, O => write_send_data_25_DYMUX_4768 ); write_send_data_25_SRINV : X_BUF generic map( LOC => "SLICE_X15Y15", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_25_SRINV_4759 ); write_send_data_25_CLKINV : X_INV generic map( LOC => "SLICE_X15Y15", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_25_CLKINVNOT ); write_send_data_25_CEINV : X_BUF generic map( LOC => "SLICE_X15Y15", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_25_CEINV_4757 ); write_send_data_17_DXMUX : X_BUF generic map( LOC => "SLICE_X12Y31", PATHPULSE => 638 ps ) port map ( I => write_send_data_index0001, O => write_send_data_17_DXMUX_4824 ); write_send_data_17_DYMUX : X_BUF generic map( LOC => "SLICE_X12Y31", PATHPULSE => 638 ps ) port map ( I => write_send_data_index0003, O => write_send_data_17_DYMUX_4811 ); write_send_data_17_SRINV : X_BUF generic map( LOC => "SLICE_X12Y31", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_17_SRINV_4803 ); write_send_data_17_CLKINV : X_INV generic map( LOC => "SLICE_X12Y31", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_17_CLKINVNOT ); write_send_data_17_CEINV : X_BUF generic map( LOC => "SLICE_X12Y31", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_17_CEINV_4801 ); read_send_data_5_DXMUX : X_BUF generic map( LOC => "SLICE_X1Y29", PATHPULSE => 638 ps ) port map ( I => read_send_data_5_mux0000, O => read_send_data_5_DXMUX_4866 ); read_send_data_5_DYMUX : X_BUF generic map( LOC => "SLICE_X1Y29", PATHPULSE => 638 ps ) port map ( I => read_send_data_4_mux0000, O => read_send_data_5_DYMUX_4852 ); read_send_data_5_SRINV : X_BUF generic map( LOC => "SLICE_X1Y29", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_5_SRINV_4843 ); read_send_data_5_CLKINV : X_INV generic map( LOC => "SLICE_X1Y29", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_5_CLKINVNOT ); read_send_data_5_CEINV : X_BUF generic map( LOC => "SLICE_X1Y29", PATHPULSE => 638 ps ) port map ( I => read_send_data_0_not0001_0, O => read_send_data_5_CEINV_4841 ); write_send_data_51_DXMUX : X_BUF generic map( LOC => "SLICE_X21Y54", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0013, O => write_send_data_51_DXMUX_4908 ); write_send_data_51_DYMUX : X_BUF generic map( LOC => "SLICE_X21Y54", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0014, O => write_send_data_51_DYMUX_4895 ); write_send_data_51_SRINV : X_BUF generic map( LOC => "SLICE_X21Y54", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_51_SRINV_4887 ); write_send_data_51_CLKINV : X_INV generic map( LOC => "SLICE_X21Y54", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_51_CLKINVNOT ); write_send_data_51_CEINV : X_BUF generic map( LOC => "SLICE_X21Y54", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_51_CEINV_4885 ); write_send_data_43_DXMUX : X_BUF generic map( LOC => "SLICE_X22Y49", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0021, O => write_send_data_43_DXMUX_4950 ); write_send_data_43_DYMUX : X_BUF generic map( LOC => "SLICE_X22Y49", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0022, O => write_send_data_43_DYMUX_4937 ); write_send_data_43_SRINV : X_BUF generic map( LOC => "SLICE_X22Y49", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_43_SRINV_4929 ); write_send_data_43_CLKINV : X_INV generic map( LOC => "SLICE_X22Y49", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_43_CLKINVNOT ); write_send_data_43_CEINV : X_BUF generic map( LOC => "SLICE_X22Y49", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_43_CEINV_4927 ); write_send_data_35_DXMUX : X_BUF generic map( LOC => "SLICE_X21Y41", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0029, O => write_send_data_35_DXMUX_4992 ); write_send_data_35_DYMUX : X_BUF generic map( LOC => "SLICE_X21Y41", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0030, O => write_send_data_35_DYMUX_4979 ); write_send_data_35_SRINV : X_BUF generic map( LOC => "SLICE_X21Y41", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_35_SRINV_4971 ); write_send_data_35_CLKINV : X_INV generic map( LOC => "SLICE_X21Y41", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_35_CLKINVNOT ); write_send_data_35_CEINV : X_BUF generic map( LOC => "SLICE_X21Y41", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_35_CEINV_4969 ); write_send_data_27_DXMUX : X_BUF generic map( LOC => "SLICE_X19Y15", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0037, O => write_send_data_27_DXMUX_5034 ); write_send_data_27_DYMUX : X_BUF generic map( LOC => "SLICE_X19Y15", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0038, O => write_send_data_27_DYMUX_5020 ); write_send_data_27_SRINV : X_BUF generic map( LOC => "SLICE_X19Y15", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_27_SRINV_5011 ); write_send_data_27_CLKINV : X_INV generic map( LOC => "SLICE_X19Y15", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_27_CLKINVNOT ); write_send_data_27_CEINV : X_BUF generic map( LOC => "SLICE_X19Y15", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_27_CEINV_5009 ); write_send_data_mux00461 : X_LUT4 generic map( INIT => X"ACAC", LOC => "SLICE_X2Y14" ) port map ( ADR0 => latched_reg_addr(0), ADR1 => write_send_data(17), ADR2 => read_send_data_11_not0001_inv, ADR3 => VCC, O => write_send_data_mux0046 ); write_send_data_mux00451 : X_LUT4 generic map( INIT => X"CFC0", LOC => "SLICE_X2Y14" ) port map ( ADR0 => VCC, ADR1 => latched_reg_addr(1), ADR2 => read_send_data_11_not0001_inv, ADR3 => write_send_data(18), O => write_send_data_mux0045 ); write_send_data_19_DXMUX : X_BUF generic map( LOC => "SLICE_X2Y14", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0045, O => write_send_data_19_DXMUX_5076 ); write_send_data_19_DYMUX : X_BUF generic map( LOC => "SLICE_X2Y14", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0046, O => write_send_data_19_DYMUX_5062 ); write_send_data_19_SRINV : X_BUF generic map( LOC => "SLICE_X2Y14", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_19_SRINV_5053 ); write_send_data_19_CLKINV : X_INV generic map( LOC => "SLICE_X2Y14", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_19_CLKINVNOT ); write_send_data_19_CEINV : X_BUF generic map( LOC => "SLICE_X2Y14", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_19_CEINV_5051 ); read_send_data_7_mux00001 : X_LUT4 generic map( INIT => X"F0CC", LOC => "SLICE_X1Y28" ) port map ( ADR0 => VCC, ADR1 => read_send_data(6), ADR2 => phy_addr_2_IBUF_1391, ADR3 => read_send_data_11_not0001_inv, O => read_send_data_7_mux0000 ); read_send_data_6_mux00001 : X_LUT4 generic map( INIT => X"E2E2", LOC => "SLICE_X1Y28" ) port map ( ADR0 => read_send_data(5), ADR1 => read_send_data_11_not0001_inv, ADR2 => phy_addr_1_IBUF_1386, ADR3 => VCC, O => read_send_data_6_mux0000 ); read_send_data_7_DXMUX : X_BUF generic map( LOC => "SLICE_X1Y28", PATHPULSE => 638 ps ) port map ( I => read_send_data_7_mux0000, O => read_send_data_7_DXMUX_5118 ); read_send_data_7_DYMUX : X_BUF generic map( LOC => "SLICE_X1Y28", PATHPULSE => 638 ps ) port map ( I => read_send_data_6_mux0000, O => read_send_data_7_DYMUX_5104 ); read_send_data_7_SRINV : X_BUF generic map( LOC => "SLICE_X1Y28", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_7_SRINV_5095 ); read_send_data_7_CLKINV : X_INV generic map( LOC => "SLICE_X1Y28", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_7_CLKINVNOT ); read_send_data_7_CEINV : X_BUF generic map( LOC => "SLICE_X1Y28", PATHPULSE => 638 ps ) port map ( I => read_send_data_0_not0001_0, O => read_send_data_7_CEINV_5093 ); write_send_data_61_DXMUX : X_BUF generic map( LOC => "SLICE_X17Y43", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0003, O => write_send_data_61_DXMUX_5160 ); write_send_data_61_DYMUX : X_BUF generic map( LOC => "SLICE_X17Y43", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0004, O => write_send_data_61_DYMUX_5147 ); write_send_data_61_SRINV : X_BUF generic map( LOC => "SLICE_X17Y43", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_61_SRINV_5139 ); write_send_data_61_CLKINV : X_INV generic map( LOC => "SLICE_X17Y43", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_61_CLKINVNOT ); write_send_data_61_CEINV : X_BUF generic map( LOC => "SLICE_X17Y43", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_61_CEINV_5137 ); write_send_data_53_DXMUX : X_BUF generic map( LOC => "SLICE_X22Y55", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0011, O => write_send_data_53_DXMUX_5202 ); write_send_data_53_DYMUX : X_BUF generic map( LOC => "SLICE_X22Y55", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0012, O => write_send_data_53_DYMUX_5189 ); write_send_data_53_SRINV : X_BUF generic map( LOC => "SLICE_X22Y55", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_53_SRINV_5181 ); write_send_data_53_CLKINV : X_INV generic map( LOC => "SLICE_X22Y55", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_53_CLKINVNOT ); write_send_data_53_CEINV : X_BUF generic map( LOC => "SLICE_X22Y55", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_53_CEINV_5179 ); write_send_data_mux00201 : X_LUT4 generic map( INIT => X"F0F2", LOC => "SLICE_X21Y53" ) port map ( ADR0 => N3, ADR1 => bit_counter(6), ADR2 => write_send_data(43), ADR3 => read_receive_data_0_cmp_gt00001_1316, O => write_send_data_mux0020 ); write_send_data_44 : X_SFF generic map( LOC => "SLICE_X21Y53", INIT => '0' ) port map ( I => write_send_data_45_DYMUX_5231, CE => write_send_data_45_CEINV_5221, CLK => write_send_data_45_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_45_SRINV_5223, O => write_send_data(44) ); write_send_data_mux00191 : X_LUT4 generic map( INIT => X"FF02", LOC => "SLICE_X21Y53" ) port map ( ADR0 => N3, ADR1 => bit_counter(6), ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => write_send_data(44), O => write_send_data_mux0019 ); write_send_data_45 : X_SFF generic map( LOC => "SLICE_X21Y53", INIT => '0' ) port map ( I => write_send_data_45_DXMUX_5244, CE => write_send_data_45_CEINV_5221, CLK => write_send_data_45_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_45_SRINV_5223, O => write_send_data(45) ); write_send_data_45_DXMUX : X_BUF generic map( LOC => "SLICE_X21Y53", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0019, O => write_send_data_45_DXMUX_5244 ); write_send_data_45_DYMUX : X_BUF generic map( LOC => "SLICE_X21Y53", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0020, O => write_send_data_45_DYMUX_5231 ); write_send_data_45_SRINV : X_BUF generic map( LOC => "SLICE_X21Y53", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_45_SRINV_5223 ); write_send_data_45_CLKINV : X_INV generic map( LOC => "SLICE_X21Y53", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_45_CLKINVNOT ); write_send_data_45_CEINV : X_BUF generic map( LOC => "SLICE_X21Y53", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_45_CEINV_5221 ); write_send_data_mux00281 : X_LUT4 generic map( INIT => X"AAAE", LOC => "SLICE_X20Y47" ) port map ( ADR0 => write_send_data(35), ADR1 => N3, ADR2 => bit_counter(6), ADR3 => read_receive_data_0_cmp_gt00001_1316, O => write_send_data_mux0028 ); write_send_data_36 : X_SFF generic map( LOC => "SLICE_X20Y47", INIT => '0' ) port map ( I => write_send_data_37_DYMUX_5273, CE => write_send_data_37_CEINV_5263, CLK => write_send_data_37_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_37_SRINV_5265, O => write_send_data(36) ); write_send_data_mux00271 : X_LUT4 generic map( INIT => X"FF02", LOC => "SLICE_X20Y47" ) port map ( ADR0 => N3, ADR1 => read_receive_data_0_cmp_gt00001_1316, ADR2 => bit_counter(6), ADR3 => write_send_data(36), O => write_send_data_mux0027 ); write_send_data_37 : X_SFF generic map( LOC => "SLICE_X20Y47", INIT => '0' ) port map ( I => write_send_data_37_DXMUX_5286, CE => write_send_data_37_CEINV_5263, CLK => write_send_data_37_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_37_SRINV_5265, O => write_send_data(37) ); write_send_data_37_DXMUX : X_BUF generic map( LOC => "SLICE_X20Y47", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0027, O => write_send_data_37_DXMUX_5286 ); write_send_data_37_DYMUX : X_BUF generic map( LOC => "SLICE_X20Y47", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0028, O => write_send_data_37_DYMUX_5273 ); write_send_data_37_SRINV : X_BUF generic map( LOC => "SLICE_X20Y47", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_37_SRINV_5265 ); write_send_data_37_CLKINV : X_INV generic map( LOC => "SLICE_X20Y47", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_37_CLKINVNOT ); write_send_data_37_CEINV : X_BUF generic map( LOC => "SLICE_X20Y47", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_37_CEINV_5263 ); write_send_data_mux00361 : X_LUT4 generic map( INIT => X"F1F0", LOC => "SLICE_X22Y30" ) port map ( ADR0 => read_receive_data_0_cmp_gt00001_1316, ADR1 => bit_counter(6), ADR2 => write_send_data(27), ADR3 => N3, O => write_send_data_mux0036 ); write_send_data_28 : X_SFF generic map( LOC => "SLICE_X22Y30", INIT => '0' ) port map ( I => write_send_data_29_DYMUX_5315, CE => write_send_data_29_CEINV_5305, CLK => write_send_data_29_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_29_SRINV_5307, O => write_send_data(28) ); write_send_data_mux00351 : X_LUT4 generic map( INIT => X"FB00", LOC => "SLICE_X22Y30" ) port map ( ADR0 => bit_counter(6), ADR1 => N3, ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => write_send_data(28), O => write_send_data_mux0035 ); write_send_data_29 : X_SFF generic map( LOC => "SLICE_X22Y30", INIT => '0' ) port map ( I => write_send_data_29_DXMUX_5328, CE => write_send_data_29_CEINV_5305, CLK => write_send_data_29_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_29_SRINV_5307, O => write_send_data(29) ); write_send_data_29_DXMUX : X_BUF generic map( LOC => "SLICE_X22Y30", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0035, O => write_send_data_29_DXMUX_5328 ); write_send_data_29_DYMUX : X_BUF generic map( LOC => "SLICE_X22Y30", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0036, O => write_send_data_29_DYMUX_5315 ); write_send_data_29_SRINV : X_BUF generic map( LOC => "SLICE_X22Y30", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_29_SRINV_5307 ); write_send_data_29_CLKINV : X_INV generic map( LOC => "SLICE_X22Y30", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_29_CLKINVNOT ); write_send_data_29_CEINV : X_BUF generic map( LOC => "SLICE_X22Y30", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_29_CEINV_5305 ); read_send_data_8_mux00001 : X_LUT4 generic map( INIT => X"CCAA", LOC => "SLICE_X2Y24" ) port map ( ADR0 => read_send_data(7), ADR1 => phy_addr_3_IBUF_1390, ADR2 => VCC, ADR3 => read_send_data_11_not0001_inv, O => read_send_data_8_mux0000 ); read_send_data_8 : X_SFF generic map( LOC => "SLICE_X2Y24", INIT => '0' ) port map ( I => read_send_data_9_DYMUX_5356, CE => read_send_data_9_CEINV_5345, CLK => read_send_data_9_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => read_send_data_9_SRINV_5347, O => read_send_data(8) ); read_send_data_9_mux00001 : X_LUT4 generic map( INIT => X"F3C0", LOC => "SLICE_X2Y24" ) port map ( ADR0 => VCC, ADR1 => read_send_data_11_not0001_inv, ADR2 => phy_addr_4_IBUF_1394, ADR3 => read_send_data(8), O => read_send_data_9_mux0000 ); read_send_data_9_DXMUX : X_BUF generic map( LOC => "SLICE_X2Y24", PATHPULSE => 638 ps ) port map ( I => read_send_data_9_mux0000, O => read_send_data_9_DXMUX_5370 ); read_send_data_9_DYMUX : X_BUF generic map( LOC => "SLICE_X2Y24", PATHPULSE => 638 ps ) port map ( I => read_send_data_8_mux0000, O => read_send_data_9_DYMUX_5356 ); read_send_data_9_SRINV : X_BUF generic map( LOC => "SLICE_X2Y24", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => read_send_data_9_SRINV_5347 ); read_send_data_9_CLKINV : X_INV generic map( LOC => "SLICE_X2Y24", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => read_send_data_9_CLKINVNOT ); read_send_data_9_CEINV : X_BUF generic map( LOC => "SLICE_X2Y24", PATHPULSE => 638 ps ) port map ( I => read_send_data_0_not0001_0, O => read_send_data_9_CEINV_5345 ); write_send_data_mux00011 : X_LUT4 generic map( INIT => X"AAAE", LOC => "SLICE_X15Y42" ) port map ( ADR0 => write_send_data(62), ADR1 => N3, ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => bit_counter(6), O => write_send_data_mux0001 ); write_send_data_mux00021 : X_LUT4 generic map( INIT => X"CCCE", LOC => "SLICE_X15Y42" ) port map ( ADR0 => N3, ADR1 => write_send_data(61), ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => bit_counter(6), O => write_send_data_mux0002 ); write_send_data_63_DXMUX : X_BUF generic map( LOC => "SLICE_X15Y42", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0001, O => write_send_data_63_DXMUX_5412 ); write_send_data_63_DYMUX : X_BUF generic map( LOC => "SLICE_X15Y42", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0002, O => write_send_data_63_DYMUX_5399 ); write_send_data_63_SRINV : X_BUF generic map( LOC => "SLICE_X15Y42", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_63_SRINV_5391 ); write_send_data_63_CLKINV : X_INV generic map( LOC => "SLICE_X15Y42", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_63_CLKINVNOT ); write_send_data_63_CEINV : X_BUF generic map( LOC => "SLICE_X15Y42", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_63_CEINV_5389 ); write_send_data_55_DXMUX : X_BUF generic map( LOC => "SLICE_X20Y54", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0009, O => write_send_data_55_DXMUX_5454 ); write_send_data_55_DYMUX : X_BUF generic map( LOC => "SLICE_X20Y54", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0010, O => write_send_data_55_DYMUX_5441 ); write_send_data_55_SRINV : X_BUF generic map( LOC => "SLICE_X20Y54", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_55_SRINV_5433 ); write_send_data_55_CLKINV : X_INV generic map( LOC => "SLICE_X20Y54", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_55_CLKINVNOT ); write_send_data_55_CEINV : X_BUF generic map( LOC => "SLICE_X20Y54", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_55_CEINV_5431 ); write_send_data_47_DXMUX : X_BUF generic map( LOC => "SLICE_X21Y55", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0017, O => write_send_data_47_DXMUX_5496 ); write_send_data_47_DYMUX : X_BUF generic map( LOC => "SLICE_X21Y55", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0018, O => write_send_data_47_DYMUX_5483 ); write_send_data_47_SRINV : X_BUF generic map( LOC => "SLICE_X21Y55", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_47_SRINV_5475 ); write_send_data_47_CLKINV : X_INV generic map( LOC => "SLICE_X21Y55", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_47_CLKINVNOT ); write_send_data_47_CEINV : X_BUF generic map( LOC => "SLICE_X21Y55", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_47_CEINV_5473 ); write_send_data_39_DXMUX : X_BUF generic map( LOC => "SLICE_X20Y49", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0025, O => write_send_data_39_DXMUX_5538 ); write_send_data_39_DYMUX : X_BUF generic map( LOC => "SLICE_X20Y49", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0026, O => write_send_data_39_DYMUX_5525 ); write_send_data_39_SRINV : X_BUF generic map( LOC => "SLICE_X20Y49", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_39_SRINV_5517 ); write_send_data_39_CLKINV : X_INV generic map( LOC => "SLICE_X20Y49", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_39_CLKINVNOT ); write_send_data_39_CEINV : X_BUF generic map( LOC => "SLICE_X20Y49", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_39_CEINV_5515 ); write_send_data_57_DXMUX : X_BUF generic map( LOC => "SLICE_X19Y52", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0007, O => write_send_data_57_DXMUX_5580 ); write_send_data_57_DYMUX : X_BUF generic map( LOC => "SLICE_X19Y52", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0008, O => write_send_data_57_DYMUX_5567 ); write_send_data_57_SRINV : X_BUF generic map( LOC => "SLICE_X19Y52", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_57_SRINV_5559 ); write_send_data_57_CLKINV : X_INV generic map( LOC => "SLICE_X19Y52", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_57_CLKINVNOT ); write_send_data_57_CEINV : X_BUF generic map( LOC => "SLICE_X19Y52", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_57_CEINV_5557 ); write_send_data_49_DXMUX : X_BUF generic map( LOC => "SLICE_X20Y55", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0015, O => write_send_data_49_DXMUX_5622 ); write_send_data_49_DYMUX : X_BUF generic map( LOC => "SLICE_X20Y55", PATHPULSE => 638 ps ) port map ( I => write_send_data_mux0016, O => write_send_data_49_DYMUX_5609 ); write_send_data_49_SRINV : X_BUF generic map( LOC => "SLICE_X20Y55", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => write_send_data_49_SRINV_5601 ); write_send_data_49_CLKINV : X_INV generic map( LOC => "SLICE_X20Y55", PATHPULSE => 638 ps ) port map ( I => clk_div_1313, O => write_send_data_49_CLKINVNOT ); write_send_data_49_CEINV : X_BUF generic map( LOC => "SLICE_X20Y55", PATHPULSE => 638 ps ) port map ( I => write_send_data_not0001_0, O => write_send_data_49_CEINV_5599 ); read_receive_data_8 : X_SFF generic map( LOC => "SLICE_X2Y77", INIT => '0' ) port map ( I => read_receive_data_9_DYMUX_1886, CE => read_receive_data_9_CEINV_1882, CLK => read_receive_data_9_CLKINV_1883, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_9_SRINV_1884, O => read_receive_data(8) ); read_send_data_27_rt : X_LUT4 generic map( INIT => X"FF00", LOC => "SLICE_X25Y70" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => VCC, ADR3 => read_send_data(27), O => read_send_data_27_rt_2900 ); latched_data_1 : X_FF generic map( LOC => "IPAD224", INIT => '0' ) port map ( I => data_in_1_IFF_IDDRIN_MUX_3745, CE => data_in_1_IFF_ICEINV_3747, CLK => data_in_1_IFF_ICLK1INV_3749, SET => GND, RST => GND, O => latched_data(1) ); data_in_1_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "IPAD224", PATHPULSE => 638 ps ) port map ( I => data_in_1_INBUF, O => data_in_1_IFF_IDDRIN_MUX_3745 ); data_in_1_IFF_ICLK1INV : X_BUF generic map( LOC => "IPAD224", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_1_IFF_ICLK1INV_3749 ); data_in_1_IFF_ICEINV : X_BUF generic map( LOC => "IPAD224", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_1_IFF_ICEINV_3747 ); latched_data_10 : X_FF generic map( LOC => "PAD203", INIT => '0' ) port map ( I => data_in_10_IFF_IDDRIN_MUX_3773, CE => data_in_10_IFF_ICEINV_3775, CLK => data_in_10_IFF_ICLK1INV_3777, SET => GND, RST => GND, O => latched_data(10) ); data_in_10_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "PAD203", PATHPULSE => 638 ps ) port map ( I => data_in_10_INBUF, O => data_in_10_IFF_IDDRIN_MUX_3773 ); data_in_10_IFF_ICLK1INV : X_BUF generic map( LOC => "PAD203", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_10_IFF_ICLK1INV_3777 ); data_in_10_IFF_ICEINV : X_BUF generic map( LOC => "PAD203", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_10_IFF_ICEINV_3775 ); latched_data_14 : X_FF generic map( LOC => "PAD197", INIT => '0' ) port map ( I => data_in_14_IFF_IDDRIN_MUX_3969, CE => data_in_14_IFF_ICEINV_3971, CLK => data_in_14_IFF_ICLK1INV_3973, SET => GND, RST => GND, O => latched_data(14) ); data_in_14_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "PAD197", PATHPULSE => 638 ps ) port map ( I => data_in_14_INBUF, O => data_in_14_IFF_IDDRIN_MUX_3969 ); data_in_14_IFF_ICLK1INV : X_BUF generic map( LOC => "PAD197", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_14_IFF_ICLK1INV_3973 ); data_in_14_IFF_ICEINV : X_BUF generic map( LOC => "PAD197", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_14_IFF_ICEINV_3971 ); write_send_data_53 : X_SFF generic map( LOC => "SLICE_X22Y55", INIT => '0' ) port map ( I => write_send_data_53_DXMUX_5202, CE => write_send_data_53_CEINV_5179, CLK => write_send_data_53_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_53_SRINV_5181, O => write_send_data(53) ); write_send_data_mux00121 : X_LUT4 generic map( INIT => X"CCCE", LOC => "SLICE_X22Y55" ) port map ( ADR0 => N3, ADR1 => write_send_data(51), ADR2 => bit_counter(6), ADR3 => read_receive_data_0_cmp_gt00001_1316, O => write_send_data_mux0012 ); write_send_data_52 : X_SFF generic map( LOC => "SLICE_X22Y55", INIT => '0' ) port map ( I => write_send_data_53_DYMUX_5189, CE => write_send_data_53_CEINV_5179, CLK => write_send_data_53_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_53_SRINV_5181, O => write_send_data(52) ); write_send_data_mux00111 : X_LUT4 generic map( INIT => X"FF04", LOC => "SLICE_X22Y55" ) port map ( ADR0 => read_receive_data_0_cmp_gt00001_1316, ADR1 => N3, ADR2 => bit_counter(6), ADR3 => write_send_data(52), O => write_send_data_mux0011 ); write_send_data_61 : X_SFF generic map( LOC => "SLICE_X17Y43", INIT => '0' ) port map ( I => write_send_data_61_DXMUX_5160, CE => write_send_data_61_CEINV_5137, CLK => write_send_data_61_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_61_SRINV_5139, O => write_send_data(61) ); write_send_data_mux00041 : X_LUT4 generic map( INIT => X"FF04", LOC => "SLICE_X17Y43" ) port map ( ADR0 => bit_counter(6), ADR1 => N3, ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => write_send_data(59), O => write_send_data_mux0004 ); write_send_data_60 : X_SFF generic map( LOC => "SLICE_X17Y43", INIT => '0' ) port map ( I => write_send_data_61_DYMUX_5147, CE => write_send_data_61_CEINV_5137, CLK => write_send_data_61_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_61_SRINV_5139, O => write_send_data(60) ); write_send_data_mux00031 : X_LUT4 generic map( INIT => X"FF04", LOC => "SLICE_X17Y43" ) port map ( ADR0 => bit_counter(6), ADR1 => N3, ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => write_send_data(60), O => write_send_data_mux0003 ); read_send_data_7 : X_SFF generic map( LOC => "SLICE_X1Y28", INIT => '0' ) port map ( I => read_send_data_7_DXMUX_5118, CE => read_send_data_7_CEINV_5093, CLK => read_send_data_7_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => read_send_data_7_SRINV_5095, O => read_send_data(7) ); read_send_data_6 : X_SFF generic map( LOC => "SLICE_X1Y28", INIT => '0' ) port map ( I => read_send_data_7_DYMUX_5104, CE => read_send_data_7_CEINV_5093, CLK => read_send_data_7_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => read_send_data_7_SRINV_5095, O => read_send_data(6) ); write_send_data_19 : X_SFF generic map( LOC => "SLICE_X2Y14", INIT => '0' ) port map ( I => write_send_data_19_DXMUX_5076, CE => write_send_data_19_CEINV_5051, CLK => write_send_data_19_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_19_SRINV_5053, O => write_send_data(19) ); write_send_data_18 : X_SFF generic map( LOC => "SLICE_X2Y14", INIT => '0' ) port map ( I => write_send_data_19_DYMUX_5062, CE => write_send_data_19_CEINV_5051, CLK => write_send_data_19_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_19_SRINV_5053, O => write_send_data(18) ); write_send_data_mux00101 : X_LUT4 generic map( INIT => X"CDCC", LOC => "SLICE_X20Y54" ) port map ( ADR0 => read_receive_data_0_cmp_gt00001_1316, ADR1 => write_send_data(53), ADR2 => bit_counter(6), ADR3 => N3, O => write_send_data_mux0010 ); write_send_data_63 : X_SFF generic map( LOC => "SLICE_X15Y42", INIT => '0' ) port map ( I => write_send_data_63_DXMUX_5412, CE => write_send_data_63_CEINV_5389, CLK => write_send_data_63_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_63_SRINV_5391, O => write_send_data(63) ); write_send_data_62 : X_SFF generic map( LOC => "SLICE_X15Y42", INIT => '0' ) port map ( I => write_send_data_63_DYMUX_5399, CE => write_send_data_63_CEINV_5389, CLK => write_send_data_63_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_63_SRINV_5391, O => write_send_data(62) ); read_send_data_9 : X_SFF generic map( LOC => "SLICE_X2Y24", INIT => '0' ) port map ( I => read_send_data_9_DXMUX_5370, CE => read_send_data_9_CEINV_5345, CLK => read_send_data_9_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => read_send_data_9_SRINV_5347, O => read_send_data(9) ); latched_reg_addr_3 : X_FF generic map( LOC => "SLICE_X3Y8", INIT => '0' ) port map ( I => latched_reg_addr_3_DXMUX_1934, CE => latched_reg_addr_3_CEINV_1925, CLK => latched_reg_addr_3_CLKINV_1926, SET => GND, RST => GND, O => latched_reg_addr(3) ); latched_reg_addr_4 : X_FF generic map( LOC => "SLICE_X13Y8", INIT => '0' ) port map ( I => latched_reg_addr_4_DYMUX_1946, CE => latched_reg_addr_4_CEINV_1943, CLK => latched_reg_addr_4_CLKINV_1944, SET => GND, RST => GND, O => latched_reg_addr(4) ); write_send_data_mux00061 : X_LUT4 generic map( INIT => X"ABAA", LOC => "SLICE_X19Y47" ) port map ( ADR0 => write_send_data(57), ADR1 => bit_counter(6), ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => N3, O => write_send_data_mux0006 ); write_send_data_58 : X_SFF generic map( LOC => "SLICE_X19Y47", INIT => '0' ) port map ( I => write_send_data_59_DYMUX_1565, CE => write_send_data_59_CEINV_1555, CLK => write_send_data_59_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_59_SRINV_1557, O => write_send_data(58) ); write_send_data_mux00051 : X_LUT4 generic map( INIT => X"FF04", LOC => "SLICE_X19Y47" ) port map ( ADR0 => bit_counter(6), ADR1 => N3, ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => write_send_data(58), O => write_send_data_mux0005 ); write_send_data_59 : X_SFF generic map( LOC => "SLICE_X19Y47", INIT => '0' ) port map ( I => write_send_data_59_DXMUX_1578, CE => write_send_data_59_CEINV_1555, CLK => write_send_data_59_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_59_SRINV_1557, O => write_send_data(59) ); write_send_data_mux00621 : X_LUT4 generic map( INIT => X"1000", LOC => "SLICE_X3Y75" ) port map ( ADR0 => bit_counter(6), ADR1 => read_receive_data_0_cmp_gt00001_1316, ADR2 => latched_data(0), ADR3 => N3, O => write_send_data_mux0062 ); write_send_data_0 : X_SFF generic map( LOC => "SLICE_X3Y75", INIT => '0' ) port map ( I => write_send_data_0_DYMUX_1607, CE => write_send_data_0_CEINV_1597, CLK => write_send_data_0_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_0_SRINV_1599, O => write_send_data(0) ); read_send_data_10_or00001 : X_LUT4 generic map( INIT => X"F0F2", LOC => "SLICE_X3Y75" ) port map ( ADR0 => N3, ADR1 => read_receive_data_0_cmp_gt00001_1316, ADR2 => reset_IBUF_1314, ADR3 => bit_counter(6), O => read_send_data_10_or0000 ); write_send_data_mux00601 : X_LUT4 generic map( INIT => X"CFC0", LOC => "SLICE_X1Y65" ) port map ( ADR0 => VCC, ADR1 => latched_data(2), ADR2 => read_send_data_11_not0001_inv, ADR3 => write_send_data(1), O => write_send_data_mux0060 ); write_send_data_2 : X_SFF generic map( LOC => "SLICE_X1Y65", INIT => '0' ) port map ( I => write_send_data_3_DYMUX_1642, CE => write_send_data_3_CEINV_1631, CLK => write_send_data_3_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_3_SRINV_1633, O => write_send_data(2) ); write_send_data_mux00591 : X_LUT4 generic map( INIT => X"F0AA", LOC => "SLICE_X1Y65" ) port map ( ADR0 => write_send_data(2), ADR1 => VCC, ADR2 => latched_data(3), ADR3 => read_send_data_11_not0001_inv, O => write_send_data_mux0059 ); write_send_data_3 : X_SFF generic map( LOC => "SLICE_X1Y65", INIT => '0' ) port map ( I => write_send_data_3_DXMUX_1656, CE => write_send_data_3_CEINV_1631, CLK => write_send_data_3_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_3_SRINV_1633, O => write_send_data(3) ); write_send_data_mux00581 : X_LUT4 generic map( INIT => X"CFC0", LOC => "SLICE_X0Y54" ) port map ( ADR0 => VCC, ADR1 => latched_data(4), ADR2 => read_send_data_11_not0001_inv, ADR3 => write_send_data(3), O => write_send_data_mux0058 ); write_send_data_4 : X_SFF generic map( LOC => "SLICE_X0Y54", INIT => '0' ) port map ( I => write_send_data_5_DYMUX_1684, CE => write_send_data_5_CEINV_1673, CLK => write_send_data_5_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_5_SRINV_1675, O => write_send_data(4) ); write_send_data_mux00571 : X_LUT4 generic map( INIT => X"CFC0", LOC => "SLICE_X0Y54" ) port map ( ADR0 => VCC, ADR1 => latched_data(5), ADR2 => read_send_data_11_not0001_inv, ADR3 => write_send_data(4), O => write_send_data_mux0057 ); write_send_data_5 : X_SFF generic map( LOC => "SLICE_X0Y54", INIT => '0' ) port map ( I => write_send_data_5_DXMUX_1698, CE => write_send_data_5_CEINV_1673, CLK => write_send_data_5_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_5_SRINV_1675, O => write_send_data(5) ); write_send_data_mux00561 : X_LUT4 generic map( INIT => X"E4E4", LOC => "SLICE_X0Y51" ) port map ( ADR0 => read_send_data_11_not0001_inv, ADR1 => write_send_data(5), ADR2 => latched_data(6), ADR3 => VCC, O => write_send_data_mux0056 ); write_send_data_6 : X_SFF generic map( LOC => "SLICE_X0Y51", INIT => '0' ) port map ( I => write_send_data_7_DYMUX_1726, CE => write_send_data_7_CEINV_1715, CLK => write_send_data_7_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_7_SRINV_1717, O => write_send_data(6) ); write_send_data_mux00551 : X_LUT4 generic map( INIT => X"CFC0", LOC => "SLICE_X0Y51" ) port map ( ADR0 => VCC, ADR1 => latched_data(7), ADR2 => read_send_data_11_not0001_inv, ADR3 => write_send_data(6), O => write_send_data_mux0055 ); write_send_data_7 : X_SFF generic map( LOC => "SLICE_X0Y51", INIT => '0' ) port map ( I => write_send_data_7_DXMUX_1740, CE => write_send_data_7_CEINV_1715, CLK => write_send_data_7_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_7_SRINV_1717, O => write_send_data(7) ); write_send_data_mux00541 : X_LUT4 generic map( INIT => X"FC0C", LOC => "SLICE_X0Y48" ) port map ( ADR0 => VCC, ADR1 => write_send_data(7), ADR2 => read_send_data_11_not0001_inv, ADR3 => latched_data(8), O => write_send_data_mux0054 ); write_send_data_8 : X_SFF generic map( LOC => "SLICE_X0Y48", INIT => '0' ) port map ( I => write_send_data_9_DYMUX_1768, CE => write_send_data_9_CEINV_1757, CLK => write_send_data_9_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_9_SRINV_1759, O => write_send_data(8) ); write_send_data_mux00531 : X_LUT4 generic map( INIT => X"CFC0", LOC => "SLICE_X0Y48" ) port map ( ADR0 => VCC, ADR1 => latched_data(9), ADR2 => read_send_data_11_not0001_inv, ADR3 => write_send_data(8), O => write_send_data_mux0053 ); write_send_data_9 : X_SFF generic map( LOC => "SLICE_X0Y48", INIT => '0' ) port map ( I => write_send_data_9_DXMUX_1782, CE => write_send_data_9_CEINV_1757, CLK => write_send_data_9_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_9_SRINV_1759, O => write_send_data(9) ); Mtridata_MDIO_mux00001 : X_LUT4 generic map( INIT => X"D8F0", LOC => "SLICE_X2Y56" ) port map ( ADR0 => latched_write_read_1343, ADR1 => write_send_data(63), ADR2 => read_send_data(45), ADR3 => Mtridata_MDIO_and0000135, O => Mtridata_MDIO_mux0000 ); Mtridata_MDIO : X_FF generic map( LOC => "SLICE_X2Y56", INIT => '0' ) port map ( I => Mtridata_MDIO_DYMUX_1805, CE => VCC, CLK => Mtridata_MDIO_CLKINV_1797, SET => GND, RST => GND, O => Mtridata_MDIO_1348 ); Mtridata_MDIO_and0000146 : X_LUT4 generic map( INIT => X"8888", LOC => "SLICE_X2Y56" ) port map ( ADR0 => latched_write_read_1343, ADR1 => Mtridata_MDIO_and0000135, ADR2 => VCC, ADR3 => VCC, O => Mtridata_MDIO_and0000 ); Mtrien_MDIO_mux0000_norst : X_LUT4 generic map( INIT => X"F7F5", LOC => "SLICE_X3Y57" ) port map ( ADR0 => read_send_data_0_cmp_lt0000, ADR1 => read_receive_data_0_cmp_gt00001_1316, ADR2 => latched_write_read_1343, ADR3 => N6_0, O => Mtrien_MDIO_mux0000_norst_1836 ); Mtrien_MDIO : X_SFF generic map( LOC => "SLICE_X3Y57", INIT => '0' ) port map ( I => Mtrien_MDIO_DYMUX_1839, CE => VCC, CLK => Mtrien_MDIO_CLKINV_1830, SET => GND, RST => GND, SSET => GND, SRST => Mtrien_MDIO_SRINV_1831, O => Mtrien_MDIO_1353 ); read_send_data_0_not00011 : X_LUT4 generic map( INIT => X"FF02", LOC => "SLICE_X3Y57" ) port map ( ADR0 => N3, ADR1 => read_receive_data_0_cmp_gt00001_1316, ADR2 => bit_counter(6), ADR3 => read_send_data_10_and0000_0, O => read_send_data_0_not0001 ); read_receive_data_6 : X_SFF generic map( LOC => "SLICE_X2Y80", INIT => '0' ) port map ( I => read_receive_data_7_DYMUX_1862, CE => read_receive_data_7_CEINV_1858, CLK => read_receive_data_7_CLKINV_1859, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_7_SRINV_1860, O => read_receive_data(6) ); read_receive_data_7 : X_SFF generic map( LOC => "SLICE_X2Y80", INIT => '0' ) port map ( I => read_receive_data_7_DXMUX_1869, CE => read_receive_data_7_CEINV_1858, CLK => read_receive_data_7_CLKINV_1859, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_7_SRINV_1860, O => read_receive_data(7) ); read_receive_data_0_cmp_gt00001_1 : X_LUT4 generic map( INIT => X"FFFE", LOC => "SLICE_X14Y81" ) port map ( ADR0 => bit_counter(2), ADR1 => bit_counter(1), ADR2 => bit_counter(0), ADR3 => bit_counter(3), O => read_receive_data_0_cmp_gt000011 ); read_send_data_0_cmp_lt0000134 : X_LUT4 generic map( INIT => X"0033", LOC => "SLICE_X3Y65" ) port map ( ADR0 => VCC, ADR1 => bit_counter(6), ADR2 => VCC, ADR3 => bit_counter(7), O => read_send_data_0_cmp_lt0000134_1989 ); Mtrien_MDIO_mux0000_norst_SW0 : X_LUT4 generic map( INIT => X"0001", LOC => "SLICE_X3Y65" ) port map ( ADR0 => bit_counter(4), ADR1 => bit_counter(6), ADR2 => bit_counter(5), ADR3 => bit_counter(7), O => N6 ); write_send_data_and0000_SW0 : X_LUT4 generic map( INIT => X"0055", LOC => "SLICE_X13Y78" ) port map ( ADR0 => bit_counter(4), ADR1 => VCC, ADR2 => VCC, ADR3 => bit_counter(5), O => N8 ); read_send_data_10_and0000_SW0 : X_LUT4 generic map( INIT => X"FFEE", LOC => "SLICE_X13Y78" ) port map ( ADR0 => bit_counter(4), ADR1 => bit_counter(5), ADR2 => VCC, ADR3 => bit_counter(7), O => N10 ); busy_in_cmp_eq0000_SW0 : X_LUT4 generic map( INIT => X"7F7F", LOC => "SLICE_X21Y78" ) port map ( ADR0 => bit_counter(6), ADR1 => bit_counter(0), ADR2 => N3, ADR3 => VCC, O => N4 ); Mtridata_MDIO_and000072_SW0 : X_LUT4 generic map( INIT => X"FFC0", LOC => "SLICE_X21Y78" ) port map ( ADR0 => VCC, ADR1 => bit_counter(0), ADR2 => bit_counter(4), ADR3 => Mtridata_MDIO_and000028, O => N20 ); latched_phy_addr_0 : X_FF generic map( LOC => "SLICE_X12Y15", INIT => '0' ) port map ( I => latched_phy_addr_1_DYMUX_2056, CE => latched_phy_addr_1_CEINV_2053, CLK => latched_phy_addr_1_CLKINV_2054, SET => GND, RST => GND, O => latched_phy_addr(0) ); latched_phy_addr_1 : X_FF generic map( LOC => "SLICE_X12Y15", INIT => '0' ) port map ( I => latched_phy_addr_1_DXMUX_2062, CE => latched_phy_addr_1_CEINV_2053, CLK => latched_phy_addr_1_CLKINV_2054, SET => GND, RST => GND, O => latched_phy_addr(1) ); latched_phy_addr_2 : X_FF generic map( LOC => "SLICE_X13Y16", INIT => '0' ) port map ( I => latched_phy_addr_3_DYMUX_2076, CE => latched_phy_addr_3_CEINV_2073, CLK => latched_phy_addr_3_CLKINV_2074, SET => GND, RST => GND, O => latched_phy_addr(2) ); latched_phy_addr_3 : X_FF generic map( LOC => "SLICE_X13Y16", INIT => '0' ) port map ( I => latched_phy_addr_3_DXMUX_2082, CE => latched_phy_addr_3_CEINV_2073, CLK => latched_phy_addr_3_CLKINV_2074, SET => GND, RST => GND, O => latched_phy_addr(3) ); latched_phy_addr_4 : X_FF generic map( LOC => "SLICE_X16Y14", INIT => '0' ) port map ( I => latched_phy_addr_4_DYMUX_2094, CE => latched_phy_addr_4_CEINV_2091, CLK => latched_phy_addr_4_CLKINV_2092, SET => GND, RST => GND, O => latched_phy_addr(4) ); Mtridata_MDC : X_FF generic map( LOC => "SLICE_X12Y91", INIT => '0' ) port map ( I => Mtridata_MDC_DYMUX_2104, CE => VCC, CLK => Mtridata_MDC_CLKINV_2102, SET => GND, RST => GND, O => Mtridata_MDC_1397 ); read_receive_data_10 : X_SFF generic map( LOC => "SLICE_X2Y73", INIT => '0' ) port map ( I => read_receive_data_11_DYMUX_2119, CE => read_receive_data_11_CEINV_2115, CLK => read_receive_data_11_CLKINV_2116, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_11_SRINV_2117, O => read_receive_data(10) ); read_receive_data_11 : X_SFF generic map( LOC => "SLICE_X2Y73", INIT => '0' ) port map ( I => read_receive_data_11_DXMUX_2126, CE => read_receive_data_11_CEINV_2115, CLK => read_receive_data_11_CLKINV_2116, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_11_SRINV_2117, O => read_receive_data(11) ); read_receive_data_12 : X_SFF generic map( LOC => "SLICE_X3Y73", INIT => '0' ) port map ( I => read_receive_data_13_DYMUX_2143, CE => read_receive_data_13_CEINV_2139, CLK => read_receive_data_13_CLKINV_2140, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_13_SRINV_2141, O => read_receive_data(12) ); read_receive_data_13 : X_SFF generic map( LOC => "SLICE_X3Y73", INIT => '0' ) port map ( I => read_receive_data_13_DXMUX_2150, CE => read_receive_data_13_CEINV_2139, CLK => read_receive_data_13_CLKINV_2140, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_13_SRINV_2141, O => read_receive_data(13) ); bit_counter_6_1 : X_FF generic map( LOC => "SLICE_X14Y80", INIT => '0' ) port map ( I => bit_counter_6_1_DYMUX_2163, CE => VCC, CLK => bit_counter_6_1_CLKINVNOT, SET => GND, RST => bit_counter_6_1_FFY_RSTAND_2168, O => bit_counter_6_1_1403 ); bit_counter_6_1_FFY_RSTAND : X_BUF generic map( LOC => "SLICE_X14Y80", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => bit_counter_6_1_FFY_RSTAND_2168 ); read_receive_data_14 : X_SFF generic map( LOC => "SLICE_X3Y72", INIT => '0' ) port map ( I => read_receive_data_15_DYMUX_2181, CE => read_receive_data_15_CEINV_2177, CLK => read_receive_data_15_CLKINV_2178, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_15_SRINV_2179, O => read_receive_data(14) ); read_receive_data_15 : X_SFF generic map( LOC => "SLICE_X3Y72", INIT => '0' ) port map ( I => read_receive_data_15_DXMUX_2188, CE => read_receive_data_15_CEINV_2177, CLK => read_receive_data_15_CLKINV_2178, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_15_SRINV_2179, O => read_receive_data(15) ); read_send_data_0_cmp_eq00001 : X_LUT4 generic map( INIT => X"0300", LOC => "SLICE_X13Y72" ) port map ( ADR0 => VCC, ADR1 => read_receive_data_0_cmp_gt00001_1316, ADR2 => bit_counter(6), ADR3 => N3, O => read_send_data_11_not0001_inv_pack_1 ); write_send_data_mux00611 : X_LUT4 generic map( INIT => X"AFA0", LOC => "SLICE_X13Y72" ) port map ( ADR0 => latched_data(1), ADR1 => VCC, ADR2 => read_send_data_11_not0001_inv, ADR3 => write_send_data(0), O => write_send_data_mux0061 ); write_send_data_1 : X_SFF generic map( LOC => "SLICE_X13Y72", INIT => '0' ) port map ( I => write_send_data_1_DXMUX_2224, CE => write_send_data_1_CEINV_2205, CLK => write_send_data_1_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_1_SRINV_2207, O => write_send_data(1) ); clk_div : X_SFF generic map( LOC => "SLICE_X45Y84", INIT => '0' ) port map ( I => clk_div1_DYMUX_2239, CE => clk_div1_CEINV_2235, CLK => clk_div1_CLKINV_2236, SET => GND, RST => GND, SSET => GND, SRST => clk_div1_SRINV_2237, O => clk_div1 ); busy_in_cmp_eq0000 : X_LUT4 generic map( INIT => X"0001", LOC => "SLICE_X25Y79" ) port map ( ADR0 => bit_counter(2), ADR1 => N4_0, ADR2 => bit_counter(1), ADR3 => bit_counter(3), O => busy_in_cmp_eq0000_pack_1 ); clk_div_or00001 : X_LUT4 generic map( INIT => X"FFCC", LOC => "SLICE_X25Y79" ) port map ( ADR0 => VCC, ADR1 => reset_IBUF_1314, ADR2 => VCC, ADR3 => busy_in_cmp_eq0000_1409, O => clk_div_or0000 ); read_send_data_10 : X_SFF generic map( LOC => "SLICE_X3Y67", INIT => '0' ) port map ( I => read_send_data_10_DYMUX_2278, CE => read_send_data_10_CEINV_2274, CLK => read_send_data_10_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => read_send_data_10_SRINV_2276, O => read_send_data(10) ); read_send_data_11 : X_SFF generic map( LOC => "SLICE_X2Y67", INIT => '0' ) port map ( I => read_send_data_11_DXMUX_2296, CE => read_send_data_11_CEINV_2290, CLK => read_send_data_11_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_11_REVUSED_2294, SRST => read_send_data_11_SRINV_2292, O => read_send_data(11) ); read_send_data_19_rt : X_LUT4 generic map( INIT => X"CCCC", LOC => "SLICE_X24Y77" ) port map ( ADR0 => VCC, ADR1 => read_send_data(19), ADR2 => VCC, ADR3 => VCC, O => read_send_data_19_rt_2322 ); read_send_data_20 : X_SFF generic map( LOC => "SLICE_X24Y77", INIT => '0' ) port map ( I => read_send_data_21_DYMUX_2325, CE => read_send_data_21_CEINV_2311, CLK => read_send_data_21_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_21_REVUSED_2326, SRST => read_send_data_21_SRINV_2313, O => read_send_data(20) ); read_send_data_21 : X_SFF generic map( LOC => "SLICE_X24Y77", INIT => '0' ) port map ( I => read_send_data_21_DXMUX_2334, CE => read_send_data_21_CEINV_2311, CLK => read_send_data_21_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_21_REVUSED_2326, SRST => read_send_data_21_SRINV_2313, O => read_send_data(21) ); read_send_data_12 : X_SFF generic map( LOC => "SLICE_X3Y71", INIT => '0' ) port map ( I => read_send_data_12_DXMUX_2353, CE => read_send_data_12_CEINV_2347, CLK => read_send_data_12_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_12_REVUSED_2351, SRST => read_send_data_12_SRINV_2349, O => read_send_data(12) ); read_send_data_13 : X_SFF generic map( LOC => "SLICE_X5Y88", INIT => '0' ) port map ( I => read_send_data_13_DYMUX_2369, CE => read_send_data_13_CEINV_2365, CLK => read_send_data_13_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => read_send_data_13_SRINV_2367, O => read_send_data(13) ); read_send_data_29_rt : X_LUT4 generic map( INIT => X"AAAA", LOC => "SLICE_X25Y69" ) port map ( ADR0 => read_send_data(29), ADR1 => VCC, ADR2 => VCC, ADR3 => VCC, O => read_send_data_29_rt_2394 ); read_send_data_30 : X_SFF generic map( LOC => "SLICE_X25Y69", INIT => '0' ) port map ( I => read_send_data_31_DYMUX_2397, CE => read_send_data_31_CEINV_2383, CLK => read_send_data_31_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_31_REVUSED_2398, SRST => read_send_data_31_SRINV_2385, O => read_send_data(30) ); read_send_data_31 : X_SFF generic map( LOC => "SLICE_X25Y69", INIT => '0' ) port map ( I => read_send_data_31_DXMUX_2406, CE => read_send_data_31_CEINV_2383, CLK => read_send_data_31_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_31_REVUSED_2398, SRST => read_send_data_31_SRINV_2385, O => read_send_data(31) ); read_send_data_21_rt : X_LUT4 generic map( INIT => X"F0F0", LOC => "SLICE_X26Y75" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => read_send_data(21), ADR3 => VCC, O => read_send_data_21_rt_2432 ); read_send_data_22 : X_SFF generic map( LOC => "SLICE_X26Y75", INIT => '0' ) port map ( I => read_send_data_23_DYMUX_2435, CE => read_send_data_23_CEINV_2421, CLK => read_send_data_23_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_23_REVUSED_2436, SRST => read_send_data_23_SRINV_2423, O => read_send_data(22) ); read_send_data_23 : X_SFF generic map( LOC => "SLICE_X26Y75", INIT => '0' ) port map ( I => read_send_data_23_DXMUX_2444, CE => read_send_data_23_CEINV_2421, CLK => read_send_data_23_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_23_REVUSED_2436, SRST => read_send_data_23_SRINV_2423, O => read_send_data(23) ); read_send_data_13_rt : X_LUT4 generic map( INIT => X"CCCC", LOC => "SLICE_X14Y86" ) port map ( ADR0 => VCC, ADR1 => read_send_data(13), ADR2 => VCC, ADR3 => VCC, O => read_send_data_13_rt_2470 ); read_send_data_14 : X_SFF generic map( LOC => "SLICE_X14Y86", INIT => '0' ) port map ( I => read_send_data_15_DYMUX_2473, CE => read_send_data_15_CEINV_2459, CLK => read_send_data_15_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_15_REVUSED_2474, SRST => read_send_data_15_SRINV_2461, O => read_send_data(14) ); read_send_data_15 : X_SFF generic map( LOC => "SLICE_X14Y86", INIT => '0' ) port map ( I => read_send_data_15_DXMUX_2482, CE => read_send_data_15_CEINV_2459, CLK => read_send_data_15_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_15_REVUSED_2474, SRST => read_send_data_15_SRINV_2461, O => read_send_data(15) ); latched_write_read : X_FF generic map( LOC => "SLICE_X2Y51", INIT => '1' ) port map ( I => latched_write_read_DYMUX_2496, CE => latched_write_read_CEINV_2493, CLK => latched_write_read_CLKINV_2494, SET => GND, RST => GND, O => latched_write_read_1343 ); read_send_data_39_rt : X_LUT4 generic map( INIT => X"F0F0", LOC => "SLICE_X17Y56" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => read_send_data(39), ADR3 => VCC, O => read_send_data_39_rt_2520 ); read_send_data_40 : X_SFF generic map( LOC => "SLICE_X17Y56", INIT => '0' ) port map ( I => read_send_data_41_DYMUX_2523, CE => read_send_data_41_CEINV_2509, CLK => read_send_data_41_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_41_REVUSED_2524, SRST => read_send_data_41_SRINV_2511, O => read_send_data(40) ); read_send_data_41 : X_SFF generic map( LOC => "SLICE_X17Y56", INIT => '0' ) port map ( I => read_send_data_41_DXMUX_2532, CE => read_send_data_41_CEINV_2509, CLK => read_send_data_41_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_41_REVUSED_2524, SRST => read_send_data_41_SRINV_2511, O => read_send_data(41) ); read_send_data_31_rt : X_LUT4 generic map( INIT => X"CCCC", LOC => "SLICE_X24Y67" ) port map ( ADR0 => VCC, ADR1 => read_send_data(31), ADR2 => VCC, ADR3 => VCC, O => read_send_data_31_rt_2558 ); read_send_data_32 : X_SFF generic map( LOC => "SLICE_X24Y67", INIT => '0' ) port map ( I => read_send_data_33_DYMUX_2561, CE => read_send_data_33_CEINV_2547, CLK => read_send_data_33_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_33_REVUSED_2562, SRST => read_send_data_33_SRINV_2549, O => read_send_data(32) ); read_send_data_33 : X_SFF generic map( LOC => "SLICE_X24Y67", INIT => '0' ) port map ( I => read_send_data_33_DXMUX_2570, CE => read_send_data_33_CEINV_2547, CLK => read_send_data_33_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_33_REVUSED_2562, SRST => read_send_data_33_SRINV_2549, O => read_send_data(33) ); read_send_data_23_rt : X_LUT4 generic map( INIT => X"CCCC", LOC => "SLICE_X26Y73" ) port map ( ADR0 => VCC, ADR1 => read_send_data(23), ADR2 => VCC, ADR3 => VCC, O => read_send_data_23_rt_2596 ); read_send_data_24 : X_SFF generic map( LOC => "SLICE_X26Y73", INIT => '0' ) port map ( I => read_send_data_25_DYMUX_2599, CE => read_send_data_25_CEINV_2585, CLK => read_send_data_25_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_25_REVUSED_2600, SRST => read_send_data_25_SRINV_2587, O => read_send_data(24) ); read_send_data_25 : X_SFF generic map( LOC => "SLICE_X26Y73", INIT => '0' ) port map ( I => read_send_data_25_DXMUX_2608, CE => read_send_data_25_CEINV_2585, CLK => read_send_data_25_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_25_REVUSED_2600, SRST => read_send_data_25_SRINV_2587, O => read_send_data(25) ); read_send_data_15_rt : X_LUT4 generic map( INIT => X"CCCC", LOC => "SLICE_X16Y87" ) port map ( ADR0 => VCC, ADR1 => read_send_data(15), ADR2 => VCC, ADR3 => VCC, O => read_send_data_15_rt_2634 ); read_send_data_16 : X_SFF generic map( LOC => "SLICE_X16Y87", INIT => '0' ) port map ( I => read_send_data_17_DYMUX_2637, CE => read_send_data_17_CEINV_2623, CLK => read_send_data_17_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_17_REVUSED_2638, SRST => read_send_data_17_SRINV_2625, O => read_send_data(16) ); read_send_data_17 : X_SFF generic map( LOC => "SLICE_X16Y87", INIT => '0' ) port map ( I => read_send_data_17_DXMUX_2646, CE => read_send_data_17_CEINV_2623, CLK => read_send_data_17_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_17_REVUSED_2638, SRST => read_send_data_17_SRINV_2625, O => read_send_data(17) ); read_send_data_41_rt : X_LUT4 generic map( INIT => X"CCCC", LOC => "SLICE_X16Y53" ) port map ( ADR0 => VCC, ADR1 => read_send_data(41), ADR2 => VCC, ADR3 => VCC, O => read_send_data_41_rt_2672 ); read_send_data_42 : X_SFF generic map( LOC => "SLICE_X16Y53", INIT => '0' ) port map ( I => read_send_data_43_DYMUX_2675, CE => read_send_data_43_CEINV_2661, CLK => read_send_data_43_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_43_REVUSED_2676, SRST => read_send_data_43_SRINV_2663, O => read_send_data(42) ); read_send_data_43 : X_SFF generic map( LOC => "SLICE_X16Y53", INIT => '0' ) port map ( I => read_send_data_43_DXMUX_2684, CE => read_send_data_43_CEINV_2661, CLK => read_send_data_43_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_43_REVUSED_2676, SRST => read_send_data_43_SRINV_2663, O => read_send_data(43) ); read_send_data_33_rt : X_LUT4 generic map( INIT => X"F0F0", LOC => "SLICE_X20Y65" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => read_send_data(33), ADR3 => VCC, O => read_send_data_33_rt_2710 ); read_send_data_34 : X_SFF generic map( LOC => "SLICE_X20Y65", INIT => '0' ) port map ( I => read_send_data_35_DYMUX_2713, CE => read_send_data_35_CEINV_2699, CLK => read_send_data_35_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_35_REVUSED_2714, SRST => read_send_data_35_SRINV_2701, O => read_send_data(34) ); read_send_data_35 : X_SFF generic map( LOC => "SLICE_X20Y65", INIT => '0' ) port map ( I => read_send_data_35_DXMUX_2722, CE => read_send_data_35_CEINV_2699, CLK => read_send_data_35_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_35_REVUSED_2714, SRST => read_send_data_35_SRINV_2701, O => read_send_data(35) ); read_send_data_25_rt : X_LUT4 generic map( INIT => X"AAAA", LOC => "SLICE_X24Y70" ) port map ( ADR0 => read_send_data(25), ADR1 => VCC, ADR2 => VCC, ADR3 => VCC, O => read_send_data_25_rt_2748 ); read_send_data_26 : X_SFF generic map( LOC => "SLICE_X24Y70", INIT => '0' ) port map ( I => read_send_data_27_DYMUX_2751, CE => read_send_data_27_CEINV_2737, CLK => read_send_data_27_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_27_REVUSED_2752, SRST => read_send_data_27_SRINV_2739, O => read_send_data(26) ); read_send_data_27 : X_SFF generic map( LOC => "SLICE_X24Y70", INIT => '0' ) port map ( I => read_send_data_27_DXMUX_2760, CE => read_send_data_27_CEINV_2737, CLK => read_send_data_27_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_27_REVUSED_2752, SRST => read_send_data_27_SRINV_2739, O => read_send_data(27) ); read_send_data_17_rt : X_LUT4 generic map( INIT => X"FF00", LOC => "SLICE_X19Y81" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => VCC, ADR3 => read_send_data(17), O => read_send_data_17_rt_2786 ); read_send_data_18 : X_SFF generic map( LOC => "SLICE_X19Y81", INIT => '0' ) port map ( I => read_send_data_19_DYMUX_2789, CE => read_send_data_19_CEINV_2775, CLK => read_send_data_19_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_19_REVUSED_2790, SRST => read_send_data_19_SRINV_2777, O => read_send_data(18) ); read_send_data_19 : X_SFF generic map( LOC => "SLICE_X19Y81", INIT => '0' ) port map ( I => read_send_data_19_DXMUX_2798, CE => read_send_data_19_CEINV_2775, CLK => read_send_data_19_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_19_REVUSED_2790, SRST => read_send_data_19_SRINV_2777, O => read_send_data(19) ); read_send_data_43_rt : X_LUT4 generic map( INIT => X"CCCC", LOC => "SLICE_X16Y52" ) port map ( ADR0 => VCC, ADR1 => read_send_data(43), ADR2 => VCC, ADR3 => VCC, O => read_send_data_43_rt_2824 ); read_send_data_44 : X_SFF generic map( LOC => "SLICE_X16Y52", INIT => '0' ) port map ( I => read_send_data_45_DYMUX_2827, CE => read_send_data_45_CEINV_2813, CLK => read_send_data_45_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_45_REVUSED_2828, SRST => read_send_data_45_SRINV_2815, O => read_send_data(44) ); read_send_data_45 : X_SFF generic map( LOC => "SLICE_X16Y52", INIT => '0' ) port map ( I => read_send_data_45_DXMUX_2836, CE => read_send_data_45_CEINV_2813, CLK => read_send_data_45_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_45_REVUSED_2828, SRST => read_send_data_45_SRINV_2815, O => read_send_data(45) ); read_send_data_35_rt : X_LUT4 generic map( INIT => X"AAAA", LOC => "SLICE_X18Y62" ) port map ( ADR0 => read_send_data(35), ADR1 => VCC, ADR2 => VCC, ADR3 => VCC, O => read_send_data_35_rt_2862 ); read_send_data_36 : X_SFF generic map( LOC => "SLICE_X18Y62", INIT => '0' ) port map ( I => read_send_data_37_DYMUX_2865, CE => read_send_data_37_CEINV_2851, CLK => read_send_data_37_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_37_REVUSED_2866, SRST => read_send_data_37_SRINV_2853, O => read_send_data(36) ); read_send_data_37 : X_SFF generic map( LOC => "SLICE_X18Y62", INIT => '0' ) port map ( I => read_send_data_37_DXMUX_2874, CE => read_send_data_37_CEINV_2851, CLK => read_send_data_37_CLKINVNOT, SET => GND, RST => GND, SSET => read_send_data_37_REVUSED_2866, SRST => read_send_data_37_SRINV_2853, O => read_send_data(37) ); read_receive_data_0_and0000_SW3 : X_LUT4 generic map( INIT => X"EFFF", LOC => "SLICE_X12Y80" ) port map ( ADR0 => bit_counter_6_1_1403, ADR1 => latched_write_read_1343, ADR2 => bit_counter(5), ADR3 => bit_counter(4), O => N18 ); read_receive_data_2 : X_SFF generic map( LOC => "SLICE_X0Y85", INIT => '0' ) port map ( I => read_receive_data_3_DYMUX_3035, CE => read_receive_data_3_CEINV_3031, CLK => read_receive_data_3_CLKINV_3032, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_3_SRINV_3033, O => read_receive_data(2) ); read_receive_data_3 : X_SFF generic map( LOC => "SLICE_X0Y85", INIT => '0' ) port map ( I => read_receive_data_3_DXMUX_3042, CE => read_receive_data_3_CEINV_3031, CLK => read_receive_data_3_CLKINV_3032, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_3_SRINV_3033, O => read_receive_data(3) ); counter_cmp_eq000023 : X_LUT4 generic map( INIT => X"0001", LOC => "SLICE_X48Y85" ) port map ( ADR0 => counter(4), ADR1 => counter(5), ADR2 => counter(6), ADR3 => counter(7), O => counter_cmp_eq000023_pack_1 ); clk_div_not00021 : X_LUT4 generic map( INIT => X"A000", LOC => "SLICE_X48Y85" ) port map ( ADR0 => busy_in_1448, ADR1 => VCC, ADR2 => counter_cmp_eq000023_1460, ADR3 => counter_cmp_eq000010_0, O => clk_div_not0002 ); read_receive_data_4 : X_SFF generic map( LOC => "SLICE_X3Y83", INIT => '0' ) port map ( I => read_receive_data_5_DYMUX_3083, CE => read_receive_data_5_CEINV_3079, CLK => read_receive_data_5_CLKINV_3080, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_5_SRINV_3081, O => read_receive_data(4) ); read_receive_data_5 : X_SFF generic map( LOC => "SLICE_X3Y83", INIT => '0' ) port map ( I => read_receive_data_5_DXMUX_3090, CE => read_receive_data_5_CEINV_3079, CLK => read_receive_data_5_CLKINV_3080, SET => GND, RST => GND, SSET => GND, SRST => read_receive_data_5_SRINV_3081, O => read_receive_data(5) ); bit_counter_1 : X_FF generic map( LOC => "SLICE_X15Y78", INIT => '0' ) port map ( I => bit_counter_0_DYMUX_3121, CE => VCC, CLK => bit_counter_0_CLKINVNOT, SET => GND, RST => bit_counter_0_SRINV_3105, O => bit_counter(1) ); Mcount_bit_counter_lut_0_INV_0 : X_LUT4 generic map( INIT => X"0F0F", LOC => "SLICE_X15Y78" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => bit_counter(0), ADR3 => VCC, O => Mcount_bit_counter_lut(0) ); bit_counter_0 : X_FF generic map( LOC => "SLICE_X15Y78", INIT => '0' ) port map ( I => bit_counter_0_DXMUX_3143, CE => VCC, CLK => bit_counter_0_CLKINVNOT, SET => GND, RST => bit_counter_0_SRINV_3105, O => bit_counter(0) ); bit_counter_3 : X_FF generic map( LOC => "SLICE_X15Y79", INIT => '0' ) port map ( I => bit_counter_2_DYMUX_3180, CE => VCC, CLK => bit_counter_2_CLKINVNOT, SET => GND, RST => bit_counter_2_SRINV_3159, O => bit_counter(3) ); bit_counter_2 : X_FF generic map( LOC => "SLICE_X15Y79", INIT => '0' ) port map ( I => bit_counter_2_DXMUX_3199, CE => VCC, CLK => bit_counter_2_CLKINVNOT, SET => GND, RST => bit_counter_2_SRINV_3159, O => bit_counter(2) ); bit_counter_5 : X_FF generic map( LOC => "SLICE_X15Y80", INIT => '0' ) port map ( I => bit_counter_4_DYMUX_3236, CE => VCC, CLK => bit_counter_4_CLKINVNOT, SET => GND, RST => bit_counter_4_SRINV_3215, O => bit_counter(5) ); bit_counter_4 : X_FF generic map( LOC => "SLICE_X15Y80", INIT => '0' ) port map ( I => bit_counter_4_DXMUX_3255, CE => VCC, CLK => bit_counter_4_CLKINVNOT, SET => GND, RST => bit_counter_4_SRINV_3215, O => bit_counter(4) ); bit_counter_7_rt : X_LUT4 generic map( INIT => X"FF00", LOC => "SLICE_X15Y81" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => VCC, ADR3 => bit_counter(7), O => bit_counter_7_rt_3279 ); bit_counter_7 : X_FF generic map( LOC => "SLICE_X15Y81", INIT => '0' ) port map ( I => bit_counter_6_DYMUX_3284, CE => VCC, CLK => bit_counter_6_CLKINVNOT, SET => GND, RST => bit_counter_6_SRINV_3271, O => bit_counter(7) ); bit_counter_6 : X_FF generic map( LOC => "SLICE_X15Y81", INIT => '0' ) port map ( I => bit_counter_6_DXMUX_3305, CE => VCC, CLK => bit_counter_6_CLKINVNOT, SET => GND, RST => bit_counter_6_SRINV_3271, O => bit_counter(6) ); counter_1 : X_SFF generic map( LOC => "SLICE_X49Y82", INIT => '0' ) port map ( I => counter_0_DYMUX_3339, CE => counter_0_CEINV_3321, CLK => counter_0_CLKINV_3322, SET => GND, RST => GND, SSET => GND, SRST => counter_0_SRINV_3323, O => counter(1) ); Mcount_counter_lut_0_INV_0 : X_LUT4 generic map( INIT => X"00FF", LOC => "SLICE_X49Y82" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => VCC, ADR3 => counter(0), O => Mcount_counter_lut(0) ); counter_0 : X_SFF generic map( LOC => "SLICE_X49Y82", INIT => '0' ) port map ( I => counter_0_DXMUX_3360, CE => counter_0_CEINV_3321, CLK => counter_0_CLKINV_3322, SET => GND, RST => GND, SSET => GND, SRST => counter_0_SRINV_3323, O => counter(0) ); counter_3 : X_SFF generic map( LOC => "SLICE_X49Y83", INIT => '0' ) port map ( I => counter_2_DYMUX_3398, CE => counter_2_CEINV_3375, CLK => counter_2_CLKINV_3376, SET => GND, RST => GND, SSET => GND, SRST => counter_2_SRINV_3377, O => counter(3) ); counter_2 : X_SFF generic map( LOC => "SLICE_X49Y83", INIT => '0' ) port map ( I => counter_2_DXMUX_3416, CE => counter_2_CEINV_3375, CLK => counter_2_CLKINV_3376, SET => GND, RST => GND, SSET => GND, SRST => counter_2_SRINV_3377, O => counter(2) ); counter_5 : X_SFF generic map( LOC => "SLICE_X49Y84", INIT => '0' ) port map ( I => counter_4_DYMUX_3454, CE => counter_4_CEINV_3431, CLK => counter_4_CLKINV_3432, SET => GND, RST => GND, SSET => GND, SRST => counter_4_SRINV_3433, O => counter(5) ); counter_4 : X_SFF generic map( LOC => "SLICE_X49Y84", INIT => '0' ) port map ( I => counter_4_DXMUX_3472, CE => counter_4_CEINV_3431, CLK => counter_4_CLKINV_3432, SET => GND, RST => GND, SSET => GND, SRST => counter_4_SRINV_3433, O => counter(4) ); counter_7_rt : X_LUT4 generic map( INIT => X"AAAA", LOC => "SLICE_X49Y85" ) port map ( ADR0 => counter(7), ADR1 => VCC, ADR2 => VCC, ADR3 => VCC, O => counter_7_rt_3496 ); counter_7 : X_SFF generic map( LOC => "SLICE_X49Y85", INIT => '0' ) port map ( I => counter_6_DYMUX_3501, CE => counter_6_CEINV_3486, CLK => counter_6_CLKINV_3487, SET => GND, RST => GND, SSET => GND, SRST => counter_6_SRINV_3488, O => counter(7) ); counter_6 : X_SFF generic map( LOC => "SLICE_X49Y85", INIT => '0' ) port map ( I => counter_6_DXMUX_3521, CE => counter_6_CEINV_3486, CLK => counter_6_CLKINV_3487, SET => GND, RST => GND, SSET => GND, SRST => counter_6_SRINV_3488, O => counter(6) ); MDC_OUTPUT_TFF_T1INV : X_BUF generic map( LOC => "PAD12", PATHPULSE => 638 ps ) port map ( I => '1', O => MDC_OUTPUT_TFF_T1INV_3567 ); MDC_OUTPUT_TFF_TSR_USED : X_BUF generic map( LOC => "PAD12", PATHPULSE => 638 ps ) port map ( I => write_send_data_and0000_0, O => MDC_OUTPUT_TFF_TSR_USED_3570 ); MDC_OUTPUT_TFF_TMUX : X_BUF generic map( LOC => "PAD12", PATHPULSE => 638 ps ) port map ( I => Mtrien_MDC_3572, O => MDC_T ); Mtrien_MDC : X_SFF generic map( LOC => "PAD12", INIT => '0' ) port map ( I => MDC_OUTPUT_TFF_T1INV_3567, CE => VCC, CLK => MDC_OUTPUT_OTCLK1INV_3563, SET => GND, RST => GND, SSET => GND, SRST => MDC_OUTPUT_TFF_TSR_USED_3570, O => Mtrien_MDC_3572 ); MDC_OUTPUT_OTCLK1INV : X_BUF generic map( LOC => "PAD12", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => MDC_OUTPUT_OTCLK1INV_3563 ); strt_IFF_IMUX : X_BUF generic map( LOC => "PAD195", PATHPULSE => 638 ps ) port map ( I => strt_INBUF, O => strt_IBUF_1362 ); reg_addr_0_IFF_IMUX : X_BUF generic map( LOC => "IPAD189", PATHPULSE => 638 ps ) port map ( I => reg_addr_0_INBUF, O => reg_addr_0_IBUF_1361 ); reg_addr_1_IFF_IMUX : X_BUF generic map( LOC => "PAD188", PATHPULSE => 638 ps ) port map ( I => reg_addr_1_INBUF, O => reg_addr_1_IBUF_1360 ); reg_addr_2_IFF_IMUX : X_BUF generic map( LOC => "PAD187", PATHPULSE => 638 ps ) port map ( I => reg_addr_2_INBUF, O => reg_addr_2_IBUF_1366 ); reg_addr_3_IFF_IMUX : X_BUF generic map( LOC => "PAD186", PATHPULSE => 638 ps ) port map ( I => reg_addr_3_INBUF, O => reg_addr_3_IBUF_1365 ); reg_addr_4_IFF_IMUX : X_BUF generic map( LOC => "PAD185", PATHPULSE => 638 ps ) port map ( I => reg_addr_4_INBUF, O => reg_addr_4_IBUF_1369 ); latched_data_0 : X_FF generic map( LOC => "IPAD228", INIT => '0' ) port map ( I => data_in_0_IFF_IDDRIN_MUX_3717, CE => data_in_0_IFF_ICEINV_3719, CLK => data_in_0_IFF_ICLK1INV_3721, SET => GND, RST => GND, O => latched_data(0) ); data_in_0_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "IPAD228", PATHPULSE => 638 ps ) port map ( I => data_in_0_INBUF, O => data_in_0_IFF_IDDRIN_MUX_3717 ); data_in_0_IFF_ICLK1INV : X_BUF generic map( LOC => "IPAD228", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_0_IFF_ICLK1INV_3721 ); data_in_0_IFF_ICEINV : X_BUF generic map( LOC => "IPAD228", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_0_IFF_ICEINV_3719 ); reset_IFF_IMUX : X_BUF generic map( LOC => "PAD196", PATHPULSE => 638 ps ) port map ( I => reset_INBUF, O => reset_IBUF_1314 ); phy_addr_0_IFF_IMUX : X_BUF generic map( LOC => "IPAD194", PATHPULSE => 638 ps ) port map ( I => phy_addr_0_INBUF, O => phy_addr_0_IBUF_1387 ); latched_data_15 : X_FF generic map( LOC => "PAD198", INIT => '0' ) port map ( I => data_in_15_IFF_IDDRIN_MUX_4015, CE => data_in_15_IFF_ICEINV_4017, CLK => data_in_15_IFF_ICLK1INV_4019, SET => GND, RST => GND, O => latched_data(15) ); data_in_15_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "PAD198", PATHPULSE => 638 ps ) port map ( I => data_in_15_INBUF, O => data_in_15_IFF_IDDRIN_MUX_4015 ); data_in_15_IFF_ICLK1INV : X_BUF generic map( LOC => "PAD198", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_15_IFF_ICLK1INV_4019 ); data_in_15_IFF_ICEINV : X_BUF generic map( LOC => "PAD198", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_15_IFF_ICEINV_4017 ); latched_data_7 : X_FF generic map( LOC => "PAD206", INIT => '0' ) port map ( I => data_in_7_IFF_IDDRIN_MUX_4035, CE => data_in_7_IFF_ICEINV_4037, CLK => data_in_7_IFF_ICLK1INV_4039, SET => GND, RST => GND, O => latched_data(7) ); data_in_7_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "PAD206", PATHPULSE => 638 ps ) port map ( I => data_in_7_INBUF, O => data_in_7_IFF_IDDRIN_MUX_4035 ); data_in_7_IFF_ICLK1INV : X_BUF generic map( LOC => "PAD206", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_7_IFF_ICLK1INV_4039 ); data_in_7_IFF_ICEINV : X_BUF generic map( LOC => "PAD206", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_7_IFF_ICEINV_4037 ); phy_addr_1_IFF_IMUX : X_BUF generic map( LOC => "PAD193", PATHPULSE => 638 ps ) port map ( I => phy_addr_1_INBUF, O => phy_addr_1_IBUF_1386 ); latched_data_8 : X_FF generic map( LOC => "PAD205", INIT => '0' ) port map ( I => data_in_8_IFF_IDDRIN_MUX_4061, CE => data_in_8_IFF_ICEINV_4063, CLK => data_in_8_IFF_ICLK1INV_4065, SET => GND, RST => GND, O => latched_data(8) ); data_in_8_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "PAD205", PATHPULSE => 638 ps ) port map ( I => data_in_8_INBUF, O => data_in_8_IFF_IDDRIN_MUX_4061 ); data_in_8_IFF_ICLK1INV : X_BUF generic map( LOC => "PAD205", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_8_IFF_ICLK1INV_4065 ); data_in_8_IFF_ICEINV : X_BUF generic map( LOC => "PAD205", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_8_IFF_ICEINV_4063 ); phy_addr_2_IFF_IMUX : X_BUF generic map( LOC => "PAD192", PATHPULSE => 638 ps ) port map ( I => phy_addr_2_INBUF, O => phy_addr_2_IBUF_1391 ); latched_data_9 : X_FF generic map( LOC => "IPAD204", INIT => '0' ) port map ( I => data_in_9_IFF_IDDRIN_MUX_4087, CE => data_in_9_IFF_ICEINV_4089, CLK => data_in_9_IFF_ICLK1INV_4091, SET => GND, RST => GND, O => latched_data(9) ); data_in_9_IFF_IDDRIN_MUX : X_BUF generic map( LOC => "IPAD204", PATHPULSE => 638 ps ) port map ( I => data_in_9_INBUF, O => data_in_9_IFF_IDDRIN_MUX_4087 ); data_in_9_IFF_ICLK1INV : X_BUF generic map( LOC => "IPAD204", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => data_in_9_IFF_ICLK1INV_4091 ); data_in_9_IFF_ICEINV : X_BUF generic map( LOC => "IPAD204", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => data_in_9_IFF_ICEINV_4089 ); phy_addr_3_IFF_IMUX : X_BUF generic map( LOC => "PAD191", PATHPULSE => 638 ps ) port map ( I => phy_addr_3_INBUF, O => phy_addr_3_IBUF_1390 ); phy_addr_4_IFF_IMUX : X_BUF generic map( LOC => "PAD190", PATHPULSE => 638 ps ) port map ( I => phy_addr_4_INBUF, O => phy_addr_4_IBUF_1394 ); busy_OUTPUT_OFF_O1INV : X_BUF generic map( LOC => "PAD41", PATHPULSE => 638 ps ) port map ( I => '0', O => busy_OUTPUT_OFF_O1INV_4143 ); busy_OUTPUT_OFF_O1_DDRMUX : X_BUF generic map( LOC => "PAD41", PATHPULSE => 638 ps ) port map ( I => busy_OUTPUT_OFF_O1INV_4143, O => busy_OUTPUT_OFF_ODDRIN1_MUX ); busy_OUTPUT_OFF_PCICE_MUX : X_BUF generic map( LOC => "PAD41", PATHPULSE => 638 ps ) port map ( I => busy_in_cmp_eq0000_1409, O => busy_OUTPUT_OFF_PCICE_MUX_4134 ); busy_OUTPUT_OFF_OSR_USED : X_BUF generic map( LOC => "PAD41", PATHPULSE => 638 ps ) port map ( I => reset_IBUF_1314, O => busy_OUTPUT_OFF_OSR_USED_4137 ); busy_OUTPUT_OFF_OREV_USED : X_BUF generic map( LOC => "PAD41", PATHPULSE => 638 ps ) port map ( I => strt_IBUF_1362, O => busy_OUTPUT_OFF_OREV_USED_4139 ); busy_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD41", PATHPULSE => 638 ps ) port map ( I => busy_in_1_4141, O => busy_O ); busy_in_1 : X_SFF generic map( LOC => "PAD41", INIT => '0' ) port map ( I => busy_OUTPUT_OFF_ODDRIN1_MUX, CE => busy_OUTPUT_OFF_PCICE_MUX_4134, CLK => busy_OUTPUT_OTCLK1INV_4127, SET => GND, RST => GND, SSET => busy_OUTPUT_OFF_OREV_USED_4139, SRST => busy_OUTPUT_OFF_OSR_USED_4137, O => busy_in_1_4141 ); busy_OUTPUT_OTCLK1INV : X_BUF generic map( LOC => "PAD41", PATHPULSE => 638 ps ) port map ( I => clk_BUFGP, O => busy_OUTPUT_OTCLK1INV_4127 ); counter_or000011 : X_LUT4 generic map( INIT => X"FF80", LOC => "SLICE_X48Y83" ) port map ( ADR0 => counter_cmp_eq000010_0, ADR1 => busy_in_1448, ADR2 => counter_cmp_eq000023_1460, ADR3 => busy_in_cmp_eq0000_1409, O => counter_or00001 ); Mtridata_MDIO_and0000135_F : X_LUT4 generic map( INIT => X"0036", LOC => "SLICE_X15Y65" ) port map ( ADR0 => read_receive_data_0_cmp_gt00001_1316, ADR1 => bit_counter(6), ADR2 => bit_counter(4), ADR3 => bit_counter(7), O => N22 ); Mtridata_MDIO_and0000135_G : X_LUT4 generic map( INIT => X"1010", LOC => "SLICE_X15Y65" ) port map ( ADR0 => bit_counter(7), ADR1 => bit_counter(6), ADR2 => N20_0, ADR3 => VCC, O => N23 ); Mtridata_MDIO_and0000282 : X_LUT4 generic map( INIT => X"7FFF", LOC => "SLICE_X20Y78" ) port map ( ADR0 => bit_counter(0), ADR1 => bit_counter(1), ADR2 => bit_counter(2), ADR3 => bit_counter(3), O => Mtridata_MDIO_and0000282_4219 ); Mtridata_MDIO_and0000281 : X_LUT4 generic map( INIT => X"7F74", LOC => "SLICE_X20Y78" ) port map ( ADR0 => bit_counter(0), ADR1 => bit_counter(1), ADR2 => bit_counter(2), ADR3 => bit_counter(3), O => Mtridata_MDIO_and0000281_4226 ); read_send_data_0_cmp_lt0000139 : X_LUT4 generic map( INIT => X"22A2", LOC => "SLICE_X2Y64" ) port map ( ADR0 => read_send_data_0_cmp_lt0000134_0, ADR1 => bit_counter(5), ADR2 => read_send_data_0_cmp_lt0000112_0, ADR3 => bit_counter(4), O => read_send_data_0_cmp_lt0000_pack_1 ); read_send_data_10_and0000 : X_LUT4 generic map( INIT => X"FE00", LOC => "SLICE_X2Y64" ) port map ( ADR0 => read_receive_data_0_cmp_gt00001_1316, ADR1 => N10_0, ADR2 => bit_counter(6), ADR3 => read_send_data_0_cmp_lt0000, O => read_send_data_10_and0000_4251 ); read_receive_data_0_and0000_SW2 : X_LUT4 generic map( INIT => X"FFFB", LOC => "SLICE_X3Y82" ) port map ( ADR0 => bit_counter(4), ADR1 => bit_counter_6_1_1403, ADR2 => latched_write_read_1343, ADR3 => bit_counter(5), O => read_receive_data_0_and0000_SW2_O_pack_1 ); read_receive_data_0_and0000 : X_LUT4 generic map( INIT => X"0131", LOC => "SLICE_X3Y82" ) port map ( ADR0 => read_receive_data_0_and0000_SW2_O, ADR1 => bit_counter(7), ADR2 => read_receive_data_0_cmp_gt000011_0, ADR3 => N18_0, O => read_receive_data_0_and0000_4275 ); read_receive_data_0_cmp_gt00001 : X_LUT4 generic map( INIT => X"FFFE", LOC => "SLICE_X14Y79" ) port map ( ADR0 => bit_counter(2), ADR1 => bit_counter(0), ADR2 => bit_counter(1), ADR3 => bit_counter(3), O => read_receive_data_0_cmp_gt00001_pack_1 ); write_send_data_and0000 : X_LUT4 generic map( INIT => X"0451", LOC => "SLICE_X14Y79" ) port map ( ADR0 => bit_counter(7), ADR1 => N8_0, ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => bit_counter(6), O => write_send_data_and0000_4299 ); busy_in_cmp_eq000011 : X_LUT4 generic map( INIT => X"0005", LOC => "SLICE_X12Y78" ) port map ( ADR0 => bit_counter(7), ADR1 => VCC, ADR2 => bit_counter(5), ADR3 => bit_counter(4), O => N3_pack_1 ); write_send_data_not00011 : X_LUT4 generic map( INIT => X"FF10", LOC => "SLICE_X12Y78" ) port map ( ADR0 => read_receive_data_0_cmp_gt00001_1316, ADR1 => bit_counter(6), ADR2 => N3, ADR3 => write_send_data_and0000_0, O => write_send_data_not0001 ); write_send_data_mux00521 : X_LUT4 generic map( INIT => X"CFC0", LOC => "SLICE_X0Y45" ) port map ( ADR0 => VCC, ADR1 => latched_data(10), ADR2 => read_send_data_11_not0001_inv, ADR3 => write_send_data(9), O => write_send_data_mux0052 ); write_send_data_10 : X_SFF generic map( LOC => "SLICE_X0Y45", INIT => '0' ) port map ( I => write_send_data_11_DYMUX_4348, CE => write_send_data_11_CEINV_4337, CLK => write_send_data_11_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_11_SRINV_4339, O => write_send_data(10) ); write_send_data_mux00511 : X_LUT4 generic map( INIT => X"FC0C", LOC => "SLICE_X0Y45" ) port map ( ADR0 => VCC, ADR1 => write_send_data(10), ADR2 => read_send_data_11_not0001_inv, ADR3 => latched_data(11), O => write_send_data_mux0051 ); write_send_data_11 : X_SFF generic map( LOC => "SLICE_X0Y45", INIT => '0' ) port map ( I => write_send_data_11_DXMUX_4362, CE => write_send_data_11_CEINV_4337, CLK => write_send_data_11_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_11_SRINV_4339, O => write_send_data(11) ); write_send_data_mux00441 : X_LUT4 generic map( INIT => X"FC0C", LOC => "SLICE_X7Y3" ) port map ( ADR0 => VCC, ADR1 => write_send_data(19), ADR2 => read_send_data_11_not0001_inv, ADR3 => latched_reg_addr(2), O => write_send_data_mux0044 ); write_send_data_20 : X_SFF generic map( LOC => "SLICE_X7Y3", INIT => '0' ) port map ( I => write_send_data_21_DYMUX_4390, CE => write_send_data_21_CEINV_4379, CLK => write_send_data_21_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_21_SRINV_4381, O => write_send_data(20) ); write_send_data_mux00431 : X_LUT4 generic map( INIT => X"CFC0", LOC => "SLICE_X7Y3" ) port map ( ADR0 => VCC, ADR1 => latched_reg_addr(3), ADR2 => read_send_data_11_not0001_inv, ADR3 => write_send_data(20), O => write_send_data_mux0043 ); write_send_data_21 : X_SFF generic map( LOC => "SLICE_X7Y3", INIT => '0' ) port map ( I => write_send_data_21_DXMUX_4404, CE => write_send_data_21_CEINV_4379, CLK => write_send_data_21_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_21_SRINV_4381, O => write_send_data(21) ); write_send_data_mux00501 : X_LUT4 generic map( INIT => X"CCF0", LOC => "SLICE_X0Y40" ) port map ( ADR0 => VCC, ADR1 => latched_data(12), ADR2 => write_send_data(11), ADR3 => read_send_data_11_not0001_inv, O => write_send_data_mux0050 ); write_send_data_12 : X_SFF generic map( LOC => "SLICE_X0Y40", INIT => '0' ) port map ( I => write_send_data_13_DYMUX_4432, CE => write_send_data_13_CEINV_4421, CLK => write_send_data_13_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_13_SRINV_4423, O => write_send_data(12) ); write_send_data_mux00491 : X_LUT4 generic map( INIT => X"EE44", LOC => "SLICE_X0Y40" ) port map ( ADR0 => read_send_data_11_not0001_inv, ADR1 => write_send_data(12), ADR2 => VCC, ADR3 => latched_data(13), O => write_send_data_mux0049 ); write_send_data_13 : X_SFF generic map( LOC => "SLICE_X0Y40", INIT => '0' ) port map ( I => write_send_data_13_DXMUX_4446, CE => write_send_data_13_CEINV_4421, CLK => write_send_data_13_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_13_SRINV_4423, O => write_send_data(13) ); read_send_data_0_mux00001 : X_LUT4 generic map( INIT => X"0020", LOC => "SLICE_X0Y30" ) port map ( ADR0 => N3, ADR1 => read_receive_data_0_cmp_gt00001_1316, ADR2 => reg_addr_0_IBUF_1361, ADR3 => bit_counter(6), O => read_send_data_0_mux0000 ); read_send_data_0 : X_SFF generic map( LOC => "SLICE_X0Y30", INIT => '0' ) port map ( I => read_send_data_1_DYMUX_4474, CE => read_send_data_1_CEINV_4464, CLK => read_send_data_1_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => read_send_data_1_SRINV_4466, O => read_send_data(0) ); read_send_data_1_mux00001 : X_LUT4 generic map( INIT => X"DD88", LOC => "SLICE_X0Y30" ) port map ( ADR0 => read_send_data_11_not0001_inv, ADR1 => reg_addr_1_IBUF_1360, ADR2 => VCC, ADR3 => read_send_data(0), O => read_send_data_1_mux0000 ); read_send_data_1 : X_SFF generic map( LOC => "SLICE_X0Y30", INIT => '0' ) port map ( I => read_send_data_1_DXMUX_4488, CE => read_send_data_1_CEINV_4464, CLK => read_send_data_1_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => read_send_data_1_SRINV_4466, O => read_send_data(1) ); write_send_data_mux00341 : X_LUT4 generic map( INIT => X"ABAA", LOC => "SLICE_X22Y31" ) port map ( ADR0 => write_send_data(29), ADR1 => bit_counter(6), ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => N3, O => write_send_data_mux0034 ); write_send_data_30 : X_SFF generic map( LOC => "SLICE_X22Y31", INIT => '0' ) port map ( I => write_send_data_31_DYMUX_4517, CE => write_send_data_31_CEINV_4507, CLK => write_send_data_31_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_31_SRINV_4509, O => write_send_data(30) ); write_send_data_mux00331 : X_LUT4 generic map( INIT => X"C8CC", LOC => "SLICE_X22Y31" ) port map ( ADR0 => bit_counter(6), ADR1 => write_send_data(30), ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => N3, O => write_send_data_mux0033 ); write_send_data_31 : X_SFF generic map( LOC => "SLICE_X22Y31", INIT => '0' ) port map ( I => write_send_data_31_DXMUX_4530, CE => write_send_data_31_CEINV_4507, CLK => write_send_data_31_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_31_SRINV_4509, O => write_send_data(31) ); write_send_data_mux00421 : X_LUT4 generic map( INIT => X"ACAC", LOC => "SLICE_X12Y9" ) port map ( ADR0 => latched_reg_addr(4), ADR1 => write_send_data(21), ADR2 => read_send_data_11_not0001_inv, ADR3 => VCC, O => write_send_data_mux0042 ); write_send_data_22 : X_SFF generic map( LOC => "SLICE_X12Y9", INIT => '0' ) port map ( I => write_send_data_23_DYMUX_4558, CE => write_send_data_23_CEINV_4547, CLK => write_send_data_23_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_23_SRINV_4549, O => write_send_data(22) ); write_send_data_mux00411 : X_LUT4 generic map( INIT => X"DD88", LOC => "SLICE_X12Y9" ) port map ( ADR0 => read_send_data_11_not0001_inv, ADR1 => latched_phy_addr(0), ADR2 => VCC, ADR3 => write_send_data(22), O => write_send_data_mux0041 ); write_send_data_23 : X_SFF generic map( LOC => "SLICE_X12Y9", INIT => '0' ) port map ( I => write_send_data_23_DXMUX_4572, CE => write_send_data_23_CEINV_4547, CLK => write_send_data_23_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_23_SRINV_4549, O => write_send_data(23) ); write_send_data_mux00481 : X_LUT4 generic map( INIT => X"CCF0", LOC => "SLICE_X1Y37" ) port map ( ADR0 => VCC, ADR1 => latched_data(14), ADR2 => write_send_data(13), ADR3 => read_send_data_11_not0001_inv, O => write_send_data_mux0048 ); write_send_data_14 : X_SFF generic map( LOC => "SLICE_X1Y37", INIT => '0' ) port map ( I => write_send_data_15_DYMUX_4600, CE => write_send_data_15_CEINV_4589, CLK => write_send_data_15_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_15_SRINV_4591, O => write_send_data(14) ); write_send_data_mux00471 : X_LUT4 generic map( INIT => X"F5A0", LOC => "SLICE_X1Y37" ) port map ( ADR0 => read_send_data_11_not0001_inv, ADR1 => VCC, ADR2 => latched_data(15), ADR3 => write_send_data(14), O => write_send_data_mux0047 ); write_send_data_15 : X_SFF generic map( LOC => "SLICE_X1Y37", INIT => '0' ) port map ( I => write_send_data_15_DXMUX_4614, CE => write_send_data_15_CEINV_4589, CLK => write_send_data_15_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_15_SRINV_4591, O => write_send_data(15) ); read_send_data_2_mux00001 : X_LUT4 generic map( INIT => X"AAF0", LOC => "SLICE_X0Y27" ) port map ( ADR0 => reg_addr_2_IBUF_1366, ADR1 => VCC, ADR2 => read_send_data(1), ADR3 => read_send_data_11_not0001_inv, O => read_send_data_2_mux0000 ); read_send_data_2 : X_SFF generic map( LOC => "SLICE_X0Y27", INIT => '0' ) port map ( I => read_send_data_3_DYMUX_4642, CE => read_send_data_3_CEINV_4631, CLK => read_send_data_3_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => read_send_data_3_SRINV_4633, O => read_send_data(2) ); read_send_data_3_mux00001 : X_LUT4 generic map( INIT => X"CFC0", LOC => "SLICE_X0Y27" ) port map ( ADR0 => VCC, ADR1 => reg_addr_3_IBUF_1365, ADR2 => read_send_data_11_not0001_inv, ADR3 => read_send_data(2), O => read_send_data_3_mux0000 ); read_send_data_3 : X_SFF generic map( LOC => "SLICE_X0Y27", INIT => '0' ) port map ( I => read_send_data_3_DXMUX_4656, CE => read_send_data_3_CEINV_4631, CLK => read_send_data_3_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => read_send_data_3_SRINV_4633, O => read_send_data(3) ); write_send_data_mux00241 : X_LUT4 generic map( INIT => X"CCCE", LOC => "SLICE_X22Y48" ) port map ( ADR0 => N3, ADR1 => write_send_data(39), ADR2 => bit_counter(6), ADR3 => read_receive_data_0_cmp_gt00001_1316, O => write_send_data_mux0024 ); write_send_data_40 : X_SFF generic map( LOC => "SLICE_X22Y48", INIT => '0' ) port map ( I => write_send_data_41_DYMUX_4685, CE => write_send_data_41_CEINV_4675, CLK => write_send_data_41_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_41_SRINV_4677, O => write_send_data(40) ); write_send_data_mux00231 : X_LUT4 generic map( INIT => X"CCCE", LOC => "SLICE_X22Y48" ) port map ( ADR0 => N3, ADR1 => write_send_data(40), ADR2 => bit_counter(6), ADR3 => read_receive_data_0_cmp_gt00001_1316, O => write_send_data_mux0023 ); write_send_data_41 : X_SFF generic map( LOC => "SLICE_X22Y48", INIT => '0' ) port map ( I => write_send_data_41_DXMUX_4698, CE => write_send_data_41_CEINV_4675, CLK => write_send_data_41_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_41_SRINV_4677, O => write_send_data(41) ); write_send_data_mux00321 : X_LUT4 generic map( INIT => X"F1F0", LOC => "SLICE_X22Y35" ) port map ( ADR0 => read_receive_data_0_cmp_gt00001_1316, ADR1 => bit_counter(6), ADR2 => write_send_data(31), ADR3 => N3, O => write_send_data_mux0032 ); write_send_data_32 : X_SFF generic map( LOC => "SLICE_X22Y35", INIT => '0' ) port map ( I => write_send_data_33_DYMUX_4727, CE => write_send_data_33_CEINV_4717, CLK => write_send_data_33_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_33_SRINV_4719, O => write_send_data(32) ); write_send_data_mux00311 : X_LUT4 generic map( INIT => X"FF04", LOC => "SLICE_X22Y35" ) port map ( ADR0 => read_receive_data_0_cmp_gt00001_1316, ADR1 => N3, ADR2 => bit_counter(6), ADR3 => write_send_data(32), O => write_send_data_mux0031 ); write_send_data_33 : X_SFF generic map( LOC => "SLICE_X22Y35", INIT => '0' ) port map ( I => write_send_data_33_DXMUX_4740, CE => write_send_data_33_CEINV_4717, CLK => write_send_data_33_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_33_SRINV_4719, O => write_send_data(33) ); write_send_data_mux00401 : X_LUT4 generic map( INIT => X"FC0C", LOC => "SLICE_X15Y15" ) port map ( ADR0 => VCC, ADR1 => write_send_data(23), ADR2 => read_send_data_11_not0001_inv, ADR3 => latched_phy_addr(1), O => write_send_data_mux0040 ); write_send_data_24 : X_SFF generic map( LOC => "SLICE_X15Y15", INIT => '0' ) port map ( I => write_send_data_25_DYMUX_4768, CE => write_send_data_25_CEINV_4757, CLK => write_send_data_25_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_25_SRINV_4759, O => write_send_data(24) ); write_send_data_mux00391 : X_LUT4 generic map( INIT => X"CFC0", LOC => "SLICE_X15Y15" ) port map ( ADR0 => VCC, ADR1 => latched_phy_addr(2), ADR2 => read_send_data_11_not0001_inv, ADR3 => write_send_data(24), O => write_send_data_mux0039 ); write_send_data_25 : X_SFF generic map( LOC => "SLICE_X15Y15", INIT => '0' ) port map ( I => write_send_data_25_DXMUX_4782, CE => write_send_data_25_CEINV_4757, CLK => write_send_data_25_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_25_SRINV_4759, O => write_send_data(25) ); write_send_data_index0003LogicTrst1 : X_LUT4 generic map( INIT => X"CDCC", LOC => "SLICE_X12Y31" ) port map ( ADR0 => read_receive_data_0_cmp_gt00001_1316, ADR1 => write_send_data(15), ADR2 => bit_counter(6), ADR3 => N3, O => write_send_data_index0003 ); write_send_data_16 : X_SFF generic map( LOC => "SLICE_X12Y31", INIT => '0' ) port map ( I => write_send_data_17_DYMUX_4811, CE => write_send_data_17_CEINV_4801, CLK => write_send_data_17_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_17_SRINV_4803, O => write_send_data(16) ); write_send_data_index0001LogicTrst1 : X_LUT4 generic map( INIT => X"FF04", LOC => "SLICE_X12Y31" ) port map ( ADR0 => read_receive_data_0_cmp_gt00001_1316, ADR1 => N3, ADR2 => bit_counter(6), ADR3 => write_send_data(16), O => write_send_data_index0001 ); write_send_data_17 : X_SFF generic map( LOC => "SLICE_X12Y31", INIT => '0' ) port map ( I => write_send_data_17_DXMUX_4824, CE => write_send_data_17_CEINV_4801, CLK => write_send_data_17_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_17_SRINV_4803, O => write_send_data(17) ); read_send_data_4_mux00001 : X_LUT4 generic map( INIT => X"F5A0", LOC => "SLICE_X1Y29" ) port map ( ADR0 => read_send_data_11_not0001_inv, ADR1 => VCC, ADR2 => reg_addr_4_IBUF_1369, ADR3 => read_send_data(3), O => read_send_data_4_mux0000 ); read_send_data_4 : X_SFF generic map( LOC => "SLICE_X1Y29", INIT => '0' ) port map ( I => read_send_data_5_DYMUX_4852, CE => read_send_data_5_CEINV_4841, CLK => read_send_data_5_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => read_send_data_5_SRINV_4843, O => read_send_data(4) ); read_send_data_5_mux00001 : X_LUT4 generic map( INIT => X"DD88", LOC => "SLICE_X1Y29" ) port map ( ADR0 => read_send_data_11_not0001_inv, ADR1 => phy_addr_0_IBUF_1387, ADR2 => VCC, ADR3 => read_send_data(4), O => read_send_data_5_mux0000 ); read_send_data_5 : X_SFF generic map( LOC => "SLICE_X1Y29", INIT => '0' ) port map ( I => read_send_data_5_DXMUX_4866, CE => read_send_data_5_CEINV_4841, CLK => read_send_data_5_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => read_send_data_5_SRINV_4843, O => read_send_data(5) ); write_send_data_mux00141 : X_LUT4 generic map( INIT => X"FF02", LOC => "SLICE_X21Y54" ) port map ( ADR0 => N3, ADR1 => bit_counter(6), ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => write_send_data(49), O => write_send_data_mux0014 ); write_send_data_50 : X_SFF generic map( LOC => "SLICE_X21Y54", INIT => '0' ) port map ( I => write_send_data_51_DYMUX_4895, CE => write_send_data_51_CEINV_4885, CLK => write_send_data_51_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_51_SRINV_4887, O => write_send_data(50) ); write_send_data_mux00131 : X_LUT4 generic map( INIT => X"AAAE", LOC => "SLICE_X21Y54" ) port map ( ADR0 => write_send_data(50), ADR1 => N3, ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => bit_counter(6), O => write_send_data_mux0013 ); write_send_data_51 : X_SFF generic map( LOC => "SLICE_X21Y54", INIT => '0' ) port map ( I => write_send_data_51_DXMUX_4908, CE => write_send_data_51_CEINV_4885, CLK => write_send_data_51_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_51_SRINV_4887, O => write_send_data(51) ); write_send_data_mux00221 : X_LUT4 generic map( INIT => X"AAAE", LOC => "SLICE_X22Y49" ) port map ( ADR0 => write_send_data(41), ADR1 => N3, ADR2 => bit_counter(6), ADR3 => read_receive_data_0_cmp_gt00001_1316, O => write_send_data_mux0022 ); write_send_data_42 : X_SFF generic map( LOC => "SLICE_X22Y49", INIT => '0' ) port map ( I => write_send_data_43_DYMUX_4937, CE => write_send_data_43_CEINV_4927, CLK => write_send_data_43_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_43_SRINV_4929, O => write_send_data(42) ); write_send_data_mux00211 : X_LUT4 generic map( INIT => X"FF02", LOC => "SLICE_X22Y49" ) port map ( ADR0 => N3, ADR1 => read_receive_data_0_cmp_gt00001_1316, ADR2 => bit_counter(6), ADR3 => write_send_data(42), O => write_send_data_mux0021 ); write_send_data_43 : X_SFF generic map( LOC => "SLICE_X22Y49", INIT => '0' ) port map ( I => write_send_data_43_DXMUX_4950, CE => write_send_data_43_CEINV_4927, CLK => write_send_data_43_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_43_SRINV_4929, O => write_send_data(43) ); write_send_data_mux00301 : X_LUT4 generic map( INIT => X"FF10", LOC => "SLICE_X21Y41" ) port map ( ADR0 => read_receive_data_0_cmp_gt00001_1316, ADR1 => bit_counter(6), ADR2 => N3, ADR3 => write_send_data(33), O => write_send_data_mux0030 ); write_send_data_34 : X_SFF generic map( LOC => "SLICE_X21Y41", INIT => '0' ) port map ( I => write_send_data_35_DYMUX_4979, CE => write_send_data_35_CEINV_4969, CLK => write_send_data_35_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_35_SRINV_4971, O => write_send_data(34) ); write_send_data_mux00291 : X_LUT4 generic map( INIT => X"FF10", LOC => "SLICE_X21Y41" ) port map ( ADR0 => read_receive_data_0_cmp_gt00001_1316, ADR1 => bit_counter(6), ADR2 => N3, ADR3 => write_send_data(34), O => write_send_data_mux0029 ); write_send_data_35 : X_SFF generic map( LOC => "SLICE_X21Y41", INIT => '0' ) port map ( I => write_send_data_35_DXMUX_4992, CE => write_send_data_35_CEINV_4969, CLK => write_send_data_35_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_35_SRINV_4971, O => write_send_data(35) ); write_send_data_mux00381 : X_LUT4 generic map( INIT => X"FC0C", LOC => "SLICE_X19Y15" ) port map ( ADR0 => VCC, ADR1 => write_send_data(25), ADR2 => read_send_data_11_not0001_inv, ADR3 => latched_phy_addr(3), O => write_send_data_mux0038 ); write_send_data_26 : X_SFF generic map( LOC => "SLICE_X19Y15", INIT => '0' ) port map ( I => write_send_data_27_DYMUX_5020, CE => write_send_data_27_CEINV_5009, CLK => write_send_data_27_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_27_SRINV_5011, O => write_send_data(26) ); write_send_data_mux00371 : X_LUT4 generic map( INIT => X"CFC0", LOC => "SLICE_X19Y15" ) port map ( ADR0 => VCC, ADR1 => latched_phy_addr(4), ADR2 => read_send_data_11_not0001_inv, ADR3 => write_send_data(26), O => write_send_data_mux0037 ); write_send_data_27 : X_SFF generic map( LOC => "SLICE_X19Y15", INIT => '0' ) port map ( I => write_send_data_27_DXMUX_5034, CE => write_send_data_27_CEINV_5009, CLK => write_send_data_27_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_27_SRINV_5011, O => write_send_data(27) ); write_send_data_54 : X_SFF generic map( LOC => "SLICE_X20Y54", INIT => '0' ) port map ( I => write_send_data_55_DYMUX_5441, CE => write_send_data_55_CEINV_5431, CLK => write_send_data_55_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_55_SRINV_5433, O => write_send_data(54) ); write_send_data_mux00091 : X_LUT4 generic map( INIT => X"FF04", LOC => "SLICE_X20Y54" ) port map ( ADR0 => read_receive_data_0_cmp_gt00001_1316, ADR1 => N3, ADR2 => bit_counter(6), ADR3 => write_send_data(54), O => write_send_data_mux0009 ); write_send_data_55 : X_SFF generic map( LOC => "SLICE_X20Y54", INIT => '0' ) port map ( I => write_send_data_55_DXMUX_5454, CE => write_send_data_55_CEINV_5431, CLK => write_send_data_55_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_55_SRINV_5433, O => write_send_data(55) ); write_send_data_mux00181 : X_LUT4 generic map( INIT => X"FF02", LOC => "SLICE_X21Y55" ) port map ( ADR0 => N3, ADR1 => bit_counter(6), ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => write_send_data(45), O => write_send_data_mux0018 ); write_send_data_46 : X_SFF generic map( LOC => "SLICE_X21Y55", INIT => '0' ) port map ( I => write_send_data_47_DYMUX_5483, CE => write_send_data_47_CEINV_5473, CLK => write_send_data_47_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_47_SRINV_5475, O => write_send_data(46) ); write_send_data_mux00171 : X_LUT4 generic map( INIT => X"FF02", LOC => "SLICE_X21Y55" ) port map ( ADR0 => N3, ADR1 => bit_counter(6), ADR2 => read_receive_data_0_cmp_gt00001_1316, ADR3 => write_send_data(46), O => write_send_data_mux0017 ); write_send_data_47 : X_SFF generic map( LOC => "SLICE_X21Y55", INIT => '0' ) port map ( I => write_send_data_47_DXMUX_5496, CE => write_send_data_47_CEINV_5473, CLK => write_send_data_47_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_47_SRINV_5475, O => write_send_data(47) ); write_send_data_mux00261 : X_LUT4 generic map( INIT => X"AAAE", LOC => "SLICE_X20Y49" ) port map ( ADR0 => write_send_data(37), ADR1 => N3, ADR2 => bit_counter(6), ADR3 => read_receive_data_0_cmp_gt00001_1316, O => write_send_data_mux0026 ); write_send_data_38 : X_SFF generic map( LOC => "SLICE_X20Y49", INIT => '0' ) port map ( I => write_send_data_39_DYMUX_5525, CE => write_send_data_39_CEINV_5515, CLK => write_send_data_39_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_39_SRINV_5517, O => write_send_data(38) ); write_send_data_mux00251 : X_LUT4 generic map( INIT => X"FF02", LOC => "SLICE_X20Y49" ) port map ( ADR0 => N3, ADR1 => read_receive_data_0_cmp_gt00001_1316, ADR2 => bit_counter(6), ADR3 => write_send_data(38), O => write_send_data_mux0025 ); write_send_data_39 : X_SFF generic map( LOC => "SLICE_X20Y49", INIT => '0' ) port map ( I => write_send_data_39_DXMUX_5538, CE => write_send_data_39_CEINV_5515, CLK => write_send_data_39_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_39_SRINV_5517, O => write_send_data(39) ); write_send_data_mux00081 : X_LUT4 generic map( INIT => X"FF02", LOC => "SLICE_X19Y52" ) port map ( ADR0 => N3, ADR1 => read_receive_data_0_cmp_gt00001_1316, ADR2 => bit_counter(6), ADR3 => write_send_data(55), O => write_send_data_mux0008 ); write_send_data_56 : X_SFF generic map( LOC => "SLICE_X19Y52", INIT => '0' ) port map ( I => write_send_data_57_DYMUX_5567, CE => write_send_data_57_CEINV_5557, CLK => write_send_data_57_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_57_SRINV_5559, O => write_send_data(56) ); write_send_data_mux00071 : X_LUT4 generic map( INIT => X"AAAE", LOC => "SLICE_X19Y52" ) port map ( ADR0 => write_send_data(56), ADR1 => N3, ADR2 => bit_counter(6), ADR3 => read_receive_data_0_cmp_gt00001_1316, O => write_send_data_mux0007 ); write_send_data_57 : X_SFF generic map( LOC => "SLICE_X19Y52", INIT => '0' ) port map ( I => write_send_data_57_DXMUX_5580, CE => write_send_data_57_CEINV_5557, CLK => write_send_data_57_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_57_SRINV_5559, O => write_send_data(57) ); write_send_data_mux00161 : X_LUT4 generic map( INIT => X"F1F0", LOC => "SLICE_X20Y55" ) port map ( ADR0 => bit_counter(6), ADR1 => read_receive_data_0_cmp_gt00001_1316, ADR2 => write_send_data(47), ADR3 => N3, O => write_send_data_mux0016 ); write_send_data_48 : X_SFF generic map( LOC => "SLICE_X20Y55", INIT => '0' ) port map ( I => write_send_data_49_DYMUX_5609, CE => write_send_data_49_CEINV_5599, CLK => write_send_data_49_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_49_SRINV_5601, O => write_send_data(48) ); write_send_data_mux00151 : X_LUT4 generic map( INIT => X"CDCC", LOC => "SLICE_X20Y55" ) port map ( ADR0 => read_receive_data_0_cmp_gt00001_1316, ADR1 => write_send_data(48), ADR2 => bit_counter(6), ADR3 => N3, O => write_send_data_mux0015 ); write_send_data_49 : X_SFF generic map( LOC => "SLICE_X20Y55", INIT => '0' ) port map ( I => write_send_data_49_DXMUX_5622, CE => write_send_data_49_CEINV_5599, CLK => write_send_data_49_CLKINVNOT, SET => GND, RST => GND, SSET => GND, SRST => write_send_data_49_SRINV_5601, O => write_send_data(49) ); bit_counter_0_G_X_LUT4 : X_LUT4 generic map( INIT => X"CCCC", LOC => "SLICE_X15Y78" ) port map ( ADR0 => VCC, ADR1 => bit_counter(1), ADR2 => VCC, ADR3 => VCC, O => bit_counter_0_G ); bit_counter_2_F_X_LUT4 : X_LUT4 generic map( INIT => X"FF00", LOC => "SLICE_X15Y79" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => VCC, ADR3 => bit_counter(2), O => bit_counter_2_F ); bit_counter_2_G_X_LUT4 : X_LUT4 generic map( INIT => X"AAAA", LOC => "SLICE_X15Y79" ) port map ( ADR0 => bit_counter(3), ADR1 => VCC, ADR2 => VCC, ADR3 => VCC, O => bit_counter_2_G ); bit_counter_4_F_X_LUT4 : X_LUT4 generic map( INIT => X"AAAA", LOC => "SLICE_X15Y80" ) port map ( ADR0 => bit_counter(4), ADR1 => VCC, ADR2 => VCC, ADR3 => VCC, O => bit_counter_4_F ); bit_counter_4_G_X_LUT4 : X_LUT4 generic map( INIT => X"CCCC", LOC => "SLICE_X15Y80" ) port map ( ADR0 => VCC, ADR1 => bit_counter(5), ADR2 => VCC, ADR3 => VCC, O => bit_counter_4_G ); bit_counter_6_F_X_LUT4 : X_LUT4 generic map( INIT => X"AAAA", LOC => "SLICE_X15Y81" ) port map ( ADR0 => bit_counter(6), ADR1 => VCC, ADR2 => VCC, ADR3 => VCC, O => bit_counter_6_F ); counter_0_G_X_LUT4 : X_LUT4 generic map( INIT => X"AAAA", LOC => "SLICE_X49Y82" ) port map ( ADR0 => counter(1), ADR1 => VCC, ADR2 => VCC, ADR3 => VCC, O => counter_0_G ); counter_2_F_X_LUT4 : X_LUT4 generic map( INIT => X"F0F0", LOC => "SLICE_X49Y83" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => counter(2), ADR3 => VCC, O => counter_2_F ); counter_2_G_X_LUT4 : X_LUT4 generic map( INIT => X"F0F0", LOC => "SLICE_X49Y83" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => counter(3), ADR3 => VCC, O => counter_2_G ); counter_4_F_X_LUT4 : X_LUT4 generic map( INIT => X"FF00", LOC => "SLICE_X49Y84" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => VCC, ADR3 => counter(4), O => counter_4_F ); counter_4_G_X_LUT4 : X_LUT4 generic map( INIT => X"F0F0", LOC => "SLICE_X49Y84" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => counter(5), ADR3 => VCC, O => counter_4_G ); counter_6_F_X_LUT4 : X_LUT4 generic map( INIT => X"FF00", LOC => "SLICE_X49Y85" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => VCC, ADR3 => counter(6), O => counter_6_F ); MDIO_OUTPUT_TFF_TMUX : X_BUF generic map( LOC => "PAD210", PATHPULSE => 638 ps ) port map ( I => Mtrien_MDIO_1353, O => MDIO_T ); MDIO_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD210", PATHPULSE => 638 ps ) port map ( I => Mtridata_MDIO_1348, O => MDIO_O ); data_out_10_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD220", PATHPULSE => 638 ps ) port map ( I => read_receive_data(10), O => data_out_10_O ); data_out_11_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD218", PATHPULSE => 638 ps ) port map ( I => read_receive_data(11), O => data_out_11_O ); data_out_12_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD217", PATHPULSE => 638 ps ) port map ( I => read_receive_data(12), O => data_out_12_O ); data_out_13_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD216", PATHPULSE => 638 ps ) port map ( I => read_receive_data(13), O => data_out_13_O ); data_out_0_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD232", PATHPULSE => 638 ps ) port map ( I => read_receive_data(0), O => data_out_0_O ); data_out_1_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD227", PATHPULSE => 638 ps ) port map ( I => read_receive_data(1), O => data_out_1_O ); data_out_14_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD215", PATHPULSE => 638 ps ) port map ( I => read_receive_data(14), O => data_out_14_O ); data_out_2_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD230", PATHPULSE => 638 ps ) port map ( I => read_receive_data(2), O => data_out_2_O ); data_out_15_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD213", PATHPULSE => 638 ps ) port map ( I => read_receive_data(15), O => data_out_15_O ); data_out_3_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD229", PATHPULSE => 638 ps ) port map ( I => read_receive_data(3), O => data_out_3_O ); data_out_4_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD5", PATHPULSE => 638 ps ) port map ( I => read_receive_data(4), O => data_out_4_O ); data_out_5_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD226", PATHPULSE => 638 ps ) port map ( I => read_receive_data(5), O => data_out_5_O ); data_out_6_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD225", PATHPULSE => 638 ps ) port map ( I => read_receive_data(6), O => data_out_6_O ); data_out_7_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD223", PATHPULSE => 638 ps ) port map ( I => read_receive_data(7), O => data_out_7_O ); data_out_8_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD222", PATHPULSE => 638 ps ) port map ( I => read_receive_data(8), O => data_out_8_O ); data_out_9_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD221", PATHPULSE => 638 ps ) port map ( I => read_receive_data(9), O => data_out_9_O ); counter_or0000_F_X_LUT4 : X_LUT4 generic map( INIT => X"FFFF", LOC => "SLICE_X48Y83" ) port map ( ADR0 => VCC, ADR1 => VCC, ADR2 => VCC, ADR3 => VCC, O => counter_or0000_F ); MDC_OUTPUT_OFF_OMUX : X_BUF generic map( LOC => "PAD12", PATHPULSE => 638 ps ) port map ( I => Mtridata_MDC_1397, O => MDC_O ); NlwBlock_nowyRXTX_GND : X_ZERO port map ( O => GND ); NlwBlock_nowyRXTX_VCC : X_ONE port map ( O => VCC ); NlwBlockROC : X_ROC generic map (ROC_WIDTH => 100 ns) port map (O => GSR); NlwBlockTOC : X_TOC port map (O => GTS); end Structure;
apache-2.0
37e00ce2de6aa0417bd1de7af103b93f
0.54509
2.999663
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/pkg_dds_compiler_v6_0.vhd
4
179,717
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block okrrrBqYeQxNX59tSjFUXAMlrbyAArdHpGoMYYfX72ATj+s0ekRqi++4D27yspnd7qC90QJw/kEU biSMOuN6Mg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aujzokoGXp7r7A46c04S608RTmZRcdO3mzyGm10rPO5SXYTA+OvuBKN4EcpC056127ZZVUGmjTyC aU4MzhdaET9q+P1DrBlxG66Cm38AO1p90AFgOdWq4L8O/HyRBk9QAZ8P4MGPOtNeyExJU7QH3U2R 6qN/+02ufbmjcPjrK/g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Rz8HGFYiegD/0eCTaddrlTD0Tvz0LreoZBvBmZeC9B7wJBfV1MV+8gO782uUgzFuZx9wzkLVxVNr JcsM6ECk+EO3CuWgbuVreIzPrP6yvZyyzIljmenJ8B9AzqGDNFnlF94SUmpIIszmhw7FWH47lhzl U3cQ4ThytfLEe3EDIArqXqRlO5xSB0UdQ+XIpO6OecYPHWEdNVV+rmwgF4DvOoJ40F1/wMX1ndvs ZY0rusFSpVQV+qbKa/yhuwVkhLMksO9P8GlU0BY9yb6okvALA5TTzdt+kFBoGLiI9/mTBhAonsux iwPm7gc/TVNyGmf9kg6V5iEf+AdsnY6d8riL/Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iOjQZVDPz70DlBydB/rJT6unRkoRvXMEOK7unGMYuJ3C5Mg4pH65KBSvx21iDBngZdIUceJffzoC fWZX4ZvkMae4VKqwSzYyXSEessz7Gk9k9s7ff5CjRisoz0PQrPuQMQUOhW2QUQCnBjWK1/l68zNh 975G1bygsrcPQlvpNJY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block W+f1RhX7WJL7HmeszAN83iVJFofHg8RN5teaaBXcsyJUJE4o0+lme/5fNQPY7qO1iEVpLaENOFP0 jmqQtK8AoOdJWop5Dr7dcPDuT1O/lWwoutREkwcWQWqWguFqOjMaxbh4H1akQetGcQxNhL+NRaKN npoj8R8MOQwDxao76l2NHdlCkcjxDXkAy9i5zotcMYCAZuUGq7zcxNQ4g6zPfK2lEQgQU0tkKJH0 DjCa0C4ZMMtpSRyt4/49jAPGPgRLLsxT5idn9vGfPfHA0Z5VAY+7gVxVManNagtv+fwaOtyFVcSW AzLGPtlQIzbLuGSbneGwrNetU1spB3VXUdtQFA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 131296) `protect data_block T1aVLHGUIHdrf4BR8LLXAf5MIMFCP0wQoNsmAG+ZV7fXjt7Z3dlS3A+Q8c/ZmMPsmfNROQBtyA/l XHDhB11JpNsoo+WYMsIJSCL5s9HM1kiSZxttfH7VP9fi/mu3QgG9LG8jP0Xfo75RKh2pc+T8mjqs Wy4QWkHP74s3YIBP/KTrc68BmJRilquZENKQRvu61NKEU67C6veEw/dSF6SOshT3G24E9Rp+yzFy QWfQD5SXQ568zcMylGJB8yT4JQMN7up3x2MiFrw9293k1li9F6aNLjVMqCJ1y/wCZCq/NjUvxrDv OIPQaPzX2Af8oL+j0hAaxHYTP/hVx0tNkqumM3pGJb3f2Vng8RZLh4T9f68iBjWlf+Go9fiYt/Ue 3WTl5oCdq4IuguLvBc294WxtTvuouqVQ3JcBH9o7hZc2L9hfDwVzJkn27izuJtPudo0gthU41MbP b/ffHy3alAFYynwLPCM7t+ZVfmb1Z3xa/CLyJuA8+d6DgMMShWSZP315wvFItE5juN07SsO3pQgr /9lIUle1PMRhL+IPy5mU7mUthYoCEyEXSbgeHTCQ1EtwsBmj274zJqOwSk7jYU1lQBCSFekmQ4Rp GJ5YV4Urdj0UM/0fZWsDes2hi+GPQQwOjFaSzexYy+YCH9CX9Tk5sTmKfEHX1WNubUy9HXwde03M QL/yVppbJD/WOoZIO4KzND5/5slmaiFEuMYhLKPLtZBgYSRI10Nivq4js9dQRbPAePIWfgIV7vNg UITog+JOtwuvQsKTEi9MfLM9Om1UTpgyE5oZ9GprCIQPKehxDzxgbzkGqxbyF1dnkrzR2rOJP6+k NITh4E2vaf+tLQSjQj4syudOiYOlAFAL8hX5JP2+3XHrN8P3L+Yr14JAbZ/Kg+0gm5sjxT/oxFqy EF9qV3wnZN4Z4pFk0ISwccLhcQW/6RsmDHtmrc2UxZlRQvU2Zk3gHzKqSGn7tepPwrN2j/6FkPwO CmNr/mAsb+t8VMku+Nh5keCiFJOrDG9yK1aV9U6mp+ZlSvyexu5Lpmuxmyrc0/mtXkbCknYJ9hYV 0Q6rqexvWiHR01w3LTy4cGkFCyxtRYNTDO4A+rp9L5PRPXOBlUW9wdTEGBkVTWZ6vPC0heR1QwMK gNwhhC/dYdGzAyH48tiTjC8mgg/HjjPkEHIeTvJ55zfnv/N3bfZFdXRuDdbWUtE85S0VOXX3iuMf xIEOJ0ISjy4grffFpxZEDzl0Ce9GU8K4F0lNCovAf8goavUGpIqfY5WF7fbKPBdrSA24Ln4RME18 fWCBA5EJNYmY+3FmEH6ZaZm7WwDI1GNe0Vgf+Pnm/aN2uo54+L79haDdEnMpXIbv0Lf/dxSS8ZL7 D0SthVxL32c4J8hc0qoy7wrSAVvsB+aAezJOvI2Q26ah9WocB1ZkWsNAD6haw5jQG1xmJmjdh9IP r2H5fqREflPlT66LWF+vXOpe2FdaCDux0Z3/Z/Hbg/1Bg8OJqujj/efYpOGPy2YQOdLC9CdTTQzI H/KCw7GbHAQ3z0t48TPEkMzE4j5NYmvkOBnxGfN69+XaVI2ZAtlLr1jmJCRUTerxr15ae15z6+7C beKKk61LaO4AWd/DsciE2YvnQBmwQNydHKwEM8JA4kaGqTuKs2ooCxKh8y/bhir8Z8rdVNXcl5gk KpCbBsWsgRj2r1EMzlpHmE/06lKn7qxH8RXszGj1etQCHDNtg1TX4lt0nKn9ZtacBGi0WgGQI37J 2ydtxsrFOhmvAR6RiAX3f531eGw7RnODE/9CVnMgk4zX35tTKwq4rFN5cJXP2kIXtfutj/HP0fzm 3K2SYG8nDywPE5+Dm3LQjCWbWM7Ny+ep2wxr7n+HWcSxmfhnmlee0zZStfT7YSa9WY2wGSenAuxj vUd6yE6BFrLgZncrGedzrT0itEWKubRWbLnPiuWUuYIvFDQaWfOcneyVRfREmRnROv2WePx4d7HY 1OsixdFx/WZ14391fsuiAIMB1+Vrm47kaLIZVnhTng+6XqQ2UXZyz5ws8HaEGlVQxy8OhPkONdsr WVFYnqPxxyCLE/2Fv9y92GlseLweI/jeTCBsnpr4ysQqyl3dl/xwEkcGUKmGetXoMSPDKFbfPgfn ERWPFKhCLMWGDgn0zt3+Jwf7mmVCKxbgLUSWXtHzGlB3L5voty60KykzamcuFbFAssCas+R+2m3R LuMgY+REXOBcpetz+nawxHWujC2FcKfTgPjoKXLIWPqGTIkWVyoQJvRIdKhVCtuvkWjOLFPAPrkJ pwMDWrEjPIKSCrQgokROQvFoz2y7UE8NTrFwABicR57zQyyzvpWjuSq8TMuN6zPO8OT9eYV3wLTF jlOC/8AYleQLPQNpqvWmYY76ewz0Nn5Dz/QL+QQ8ePlpwxnBtc8JvS4RKf/V5mHmehrfHC4Y2wo7 3IJowugr1svpGZhWHwfR6l9t0a1YFov9ZwpiAaOK1pm8sGzwEIJ0gkF5HXu5jOO/o/DR/0jpx56b GP2TaUFrNb35qwPSRCPG06sEzP0VV9eZyLlWVgyup7Cwu0ZTkDwJ8i1am52xDHFdID5o4EPaMN4Y iJlB7gEH3tRfjweHYLpQBcwymihDA0Zf8L01TiJ5UKOlT4OqUc1htrUnYUYrokT9LmYB+sZ29BrT zzK/GhBMOYQod7vdTZ/wTTrf1elqcGZLxwoCJK/0eRudsw6JoN5Lp2aEmpyG4IwHEvQZx3f68/tD Hv/0FyfbnfVCnqfWrfxdu+KgaEz+FYDB00I20LQ/grTv9qC+LlwQjI/5eR4MWBe4aSDUKhW6o3rS 0HIUSn5D3JUy56D7ECclArSlaZlxkAhHtdDuqS8H/i91O6oADy1ljm4EqQgg4sKhmVktlZg8Kr8q QCRTyi+LP+VAI47g99ocC0V6VL9jRSDp8HH8/PofbOL6NLp6kdaDew1+9HmX97g2Ad2cU6jd1OTa MbS2TYE3znGvotNY8lKjlWrz5wPPeVKpT+XAxxqaaGX0Oon/3WAjbZZ+9VRyWEwUPFmEmSnuLwwZ V5qD28zfYZnI02d3YG4dLQcEowvVPIOkAuzDMcL0B+cvkaqM1beI4/eWq5e16lKTTvpgXa9o5jiC BM+mWgmMCyLZHI6SIwlit+UA4TinIlgKMik1o1kepLZXWvxmaI9yC1Fve0K6J+wz4eUmZoJp7rDA IKNCxe0uE3LfLaJbYb35E3GyT78z1rTOt0W4gRH3rPAQ6tpIqRrQtFOVM1L41Roqm4gfyAeFlTL2 b7t9W6XzKkNJQiZgO0QW7KUIA3YjDUWvk0p26vCcY+3ISsz8sedZQdpri8Akmh+Yz1B6bG7pBRGq IioEYYH/8ZxfozwSW+yus+PhOZ012Yyc04lJL4WYSKwWMs10M0Eh+VFUJZyPgXKQyR8xnFyEacBJ W97WlCsDFMzCTMHQuTG8kTaGg7h5dMPREZHZ+Fep2EOhIQ5A55l14hPIHWWtC5RLIMW+WECGdzae IdFIpy0f2SBifUFYFxcBfvBtmdG/+N+MAtUP6PMFkLojZGEDai+ZL9Q9t2rJpHFF6NDapZ1KqZHV Euf0zAWLEH5tHKlF49e4q7LFQ2f5ARatRZcSg5gRs9muyaIdolFD2seu6XLqy8vpWGAmPF4VkfK3 1Z/JG5xzh9TZ9dw/u8Sj10ZCfqhzIPjDgWy/Nq+6rlkRBu3Ipl/FCcwIwiJ8tPXqHdk4NJUlrzrR ZUwGreAiHOSJ37sQY0NDmGkjR+qfZsZBYqZjbJVhfDKnfIF6wo6Dr70rr4Gzp0icivx34B9gaVl6 qHaAmMLT10uKMNNPh9uEdrEnqmEFVqRow/CH2dcfgtlPh/EkNyXbB3BtLeEOI4Q8bTvsbmwAtvJd 017ahiV1Tra37LC3UigRoK1uZ/d/+Y8GyrH8vapAyNPnRrfIAbVbZkduIQ8PMwo7TOtlA+lPcKrn j8pghfw81oQPddeTQV46Wpf+vhxjEw24HAbfY3CO6WKOM+nnj3E2HNuqCkH9dNyUGd/ayGICkr9C HdEXuFNwFLiZ9x3dR06m6gUNo10SZZHdXprTwn6+JHTYMXwdlE38+193OiRgalpchmcN/0ovNUhZ IwUsANs2F1r8boLK6ZEAUHj5TO/I8uKOk6dQc5lJKQ85+6g45Weu1ZmtQ77+eMZ4bDYqn5dplFqz rAJUllpA1ShCjqz5wemUFXJW29DbBrypjD1Tg1RGrbmf5DPdvw8D1h8oHzixCywMuIt8uH9DBwam w+oKdTERQERNKxOmNwUH3rAHXeXbIWXyUcWBL2lTxBO0T55fUN/V7fSuRLVkmlFzdYOR/FGNb1J+ d4k0wcXsaV6jVGAZo8Y25wU1++RogPOzAmZyLfagLOTnDEGG5oPGyhYFVsw6JCCzOLZWECSRqUIn UhtX1W8PJG9ZpbjGkI6Mx/IAwfq05gwul+vdbjmQzEyvdOrzAws05DR8JOlYOMzcw2h8mE2y1TBi eGMRJPeCmc7V46D2eA6yC/76w/k1no5fcQsPmYj2S5TqNqtphoYvhYyqVXOf1bg7CHXjPUcJru8w 76B8yEhGKHgxDWZc7FRXJgVXqrgO3biF6MZJcmQsXV2TqyD/A/LPU1qKX5rCLydFprxANpo+bM+/ OWnDkNrFa9hU84jhif9zAkpJ5+jZusFiweGarYZxJhQFLuBmac28B2S6BeXkpAwHvXAx1a5EjF05 bMf2WH1PbtS/tf2tpTyyvYzzN+dtYRJTuo9hON3BLSC6Xo4dybHGsGYQOf3duYfjlMUXq5KXz+/b b4gghzRejbn1aBPDFxm76uZK1pp1BlDxBaLqkM03GmXmL0Ey1PoRnM169X1c4QT0sKZiwQYqj66J cILsDtKSpKnR4Kaa3b3zv0disjZQpk/O0JtsTnyVagvcJE0IEUknUjZQZJbkUs2MONErF2Ul4YGi qhm8odcc90VdL5RoCUOq6s7yeCneTEWTcnIr8sucQLxUntf82Ib2eKtKC2mz72lnViBIZeoW6NNJ NWa/bTemyow3VQIib3otn7FVxtTc1E0NRkS0Yn7EToh6626s+6og4VgDuw8RAhGivbp3/ivp6IuP e4ltbM4z5HS4vNTg8t2D4T0rDy5GtryWxFco72VFiRunhZxu4Z4CljcpVmmL2vxJZGMTm2gxhCUw 9cHglccKbsRA2DrIc97jF/V6q3XYCGTyEaWzWKr9WKwegOA6S8ct5LuuM9pFCG6LyZNAhN4wIhii ojydjuYaH9qWJt2BiThEcV/yJQrGB4L8uP3XlQa1xwekgZO4IRipUl9guuiyDroKksAhf/SxqXoh 55+sm44wIG4SZBHpm5L9eFDMCjWQnuZP7TXEYYDTZ5819IHyA9VByW0Oii2hugYCEFJWqj1jiwzV gGro7JBPQQSoDVRCE3pCOHgMSGovUceAONgtgF7R7wcZ0pM1GhZqbrESq0uIZOIqc7ds8rM/ByEM nKel3dq3QCZA8Gbwiz011100GPOXPPLojrlF7vyIub/hjVPQYIEIz7lGvSK6WzlRPtC5YESD+mD5 SPyEX+ikal5sB4vhkydgDb16TJQ94odyQqfCI1ey6w20oN9Zgll+Vu6S84ytuTz30pw+/XBgzOzx Qc2id0bovEXf6R62vIbJm2wb5KUF0WXDm8/StP6yCUPgljVrrKJzVuujd8kdxaPGaayHaelhwRiD 1boJISyKtzRqr2gyohFdGjyhtQR4tybqVFs0HWP/YI7IqIKqChmX6o6LhfPEagYZvX5eFFEE/OrT dWYn+9vbeFRd4b27IAjP+AArZCgQ7mvogTde/anfgxnfDknQjRfKPVdHLIPCJK4XgeUPkpF8BH27 NHSMMdMEIGDa8YTkW77Hdo1a2qEg4gw6k7U6whXbkX3bd2kYnpReTZHZtnDsXfWpJoGTv1cJKQY0 NPFDIkpv8CHlk0Q75ndNAkl6YtiI4V6GNivzIER1+U2a/kVf6RlLvoqJDcQjvOTrtOgXE0SXqwuG bmV4veNaXsL+hPK4SpaSqql5QZ7mkPQu37eKJIviszihx5bnIXwGVlk5Dtgmcd1Qil0BeWA4bOOO 0wQouYVkp24VHuadXdZz99mJ2i4h/Fj9wgj3d4Pv8iMPIfInd+U6AsRuO/t5nmLQOuzEYM1n1Fqt mIYhbiTYk++v9WFu+oAzPw9fi49GI2Y0XFpf3y37LMrtEsOxhe0/M8Oesc7kNehIqthrFaqwaDyN KZdBGI+r5rT3MK9MnN4D1r3q10wxX532DlZ5i+XrN8JNmEaUXVgZmks7fjXaZ94bDKMF2P4AxBvu VfgHxIJe2NR7XvpQ4fESew3resD6xxH+drZjzJE4f4Zr7LfGb2+WNVAVe1i3ExP57ti0MwTkjfVi hRYu0POMS9qyhYmj2IDVynn1aQwWQZGDQLxYVx0gNq6ThMEItrDZCPbWezAyTguVYO0LtwrkPMYs h+6ufA254HycFGIejWfy5BwFgnpTQZtIvuhFdq+iIp2plm7kO4/zSvtAvyOiur8eFAPQz99srDD/ 2K0p+sO1cmFdwMMlr77DP+fEawOf/2MHmGTU0kid5k0diGCplnIxbT/KBU91gUFe6v2lvNj16BIf N+dTHGbROCK0WqI67lbgtZtFYcZw9OpcJ0oHo6s+MAm+lfguxin+pKzMnYhpzbQmbrTzyC40LeBx mngDiuFzvqFH4aGNhRwzb1b8mJEEs93x5avSac/PK/syxy4qMDhxR2y9bL9MuWow7eEBGZ0IFQ4N 8cYmieYto2pCLpqFIPWpudtqaX6GWR4xMMJyG3JTsn+Zh4e7ridEErBhh+vrOcUc7QOrQrPT6iGU q6X0J+XhQkZcjzPq/7IoUBoc0JTTbMGcUiaAuUWvS9MAcY2mDqO/1MGJPWJxEPS/8fPw0GhXXCVD Ctbn/4gHIq39YI7YHb/cdMX5wKwFvYD+tx9YwPniucyTFsICmXJxmpY6gGkXrQ/t0KAYnPgVmknx WjIkAZlUKkmZHO6Ml62AVeLIE233LxyE51BvqcKjlTFGmv6WJbJotGCyVChdE0fmC3LkQGpvaVf5 glgs5J5TStu+67Wq+6qkjTsKGfwYavZK8hjoMolxwbHq0+Oqg/L3W63cirksl2XO4fe/NhgDhUeI 8VRCmNfj87fZHlwpurkddpGRjlkfwu8lmCmxsfc8ZBdKuGPDxJxJoqr3p/Q9HfpEZkQEGEuDZ4gL w2TEOvRMdlAUs+o5FQBy0qW80uGRrlVocpL/8i2HW6r/r9mKGSVbRRxNjZ0Flsk9ZkLF+yX7MAXY UU06V3fcvDcdLleqB8H1tzwvY91iJfr76vLc0ncR5QquhOe2LBuXE1XuHLUNoeiflQAwoVbuOa9t ayk3sigMYVtlAVaLFgWaXtfmi3OZ+i6i1bimbj5QIAECmMbGezQaJwerVOsv5Xy58+T7UHV/LHEQ GFpKRNw/8/5Ub61pSYFTNyPznONMAFJTvPN4jDJEryTpAmuzpVIwiZ+w5JtWbtRiJwtkicusxNpm yfOIDLPbQzzQ6wFchothsobct1dmIwV20FBhbme8Rx6wGg/6eB59GBvzgUgRtnySaVcePLEli7jv emMfUEkDM03ZSBOftvr5JK+zRUUY7mAuY9V56sdNz4moffn6cff4ho7WJmXe0qLtyZ94eNJjPYeY yV9ytfIsuVIvZy3SuJB8dAIhCVq76fkNl0g7uyzY/h33+XHLahEwv5TqVGdE756dKKIn0kUR2PFI kV3ZVnzmAhcQnFo0FHaDrYDzr6RdCJWw1uJc51R+yLttHmvudHPAZKaEZSqV2QPbYLexzKgMJIrZ vXHo1lacXpPUmjmAqUoofbGh4TrgwQ7BADYM/e2EDjt+QnFQ7x2lxYHqANz3uHWXaOehbw5WQ4+5 picHIm0r5bpwAHD77gCipZxmMl1MX72sp+QWPttbfscQtgcloBULpgyr9unZwX7ysrHTSL75gfjQ 3oqe+Stx6nddFAr/3bzx3derb5XjBITLkfK8l3wDG7hgD6dIhogFedgryaCENFcpe2N3vwOhfAIZ xQwW8G/ghb1Nif8L3DflayCC/rNbzr3gGNcgwUY7nQXjyQ8O525tM3fNWDHFHGhdCAelLqaOF+hU yYZearZhXAcGVEnXId/xKXTXwvRB0DfRYfsxCTkdn8HuKWg+yBX2zaQZgpKm6q7Nyu1HAPjH4BvQ HZu1QmyINhKM9uvf8JDttU1uX4ewsVtx/bEacD2I4m03KJ585QsBmsquPofs3nPGtPq/ZeabJCk+ /M+f254YB6MgU2t5F9QbLavADgdrnCDNDxUPa1bAxN9EmoAMXy0j5nbQzur/5KpAyLLEs9tNBCmA QyNGXwD3CgnnApeSAqKxU/gSNyJQtoG6gViIqiYUPvoddcYu7jZ/MNfr4HkYvOjOl8QzNHZNGbJ1 7d32HMvJvHPcyy4/wI0CYB4JbQek92+jaW/RuE+V5P+sfosh813QXRfJOqhSGTnqBw0ewfVDRjbj vCLfT1FqyrWw2zbuqSnBUPJit9soUtVxdw4iFdkzYdSSL604T7EjqYNv3iOOn5lNbFYf4L5XYL+6 Efxyjn+eBb0Ydyz8v3xun/x9TJyhqb2cWN9xMo8wDv/OEf8OIMcKEYulD7WOe3hOhu1oy8uMnEeE sh/brPB7Kmp1hW2kM8BeD9Q0Lu5ji02NjTRqoR0u6O0hxNgGYTLQ6y50bMHBV8dzHGrQSXGUiIT8 LQr2psdocv3VpGv090z+6+gQjVk03jlqM8eXzhFwYdJAZVAdND3aBurUwePoBINl1wwysfuSiwM1 81ZKTMyl8C3I6+/ZR3i4995hAbSgu+te17U6lZ/yufIIqUhI8aL3Ynej/RSby8LBfP8k27SGsu/e 7rpJs9mUYNjvzIq/9ZkF+bKH1C86LREpuz77r7kzGtPGlrApYzUGQ4iD8mkRhdLYbaNexY+VOaQn akEtG5PT8OEa3gukwPbsGQ2st/ACmOEJP/sHnC7V8JuO7+jDohd9+bIBqxuja7+/oVq4etFGeKQ7 OKwk3+ShWjKyXH42athTPdB2vv85wl3YjTwTgZkRYJDcQJrYdUruuqFmmsh/HqDI/wLnSKSmUQ/D 9aQZTZW337AfyFVtF+OhDKOJPTMeFp36CB+vrJxuyLnZ/qweLT5VI5vD/UWzBjsG9TfNcl8LTX3Y kbribVBbjfPrImB/wU8RsZYFUYSwrCVzPJOQfgTjGXyFzuC7gCbeY83FL8CvdKKrlVwaVhskRQX6 hHYEWyXeVWDW+/kRzVJntWTVxB+uQQa2mI9HVNjHfwvwtcxHtCSX7bxEUHT+oHUcdneTMxf7zD39 K2I0CvMXKDVVzHv1rMFC3ny/dJyPChMw0z+OUMgynzB+Bt2yzAHRjvHwtKRneZZFTf/ioQMkqZk5 scJmxUbdId99stcSXCQZxnNqFOO+muENWX84u2cXRthNi0tDwEePhCd0Qt942RbXwYBID4dm4px5 qtMU+XBNQagdF1BpqwLvQu8al5ePcoXO9cdX2lpKcKG8WBbNg1V4ipmGOv7J2tXtZMMF4g+X0nCY alyRjZAWMCJ5r0q2OiQFkKO0T9r+Cg1EJXmRtL+4De17PvKtYR6EaJlgJPPmz6jIoEU3aRD7+PMv XimTNtadl+I0DYXsTMOVNs2ULrnpYy9QKny8aZa28jtyCJ1Zs+yxcKPLv0sO5/0RoHlGcqytfE9l 3Exgv+hvO1PGpwaiGeb8Amsup6v93koKpbRM1B3qtGxIXbeYIA0xMzV+VTuWwL5TqSZggx7q9iZC ZiF8Q+O7LlW56xPUAZefFbSF2oiPzN9B3zCfy0dSChJXh0GwUZ3eQk1z61OSRAFoxQ+RFohFnfX+ rWYPJazCiePAwqb2L3Zy8rAM8l/T5XXSY7naxpHbKPN5WZ18n34qv4bQVLUoiYhQuBzHsihX+4l1 B4DRF186/4JDBjNJsFsKCxaNYu95kpGUOQJ8hX9JbWrQaFiJ7407EjZjr5GiTH97Gl344AimT8P3 +WDE4/u/FlV3MD7fm57DWmt/18VHqoHaWlOpxk38Cr0ftXzsYqQN2Ncse8IQ8RRjk+TCcKBPI71Q XVUIYiZPG2/aOIEucXgHmdyXBUlqiNSBJH2co3WXRkkmcFWygqSg74QTgjDEIq52bdM3F6JInKwf 378g7ZbosoUnOCuzOa6uWTckjlKArNDsT3ixBgxB8zunKzCovacsDG6ifObOysq+nBl/MQPMHhDK H/z/PEEyWvBMFSdgfdTpFF/Tsg/Bgfz0zfSj0CjfVimZ7TyaLbYpNxZ/d0ia1P0KGpXZLOEA3G2g iUOSl3WXw3mGIGX9l7nEvDn7vBAyWzecUHlRJH0z4kv7bo25WxRuXpOHOBOKBowq0ejr+28YNGL1 VpZHxHVU7ERzIRvQzIz4kvGRTL2hl+CuvFp1J2BA1rf2Wd0kycncPI2CBjFEKkR1LeF+EEXyv9wa WZn1a4PyaDirdNXVixF+Pp+JydQgIqrDozYlohz/459/vkhPKnTJQulUHx+tMBNz7Knn8xWjCjLi q63hifkPs8Ux6VsOo2isMwh80BYMM6iV9NGAPG5f2Y4LZrk31Sy3SgKY81QlAXhzc7pMxWATTyJC TQDtt95vX5NFkFdK6KFHoye1mpGayLb20Y0CIDbzp1xYjeqFEmdumsutCKFVJJ02cOkqJbidrBwh UCtl5b3Vd0IR22LkS/4EVzcmcgKAQctWrm5ghLTJAklJAwR14CQqbZ3nLwMQYf9grtJfJ7H1xZS/ OdbsRcppi0RSjM5IZ5Kx8po44/vBGG6UTK5Zkb7X7C3SC273obCYB4qB97xn7TdlCy3nQbLmsCEz on3xOdlwkRVopdfH728ikQROAWrwxYYc0ASv8WKKzkvQljWBH8lYp72K2t0/etwvXzA8G46M1rg1 Jw3Ru25+w2ShFhzqi3MDJhpeGZOx3WGHcR/0lDmAYmBL7dg/2ZTb3WJ9UYNGfQrkZuctK7NYDD+P /gj7TNM+1pyqtYAbAZWd3I9meVqSDWqA9XuDyK7QC+MJTSSJFnM0zHt0amvKjQUEf8FZF71gWvhK aN6bAKeErn+DumU5xL9GzTzF3QTjnnRm8cclNHcmosQhl2+Odkvi4LRmKv4AbWhAty/8WeXdbKs/ vjizAhITrgr7z96yO7/k29vHXOFhnHUbO0NczXSmg1/0Y5maFauoQHT3EdSWn4AVL2SQdMuAbFI2 fmkJCjkaPgisIjIfy0APnptp6QqCk+fRw3wDCzsTFoDx5zPUlnbl3/cPCuficqDNcRH4kJE39fYy BW9u/P3XgiY9FTfmE+aGOEn3NtAgVKzVNq/15uqlhCMsdmOmSXpKQnNtivUST4gmxlxP094ez3Kf QXJmU0zNczlgZr2ol2BudlWc3zfbBnsT/OqKLwdj/4itMxQLbQky0z58/vqb90MpXMcTF/EuIkDV KpMe4Vw0+tsEh/ofIdDJddGbmL5R8Li4HfdxIwUNo1lax0A6pmY1mtfFo1IO2qGm+LCz9676jsjm SNvUCrtZFzE2pxgT0chzZUZB9gDxdhe2RpujH6JiWXatzBhiDXcZCNzWJweBsYVKnqsyiQsO95Sr EwjgJduY9ps8undQLhfqM+13w9Sht967dhIcOeV9Dw1kjVrn7BDx1FLEEOCUuaqbhCE7it3cn+6Y E60ygFIq21Th2cp95NY5gUy/SE8//CtbuajIDq8IcXJA6e2wg40lhucVXmSZaRsUznja1/Rnvy+e 8QbM9OEeY2R+KISDRvlKoLeXw4GkMCB9wf3sShJKfvuUBe+STDRKi5+jV3VraxwLMVcGom2RlowI Un7xZBARnu3hmBXI3icm2cVFMLRFRXf4k24tfq+ZRGId0n2hCPdb3eGwtLZsywA07KUmkfahP7R2 QXuqxQxU6MuksCDSUFcsLUJkwqRl3AoMmZ864eb5puRsx5u/3S44aC/9GAlJOxf9uYui4PKU+GaN ZsmpsoLMKNmecFgqGoqeW6HBZVm1jM/JmwBIFepEiTcrYh6WEGkjLo/S3kAa3aVA22ZtrnRy06Wh ZYzgqM6N7+IccaFRIZPx4tBMzQohXE/rCnYKcnOdfEBCGBO3iwYKh46EtOZCA8q8LGiv5TtjGnri c7eFYCQH1LrHiYQXIwso+JUuo/n80dloT45Dv0ybYMoRgOE1w1qCqfJ0y/ORe4KbJTfF7M++F4Vh FjLAzTtsmc72UZIdE+cx9luYqMZ880x+Yc4HLYk3NRRjF0xBKUIlOpWArXyMVhpdb70YLV95bzqN 5lOb/w9Tp9xjTjwILugDpsfTgZ/liUIiUNzMp2RNjkYftw5iZn36tXkFkygcp025exE2RKOIHGFj p1StbUzeoa6mNFbg2uTeLr9WUWJMbaB7Ft2m38Y4LbKctuwDmUgpotVio9O7387OmngbBZrFNqTo vmo/DHsbNNVMeBeWI3UoERo9chhu9IR56CAIiCBFhX/lXnrVGyIEg0z7sFqTYyr6lgncFz7Am5e5 TrC/+4pzgWSWNtqioZ5TPIX6NVhXSRB3bVyCPMwSrjN3neNFViBYLNrBJSa6QrMRus22EwP0Xzke n9NUDy0YTmZ+UR5b1QITDin+0+3kEBqVXlyBFMLdXrnlKK2Aiufhu6DBmYmt3/AdFD0/LPYr3Wg+ mzFhw9uHFTcUJTAba8rJbjVT9cUll4q/PuaNBO0vlCwQI98C4HnfDvPd36SHo2Bc3ay0d8rd35UZ RpgzDwUup3HfTFeJTOtzNyWSG3Gq9pbDu48MgBakSPUsift29JotZtiKbq05NiEgMaOor+U5NpAC h1LZckkZK/TnK+wmu7db7O3wpfrPap4+TAfRUZnncMwZRdA3dXoKBNTREChJuMuQlAGd2YsDNqgd yMfPuZ6ULkVb2PImmFNRlNynDKg+G/PLDgiAdVxjOgfnDhotS3G5a3udG7D1PKq9D09UkDiHe8ty bR1dypRVVnIkgaUSfVQOXPMeMM4TP1ZdnJG8OYRKuhBTu3LZYI1JJZoK3x9W+icRrttn0KTZtE6s iHj5xEKcLRXBPBiv9ujdIE4MIhoNcq5s3jlM5Uj3XQOYfCE/1h/KXKdKK9DRXWEsUzkwnFjNf3nV Rsfvyht6QLb348enTr58sGIire8i1KG7RZUCKcn13IFut3ncmjrgm5VqbJpx2p042cXYA31AYuAv LaLVQy7fxCOzFsFftQBp2iTMYX+Z0Bszb1bWBEUOMpCoQNRrrYDMTT228jy8x40KSffhedmdJvE9 GEfjJJGd1KE66v4VSD4nwlKDvkIU39BDu2sJ/sESgjxZQ55eRu3qhRtRtpmB+EFSfeu6VdU4WqRO oNM3r2rmUm52nBG/TgT1nh9Pq30IwoayV9/SBwLrDyh5GBThzFnHVit22CIpKAuMcjsaIu52+OJy AKJ4O5AxO2u/ONL9nMbo1DY8tefnetq5yslDe1LYwbq5qs8ag2U4Hfu5t7MJx/nWcnhg6N6FISMF NzxlhrPA9i/IRVxqEcmEp8yIGt5ioIpZOWHJtKsBnIHzI+VLsc+QXo10mzvd+syrjthwgi3yCoMy itQHU5hmQO2rNk5z4IT+FdKVLUkAKNOxEyQgorWriFWhr+KoxIiH8b4fTF1bRAK5VQIrTz7FfdEa zu/BntusPWx/jPrbBx5vaaJDYW+aLUZKRAYvAfFEfH5x6nE4Yc8FYOCzsBOJ6PSgoHtxqhZVNE+W /6tI9uClUUkTG3Db7Vrmn/aZBKDAcQzSmqtaAZBV858xboAxq65Td1bxCwKGYEMvNr2JOi9QA0TS tD/HGX9ptTkSU8EoEcPjc161gPNL3EB/3mvoFlEPI6G16ueXOTaSbL+G3xEYa78J87cMnbOYDctE 4r7W5YUFIPepKgZP8krQM8wXQYiBXhC/gFnbcJtpSmlvDn6TKwmQHZRkbxqnMsNbV+y6iPcd2+vd mY+W/AV8ZExJmuBweoFQNe5lxWXMXH41wOJgdJjMjwE3xQ59RYZH9mg8F1aLlhQfowe/la+D4EX8 TM0TyuarirlYoexFYzOHr3XaIX0lkZd8Lj0sw6OQdNuVHvogV08isIRzQtaW6P6yPHKvJnZhSNLX xoISIzcbVaLKsR2QskfRj3G191jdAzFUviQryTPsHH4+G2+Mnp0kn7XB91IVht6X9vLgPxXvJUnW 89ZKejUbzbSGEKnQxsM3Ie6pWZiOt1yNHUy+OL5PRbDZvoVoql5xN4SgycuYF9nzEkfNRGJEAA45 6LIWBqB/hZ6oRUftFB/7dFTh0Dxfk41YA2WeaEWIJzWz9WWl0ncs9Hr0YkJjDqM5BotzD4M2clXC fWqvayvCHQaWgrdl4eDCgmWmJUayMcxq9+aFu6L2KppHr94eNk7SdWNhOQQNXmHxj4WPJrUNxRpf R8LlvLVWlVRA60x6THRCnb8RTpvC45Jl76QQFu0I0RNeU61Y2lZNP2mWZYgitHjYRxFnDC2TSdQn CY9+lA9B8IfHGRk1Ocops6FT8BRLJs0JZ8wDHgWM8/bWIc1JcpIErTTIvVS51rOzkNrFtXvWpfAm mLfUWmgvirR/mSRuC42vqqORUMAJXYJflq/8WFzgY4vlV1fxpPVelryKq0fuJ9lqo+j5M8SGcYY6 nw78i4Vptx1tN4iwrU9gdL7SXMsAZbzrdSyoO8g9KdmwuTUeusH9BcRHCxMj02c2FVpZ2BTvHwfj /h8XoJ0H6U95b+ke09HIOwqvep2Pvh1/8USUnYNGYy8W+marM9ybsduzZXtyJQShP0gxwcAhGAb4 CRLmnErsLuUt3pjMopvT+w0xNQwGU9gM8SNZBTnGMq6Toc1KhdjHab/1LEeZLEtY/gLta84jP2Ey LH6qLPBeaiFb/PPd2Q2w73XMF3F28CoXj2w9rlV9sIbGCSh+EPEfSVYHHBOb/GDVzf2CIwzssyyg bHVcBhYJo9JR27xIOgg27+oBm0k0nr5AJtchLJofgzch4qD6Dn9Fq5kcG9VUXy5by22Kd5C9om09 dYe5URT0TnCiLtF/xteq61CET0lpS9tW3tNcHMmjchyrQfbCK9m42veg/oHn2Own5CcEQ7+Yej1s ULPZG+t9e1dQWzS9zeD+iRChfCJYr4zYSVnjwKj+cctc5ZiLG9iRMBGxMTN21Jba8AZYSUHuW42I AjICJM3tPOybPNrgn2p1nJmaaEpk3wU1qLyGc35AE/GAYP2C12UqioDYujBthIyLZMHpnqmrU5+h O7bjDboWMSlEqUhrsM4lBLZy/wvt7tr0x1H3zB1eA+nMtVucRp4S/lklFzXr1oxHgnxqMjaVbWCg dTAPOFM7aDnK3sZAsvn+iDEXgOb9VLvy/t18YzXutJ5H9VEdu9j0Bs3f93tyCp/ihBFdZ/+NGpjb FEplHba91pdRV64XehRJa10bmrNFCexhLNWidHLNY4USUnVq6j5lx7GyJKIb15ft2AS0Qp7zA16I VnGw439Sm9k2NA4CILBG+62eovcLEYCab4aODfi7PtK91OOkN61f4gXwDbXfX9KGaoaVbUdfkf1P fVX1UPQRwCUrM9rjTGx9iW/HWOp7pfME5NP4UWXcAqIqtUexvZHnI5HQ7NapJGcF98iZtYPf2i61 HZpHDcmLkWwVvnfUrFq2EkgC3Iy+5BoacWCxm/kDPhwJTzvm5275UvFcL5NVkQcww5zyVx+vhpop Fh+c0k8K1hqkve8PjpBVyAu0LqocdxNVcg1fePBket8vw+NGiOXP9q03hE1vQJrUlSnV6/35cimt gLIKmUd6rTOV3P0f7httfWn4z9mxgjHmcQ+nwP8ms/tSVGsjnJ6G4bOqbcDW0/d2mhKJAWFvpYjK 3NrJIyAlUzW2QP/WyeoeMMT5ebapkoJ+C0kc+eFM1BjAqJkLCKtn8PCKreCVwHNP87JGM3qah/5S Q06WpkTxSSmW820pKvFuegvGWlGTUXykzU/qzE/nzYYf4qiIm+99ZNhHDoi6JxCszwnMHTjUB7Kk LlyCk+nf0/ycHNV99zYjtzSi++CpmGxCoAzh94HUECVfTAx/aiAo33IMoFkX7Yk1+bC5GmpXUjVF l+GEKnKnPdPdVXEvCI3VLrPvB4vrFER8yvUvkfr16VCmnGQvL+ONzPhART8/dwn6Js1p9OeduRbG FV5cgDD2ROo8gmfV7H33KF3vPsK1xCjic8PZpdibD/wVYIePf3krhIoVKFEAtDrLiWPZjkvdYg6z 2iYYC3JJLVxUjl45tPgbnhAA8DXXepOUGMy2/sIzRtK185xgEiQ1HOAvcgJ/XftX4X5lWwCBySOr KXh3bHH0T89+LIWQLx7w/e+OCP3nwSoQUSgHf07F7IGYbhm9yiH7EXEE6jmStevXa9nbe4oeRY5U dpV0EIjdvysohEZvwj8fs71VOP6wz7RMJj4OMN+rrikFDBduwSpZabLx6tKlOZiV7VnyeKocE4ij XMCYryajkY94CWbLzo5iIykS6FPyRwo/TWphbYkGd5WJxMspY7on41JR0nLN86XUsvxH8CCzVex1 JGzAUyc+luMoyT6EJGbRALgE8qO7WcW3g+SsEnBcrzjVATElUsgeMAcjc92XiRJPvRMyhcPO86+A 4nKRLuln2z+nB23NSKuTj9qnz/BQSxxbHgUaXvN9VFJT0OQLhNebfSFINSfUXMndf7zr4jBop3Qj 8KxHGJutNgT3jFTGIMWdW4F7nZnIq+98YkBuFqK6A2kl6d6tjsfH2DW0NiD+BQF4OVRLxhrq7VmT L/jvD5n9Xu+lCk97O7MfzF88cLorSJ7ALxkYKH+MF8WNd1Kx9xy/NNZGE12J7eslgnVUDGUd2Dd5 Rmeel2rPK/hvcSHnLUCN+NqlEzwgWOrmsTMpvbApVK2bVH8EbY/leuKkQjbBdVg4SBOBMpejCmt4 CuWRznasCA7d6PUcKcVpfDKLLIDiMcmt9wV9fhCjU/qMSHXP0THwIW19mRWve6O7PgB+eult+OYv j5MiXMi0JQD8phZM/Vt75gS0AcdDMftdlJWzeKohMZUIF1NujaszRwZYP8zgXQha5iS1CSXXQ1qj 0rRk99dOitUWICeaHRABImHSt9UqdOLNspaLYLxCOjrpBJa+VrqmCSLvgGeZB5xe54CWjNnwznVq yQZOnqF3qeHnud1jXWiHdGy9bUFTSuboiFt0mVVdcQhg9HDku3MNhsRgPNzxLRfjYWk7fi4OBAAR gbi5bANGBvnztCsJ5+kogOnZFedZiMDq7YEqkGB4gk79hDCKqipLjn+nf+XOdRApZC2NWkNyt9GD onEIzJcqJUj8JU4OSeIyrmr1mz1wHX/OONvA5DubceTwSswHO1/byQ57UkyWJ0/d55ZI0SJ81aHy 5K7dXbqrSyLYsfpiZKmkzxL1uUVJqyig4vDE73Qw2bGUarriiueysWUvxUW5NCgM3i+LPDRoPV14 u7TN+U9nZX/6hzVjLzagh2BX41vIJfSpnhfPOTniSZouQ4K6w2jjdUNhKctfoYvmqedYJTqHmJnq V1L3vhB5zZEs2ZHikBGQg2hxxWjVEor7/CqNzAZ/pMjCoVpYkTd/8+es/iV5oD19wDstOpeZ47RN RV9cqxi2t7wBu6zVSsD9ScBlWEtiVt7YJd3X42at9A9DU68JLjdRvurX2XPioVY0R32toyWuZuQC W5PubfBfoQFP/nZCtBHQvQHDqEHMEB9nUOJetrgBhfDwHvwG/68kogGUGBWqNfMTXiXZZEWyeB1v yZEy3Op/M/jotUraWELHEjtjzkH50Wq1/NYC9FXnbvQK/jE4FxhAIz4DsQK7LTQNBrtF9+F32eif bZExT4O2eLgQvYoKLuWJ56QdsHVU7laID40jp37vzyraFpaAkjuMVkYD+Jeyv0idswH8dgdHyXz5 zxQCcavFNhnajcV1JhMPmCrTntnYB/xvMRU5SkaYLABRahsUvB7XyCwv22uUz4oemoJMWbaB8S+a RqG8V2Z0u42VpBVGrCZjcDbZwU2PSJQEYhSDb/1Gd6EvFhk9p2mP+NpVe8tU9sW12CGtTBWchThP +2Nqp4V6NEBvhPP3GgXTsoSiPpDYWPZHsbwjnKE7kclkjdCtboKxNxNUjrdlLhYnErT1CwL83b8m Lr7o+pj02mcs5AG4b0+Zg69I/u7aVyTefrXCB+4qYpEXF2c6npy4QOG8DAjXY1CFv6gpmAbh0nWS k9MWRMVTAIhD6fdpB0SCmTPR9jchUAD/D0jiejtxHAu5Gl8AQ5LqpvX0xMTW+6wyqlYsSzSXWmK0 vUOC9YM8m5hRxIrRPXPUEIRoJQr/1NoRf8GY/sEo32JGuysPwl+CrnjQMlPAh80xlo/Q4HHIl+cq zWoIPN4/V6tYFzFS4u6cET4MIipFdOpU3DI4N5TfQzX/w04pWafE+LLtdTrSv51q8gWIsH1HqyrC 8z0COmtW0rDfhmzV8souZNCxhxS7zffrLlZ5YhX/JWXe3nAuvhxIdgwpF8h2LTzb5k6yHPzLE9S8 jSli+pnI2j2fVe8VNCsMgmlUe05uP12K9sw8DROBTAHxberz5GKEbcXpi+fyevTGliEfCbLf7WXs aCUl8oZIHJ7JA9AzzuZuDulRTaMnWSHieY6fJ2Z5U2HGyRgr/zoOAPnRCgZ1PGz+L+aYLJl2Q/4q jYtcPsZa0179EIXMaTETzCTF1NB75/7fVkR2lQOKzr6G7ln6nfKHei9ZhOFAT9WYECLQgm+7QXRT 79tjFqj04T9STdDRj3ZXLZ52FNsUOpj+vWsi2PyI0WCocA/0fisV8kWeANPbYoWIJ0DZO9wya9En rvHyQPjzNJi4MLZy1eHecdbjz9JKZmFM8ZEDRBNd4houGrfZm3ZM+EVbQ6cp/3tuK3ijh175ByEe wXD+CzmPlsm+W4gmG9Ea3SKo+IxUCaakWKwAQmZFsh0qnL/KN5/vrkgsOEdSincIKi63vXkkwMf7 vbvqYhWsXptuA9IEwq/w2Y72Wq3Wg2UIP9poqWehaA8heyJbRefxdE/ai/QNj/U9VjgCCQPHbNGY 1CZS3QuFN25AH2s2cgAOIQsNvhUoDN657TuDlt7EoL6kKtIbOPfYR8qi1Z/SHg+iFPFr/Wcz69l8 L4DqYLLf38zpb6ZMCBR1I75AXGgpoaGDF7NQt2XPVCuiM0sT3l5G0820xgSHhf4yQyzXoWY6KluF pAF2Nfmo38fWWsJpCivCY+CbzrE0xIvQ2O53Xo5y4u3aJXEnaFQO2XxQVsKaKbXELY7YbmP0+l8Q jy4kZwKCJyzACxdbBTdjyCUKzmJN/DCLD335C3Qo463Y6kjU7gfZLi5SbP0lNjpC0PSWJTAaN0t3 kL4XlxySfdaqOtY//Jdryg8+46oBGngOmZtYO9P7BXo0kBTWxrZwNcsIhubZQdg6KhyWR9zRH6+C sg3Chr2KI+NccIrpVdHBMF+4CuTavdTm/XFOXMAcuFo/4SFgNa3VHdwyvL/pNS+i0EW+QEW4s2Oo ccubDwfoOeMSl9DMyzZBpAaaJbSLcZBs919fY07vFJ87liwNE20LgQRimEVaJlVfMmTH2N+2GS5n 8241pbvEXEDwxl2Ba5wabu1yz3wcBC8cXK1ta3AGUk0/RsZT6SIfwijrfuRXdcrvxfb8F2P/g/RP G0eCIucO1uCoWA5kaHo5n6GYWxRKDwPmGDBkhA7wj+4TiiRyW74VS4L1+OWO1qpShG3L/36+t8S1 6XZVLCZq6sYxzUhW9MSlNQrp+edIvTpcYhf2ntyIwogB9rw9BiosIxuPiOmaXOhyijkltFfW/WDH Ze6fFmz03R/+8goTEpC3u6L1kh8YgIYjLloeX6PDgt6yKJhUW1gkDICZvc4eVmTmw/Dm3OduwB8G iIpn+4NVFzxG6EXz7TVmPOpfmTYnx5ziIK6sHTrUQ0H4v+jwVKsdklEGTQGxQrxthD07oKIa14P6 mGW5C/WMdq17iLDCO9n7jmRVbjWgB13G+apVD+bscFzRk7v4wDup4nwsXDJH2EPwLaWBYYYhfLnX c+bI2waDGhPyzkwMoPUhHpCE2kJKlJaWuWlodGEY/mWQPhqA1gbqujk7pXU8fnJ68en4WEYh6P9Y 7IK6qAPHI22OKqg2Ct43zlkTTk95N/kRD2omCQnlNDR+sHpuJ3zBmYtLwYk2tcCwR6hXxG/vmTvY G/Y8s1JsWI1q51m6G1gy6aePKUyojw9mfYNQLw5s8JGMPyxkx7dkqBukoBqWITVPOFMj5Xbz5JBu /UINBMHKm8quDNdbuxdzkbMyPTStXlKc+I83/tedTs/jdHVZM4BglYhMA1MWpgEjgI9O0xifnc4V xjVIdjrHO1VcWY5E39FyQPyCBB71lYy0mGN0sL34MwdMvtKxT/UCWuBajP/ETzrdLoIfqQEzarEF SlzPNqc/jdmems1VLqRMakViAc0tqyfzsmba4c3RndpiKNBBbttPb/5rA0CW3T5EWHgZ9F2iV8Xi 7umxwpGL0R71X2Mxmm60PiE+bGSMrliSm9PMDDsrLoTFENk2clDSmJkeddFEICKHdpFF6J3wU3uU pRGTXCkrFCpnbDcUS/hLlH6rPAxpKLy2B+PP1EKDvPYM1ZX5xnjatCoGKygkQc4qyKnMGQcVp42G FbAp2pplxMA4NZFLFPn1Ol20UPE1mC+7BUHcdOGoDFXypoRLOi1mdFho29X6+r8QHmAiTJrUxY7h 8is94z62JSk7VyzIEA4lPec87qHyI+SS1lVT4dIaLEAEdUiZ9vzTHN41vEsaFjjUt1FYxwHWAuao oebU/cm/GrBBpyCKZV/bJHFhBtijYcatFoJG7pnEAkUl4hr3R2GjOJO2paIJj33JVdU07iW+NyrP XuIpiIqb0NBrojtp+O5ZOUbe2I/t4nJJ/q5/1M82y39p8rOEoIYaFQereWHldEciV0RNzgdFjR4K B56hwENfB2feSiH71nWxmwPCPub0TcTs6Z4YIA4Z+KEk0aYj9NCKXl9TzTh9Fke5cI5wDNghJBwb LovyK9y3nTI/yAsPuVt9ln3I0NWFXyJXSE1vCnnbzPk4L78HsMBS1Nb5bAW8Bh8Rli5QhrN3d/dg QXzxoE+3XVG5sk2IXjE+v6feCH9CuioOkQGFFjQ7ySq572gZGTSJluuZc3h40vXyzEYIrESRIPsW /k+LdUAjYJvKu6kLPp1Dtl4K7FQjJ5iQaC29k5K1lx7B/sG7gVzlNewO2EvXJcoFqLMk0GPLmSar zf5WO1c7CAHrZgV7ZHShQLWxVjm8/J4dcMiBif8Dma6tc4Pp+0fWOpgTbr3UcZb94fCyErJH0olv bdoDcN5OIwTVvSHkmKSCgOwsmBzkUdfRNt5N5zUh8CDF6cXEyF7NAZORd2IPVHDbfLKMN/BaRIhH L0LOFORuetYPO5z+B7GYUaqqADUKO19IXA0at1rjLiEX1blZfLof5gCx1Ql+uRTDhU3Y2kWL+4To 1ZhtmnIIkW+2tfSUH2ecPYHOcxtbFxeh2ZxbDXqds/6vcGIWshJFL9YmSTr0/BU553pfrwRNTMPH vqCqxgL2DdttICpz7YtXWtc6dOyz3GKeKCR4phF9zObhNyTRLkMWmjvlZC5Nxj23vqdz/9IyBD4/ t/5p4nhsNLmK+BdxSlLudxzjy+7rQPRqG5xUeCABGlizemfAtyZYvIcHy3heFGnEWl9lDnPNUvSq a5GaGeLjoAJwJuy68/bwtaohxTLUZVqCxwKNQeLW0u/uILCGmpHTnAhoDMGvcXirbxodxzeV04MJ /uIoVb39DnfivrPR/e7CakWQZARpNwDANPzuu/e4hLMHpNuos0fi74tTiAvczolxgOViY8kM7p7A AU0yKa5h0Qx1+mKQj3T3vIdmJ93FYxWzSiu7yAomnNcOudVgaDwjzQrT57JFRSSuOlasJkqclFUs hvcw/D52Pzl4yItnTPd6JbCoaVzViW5FtFh5UNqA1H7HBKV3uqhIG6V2n2U874UhD0fSP36gutgF PnKzdT6uEoj0TvF/i+xb+kUivHY0pai2OBGa567IvKw/uyfQGsnhhE72qb/Y6SBLoRMBO46cFsrX sDsOvj7XOXlAUIYDBxzqUQC/s1lgtBneyaCnzlmhLN6wxmeaTvC8xd0HJO2QPzvWGxBAkSo3av80 lZY8ArHGVeJqMYcyt5U1ddfsDr6UQU1P7M4ZQHNoOcuJ7Ncmfs/T9Glh2FRjN92zr16qPvkl9o1v 2g5lKrBiJdFeuftcvyGrMSkhF6J6DxAkgR8afWzvsDdqe16JPL0kcnX2T6K5qv1ZqYWBOrrmSh/2 4+QnmUT1s4uBZVOfKS/yaOswHt0eg8OY4WPCp0KFeEdDCjLywqh8nNEseRr1PtL9oDfvujKqYGaI x/AHWRxHgwU6BNCpX5oLot7RqTCZ0X4hv2L3RF8x4aStEW6laZptRymac4tY3tzxjXEldCGsjavX HPsPIgXcryejExouvMLc5CHrgTb1yX/nE8KNRm8lD3RXy083Hrqo7TOW74y6WkSBBnIHz3XaE7nF 9wbQpIqBWau0Y/T+mtkyWsxIqpcEJNVvIhNH+9gu3Y3Ldury+mdxf4pPt3HfcfeBGRwdm6+JhUIr cOnl3aISXYabyLLMg56ynSr72sz+V7RwP4jniNnC/C5MYcYVeiw7A3ddhoK5vVJYyeFXcpItCQjp Z63A8ng70xfvdhfSpJr0liyV843q3wpMdlc2EFZBNB/NFSEFFiHIK9p8BL2WT4ZPfb6a+OFn9tc3 P0PPmlWKsrLb+u+A2a0ns+dOA+gTatn7LdmtcH9SjL2JGsIVxr29b9stZg8BMAlGwFMKxoJ6DDFg QIzJbRlC58wV6icMW6cR+/aXadWZuUxgVcJsJnjOEGYg1kUtBZh3mwFQjJLiD8l9GiIoWtHhrrEo uLu0DeMzRxzTOWirqlpgPMzvmVTd16x52WGK/G7QjRz/DEIMHP63Q8ChZ+IQfDP0AuWUlJLJcMVV TFHnKl7X+rjS/ISt5PxO2vd71Wqq4iekMUyI3VT32JsmU6b9Ue94pLT/4M5kDc4AdjLbegE/7Z8e 27B0JLCGf0GFtdxDvddNojRbLXgekxa+AwSOD5OhX1G1wRaZxazYVVNl7BbWtav22P1fy/n53K/f i0HNlZ9sq3deDskxMJkvPJ7kcfsi3T53gNsHOJz/6lu0jcumTP1rhkWOSJWlE2xCXZUK9ISjNTxt lhruaH8RLfwCGsg8IAq38v6JVUFuOgdGYvseCFi6i00ZhYWDnNJ8V1J5k4mIO0qNLM9k7dNpNxUC wZlz38mtte+fG3yhwU1XJckEX8UWaZM8xUQ9cHPKAIoek67JsyiiI0ZR4aMgYS9FFYzUKd3mnHjJ lINsNdkReQ2N+4njXdfRLYLRZf4piuqHTlfgOi4wJyn7jgJb3gsuWHR8yPEsjopc2+pkAJyerat6 t4R/hoLOFugGrxeeTbp24h3bdh2d+nCU32Zb4bUwqNiYne3il1tOy0xPNxS0xXc1Zt6ZORAxpsBf GehPNZ519EnGs4sp+11TWu8fqRv4bsm9bHppdFACn4nR7zEbbNWF9NMhDt19Nne1+Env/47EOLFI +X0C/S1+DkxsqOsga1sbH2fR1sqNEnTXnwUYM8dmZyGohYb13/U2aaSVnNl1iuqFncs2dJSv6uFN XaMS2elbs40smQUj3z3ldbA6+LBDUSOfIiiQ1MwK/OL5gaCtTr/YSMiGfc89OuJrzqxPfpeciIJl P9REb3nF85DoXkILKxdAbd8bl5iO4mK1rzJwr7UP5IxFo6tuzJLJSng77jiG1pk49jc/8Ad0X4jh 3grK1c3g+xaZhOysnYJLqqO+nvPedI3cGlP73iJe18IBdFe1Cy5PIvUtkVLo2Acf7+Be+dFjKNxa PtmlOHeDjAFah90A5FGs6t6Le2qV62JZDSCaqTkKqBcq8IOrzJRlmHLi0lZdNqeVsf4rxPmtYdPb 8fPx2gJbRgni6aOcS76ac1mquuvr79ETU67GpHhnYeks0hLszJ8Bdb4166yo9fKttWkyri9IaO7k cOKqbuu+10vC42Uhr4MpwmpWzH9sVkyVM9GspGWZEvx6wzDA/FJ4AbDrO0Wod6AlJfZsa1E0MFPj F+h+zZ5miETLazIKIr3QY0GeRS5DFB11xu9jtYLi+pjPI6yjiMZ17uAX2q+zjWL2wCCKF36Y/vus MSAMLaAvXEfUQ6unPs/e6IxuZEd4JXPDifqlrcg4sMHGDnPbHhXKR21ZF9D5rmQD2YHiILAAx0Xy biBvFzGHcwBV8GVUqt7+54+x6aOcAskr24x2kIWx4m/ZwmjfRs5XNXxGSCFECfOnXO5wG07qYG/h AtVNuGb//KK1B1c4qJhn6ZXTZaA/hTPY1pwFv7zItxAHnt/Xte0jJ6GqRkOInhbt1GZIzXLG8w0J fZZC8GfMUhLgRzGZAqKm78i9DEGP2w96Pr1kpbWUZP5hVuVLkSqi9C+uHAlIcOUpKpE2rONpsnFq HsxqWDoD826kj9aUKZu6GeEP8llwbDr7IJhwSz49rGB+bmHKSIHqeVHya6RIlNvXwks6r7WysNv4 PjH7XvcpCV1jP8//aNEhSfyZCTuHWbE462xJkcBuf5qaslhgARZ0yPMaPuVtEOwVQntHqLHCTLSI Tu7thlnU10FaIxWfVwKcU2BsTvFsG25xdGkCps9uZ5rSF4z7d/eqd3p1AXiJfBVlfLjIL5AU+Tir GmUoF5ClyN3SctKOo3xIvghid7s8klzH6SjgabUMjfPJj3WiRXKPDl+FmLfRWN8DfGcN079+W9fg l6JhrokSNhQbxPhPxs+NsYCnV8Xk+5S5K8Ts00clT0vax7qvIoC87bowRQg/mQQyE8ieFkvUGsSY 8DTUbArLdea9eBzcyB9NU25CF1Al0JGf9+ufbuzbH+/tK1tXUEdaTpCjnBKmNA6Kb8+lj3DFlqBC VeK4nAaPfAKfxJELV47/i/h84YKDFkUsYneVnxGPcaaar+L10GNrFHYVEU0Xd9Xd0iwiIITfkm6Q S7KhBJnN2ADKgq8vFaraNzXmZO6XamRElIpe9+vmQDEHwTuTkE9D9SBa1c90o+klTZw1NjeemQCI WNUF963w1EZea01Ss3+npNgY2X4Z4IIu52hmwr60/bMP9GUcgtkdaWS05Grxy+oTbszmLDGYMjox 6uIC+h27ik85Ls8yYg7J7FwfIYevXy/o/dpqMmyEqNlr6xh8pTbDgef+BLStXMIDbzjhMSrTS6Qs SWdcNu00Jbpc9rQAvUds3WC5cc4WJRVYTv7t72hARBLGe1zSRMwimZZqBYGPrgVWsHyt0Qb4Z+I4 cOBXxsog7ntF59BqSD5kAiqjZLWrLXS+GiRZ3F1QjPL3LUgNZ3o3164UNIkP2I1mbSPGk+t0659H G3ULaVD3U5dmZyZHy1OBNBhZi4KrFcT7O1hz5YuRF4HWUubWr0C7Ti7VG/UP+/fgVr59IO/Ch+xa d12f8XPbSSp46CGlGkYFPPz4ecQyOuCIvNyh4S4PHOE+0Yf9lANI2SN0bDSHiCcQ+DsIuIboJ7+l L1cvV+juQhuI6ye65tG948J96LlNbzHsdTYsxpE3nJIPo2mkhJYd9ltdvzAzARApoPz/pJMobR6S O8WrxaodeM0YZv6GKjDOal4+TkG9fRijjRNFvJcfwXAWgX5kIfDcX3Yf58vANhfab/Zm4ZCOBEnN pcnz9IDPHxO0BJ6T06Ih+amuO6wbzAYlSzyGQuUMWRiqjTTMZOxc9wx2lKz1Oyyxloji2K4tjSjy pMoJOuXp59LAYHaHKQ18M3BjPnzRa6pTlGkTkiFxyqJR7T3Zs7mOh05RJ+g/0O+Kiz15dLdHoUIX o4Rfbcfp5OmcZjv+wa0uT92MEsll77pjeKaKKQKkkl+ucPS2Kc472RI1DGsO+wuM/kQFWbA3Y1NG G4Q4mtRXrLOwrdUGGrgpDNW/ZWtZqO/IBMzUw1y7RTHwoLkefXBWguD2Kf2LHvDJWuTa8v/5jSRE RAQlUNVIE8zKRU5aqWMy/4jGEpvg80Q7YPCFxQC/GX3FIbPjt7jam/fw3VpPwFunnUZb3LA7kMJA ScGaABZIGVKVaYUxa9o6uqdU5JmEBXGbr5u0ozAXsO4Zoqo7JIrfp9qJapb3bp+2Ta+a8dH8hKs/ a06OV6X1IaRRBpe+KhILXMSckDfzscu2UdU1sSS+trt1FxEY1MC9tW43B+kNPEMCun9TxAjGFW53 AkhKhS4rU8vp14jkhmVneSgGxMaq80rqpwrXuVgBXM5WVfANuFevyAiCJTS3d4+WQMt13ADz5RQU cCo9BUvWEVjBHl3dP14fW2KpeOUjuIvn+Ou6XnAM3Ahyyc+S1/ybjntssCNyhbzNI3R5KijPurPm 5m3UUy9J/dtEVU1kB5sJqpfwjdpNi93IoWMIYW6i2athwzupA/+CzN5Wier44sNnf6rGoaA0D9Uq HwETEUqISE8FYJCOxhGv9+Xab2CiomEscv8+EJOlJQQVhYVrWSnnXNymtpSFFURhmW7hRphzCVhm Iokxv9cOeTpyzQkVfQbhCEx7iExIaIbMJkMHGzWUhE/bCg7vaJ3oTjt+cXV/Xh+8Rofn1yquHgME 9eXrgtjaeTJL5FsOf83JjQqeDi9KP/IbKNdwTRlqluiMRH7C9KLCxCdMqq9OCBOgxnavPc3+sjwC oK3v61i5CClOxgyyhmTZxonkwX7OKwi7gIu7ucGCY4M/3JZN8xJ8y++USPkq0wS6u/EPSMse5BKG Ylsw0iSiwvJ2wOWFSxvF0Y9OF8XT2aISNrcAbD4EY35I9mzID17CtBtu9VV/8xWKX3j612a0Tqyv YxpJ5RM6VSqSKFsh/O366hk58gWonTDub49n+maRJ5bLtQfnsA1CM2P/bVTY5jqBEqQRM5Oib/0g rs3Z5WBVRcLwuEbfPWVlIUfOplNsJIPWucCcM63OmgXEp6pJ9UE444OS1rufBmMwoRn3cdF/3Ecp R0EbtVxL0QlPL+khzQS+lUBVBVr6OyG8ojkUFoGXM0P577dmdmvhVUAmLToMivmNKVYHTqj+vEYE e2hpL6dAdBpwlYvX0r1WOScPIkaTbS+Wm1LzjBSTf76kY/Yb0HfuK8DI78I2AfX8gEsMyN6r0Wm8 CbWC/9TFJNQb2XUOlgEnBCSTBY0qvizmWMRgxasV11Jgb3MiUEl1ElmfCOJjMh9deUOl+wPct1Gk oQEnUUpsHfMdPSNznm09YxpA+4YHunHkWNgP6bIgsvAFDAUl4m6MRf9rp/J7F02v3MviAwqnUsQQ USRMcpkIzXnDyn0698k7Ah8bG5W8XnBaOh5P+aeW6nyU/nch5Roavd4G9aB9OTeRLB2RwlyJ/4Q6 2+Jkb4jXkKCa0tpTXLvISpk1JCQ122qGfZn4fe9VqDm7l4XEPVmdNiD4zTRThAQYdPTr0lPqkQJf wVVrAGTPscNvz84lCcohjwuJ+pnie5foHX7wjdh24NXrtMmoA6lnpfylYh67eHHsIy621AWxKVEQ q4ZC8Ft03e6vHmg74a7hgpTmRRrJX6Il0LJR1UCNpSUxevSxbfNAnZp475aLOtEWDhK9EYhv281s cGXnY0Pk8AO80IfL1SIadLQ4+mbMxH/ryEXkHwZAxKY6UpJ+CpsxuSwU7+Qcqt+VQppnOFROIcUu 4qNWkSSFpCNvs4QsF5dzvQ5FQH4xWrl/c0fSSb/qgGuR24UbaQDK7v+PQvtmuYFhe/0eOXNimNiz Qv1lzWRGbS/5x6EPfuk4cJHy1zNFOTK8SyyDBDB+yEFbzhpgk1GC4wuSOUV2daH22KJpxR792cqu ZQYGXKQQvCd4PvABBhX7ALnVyObd4OxlGXHNhVnjj7QsVoseN4EnIkRXnFWqG1QBxDQZNpOPY+t7 1l6HtEn2coiqBZkJKHldJPJXdvDD6sQ5j8pGOaMFNReFT2yVfgkzTl9lwT313WGzeG9GwOqwUNcq YyH5S+d+fgI5SOl/klFk0Q0mAnOPgGKgiW74MC/QajnL3YO3X3NUraQxHo/wLp0lR2DTtm6VOLa/ yiPmDiKEpnymd/6BjhRME9uLttU2fp3L3uEyarnFfHXgGk92jybhjb3Q9v7Ok8jbNgUlyEZXiZVP sps9pVbXd6IdJY5ECIEd+OBgewXY8Z+p97K25OLcb/LAFqNxFDXCAiiusHKug1K9oWvYaVOskZm/ YAcrwb64DU9FOf/ZiLleNK9p/h3EwsL/3GWbrp1OH5kdSwcg/Gew7FJRIwcZ9gP7fWOyphvO2vOM xiggvsxf1gbmfUV/zXemv/jh0CnyIJssVgZ+g6DAUQufodAByLFNh3egqor7wMqzzPN/TeU1g6ZT ise+FTIVXorR22BO9nteS0NvfB4ED62edq7TEEuEE3o784VuxyAvs66XJI2khkpzkL7vY3lujOWf UFYkZckB+IO8IN+cSat+NjeOi3r0O2hT4Fo0XiRDkO8uyrjTyF4pTJgZkWq7aZNfsgag8uBhMyDF +WAAdR1fI3zKklJHDbyyj4atRoolRnBeIKjBUtuk2je1/jmUhePLoAWRqTwAWm7iDGFwwWt5c1bd iOOmkDRVx8MmmCMNfW8XCUA1daJNQ3UizjBqlxAqXqK7a9YAvq0xaYJGRZpxl9ZRBU3038WrRyzq eJgEhaVhs/FqHY7GsEfD49BvC6Ifoxr2dUdqdFMcoHzJUdXfSZxsri06ADlevAhYil0LpK8/fDvG CXf7//sxghGYz+m1Z/1Cq/LM6vp8TVgHRv8EQXTBYuvjXtr89So85MvVI32jKw1sBcLZnSrw4D6B fRzJw41SPhsg8nZhW84I2BwDWwWzamzu+zyol3XmFjK+2VajG3CxM64Q+yyWFwAQnj1tQLQag92j Mg8vtHrcMC32ZhloLP2fb1dxPKjA2Ieb9p+yXI0cI89mb3rHnBivTL+Wb4istU0YmhdZtBv51rPL oEdr6QZxYA+Gk7n4sf42/zWF2GFhAQ/FkA6BBre4iZHE5nEVviq0d6xJrq6bypvM52SEWq1xbSFE GtaBTVnO9TstonMmMSO6DFzpqppfhH2VEQgh/SIY87fnVv1jMEktKiSYMNoEoDEcPyHBg7zw/hN3 0IKOBF1Oy/ZhUD01ciwLAfbiyFGXTHwAqkLfzNOmQrt2wtH0Cs6zIagx9FMMtTXwkMkpu8nvvtuz ugyYoTfDkJ3yC1Het9zjBM8SVupdLIpvRb8FfGl0Qog1wAQ8TZ9Xinp8zXy/+Fz1okyjtRaXgo7a A+gYG5ptZeCkhGn9k2lJCwWAJdI1UR9Hk+dc5pfqxtSTotUPjmq06hGGLAGwUhASiQ3QHF3TQFIU JG07gYb0Up8VpGl+U6GjT00U1P/wEkLDY1hnaGh1cWFWbM2x7w8virTdJzRZ2zm9WczLzS13j+Tx B8aAxyo8g4YvC3evdFC8I6K51oGncMcCX/i58FkYqOtFeBgjr7wTqXA1EuPBkK9CezJhqL4Mb0kk FZg1yu/tbQEsBd7evZcKw9l1kM9fnb2Q+E8fHH5mPIvK9Q9lj5JL3Uz240qCWBCUqq8MkcZL4/FU 6O8R2ernrj+WeyXUxvlhqQ0VkhtNv9Gdfj44NziFQkVkQH0QtDPqhJZ5AeYTTkfaURkg/kp6DPrL dLHR3fpblNBsm01D4b0GFvOdt+eDbcKS5YKtgzzp2t4OEnBZ97OesEjSJqPcO4yoBFuHIVpNq4Ys zqqbPYvH8kEJJhlRMm6QHVqvuuusE7ohgcgSd03paBi8dpQ33UzkPj3+UDBHqV0Sak7hPW1woH5B k6HBlqI4JEsf3fGBnOJRZDz8VvDntAoR1fCKIkMORzCELX67xGDqr7Zoetz6d3p858qoAsvtGy+U SHlwBMsycrIFEHeEM4E0CXT6+oTWKRItmeMJl9uugqQS9h7vGSNBtkJ2yPRPcB260pXR0sNKYDM/ KHt7+T8aP+ZUVe2jff+O7+R9Ykcq0E1H6Pd7xetygLb5O9gd7kkp4loi2SG/ZB3JEtFcKJy6BCf7 59bYtW9sr5WEyxpT/rej/f40pUI6gY3dHpShBPJHO+evldtUtgFPSUF5hj2nBkBw+E///5/Rhs1j ZKnLRlxsOgi2ze9wX4/iKEDdv1mDArC+mWpVbKEJYPzsZh6Na0nogLNlo7enEFi1020adjD6qex+ 1kxQQpZrPa3bbqdoa5fYeC83sZwf5utoEBDoAai9ekIUvIQwTjHLYrmnQ+ZLHCPTKiFgo7tR7dr3 PxKCK61U47pOj+Tvm0ePO377XJ9rACHHX97BtwxNyRtzRpqzQvFBRcqQC9ur0mKFJtmuWdshSWRJ Td/0f/Ak2UXZr9D1Lisdwgmxx/grSdZ9hnZfb0EBmj3mvDlAunPYl91Cua9BDGxxkMkzyASzBaA1 liFP+eZvaEvYA7vdvK4Wpkk5nGGOPdTTUIpAUvG/IHQeQDDZEQxt94MAFoN8VHb6uvMsT/2nna60 EzS0AVcEToPC3F7x2wtTr0nCoGMSPSsOf6a2vsrJAsKs1+NaomDFKnDO8OYOsNeLyUAd9O1tDT5Q 0bmVhuM1vFJTGEuciX4LR0ve881xFbdOocNNozZhob1f6hO1YtHAQvutMkJ055Q3chLQMgZT5Exy JWctLgP/Vzzqa81CdyZ1zSSmQErH+DsItuLxqmpi2NW9ob2QJfMy8Up6lc/R+MdA2uhYnoRSAsbU 8tpC4QnpicxIm4Awr30VG38CZVmzpdOAy6js84+YT2qFF0m32t+OHqYCPwpvM5L/h9itbYmSDSv0 Pd6mYZufdZbpYjoyzQTDsNRpaDAe8rPekzZ2hxvS76u5AX3IXKkDNgI9grly5dt7Loxi94qZQ8uD 3Flu/dCLGH/+wNntif5MiB8QglLpSjbUYlx2GK4DVQt34uMlcQq4hdQFctXd40bMwS3jvS1mtMmc NgdLBnF4lusq+RHB7fpiVMVuUBzYtXRrgxu7CaAovhEiSewGGPiJ6YFdxQORkrDs7RJKwOVBKxxU sH077SgXZRryuf7Jhb/+c8mCJtqjieCOKXxWQV+wMwqTbvBSaDTxpNwTw7Xl1I/B/fvgOp+ErDkK pbb4dFeXYZeGmEitEigV+1g0PjnzVO7JAR7CnIpgd6d60wRRPsM4SxgQV2JktoJI+bK5ux4zwt7a ko/RWK1QV9HF4S0ydNd/62dl6KI2ilTkpbCia3uT1UAKpTa1QjW3eTC1YTYxKtqVORWXCrp7Nq1H RRhlyUWvcG/Ti3yKlva0lotdlE6eX9MjrRBwieP/sl9frWU6bbMqvTBWGv2maMI9LdDb8l/ji8pE ZDafLEK7i5H5LCug7P+jflwW/RJ+v3xMPdBwev1mIT2QGQ7Bz7B9BqGaKnJYSioOCiOmvnFJexpz jWOV2AMM35SKOZVAqb3Sb8xffoAVCjERvskE/CYBes0t833SX1z1lvQy11z7npHc1K0uPHSxrAiW S7UzFCxEjdjHwSRZjuP/qiMEJa+kCm3n1XYBQWoMr+mhig4/6VjBpztU5xKMPuEx310Fz0mjCeDF yhKVGoYDAGmie8HICm7zsHs7iShdGdQw+4/9Um6J1+2AWgE8/HHkNPQWIm66p1zjaN8j+PFxL5QT XmldcBcDMj5JtbKiGE6p6PqjyZU8+7id6/Y+DmzmyMtcKC7fC03QuMCVCcsjhUp0Cs8oBclUizw8 uGqXEofP3wLiALqmX50FpAzHkwV+QNy5N0A1JhtpkmYGEkkBPgP96b++YiCslVo2PV0GUYH10MGq VMyzitU9F1uYAC+AbJguJw9K6mnqA6nlao122KoUcLMBlLwB11khesWAmXKrgwDUY6vw5fQUJYr1 BTmci+CaUVAEAHU7KzXEVBRfPNH9guBLeBSzlcLCPK/45tHiGNokkf6EdiVd7qjSlb2MxNWGaqZ1 oeYz6GcG0TXbSlbCXqFO8yO7lJXhVpNS7M165/z8dIwFffyW6R4tqf/dXCotQDnVwO8zK3uz8dNH HtQooy3aUSSvRXCpittY3LXCGkdA+cyIY3jsy2RwUDEVMv0GWgE/WN5AVpquY+mpdeja4CTvPujn pYwlR3aZmnMy8DAblDQpdbyVpgxeFrWrPlnQj9jOgPSaLdT2EB+83UW0Pd3xZostw2ust0Y1cSyH b7Y6uaqD+71s8eK0XALcaey5iSdBlpBd1RrR0OIAezV0VR5f/EZUpkZzpA2/qIbnEWDW3vmX8WUs Ws8QSgE29hBUdQLVxYy1Q2fDnuHBnE64uDi8ToM62nasUDO865QZATZRl4hIp5cEReRYSjZTL+Aj Lo6fSXZhbOHBsx1XZLrWM7JenHdBDuNBhH06CbtHL/BaNwZJQH+Y+6S3ZVOSSA47rzLtLyy4TB1Z 8LV37rAZocS7pSDGWOXtTJ8/b3J2QLsEbf7797C52lQTKCERFLs8wap7EeWLwYk+Y7eD/DOToUKL 9VLNXOpO/R1Mz1Qy08paxyo5mGHGzviggJ3AAa4CEO/Bit+xKLACKA1d3fB5Q32Qw9kmyFejtbnV Q1xbznJFesE2fp2JoTFbH+Lt2kXD/Kgl++cXnfP3rOs74ATE7Iv2W2S7tToI4Y9czaq4huJ050Qj G8d7Ibu20UJQstYSuZg+Na4ysEu5ilHgJBgr2eWTOtIM1US0qRhoGzHlfVNAD1/y4NPHzxLaNmkl yZluPYf4CjlrfBVOt+u4btrB/mklukPCjgxRJWp6IuYJFAGIrtjcq/a8Mrb4HvxrcLn21vZXxNfL NMd2AzhgOiWZE2LQUig1XUP44zpHk9fc1H9tHQvJ99XvkUoqC4OTdfFjxQqgW9RqDuqq4wtxBSgX m7Wbax+rQAn3W8ppvs0i/d+Ya45oeqcqAzdAw/i7zRENzbKtRSi/SWhbtFDRdnOBDSfuytClwO8t robgdEs2dPwfWbC7rouObHUBI3yg3xWqvefrmuFU6VlQvnTG1xQggLgbONVnSPdj4fbO4tW0k5AU EcuTuAZRZs6Feh3IhdU1MjVs3RNnBRGLeKYeLhONTSnjM3FVdzHB69h/jXHRMb6TWNgupcVLid/L mTvvnSzgU3QfjFKSB0v169GEpZ/vi5vOMtbM7+a3bmkXneoIBAWg33beDVvOsXCTiFPcNkMrRBTu SyVjAFRGHSWC93f9yLrPSfZjuPHXmhxhyXaUZngL/U1JaAj+SWEGnzlYiWUnGkOhVDM7ulnlYfQr acAynJBLd7mrhi9JbaYl2kA/kLw7mwhKq7zrXwsW41VAfME686CwIVxYHMKZZGZFuY95zDJmFmxr UKwmm+5FHfpIRaTPKI8hHE92ghnJf9zmdIacjg07xLmkLjcj+9tNJ+NA59bMood8rN1NKzTDTxFQ c2LOanzN18AQQS/xxOSZBLWFnSJoFKi3L+cI2TYjQLT7h1RYeRHKSwYgJ6j4yWP2ifrT7wzbpgEp 3YxCNeoT57g/L8h8Wau6CJ4NaTEcL0FxXDgu1nMUXOnOCcystChGKnv8Go4w8Ylzz9Dxmiaoxdtu CEN1DHaV7bnvyimjgtHpGEOTyJ/oILee003k3bbiVs9WQR4hMaTT26h3AkBejA/TI3X/PdfL0Eu3 YsLpA+4r6o8WD1835CvCzrZ2EUGKUD+LsBXBzPPlgVJTmzqjAfKTuCXu99Z/4cWJSq9yJi86NOGd 2EfWev2ZHpR0ca2/6RQvXApsk3qItO4Q5YnXv6Z7Z/aBSQu80MREijFiuJKjUH2dpSEkQeXl7F8O VKalw3PyAjprQ1Kc+MedWU+cUzjI+NwtE28Ma6rY429xTY24NPGG8pa+WJS4rPzLlic8kAv1CqTQ wPYecT/ae2c+QNGpl4jzzaqYTzVJbZiO5HwJ/p+apWF/pG3lunnqJIOl7/OrGEMI8/0qJlJIC62K Fc9IcUtmz6OrhQgM2n0oeVo51rxK/FsibXM9xNTnBkkOTlxwB34K07lHcHzvsbOcFpxsNrL7lDus 32j8ZJx9nHkDhyzTD6nODaRAgDMnWiesc86xoAsNDU762rivhF9p1eI0Qa9hgGbAphw8vUshwpfq SDJ9JZF7QruoPN9B28nDIreqmYH15Ir9PCebw2/CVbJ5ouIRz3Tb+D3UzJ2wQWt3qkDLm+XvB6eb Wej3I9jMpOkMc9qmOeFvlIK7bMYFgv+I5X0APq0TyDDNlzFzWVj3qIyyLC5EGWS375FtGmR4djSy yYGO5u+9rSooAE5cCxfR+fCbHDnGQi+O8oJ260ZsjtGlh9lymQ6fFGJ/LTk06BQ5K8mFs9zYhsyT NHb51G8vpenKjTun2IUmOAgVfpJdnF3VjjZXDJ6KUoYAvBO5hoZq9SVjACkWlxYaCLS38ExV+h8X j8fEwAqKYaHttpXCEymBK8GjiAa9fx7RMJI8A1PGePPdMOcDEdMW2k7OmCGTwuh1Jf4FdTpcmb1u Byb5WN5Ng3xt9GjkcIKvNbPsqtidFpV6sInoXRL66ijM9fyjNXkKEDoAnBPi42yUnoWkSC2ED0vG 93ge7MhiZWh28pKUXY527etweNcqGzNNnNs80V4sG9m//bPl1gnSCtNawWyVSH58lnWI053spCn2 B7rY2a0JrjmHWvSyk8DAO5bRm3EM8Fwvu4K1Ki0+8bEVZBrQ5CLe8P0x3KvZeKdDxy2FbTLF1hQ0 Lkw4O/GiLeSOQDbjc+B+Nlcy2bEqz8ApFTbJpG3UGaLzR3/QvBgLfbIiHqYsNoUbthZViuqKTY6F tQjHYNrWb2OcbWVhfkTJtyfBRENGAX37Ijr/gL3xSfkZytremvFRJPhO3dC4bRGOKajePI26w2mI Lnj85NVE3PNGOiYrXcpI7gyekffz+Rh5WzokMJPN/pJzkjV9XxUuHzF4eiOfZ5RFRGHsS2xXoP1+ FhQpcqJIJf4xyYKXPLyzgdwQVr4Wkji7j+l21T6ugdSiefy2ed/+UAcaEwtrTJNAwahW90u4QpXe K9bdd46xSeK05fFZQDi3HgSTr8dUAOHC7WzZsLXG/XisXiPOVI1OAq7ZW6oSF1DFhxhq8huH6Ma4 0b72GbuHr7LI5UjHo09BgjT2WmdcUglHvykAgpvQuTd3bao3y6jfXzr1D1SS7iy2VEg/T5QG5Si9 9sK6jVemZCIYGvw4o1hnq1Kh+7Y62VBeLnKfuD4i36qrtAuqPmTkNjRU94SGyRI0U2jieLmhm4I5 W7cE+W8U/e7NCt0iXEbX+0XpuQdJEOUKb18twG2ENKlby1vj5LECXpteiya9gMoBHrGzsIs1jrqq CND9iqZGNxMUCSPC5b0K/zOTO/8uPifmXBy3zkA3m0H/1d7oJXj+cHXgwCE2fdBgTbSYVCYjyn1l gyeX5O2UFAl3vo0tpYoYenVh2G1A3iuDCDXuxYMPUQCzK4G+nQYUUGJXAR5SF4GHbJaZFIHllfSl 28TETYhCFiidPWQnnCi3VbKaXZ8JbSpIdhuJL8A7G+nAliyKz8fxB0GD1V9PtMv1SPjMrwGBCHaY UF6GCx9AOA2SZhtAz2V+T++8FX/j6PPqJq2RSWAEqulgH9uWzp5LiTFEVt4YkHVEG0UMjemTmXBH cEbwxKsUbWQJJ+VU3watlVONAndf/whZr6FkZ8ICAXLJAF3DiYpNAj0qDLpwjy+wV+U3IdJ1vja1 mwfxlHHsK9KrtyCUTaZTiw4TsY4wF0PtvEx9RBal4iqP2YkF5wu8Bkdun1k08aC5/oYXxWW/ieb+ oTWjs1ynBfAjyv53SwEDvH0iJnhOgJRD5K59rM8+e0kiBtPqN9eJnhgR3WoK2fLgUfOE7I70Zyvk 7tQVnY7CmNCt+E9lfrHcD6IwMW3D7BrdRpz8fORjTkgZx2FCNgvQoO+b8GW7vggv8VjRK6ZY8oJk nr/HqcZE3M7Tdio6X2CXZpLgYRpEXw0vnSN/COQPXviVmH3dDnwVYMwIwUANT6VXI0/ED+gJRvJw fiAeIpamKK7Oc2FBOGLZIwiAd0ZCXpWJKQtLU2dkq7Hxz0lxe6qxoRyNBZFzF4PnTgQgMXjmobgx PS6Ldyf69wnHBk2JMeBc9E0O0gqHHJAp9x75VmdXoxJoK0lZx4s8ncZtM025DHnQ4ItK01LaHxaK EnT0ckjm7+JoJxPaBBwX76QXlQ7H9fT/PUE39a44Wr4TLgJrAqZ8TBIAcmDro4+VcAnwB+mmrynj Sa+7LFMHu4O4UeVYhI0SEr8yWF5hrFMi1iwT2qXWeyKHLkyemZ5RhAKj2rpQo/MQfrtSiJnCgncA GYSl+YFn9t5lGeeqOgmKQcm5hoQ93+vtL1Dm5+2q5qEqmW/ddBt8H/EczPGhCNunW0gotMRY9u97 qObkmmWgrkmVWw2sEJOZVCdDifBW5Xh6LLqDGjA4x+JAWusl4PaMDlOpEfXS+6vg1fNnjK1bbc0R OGTN9enXHUQNWICC5Slewj1wVsIRZngvZeqKs8ns+zR9d5YFJJi9FHFDtaHXuwkMJEe4awXPTnrq ZE8dicJtE+r5hH/yjEqhjdaE4MrBbw1mMUQ96pCFvdJIlE/eD9URa1jWf3d6dY0nubssQsP3BKlZ 1CDnQR7pPd8x7bm627g/YR3Q1W5YLp60xkwgDnV7cXKeYbcsXvG8TAOKL2R4hAFZoJuo2PmU2nXK NRuixTTMEhjtBG2VgZVQpCjCbLJrx8wlJ4nddx4XbH57hRPaU7Vsk+Tly6oV/Z9si8Qm63Envn79 ggF15+I1RLbxcyDECDIqBFYRgbYwSNpT6H205g8mtqVelzsKLGC0DcCeFdtZK/pw+pk8vtddTAKS 5zTfyGQuqL3FSUofy3bjGBsQTK4ErebPF8TlEVkL6nf+ldgAIO6N+RxabDDlGv5ss3kXR8if6adi 8VS4CKaUc9xUG0x6GdLhCIn6o6ZZU6Xu+6VuKbK56LCw1YFxm+CVQNm/yu/o9iXzqHXc3wnkCxIm EOqjtySdjTVc0O2HxzAA8hUuOe7cX1F4lxq002tj5VBoUS3rcdZPr/LUAywiv+GwvqVhGOJmSz6C Zp0x7yVSlMqEp+6GQ7KNcK44eyCM3WZ3wRvseCwdwEEm+6uUvBvLmCQvfdPsnqtE+UF595dQw4AU 4OczFCSYEOaeassb75sjzSGkDl2UQAsjJaxGeMnD4lV52mytldY1FcVwneed8st+Odhfg2RrWYM0 dPtuK85lnuI0HIZgQPBnI9P+K+dRSfn3kNMpXRbAgQeU2uL+xb4KDTbNQ1G1WDumyUFWZa/5TOrS eczX6oA28iQej4JNbYOockBXViLLVjEHEKimriDwb2/uIWZFExeVjv6Kw1dUgaiTAk+uxfVQh42J uDIrXo3WSfnV6bUhU7Pa8/QwaIrT2iIfWSX4/dZuN72k+DwjgRzeqg5XKykaPKm/R8Y0MThQqlwW l6cDdW9RPaW97Dd19haO8Al4HD2YzNri/5MofoHEpeuUSynr11dcy2vjkVJOssiKWTKCL79kHvBb zriXrKhCh6bLK4UzuilBUkBiBibAvU5d7QJawnIBZJMYuC2K7i16uU/4Lrw4i0Rn15cO5X/UB5Dd K+TiHdDc94ZaglK9bsCK0nQAcHoWmtMAj6E3gt29Ap75b6ekOdNIzE+SiQ2H9eBPpzYwysBFIgEG QMZK1xDygJ15GEoj96j7WwID23hzzs35AqVfaz+wUhXFkDY+JsPw2rLhz8yrhITLLYOe4GTp+Fiz K3pjRMpJG4HadUvrUCRwPm8rmwnId1XY53/ojRSRkfItxzEL8Z1m5QulO/3fuy7fIhh8okBScYj+ pQ4LhqRp2K6eDVuhyEyfppQqZL6Jmbe8XBvc/FI9qPD8fH443ersOqWwvbqOVfcSUMYxEHAklwuL G7AWT0wf62BYxpiZD5IP73YxCeiZ6LOKR9WjyZHf1hDn4ogm9QgG2jCrI3ByQtb6EDynp7aCEh5b 3Y0jdsk0QjojhNHhR0VDQKD2E+mCSfwNQKUFPFboXi0T0pjR0qj0StAGa8BWiaRoGiAoqt8VP7ad twHEAl9Ncds1dchUNdtG2LIndY/Tnq3LgQQuKkczFFC4n2AJkmEFwplQ7mecJrMYB40Ab7D70Caw iERrddNIqglNmBAHsUuIzZaJUzBFZ25PIRbeuywd+e6qfWMSP5ISRkW22xFLTbISfO83JAe2XFTZ 9p8ZvyyGAY9mrVZjuknSKmGwlhoQOqq1wdxxawXBcp7j7CalQTUGZgOgdF1vLegoTBtgR3cYmTRz AmjdwysWfj1Ndnqv+RoOfmqzgjA9Rg6k60LVRAlXjBZGalJFpdDc3ezxCU9ncjCKmHwyS1Uw7D1J 22WPPOO7G4YckULsj4SUgmQW6ENon52nkD9OFzyUWK16WBIJdbkKQH/LQHXk3y84L1PF1z6Lfxsd OxgsDw6R2BQp9yYSIU3t/IMTA4qe8Twc5QONcraWGymN9jlNcpkG7dW58YBY7WnUuMjQBM2rbnlf nNIugmIOGA4kYATxRyVoVPPSF+uLlIOV188Iw9FWijNR+CEHrTT+6GfUNTNeeZgLBf1UWgFqd3vR b08aiHTE1MZ1KrkgUCDMIw3HplihjE4uc85HU7/pM6mVLyui0qkdFb1unm4dqgk1ypzlErEIop7o 2rP52YpIOwQjzmx3ZnbZJh+aFPlVklFxvxTQMdvnmzCr2zccEmmwFr2RaVBw8jrxhUNM+zGTQ+vj FeAN13F9m6Ld38AXpTgCfUvAzKckbBv4h65I9lCXy9fn8tit5T6Cyk+OQeIKMNyqkbdDbujRvELN MWGxMurMy/1R6UfJ0ZujNfYu8azC+tYGdm7rZQ4NWrNo4etHLZDGVbebnKmIfRzojCCaNCnaISLM PDb5GeheMXqfmRKABHWTWas5amSZ8Rzg8gzil54NfFb+7+MbTrKAw9X6HK1AWiu4G7uPyS+AbL82 BAh1PmV74RJdv8eaxQ8YXDOKxghTO11RjylEokHr0S24kJqk5FR+ujX3AgN02tOyFPYbsyqouw7z vqLDMfrJ5qyAU82GYxZoqtfMrdRRfs75dBeaZz5iKdpNkDV4qTofFsi0azV7/YDXMrMLGO08mJ7U yR9K2+oC7ifLORjKcCJxGeAFFkEnw8TVuVtnNy2W65mnhJeLWiYwhTCtcG1LVTmLzBttnsm6WK9j K1xdlrxFiOp57mGD4n2iT4P6cxWe/zR7a2XSYyoVFu/2a/NaTNIzexhUVWfVFgc+67LpVsXL1tl+ rMhvDquGqKvSylwdToyhXa5QHc96nISCrGCQfI4p0febadS8oBtfMY9SyteXFefZOjXXGSi5Fx7s rjEWZUUgJQ39CZW66Ydy8uAv2fNz1i90Nv2ATRLrB6bXjJrkjHDkhN8FsbCCfRngJnUENlbM+goW I1Qzed7zY4YPa2IjpdVvnBVhfhCVCV7W5dbSg6cfjZTXR4U4zfqbFuveyG2bwNh62zw2dtq0pJfq j7hN0eVfyJfEDT5lg7J6lPwaq4AjZzJSa0c1h1ZAEXLWC8AhGi6JpIERbB7vUJ1T7e9/mPut+7ns uX9p4+EDXDXV/yZFBzO9deJeU9BTgZL8ET2uMdaJYRcP63SBQHYFGYIZvf7n7ASE3bRLTW1x66Qw PAC59j7Ng8YTylSh4uBwlFUxgYIu1uLSN6nDvG9dS+tWeVweiQCRNQa+FEdQ0zHHAX4j18fu6Z7U /IxMTl+q0foHjKJxeM79L30Vfbi4TpF3dPUVkKJ7D517Z9ZXT0y51xs7FTC47uNFwQFngXA4G9i8 aBKdgTPG8vQNwVZbk6pxfWAfJgDxvDWFRN5tpRTi9KWWtgPjoNiF7Kwyi+tsQ5kdWmZca2cVlJes ghWwsN6KlVJZR3eFn6w6C2P2BcF2AEdA1InuWdjy/62402wJcp3nVpHhyY9sMFcj9UE8cPC1AsXa 5MOpP1a7V1noWa0aqR7OT6Up2Jd8bzYfsYHE2Y9ry+5KyTL7WJ9Z/BhGQoiFJzI/yhuNIGJGJfTc h7Z05qAIuPIw7mHj44pyAjePbL33Yp+lBMkSqOjP1LCaBdoyVBeVZVZYYh9XHA0Ak1qJvloydlnZ Cv7G/K81RliZAq82QTIQ9V6WahXUHb2K2TziBUrMC40VpOds3C8S3N/IsHqfi+UJ7esPpT4zfldu hhI7q5ftVrHcSNc+XQqFaTalINVb001cZRUFhTZNhjku69h0K1EpaybLpfPXVyP038+aR7178/NW mLxoMhKZkgJe5hVNBnanEakMexlbByD6so/w7AmAaNOVI7WH9WDJzM2pHG3YROxLg7EwOv4nqWYD 3SIW8zDNFG+tS6u3NRD9J6YldxsE3Eqrha0PiPHq/cZQ1x0TINjXbzs5k+4Z0+LUwcmnIOhQRAoI iI1+7fKsJs2weHnj0wUQHs+4VB+cva/BEf0tv2qqgU5RROSmG/7rzciakBcUWyVicZD5k/jjMkMd 6l+q2/NqwpKLxRijO5s3FzdrZGgg2S0uRTZxbIry73s6fE+rdozcP/bnzyuWYKV2BNKvZ3a3pUaf Oh/ryj43w8plOz90cx7mMCHtA5/jOeV016JEBYb1gqgd+wweBXVNzBfpGso57aRR6AVnurB6fkEw 4WlAtOiZ5Pt4k3+5y3FF6xygNlCFenpyH4Umu+1hr6vpiRQFtKmaAQZrYSSiTEhpZVcywTsuSIx2 48uu3juoyxfzbPUqWWeM1gQgL7arJoQ3UedgwliPE3DV/GyKXptp3kjDSKadvLY7jsz6FFw2OULy W/zdMm45lqfpijg5Rh++e+upjGi7CNaKWi+DCDl+VbEiaRclYpoHlsxAtW1xAe8ptbnsE08oJq1R MhXHnZcWdsmHh+Gn9LcZPWcmyBlasT/KLpJcZvqV7EHnsUeaCgBe+GRhsKOL37BoZ0KDt3iYU9ph qRZ7PMJrJ/rKNbQMkNhLpBpcLhWJ7vc3+H3J/v7bzlLYUt4J1ug7hHlnVVU5gnHPOAmQXXLTfDJY YGGhiCUU2Ksw4Hx7atIdOWCLtU3L3zhgeQ2EltVy4VuvageHZGBZZ0EadcVZnC7K+MQC/U/jppXZ MSJYxhxu4+nKCrcmLE0+t3L3ptMp5NWYQ7jYhUx0ZL0Exz/5J5X0P9bZsV3xw9E9D/e7LGEU7AlU /OsgAteQFMwZXg+86uuc/qlK7xztdANaH2JBtrmyJh6WIoMqzrdNUxVwK2f+tZecYmtgWsMupMUg g/s/QiNxG7lCM45KnQiIuRatYPDlc3MKFJns2COvc7MwOjdAl0XAHAo/C5yQBkU1tnJlp6BD4XNr H/eqvdODDnyM/7gqDF2zfKRNoWzcHSCj79ID5+7293bq/kxJAJ3xp4IrWYty/+6AY6RWss4r8N+4 5mVbdZeo8NXV7flWwxXhCUfLrDSmCUdMnBWMTC97OPKRxQzvDGEjLmVgPQsf/TY00n2kd9GzZnfi 7fZbLZZtCV4urogt3PfT8CUir4K4xtvrJ6jkF0B3Yr1Prtzr1MASP6lsjwjT5kGXJh7uiQTnceSg 4R9F5Tf5DF6WNOg6O0Dv7rnt5uwT+XyyOFeXbKGzo5VEiYduySXql4Zpa4bqXva5nS7+AzU9UcCd jwi/fKaqHOD01BOgQa9pzv3cXWEvRFzStqHJ9/BZUfHdf8clZsOkgMHZwVXOSdK/7tcF9X0HC4y1 LJMJ9hDW+0BZwoMW4HsCsZspgEqR5eyhJhM0dS/GDIIWd8E78nZAtPtu2XT7+sRil87rMlDAzDcO c/mTok/9ICAPvAxf5dvA8rwqYW9F1/cMkPMY387Cjw5/P0t5a8qAqokS2TJSZwAGOYr+tbNYLNGj 1FRg5JbnC/C3TXfpniNRmoIQc/RmRppcQSPzr2WFGO6CdjsJ2vx/4pfVERzPBBGaBZI9mN1HtTCI gMqujbtDVJmM4dJyLNJPj1Ot3q/EAZm2faqnZnihtzKxG03DNvhyCi1DvJEVYhnZyMeHNJjLvdXw AGFFI4OGENIVFPSdK3iMv3QPNEYr+h/Q1TRlfR8g4lFQQ6R7LlzeP8iQ7HHKw4XP8imtFDGveRqk xcUY0tLRmgSmsHmc8dEu8Uc6m+hmv5OEVNz7c8voyBpEiH9VafeL7wb63dXjq4Mv0aI2eEEYDgJ2 GmjzU8BTFz4Y18Sei6aLLI3K1STZD9EgERhiL74UgB+WgJzbS0fGljMXrLkpU2eW/MmN1fp6GOn+ SkWy1yMa1cMeU/GU3IbEs5l2LeRqH4SScXbCTFkjLyPv/BsAQk95TybYH2YDb8y4MVUpgSDxPUqD YxbfHmDDvGhm0yqsgJ20M0YK9dpCwLxlAX6fmmdzlsbcMEJDG8a/o5exzJD0um5+EI1QRjuWdKRV 1ooBtS6zItORFgaKr0zyc8wioE/vFj61KRkg/h+nCpWn3UTCr+9YLci770fgDjdmNncKwUZdubRy IsFOvS05ni9Mt4UFQLsBWHYY++n7ZvXbYqtKb2BHDdN2m6DMwvP3nAd2pf2sQIsUBo3fhCush6Kv YR3j7rE+8JGLQVqFpFbSO/e8ajCOyQ4CbH2pcBXXnJi9CDuM6kJLhHn6FFAy6H81Xlci/qel/mCp /S3MgPu9VVxefvbSufShQSH8Zmz/Cp8YGayQGKxtZlZEIHuYJ2XnwSVVmAFyxlsX8qJ7OSIVOI9h cLMujBwWlgPvF4FKVlHM0SKjNPjCvaQyZc4a0v7zahUnvV2Z7D0q6pK/X5ThvKjScZ6TF06LD7kQ Gxpd+Qlf0l0FhitgDWs61eJdu0sG9WdnCJiHI++vmdQ/DsD+GsWnFU8l5f35n+7DttFmkuFzb6TA +2XanikDIyj9DsailTF6qkEIvdE7z7+79UZ05z8eCiH+W2je4CKBBfM54CyAfEu6+f4TSfS9jsJq qaVxuV4NOqlKoPa5uvJfOPIjlI79aHvdHmMoUDLx/FRBWrUM6v9m3w23uvEIRnVQc9nzp0iDFaET acqdBIjmX3+QKXNC7UdG5zX6z5zklBiqB1dR781e6Tw9TH6+XToMBZubSQ2QuzUUqoMohqpLsslm E8TAYk0CcrvxSSFlENGHOhjKLzvu/npxB6J0VAZqVJm8V90cr3ZVk1G8BXXGvEG0kBwrYHwshZui wQZb4R0dp/EizHpOq6Cl6NL2mMhf0EfNFn6ppJwVQudfwdHL7nMsor6rOdBTY8aWOtOLAXE4xJKT MzVxl9oEDrTe4GVfckIuFqyce+yrh1H+VOBp+mMYtKXcWrHTih4zUASxZsqgdZNWtYq745Vf/5dk Ia6A6PzrXo7W0f80ESt1HmbQ0k0XEGXpUNHZJzEyDh0Y7fs51xcSvwPIvFPuaWwRtpa9NPdZzszQ 0OPd0KQXA5T575iObWKMS/Xtw3gB/j6im2lVIusL5qHT8uSp+8HcPYQbTgEj11/gZKCoxou3bJ3L uHOiq9b5F9OWxuDdazx6h9B77t0UR2RlDcfFH4JRf1AGCqpSvNtph4KfdeLyuIo0djc7bXVGzyco fO2sIo4AfB7RRQ5oBqUnj64eatbE92t7Js9dp67IhGVKDAHgeZn0fyGKNLD9uXL0kZS/1WUEFlJR ci4dFtVAFK8LQn3c9s7qVVqdJErBSu7Cuna5HcllfSgvfeE4LZ1L5LmY6mmBXEbUjGVOkyLnaQlV SYnAQ1/hwY3ESxlbkirW/3IdDIlplMx1ZXodJm9601NTmfDKWf7b8Q27wjjnGM91ohRzGi6u4rVP g/qFFwKHWJCziz/KkIJPr8+wb/4a5V31U+p/6yzQUtn+1iekBi4upgZg356Gz3KrZJrOCcTpH98B SbVNueYGVI1CI3vwjc8vHOVk2BWpm30L5q9czjhP/Rsqf/KhLNjYXMDr+APvMsJMXaU8MJKILpMa Tip3ygj76UilfRpKcJ2eJ9wpKuAcZxU7LbUdFiqDyhJs7NFaN4HvHTuFRgbgkrEPjWlhygQT5jVd f6YDle4JfJAzSG8I6gdWMNj4BlDLpUbKWxQjiAW34U2iweZW8DdpAetIeTd5jA/8iDg56TBuyykG 04/d/T6KWUgta5ZdOAWIRireIpPs8bJb1IeH9ngl08lV9lqZykd3Kj+G0vDm0wYmTIiNMIvbMq6+ h/F+TQ+FUTTAvV/kwD4HTPXg/aRfnCyO2H600m5AtAtdsd74/HochPBC3V7clJnB9Whmk7RBA5bX igxBP0F64fR+7Tvb9NAwUtV96p28sfDKb6iFSjhpSHo440KIsDulyj0HiCDEdzBIzzXvbedQ7U+B BYVrufEWnHeyFq/LhG9A2MjeCRlxie/HmnBcYDdtRi5kdZ7XOnQJFVY3qnrbMxCELrhKiCeJfyaR eCZmylq6/F1LXCgn8KbNhBktckwCqzmuN2pxphxf3A/htex0cGF7Kg1Xa6R5Px0rEVWnMyC7bMXg mT7m4Ze2doenBQ/GUowmWS88GeV1e/ACMnYADijn6Ryzg4Rw5FT03AgbCOHYlxCwQwkDqqSccqEp h3ciPypbxYdINB23B1+dQKPaqbfVyuBaO8MtSfpKkwTgGTy+h1VTqMJ3Z+n+2OgARdlrWGjMjqb6 YVeja+vkJ8jbI7sS4rGKQFBLCLylkxBcLigQdbBHTTAXKz24Ug/GK7/blFBSBPFSzIuXFieUvXNt tahykUYpkNgmEpGgKbpOk5h2zR2oreVXrHVLDXiH0eeimVf+KdrLt9bj4AsCebnV0Eg7waT6Nrpk RbyEM3FJYkk4jbHkyrnuOiV+P+MPJW/E+h0u7v+lS0sVEm5bLuodCQPpTh15RQGYsb/2YFvqkduG iwaeps1dk49EIIyRW38CSciNwpElSPctSc+T4MGKnUx4eNeadTUop5AHmtG18gRb6+khDfI93XVZ NTNBlHDnsDfEvMHTt4qZw+21Wdu+GCzYT5lsPyEj/tt/swP6NVIZY0sz3+rsTTsfxlIifWwpWDCJ bzoS2JL6nGFyxaDyXNcJVLMEP4HjOrA5XhGCG/yiqBK8Q8zSjaX0S7QHn8CXJGptsnfJDnQ0mU4u y5P9Mh4MIHsivhDA/gmi4A9p/tQJgw2lyeeVKQpJsfBtm1KbxPGyvRyxM+iUVkvud+OCsXmEiQTl Nujyg2TwNdwAbB8Ddgw5m5ooDWfulTsirUqIaItxCGfLL84d97VaUYd7QgAwiKyDuNe0iudKefUx iwymSUqW2+DYLJ9RCFACytBCwVYCqCvkUMtzWZhLopLxx+YKCXUSdBw41Ol22MpPuWVRvRkO13eF SVZMLITCAmLmAK1bCM+PJl6LOt2d7HmPNiey8lZUqiYY7ptf42ywMLgWDMPoNKaRuhUQY6ZwKZqp 0+8/tscTa9a95iMdvSVuudWPRoeDS58p940yDeb1KHD1H3GHg5avJoMjydZEVZRtrjAGwRapMf8Q GUovnAaCuzUvDFdfWx2kjqQl82jt4Y5iYEqySqu0TTHwCPJaKkboWTiqbicDGBjQ5SfB26E1yrrM Es2sXyHxUnYTCe97GsoMLUCvE8GV0A+UKT/iX7gPbh+QfTEa2ndcMEc6lzGJEtoU0jtko4RXD08b kEnAr6HljpKhAbLbAkMKqhUSQDOg1GqrqtzMN4vgNPI2Q4THPmd/6riCJuS7EwdAeYGlP53vH1V7 RV0vYsOP/Xf0I1BtjeaTNbMXGEkXpnlVekDKI2TdM9wCHBes9XDCDA/CmzSFAD8Y3sS7HdP+/Vf5 iOZzaHLi5lX9O/0XY/AmRSSw7R/bd9mcbUhmI/KXbMnsXXcnPTNg9c0sHpxFsKyI7LaH/PPmLUd7 TaLxnYMjFmg+7CzpWgVBrO/Cxmf7yUCsK6TYWUr65pntdxDpqkY/YEO61Fl0Rt3QGJSW8eS2RNpO cnPxTbM2EfBBjcaogRmmC6wV+e9K5hhVbJSPeIFJ6nO96tKa1BLxuyrOrDZOzj/N27KNXRHYxWHV AdvI+3ZdfNcz3vEiHF1VzXGEzZkpe+RpwMxIsD5+8NDZnHA1hFJs2OxdRwpH+Ufu/8rbSCt+K5sI MHv0Tq6a3yMRBoR7bpluiRCnbNRWN8QPIapIl4AFQ7IG0VF8AkA2QV0G7VjL9xLx91R0bChA78uY dlJBbIu0OXt/Uav8Ng7sKhOQrqH+XImTHaNOun3GR0G8Mbvdr0A7i6UUcdsxo9fZmbRT3t5YJiyO XwMCTC0c6PFtZ7Lpgqyk9zYFcb7ggJQsdHsNtP7NNpsE26vWEZpn7i4QhlANt5PWcqK6HnnI7Hl8 XGXUvUz7ikgm/8VtoRG3r9O6nZet1tlSLEfEJvNkRKaXDwSq0RjbOSKOHcSMUfDy3GWUGHKczcMp u49ljh2ZUpyt9VggohpVh89qkS62sdcJKv6HS+aJ8iwZDUlqRhvq/73mv/1QqIqb7muvO+XYQQog VHdRcM49hsscHQd7bhozWdW+4LKhp7qyXXKcAndrg3MbXN+1+tPShvc+0hupuT2dAyCLVhNCv1bq jhBwVSgRBnpgq99EJPimsm+F6PwMEUtQAgXQbgU6HvWYK+LgHe5jOo2Mz3qbdMnHfsDpJ6ZQxM94 M8y+d/UN41LfFFkdiYeDuce5LXIYJ1l+qmGAVMNmUYzJC9i+1xsE6+yPtDbxO1zwa07T/y3NrMFA 74Kt62OjXq/3epjKgihbCRMI2NlldLDiLj5vsRFnRELnFY3F7XIfbkqHsPG4qQtRZVj7LnXJ3I4y U4r5NX1DDlevxAob4p0sBo0crPXDmWKyxiT/DlZc4XsrzjEAXFJNs6k514HbBOr2zof1dF2Yv1wP Ft6YA9Hbt8gkxCmSjHio04etuzaS0WuutvaRoC2svdbwnxBe2Uwe5pNYty8Kwj6RJT5kTTDfWMSE 27jMvmF8gpsL11fQO2B205YmHulFATZFYNPsfoFf27fye0taSZYUCJOtMoJjEyPFm4iwUyEScVIX +DRFhacnVU5QcFCQOm4IpBAocXg7EIIClJ4MjsOsS5qJZtgiD+qalCkcBb/DPUZtPZJV4hudiMg0 jCzuA2nDfZLu8QOHODSQ7U05dLirZSz7iWTeRVuR3oCypQy3I1NYK0WV3WTkKqefxW0kzWHAQEzy sBuzHnFrSNQ536uXw94pFEdyaWzb+syyB/1YE+UhNW0KAOIZG8h8GElRkbJu46/vw0MNqhyjd93w 6PZrHwxiibrumF5tla+bdD9cRVuKpYCcVvu3PBMy9knjUwUc3uGFIHyj5mQa6s/TepULDs32Oa1/ GQATdyXWkyFYajT4cJWW/6DBEffrpMkEtE/9SeEmiLcFy8MbZwbvH8hGsBceAFSrJYW60dUiA3IJ 4T9snU2dum19AFEcg9sqWXeEcDIaqDBx4auGaF6eeh9I4nc05hmDq4Q/v2kZUJh7GVwRPPZsvOCE FBwaokbQBFHRKAtLrX0rZmDH0uctLY1udX3zN6wD8nW00hyO0G9TAJXNufPCrtzkhwXaljxGalb0 4HqDe+p0JZ+FgIlaFDNzSE0Xr7vJwxupfQwpljPR4TzC/3t0tsFXXPbP7uTiEnxctALXQqfZZTPm +BBYIIsK0I9zFuHpkV7u5tQ+re8g+Pi54nR/413jpoT4p8J5ieDWH2Pvzztg9qYvQBVUfeJ7YREX d5DJdE4rq3vjwHYvIY8bUDWcVaQpM/TlYs/p5ZqpCZu6pQDFnjH8yXVC4wMYfyJS45wi+bm9e81v 2TAXvzPHguEDk2DbMmEK5s2wCZxedbBeIa+mgeZrDk4E7DcLNkz9uygdmGL3+Yv0snSZEoD2Qh1F 2DlSHwI68KMBvGFl+bS4YPHWK7AsrlCxGs73gNUf5evvSVDbXQxaBWA4jY2gqynHek1S2sWAZ9yp 3yR3ytYe2hziQ58T9dsCccIQt9cVhoAgK4nivCpgC0Rxmfh90EqcAA8y1K8AIUoe96vjtT9G+C4p SsoMocmJAziaidDY+GSfr984L0bhk4c+9kPScA9NGgRgOYQUP3nm6e+BUuNdnVaap/EM17+pD0W3 IjanAy6/YJ8xkE9vY1q0Au7bPwv00z/RpPRQMSnuI2XzNENqUlqhEhI1J0YUYsOmsKiEGVwRGsWl qwvQcg/rIh0ynNMlcQcYTfORr7ukG4EIgkAFfIpyOfM54DYWZV4L7M3VTh4BvBtnDk5FMw9B3VZQ 41G6sReC4ng3ulyeFJQiIHMIRsYdMxD971C/mXA1fRWl8oormW1+Xyu0fk4aA/3Dzud3OSV8KGxP H7EsClpRafyoNT6KdpsNk1pHTv5duSK/D3op9tfl0hSzDJv1Jfrnbnqet/fEZ0i10WoXENOR43RF leUVn9Vfr+LSy5UGhEhwJnnv4bwQGGVP66znIjncknCR80JSisdKTw733vCvFJD2aRqw7GmgY2Fz ZrT1u6AkOM2jdH/EJLFc1vWotrUZoLbgRPC3U2vVc3WY9+JGgiuZ5d+gcfYr+LmyAK8abf+teOyw 9T61Grgbl+6VXB/39XXcayjsYoTqROpJ8I/vxIy3Jg8qTerCeoIiMxCV573Eg5ydnQZC5faojE7F lDnsZTLOTtTFjUkGwoEEB9kVzCP09l/ajwnq7L5e8esJxWrJA3u91qVnLFbsCElqGtPV3k+haUO6 QfvHHbFPsolvryYQwOZRPRDaByDNcOT3ChMLRY8luUe9DeAM0m0NYpNoG+KwDl2At8AR3M90zYHz 8AiG0JjuZSAjeKOadaQJqylEgUE20Ja0q1LA8HgKggb0zpcqNWDIXvOa5MT8XmWCs7mbutRrNM/Q k9/QtU/51fcACqe3ExXrd4iAVKPOyzl+UeByLvpBGRnLwCz6kGiPMxSN/ZiPxhEjILxc2TmKf11P ntHgqC1nLhOauk1fZoXkXE39sboDIU6QZXKPe/jVYiQBu1Y36VoULCn4JcacyDN7+S0t0G9ucgfL 9mJOIboteX3Fh692GRJ3QNOqunrrPe3sr5BYi9KRL9Sthl1gI8S3Orxxobfy3O1gnJSFiJOelJSX C5iDjK2vbaBjZbdiNh2GGff+/dw4WhTNV+YB8lRmQkoRNAgDGhTXA/6aF6u1koZmZKoGjEqUxGrs P0vIznwZoqJU+vWCG47vDmpJXoFzpGEdMSiDlcJGSqaj4ARF7jIowHiFK8MWPzlu9C5W0mU3Wr0q UDZxsxEyS5U7fNGOR9vT0iU1XIxx9MS1V9TTa1AuhoQY9SD7WoIBZ7CWb5LyUwi86vn+qF5ehQaS ruBNdCS63B11Z54njED9Sr3wIzSy54Mtp9YjHcnYpx2WnWB5HFkc+NopydLuaJlYhELcriRGm7D0 hUUKg5XiboMRMq5wNbBfceFuuAVYQaOSozO/h3PoGdrms7KbEhrlyq8sZ0oR0t3gJG9Jy1/dhRYK JpiquG788UwkpUthT2BJkrxuE1VNskWPCoKAHkGWs0EbddWksvC92yKjG/CCwkTsNS1K+ny1jGV1 P+Y8mbkjK6FNiQwb5yDNjB7oRnYPChLNxMeuQqPciBp7HpmixVPwW+g8OKxTTACWO8ixqKx45jsx GP8rqYMFIxzevwQWUgXVY/yc3L5U9AKjDIq8vy7IcYQXS3SgVfO10lnzj41wmjtxRDnqUrmxMDPP 7xFmGIG4X7yvWqqTQkJM8dy0zlxJxB07sare19FzrgK/hyvo9o2Hu/5wn3H+fXO3v7izFmTNu+Vx RznXkh6bFB2v887C1oLgoedStGOwzbyX92wR0iRcgZZBM/d+HcHhKGgAdZwstOmxNik9JAAUesrR Kai0JvoPDaDJinr1nNbGJorQXJM5GPAbkmVHSkSocK0kQJP6U0DF2RT7NJagFsllYgfLjk1n3KfF y+LGSYJ9wkDMpracJF9e6VdoeUK5Vkf/WmedbCBNqZ7o/SX/PIsSion2jNOKcPdePKLrWByUjxKo 3w0NgOIMkQ6cEsMxT8cLwQYUD7kKN42ETDkjJmv5DsYAdAFhzekC0EWdl/7v2z/FW/rFlmkEgnHL wzL2i214nwila0nqtz6PVKdAm5YQ0be0vY5BddZn0FDpFY62SrS60tzPYVxVcdcjjxwJCnAm6hpY K1VHjQlJA21Nc2eOhn2qjGkmqvNboXAGBxkwSGvO1ZrJz4fFEZ7S2Ap+Q8hTQripJOpTbNSkC9ZT fy8YnrZjJOpu2Ovv5Swrl9gGYR4wM2gN65RDA9cNZtT9QBWs6KjQE0D2DJt6+JUjZGCTv6pPXtFg 2y7rOPoQiXSJspWBAZrBlntkwcZHFlZh09JuQqesoUosfHSDtqctCD028bBse8QnIdkMH1ovlyPd irB3yqff7XUYuqu0j6MRG/gKO6vcLwxw9ykXg3V+dg0xV3+BWy3a+pumGpwAOzWvPmrcePmJQgRx EQqlOBE3l2OXMwN+zuIW2aXrYfS4NPBBSmYip0+qB2NGAkws/ovhZ+pa0a2V5vdSLsRIoulrYRw/ kYkNYuO9kxbDPOo2yRvt7v0XM7vSlFAMvHG5+eqaPYwGfPs131nc0LlX1soXETUdTtCG4Amacf+o xPLy7spIACJFF//nc/R60atMpGNprYkFDGlQl5CwZOH4+QYfY3tR6a8/WJ2KcJaf8Q5HKbSG0txS TIRxFyGAprcv3oNjj5vV73iAr8Are6cfDy/AaopkZhYERZn3ETLK+cyNCL0CE+z4F+B5tpSNQiAI 5AWRx+0ewsJqOcaDbhr0IveiqmJDLseLUca4YA8NTiYXOvNQKfM3v4kiVkMg1m6bnXtQiL8bV8Ll sdvxnnipOqk2S/EsdLtxWp7PZRk0YwCFQc71DNRL8EcE0Q+MCXrsvJzdVjW4D/KmFUmsfWHGAkpz Qiocfx9XX4YyR3cNKlcKyflVgNNrnXVmno+N4SSsSeZHHhL6sgfvoSv6VTGoHWIjevwdDvi8aheO o7yheAeVZT/mkeQxRJitcUvh1kZK24Y2+p/BxPYeyYWjc7cXWsvyOzQz6giqp1jfIJ4iE3WX/w5L grT1oJ/nUmdZWRt1nXLQgujxHULF7tFK9m463nvCJbfAU8lGBx0cI98V9Tp77vCKm/21k8rrUfnv V1HLl4itO4ityXW6mgqrm3OfXTTVDGXZcgc+EoDpA4db8CNhuEAwNtZInELBBzxZjJXvfqfK4U+Z fhD2awb00kf4oLYd1auWVogrG86sHIs2a4UMsfCTBURoloD0u36of3QYxnTXPISFhuh/CZZYaOr9 2isVwVmUM1yRIjSVPV3SGqV1/cIA2XxBNQUPkULQTjcnPWXYvwvqWR+IBEz77vKXTTrV0GOqB7AH THcSsrnAUD/1UWZwKwO1WszUZgUUPX/VjwtiYK95EV0vGZSEhOpnKX5MjJoowaNASn+4cFz+MtVV 5qc5ySA1wFIpOtjPoFP1AGbhEjZa/YI4Wx0nBZZDYLRtRDA+FrC8OgpcWEJop5/k98nrrG4MGt4A GSLygHMQ13aPuccfbwh8oGYIlX0MlklY728tqMeYM4wuyp8y/lGljcv2WR19btH10se4mtUXDSMC uRZqw7chA6mTTAuem/9hNehfxKHrsiMJIcnrDMdNrY/JFHEZh37LkhFz0ElyCIjwiG6b3LRDW0Ao CdZsGwRulNkRN2Avm4sOamC1waoPLnnxzzlKs1lscMXPa+7xf4LHgDctNefURhA6cGslUe0jjEYU U1j0eEvxv7KhyxUIt4ryiuQcNTBEnW6woMzDtoI7n82u1GHrC7sePpmp+QZEnjnNC1slVw6pFHTp JqSscBx1CUU2XJQbd9shYk+EdjZPyQkYzF6xBH40fTMUjqlE8Vxs9aU03zpbDGNYVA8y1XjiCcvK Hdm24XwFkPzt/nvFpl06vD2TtDZxkIy5UyQVCSm0GGh44PWkiXwOSTyJfUvav12puT3cWn3ckIRd kpD/hJ6EOtweRZRuGjfDw5oy0VcCF0nddkQanpT4686ELGTNZHaJJD/ADuX8h6T089VUlQBWJiQT C3aOv2QiqzeF5kwdiKqB9FSZKtEZiP4IyFMZeZ0FrLP54o+ABaOIFCDRAxGYPQcmSQ9y0+RZrTMT AKCfFi8cApGmh/BS+00jDXgB1nv2kDA1xuVGUP+RK4wfzGBY52A7bBOwobLJrfGP8Z9/C0Wobo98 PE8LLKw3M9z+1vlz3a2Dtfzw+rQ0w6o0TxpWTxPLHzym1uL39U+kaLq1lGgBqmYb0o1w/x4TEO2O DyephBCGKDX0rHd7j08/f2k8il2GHQO3dmca+6fYxAIb/1l9xxw51TO+fhQkeWaJoC9/TN/gLvYd SSehrVrrPtv8iFKoKQpi0UZrSsNBW+hJZpHOSlrf15Kbv9ZW20ZaKW+N0ntqh+ov+eakDaoN5R0E 8WsE95PWbQH+LNuHWmseSLyrG/mStHT477wv6MPpwTSF/Fntl330mu4JffV9uTNhpzTdiy+HL9YG tUeJaqL9QYbbH4rq6wqiPDLLU8SdX05CeHI2k7h0h9ZHIZoWZmcSKFGjAQ3x7kKDyFrAa8hOFynY FQvK5HlOnE6qS6gVlx4aBvodHK+V5FE1061pZK3vHooPkRR8/nrOvH0oBUQPIGNvA0Q+rybsnp0e 4gFwwvQ9Lk8Sxl+n8sic+b7tb87GWML/pNj9Kq7lKnPucKIdnyK+nK/QjR/XE9Y9mGvwvDzX2tG4 sp6hRQdx4/LPNH/q+rLZzBltAUeJnDd3SaBxHj2haucA4YwrchoihLfwH24WVOvi0l+9A1qpu9tM yVARgroP0d5df4/1Gr5mSbpKGFXtWLFyLzRV/F5AALkroM6yZv8lGz7xsm08qCGtScT9CNt3ajHF C9CXUCXC5M2fe3ED2Ap83qoo+DWMpyaAUThJysubkjaDnyqJ10R5Wf4f0bQdhsKHUFbtsv25oqIY YUoavYdPD2mxb00PrN9YxnDbsTPtZ31WolEcBZ4XDI9bkCt+FVYBfcvCwqUoUvJXgNeuc1pvNftp KeFUxid09hxbTJoqQMmWa9bbbqGADWmVxheQpRrdPDQXSSttMCzrenlZZoX/kDXuAbf/4hSSXQ5S 1zRUIIqGv4ol7l6jKUVsHvJyJYKwdo6RKNW5+EPKnUKXcgMzzi2XEgkUuP6Ti824l0aePKWquR3H JnYA+xQiw/TbS0rl5ZFEGXv4wOZhHCQQUWkjB4qyG/7PuCUSz+FN19cWqZ6UeJXAaEtG8S4dDtLe XSrnCspSeuRBiuOjJ2Kqd7LoeIpg821PZEFawJlSf19o8Tkb2G2h2A+CXIT9kttGZMLwXRJLkm8e jDLCB+05kwSuY/Ncm1pLnad3u5VmZpVC6eZ5MAxV9S27tNTIcbq/i+u66m9dQUVhUGZf/YAvY5pk qugVbkaoWFTG5x+tlEcyMzj3Oakwi/m0jIB0+0aur/tj5ovyyJTVP0b4bAGRJYZfWIUKLjc5S9gl sL6MVX+T0t+TFiszLbmrlFiiAWZWhupAN4MsPYFi6V+qPdsnUPtaiBef9a6BM5c5Ad/c8MK/MTiS zlPl0qohn8QGxiBz8XPkvpUIW+mLp5vVX7ibmVKSk4WKIsvOgnrC7G7pYD9yWzOtGk4gZTZD9VPR ubYuPvU2zam0hcouOGpOy5SAeQr/stN0XL0NOgQeO7pITAYnDf/t5Pb8/y7Ur51VEi/nGZru1CEj JrJT3LdAY31ihpNhN7pLpT6Kq18duXnPaQiM8p46B+2Y8izPk62AsDqpIPgVrAyZD6GxH1rCwoMU Cq7xjxye2uvG5ZzIar/maVDdmnOlRxNy5jYtvmyhSXjptFWRFUG+w1dnZm4JGfkxMcCYjgiVb8jS qQaoV6t+YsIeuJXj9KlbC4ErcxnCUhljX1lFm++OotqwEFwbZD+/d3cZAVTLGydjaq7gU3nvkjTP /rebyXcl1CszMz2N+ZC8NuqvUdk1fyG8WOMcSh+vmK7+2SxLYZuLIeMP/WIBjqs5f+tdb/di0KiE enZqUWBumXB9ETxoB6JbW4Ye0O3xw70VIY+g4N64IEk1ykfRF6ScVnZio4h5791wer453BVLu7zF /zP15AjfdQIrZpmtJET7rmRNzjqSAQP29LmwhXOjs6Gg/PrgpBSB6OD6i/ygoPTON9ObRBvEiKBD 5u37CxIP8Z3EfgTWYfXMfp6aLehpDrKvRNNQq6autqNomshov4k9avC0cUf+kPJEM5gvwKsX0t4L hSV3DTGQyj807h9DVP7APunumbm8bAI5fNe+eRMGREEMslpjbL96S5rIcr8rlPojxavXeV778JAc IEtan8c5VkFJygflpAVUcPm3CFuVHpNpCGoS+n7leTKgLjZhLSTX9gg4R9NFQTT1SEVjgBjOqKvM Zny3IWLWcjxOpoYYiFOZip3pLUa21biY7Cwjt9Yn4ejqqtAOAJTiFCfgwmWRSB+MZt8IGcR3YdEg P05FHuthY+/nZQEqW9z9x0g9P9ljadWG0yNL2d50JnR512Z+00g0mOhs4tRKlSuCW5JHm2zqlLjY Q3/w0IWzy3zjw7ve3Q1NL8pd22qST7hx79HdmhIcXpOOtmEwWBii8K2nBLdMy9RZl+GrTUVi9xlq xQoO72ds/bFf9GrF355bEPdgsUahUChQy719ZpmSbkmjMMvK9eQAJ0w6/QsHnRmEOw3tKPmnfHeT Ag55C+F0Wd95BBHUYDy5txNED6ARHav5Py511mA++lq7Z6I/3fBptX+qp4cJX7FPsjlLnLKG/0rP yS7JYeXcZnKdRgOedCW+RSjvJ/xSfuTUNz8ER2fhhO62/0mYQrXrva6JiiXKD75n/teeik7KUjJ6 hFY5AMTL5HZP6lqHUzkRuszL3CW+78v19rl/48elc1j/2hGlKeQy2k/giYyaXHPZFuEUty7Vr45D cf0hoqGQtyQdMGaGGV9Wzj3SdehZyLRcxcod/f/62dHNZ0CWiYZwONFdzRncDc8t4MLSdKpuUbAK RQ13mko2S7mdFXYe35fXSwuBLXVc5FfvwH1RBFSWhgY7BpbfTx1ZKk6yVOxIJzfBradazQqbLXsM p7LjFBzVyWd7qDV9660ENkA3IrlSOF5rbLLScXhoo+ezJ+LhWILMRMpPEdkoYe4htpVNAyDOHFP6 HWUHHKGdqZvcmIq/vSSEnEgq7/pzl+BZSTgMt14DxE5TdrmmWVUU05ZFJ9NYJv/Qb3rDTMHIkCrf ORGXEL2jlQ4ffzTfWN57zg4VQtjsDLrVf8B8dC4s9aOlj2NDZfw45fK5u/9+yY8+VcUqJ5n2h7bE sakce0rBGS08hRojwNM/jfpSAH98miJ1p+VnZ6UL6ty+sOJo+WKmAZ/Zqfz75l+AcOERc/3k4zSU /v2b1x9FKYzYr0Ya91ORnAXHmJTVpuq7Thvd6Ndm4EkWyrZXgKLMnafrHrT+XzXkxPqu2/gxSGjF TZ+IK3AVf7elvBwHYt+jaiqLlFV99vQWS8TUFOQ2EQGovMWbzcutPjpX0WMISWh4mQLWQSZANCU0 cmXyZSQLZYAIqCoQGGL5FsUz/A8rDW8TfRBgU/SYeuPH1h9ZxgXX6+9qEve5rzeKnjePbwIUDSfz WUqAOtAO4xd8mdDi4oOw2ytHhl0XS746mFzL4mgTFEoz+nGI/g+IqSQRljW71A/vVVnNMS3eMuaa 8r/dVxpFps64ieoqwYXsTU+mXYWB+lQb9n9CwtXciW5O+lzZJI8qf0UxDkZmAq4TbaCr/6qJLMzS PzRo1cwR39op7PkBYLxWvrL+UvLczkXiv1CP8La5wYeSau5STG21pThF1AtebmJTF/fcswuQoq6H wT2sR5yr/yEKfVchxq3PLMsCmvJLST/Qh/DTyhnyBzBjop4a5Iux84OQLKELkIspACIEtIQ5c815 e19oWTNpJHDg6at13KotANR5lvWE5qoEVBbuvD0JADlpmtjhh+E1rWaa2YbpzvQzKMrqcKtr66dX UVCNHEOdN2nWTvKrryM74I7W10iTv44CeqerndGA8A8pqrhDCfFWd8fZXHqANHP2xQ9+Blo7Y0Wp cROnf8itUVjWAH0igTETGy5qQpS32HzeG5g5GZ1UfkVE3ZGzMIvZLqP1ZKtSGqA9a3mxfjzZczFs fjHUpqhUorQf9t6MP0govCzqirEZ2NlXEj+i+EjcZmoFel7FfRvDNQAV+obEy7lyx3anhzlSa4NA tOKblC4cplHMPiuNIJAmsvnIjXcwIWuCQqwMNJOo3RdcC9b8ZFvSThrBgkuFh7WzNamCRs4caEuI dbDghertAmTD84v90NUozmFg+AU+jHV5kl7SZxkMY46C1LAQefu5DTLdaYGrhhkf5GadW1FVTRUq hh0wo78ZnEjBOEnUCmWN703DEYY8tqp/c0D6awtLVNiYqyUQTat9t1yyeAdadhdaKERJlSPsUOFW Jmm9Dei3jSnEZZue7G7Z5PLXYhVJGCjiWhjffmZMkzh44LbHACx6jCDcHo571DzB19pd3inD8ET6 73PVcRRfzELHu0jK5O+KqaCJsPhkMCzSJQYVEkPicyJQJfj5fsEE0QhfIA2hvvYFlLa2aNmw0tEC B9xgSehS5EmtQAqD9sIgS9OPcbiM8Rd8d4UrO5e7q28S12qoxn8ElxdjO/1GWUgrghcVatG2ywTx DImER6zcC5NW/QH3S2V3HKW61k+gS9ak+fR+jwhihvNTsCCpCrcajznE/yVQ3oF9UhZyYTff3fAR 7TI6mcvloJ0yqXxRStf8w74FsXf4Qu1n7ak+hWV0MBGT2dog34nkceedlW+ldLqASkUEOLkGBIQb cyHhNvcmKaXZfdiFqDXJAwgShDN+QypPWpmVXuewLrXrwydIZlqxRTyfvBGLm2+l+Pfmyqz8awHw FgllXDjmHo6D9ZaTe+PjNyEOuamZSYDbEJXIz/D5//niCb66fLDu4Pz++mjeqoiJ1qAoWTFEdYK0 c2/tnlAD/qHjQLWsJso/1TjOyno5dv6jYP40V493Mc4UNOUxL2wKTUn6IaPO+7EO6kz8gp5n5Fmx 4xyoz4FVB6SnDCOd11H3EIu5cZLL62Xywo/IfDL0cp/s9ayBhUfQbEGt8DY6EVIwuwQxrS6SQ2Z5 bIU32P2dlx8uG1h52uEC49uAXNxgeZo9eMGfvr7xzrbAmV4kWaV8o4kwDLHotd7KEjx34mt8+HO5 MjRh3w6KuPLUHzg+A7SWQNot06hCAOKkSoQWqds6ld90rB9AXrt96mHyOeTpyaY2UWDpTU7qam0m 9L2bpJn6QQm75L+27KfmUBM3xsEQB/M0BdShp3J9hSF6Ks+w83ipIW8rhS6Y20PhnKnrJLGR/qIn +dGqHPRdiPH/EjDLupJ1LjpmMOzB2K2iF58mQBzwfRZcc+ZTu3lz3PIiyOd7zmSEPEvyeZD3we49 LhvP9jfQdm9JA9yB4qp5UA2CWf1uVwA9L24b6nuHH/TfR7ZlrihUAhrvTVacF0tCd0W/vmYtMqIB 7IQg+Xsui4UHLToBZk2kKZrJvZF2BZRaqdgM8YU2DEzwGp9dExHcp1SdJhoJ1WFT6+/psuSdkXTX s0Yr+PRnxxtJNSletfMQDP7m97idA6NdxPsEDW+TM+BCrEXsCOxNZfapBoJnkgdpiNs7zXqt9tyJ ztoogOwOokcWUi2R3AyAaTFEzXhAxnaF4yEuYI4RYCc5iofwIpFwoE7TeZM9SiKxYGIPvlPAbxk0 S5+yEnmCKpg3rlxQ0IO1oLJuvZK/d5Q/Wsu+zlPJRCja1vTdIf9gudAwQ3pV1p6cHIcFzQcEsgn6 knl3iQw4L7HWi/2kwUvg4c6aKsx9CX+Q+alHf4K4MkrF/MvVYtQa3+mCbsl6F4J5qHP86cyot+BC rRiZ/JyUI+UHwhx4JOCqpa0+9TGFPERcX1zy8vxDtVClo1UhWCgIs83JKc91QchwJmVTznvx8gUA 6mgMeegL37AHHIoBvXRgF5XlWaDeoYx0Y+w05CzsWh8T36d0+NVTy+nnltI2GW7NkyD7yc0q9QL5 5zb2Phqt3+j2kV4hl7VL9/6wr530l7UY5a2cYvacIrROCNtR5hJEw7yXvEK38XrrrDHw19GsROi2 46lGG3pu+zRu29i4LKS+moY3zXDKTzG6tM5EsizXar41wVTr8XheJV3ylRD42Op4FPnvR7CYz0yZ OB681/rLFhdvqyH+N4SMWtHl1WCDoQvjA14xs3W2Qye0ajtH/yIOace2aR0PaKrn0F6gG4MBIt2v oYyFOjh4KverL8J4ad8ubIdZVkYKt2d+wT/j5F/shV4u93aOH8jm9qvrIIUf6cpZeTgPo+pYcL3H ZrC35WXwXdwUwvc8xIa+3tLlI68Ryhw/DOaXNvI8C7HmlLuvu3NDhwB2JeYX0eUR9FftkY43gGlm tPAYOZVd0bOj7LEhudT5bcC2lsmNRJT3K7LXWPH6Z3N6CxrgbIabOA9Y5n7k+VXRAJ26fPzvJYdn ztDx2B8Kefussx8M5UZJydGG+/QZkyh3uqUbQ48kTk3IeBJyXdIeAUNnEzScWxnR3xBgTSvvNQi1 rG55p7FA1NNYdvBWO3CRMIu2UOXaOhF/m0aQrBgGqrxxk4IcFc26DAGTTpF+94cIZwYVZVd6qRjp 2+1vqQDOQejXNmIbYvABnEZXkuCeg/skWutXGJFLQRAUklOZurvPKlGLKxfc2BNt9Mwp5T8ScnSP pPjSe6xcVoN0ledBZGed1ODhT/puNw+hhv64kaEZ+tgVtW3zLEUd0t7Il9meRFPpYx3ajLgTU1JD IhUW2xOkjlaTiF3WPfY+7Fgn4VOZUVQJRSZFDuS/WQJHwlQPymkj7/3uup+GvlAN1tLvP/o+9Pmo 7yBEKcMsz0jwAEtSuWAISFx8mrJOD2S0mrua/TrqE1XCHWT0Jup2WXwtrn3ifafjKCCf4+nAwbSs B2FmJDmFiwDpt6vyXI5q9bK344olpzjJDaOz6OFsul7H49WW+jg97ZT/lKa0wd0B0CG8NQesmdVs v5vZAisYPD4NuPD23aV3AmqZt6qW8Qa/g5r4niomcDLgpm9Ik59zqrppgsiZMHTxnTN/ozBlOaYP do3PYLFvsjLLLtyXRZ76UkvbQ348Ijp2rpItizrE+ICheibGhfHlZttwDXibsmPAB4A4FZuCsVLs Uh696ljRfcEepM9mLGeQebhjMXvh7OdNKcQWPfu+9e58PM7pwDa7iFboaX4EFTYY6m/oseHdm8Ee DNhdDwh7VC0QAtWRtUcUwfoclmlNlWjFg2iWT8HburffrNRF+CuxZX9nDh4c3HcH96vgU7c0LeiR DmAJdxKuGh1OrxNU+ijgQIil+HWzg33JJexbFJIFgTIohKwCN8loushCWCZydQE32lbuD7C6NHNh quwuw3U3k/YdtG7SBT517oTzjC4f6ZRKfBwFD82kfeN4FdG1vUwSnZv9p5Btm6FGCD3fZGEhanoj axj826n/VS/7d0R8L53Pga/n9BgaP82I7OzOE2/0hpxb0u9ozYjxM+5r/mz1eFWYdewNaeYdmrXh 7qxNdYFzcoEDzLd2xlL/MZl166C5xwGx83Oi2pvHooI8o4lX1+hS0wHs+lK8fESxonCZFn1Dhf43 Sq4h2r9g55MGYapjpTQIhGzeWmyx2uFX7fGgDr0CCdWLzFfgzD1GV+AAULuCt0w/H4de3rCtuln+ Ua7DDS+aY0Sxb8lews5wyT0nL2pj7a7LZ+jZ/QRWeMrSv0RK7zXP1FFcwtOI0rE9DdV2oHUQu6pN dy+/6iL681q04McbDbM0CA78gLUHUd+BmTH6FJCiN9TSiszvAKpQlwXcr75DOZMu6Hj/FG5iH4pa 0717n933o4gl2WqGTPuPIxG1VyJFFe1vlv45wGTP1ST1Cjnwiz3GkXDtSXd1u2sLlIHueJlAWNkp RE8EJVftLSk6kEV7PZDpcQTPMX9fcdlPOWjNJPcPsulp0G1bh5EJmQYdjAoCbUzelFEjx/AwT7VH kW0Uy3FhHlVeAKegXpwpsE698qAqVVAC1scMdQfs+KuMDUBuw01svrOtvvyxwP+sQPloACyojw8d YcUuSpPWabAFnGt1PstLUYOczm6ECB008frw645AzVWV/X0O4tOZCah3CBYKAFYqDXxkPstKsoyX 8y8NO8fXX6gaHV9xrzWJAmK4/490yxR5kuXFp+3ocjlLPaP872dmYjs3SQzqbieKkyoC6EdWeEpX XTkzEJl/aX3WsWaxqJIjBJVPo1o7tKs54Up2wTLbPOagmcwK1APnXSUHqaKTT34SyagVHAlXLQ7r EuDsegHnSXtvPhYGiDLsYEfy5mDuAfw1LW08SRgJhDMFFyF1M0dCZ5vo3E/7by2WebUTkmrS0Dkj 23jsIeOUHGGqh1GHzJgeBJjz8FxdjPVBNwhszJ+m1rOO0E4oZJqlEuEbzvO/114+wHNJGbCLt/d7 RiYFVyRRK1RKSKI/Xx01QsfPYENNOP8SZPDPVCp1Gec4A0JdxIVZqWvXJCPiCodgOlaQuMNk8AOB TLDSmaEEonFec+qC4Db5D9MzEuY2TjlnsAQk23SFdt+voUJ1etSLDlXmFMAOJnLYwbZ9WLUMrLV8 LtrF9O7xbbPzfPy2m1PJSjpExdXKCqOm6TLs48VlcXsOux3ryC4rvpUbrcu2xXxVpEVsnDthxgma Er6hzBEmb5XEb8htl0YIGEQPvOBznZb8kX8yu8cztJXJx/W7CNwH/t8ZePMpeQ1UQR+BgzP+XTdO FUX4PwAfRJ/ueJcDdpvqyc7gY309tLEFETWjSDdB8jNIjkcmGvDPnPPJbKxY/nx8cH1U72L17VLf 37Jge93fdQ7fqam73HPSRSS99JxYOxcCItFPUHXLX9WUdvWnAphz/tSjDY5iJvFPh09VdmLpIicI QWtdbGDrlXZ8x9uHHyjyNZvU0f5V9RZzpDvvAhNXYLDN5R/2kcNYAV94kuTdkBszVfoztB/3rYTD p3Ky/YAsnSOfkFpBnng/I98LSzL81tAK0d1hR+4gFMUSfBqQWa/i7vHQe+T0iR0jxEobYDShDN2V O44YFHIgmlKGF6zqQmz8/OmDGUn+Y9ueC0T8eqXFXt/8YRY2E5Bk1yEgseT3yZ8Un5Rp2eR5HfkE 6c/WJ3cOXaBER5XKil94z1t5cA9nZdT8mdDsNnRrWmB5XH90zuZZww2bbrJFPPqTRzEabxOTeTZQ /X+iCkb9YHI+zgl1X8wJuq9PWPKdRDq5J3McPvexoIG5Puz0+ALhirwOUskykox+qXf43HLZ7wVV +CCmYPXnf1XNbgn56GmXw3DRsrPQletlobuCWpGip7S4dROt+ouyUAIJccg2f3tMeCUnMBNrPM6V m69V0heFWyvLjkLt+lPHoWhxzBbjvmIwhtBLETCLSNge0vZ8UtdkqN3JcFVw8kYIvoiRxrcLN4ga gE43U71BHfjxk4aqVutRttCFc1dgAHFwmxSyOn1YqQBceK71mCay7X5RSi4/q4KHDt9H9lidyjp6 w0FJwQo6lIhuwUE2rZXqRcAveQAEXbgSW/4nwBoVYeJ0mqB4lH2w8aLW/WmuBjb1HNdsyJJA5Tjt 6S6H07CLsW3ttOZrQ7vK0qI3EYCwZ9mqsK9OtA0As9mlMCB+hyx2OlKEpBQ8amxs0vS1rIhzBoqB mAyjGXY6f/uyqcvODHdWudjvjeF+JOx31WR1hPogQxFM5yWDcZXKebjg35Q3fNBsB5274SF3klf8 B4QgUiUtrGB7g1WiJQ7A31VN93fEf1KiiuvM2ril2PCj6qsSolmHlowFohl+edFyOJ1zN488KJm/ oC6MesNNvIKbxrw9SyAyb0ypXK+fFYWVQSKa22vsyNcOTz2e3pw8zHzwraVxrgUc1K19rtdxgj0x F2kgSxCEmmYgESTUbFL0LjLQPQHoW6XzYCvXZV2xDp+MGTMwkLdelSwDmGL7uxHn7YDM6/f/9nCV xHycTdOM1Izlq6PY0MKrQrvg0IaghBx+2zIL7IuTJyusgMA42oa8qTGtUD5/MYetExkA2HifEoVz 19XOEwJmmohD3sFLRoi92y1cEL6RotW7uib9ntzGgCvz5kC0Ma/oN/dCIv9kwaSgYWtQ70yziC0Z NXJ5LFROvRH7IaWg+sWDaar9OHlFjmtpsCuWuIszdlI1pnT1sND5Tsa5s4cfGvqhu2rSOFMbST76 iTLwFNxfUA35WmP1pZYSJCw1dwO+MDZHI+lCBg08u3zPp3D46lro6Kt7sFMp0zbObjYfDwztXj1i nfGuNwc31D5Sj5023U5qL6eXiYyPzvdFCwiemreBFONPNSH2Onrzj1OLNByWYXIlc6YncAvORjCY 3caX8p+DTPYJDQk3oM8mNfBOzHQDn4nu5NvE/NSrnGsX4AtsZtjHKUM3E//AyJ01nl/NcPoSsKXU WA3ZeWD6bYsdurulIFflg294FbQoNXWtSVZ2HHsll/zUdROyAczlwzLZ0Umh7gdTm/7ujIr4OQb0 CnTkynGv7xvjzTQilPUo1Kc55qma2lEpPY+iVLuHlL0TR+ZMQB0kGiAXjeqnMzuis75Qc8mdvSc3 oqKQCMS6S+XNqkn6f09ILHLtypYVlL89rbCZn/1zjb0s9/iL/AUIBCEtxUkb2d8e8U2fcbL5O3JO Dp8zt3UEThD1TDeLTxTUigIrvsEdOtk3L7NNWMDK1eryH3TL5Hu04Gn045GX8JN9X52yk3ZncmTT b2wY1s5ynn8eYAAJBgL4a2/Y4KWDbtqlnUdJFPVfZrcBdpRysZ/OTABptPPVfsxXJebMC+2hWLul tWN39tQTB+LuF/GcLwmchIMrTjNHCyxDHdxdW9ENvQzOieT3KCfheFIKx0tpopfSOest8xt+a1Sy qg3w93RzmofZWJnD7pps+tFdV++Z/KDZxtvWHWQG142ElFsqP2BnrBgpeQe1yv0YLX7Hjirozlcj Kkv3PnbJjhrM3Iu0QuNYa3JDjc7icw2EyBRtQEYoZA8o8P6kEMhDSotvIolcJVA7R8OF5YQkEbii //psp5DPPET9TloFYW4140JI0Xn6fy5Tssy9MRb39ck8u6OXKLqgIKdCPW0urvm93I/a5WxP9ZCn UB8xNUgsqtwlWC4ILrJp7RYHNkhGrm4ZN1wyhub7aQEG/1NLzUOfWMqLt+TF43XndGY1iGpYae00 51EEk+arZfZzPW72VvD42frNMpU4q83MMOO9CBZ1C99ICxNiKB2m+svq3G572Nwif7vidousCbBu hgOqHXS86xVOpwA8vEpj52uj4DTKbChF5ftEEn7gjQk2ixn2kgNgKcsTit11mTrr5LwQPrzdtVvk 3qA4ypupPod+8TA2sgQO7yGRoXSsbgQQVmBbGC5BcP1+Vvq/Kei8aeqUXyaVWDlsIp2CTc20vNdx rn21j4OASx4ClJT2RxeV5hOIGmGTlWpDKhgTdEtm4CcbQR4siC2A9gMuENsDPFW+UbyXTl6qJluI bVp1FRx34rSx0fsYeK65gj/Rtz+n8W73emvkEXyGAmj5zB8eVGnW+KyvNVn/nUN7gBgQmhlJWlik y1lqkMzY1PYxVu6TXsqbTqpStXz+HCHKUbQ1JukmEBKtmEqs0D1Okor8dGy6R0X1uu7cHPgBq/PG 7A6L48ymKvdQVN0qpha18R53zZP1XJgIvo6zYAmeNgFPV/HKrXULocEqLLuf22X6jyJ1ggKjE6Mp fR7aTgXC2Ib0m6itgnv11ILq+Esk4PU9HGvXy0wCkTZ/WRuQ+HORJX9OYkvoox4+/f8T92WLZEcg NtxKkFM3WYkXgwgppfmVjbqH4N98TE5XuJyTlu/6EkiIVeOZRyoJhYtkGEkFKcRpI/s5EkzhiQor sDmddq+HC75DXhW6uNhBcVYxOKiFxqliJzsrnY7uRSiC+rEn7v5mSva34PxQjnt9+3ZsmXXMbTEH cNzQzm67+F2QV6EVUjTJZb62A9ugeA0NVt2nBJPHeVX9fflCwMK4oNzIMSIJiNBHMMSYAWHFBnKX QUi5T/keCoE9ckqmGRDobu9Et0zAYjWEtBLsJqBH1vY4I+eexEb9DPgA+K77q9zRwD8X0L0gWt3X /cBC4tAsrCjA3eLmirdodUqNuRvaMzy7EykdQIQqJvF7iqH9oVGZ+tpP4iWuRMuAvfLPma0ayY4D 4QLDcRY1BeDuHAvJyOEctlHNHa0Zl/GyfQkPky4oKm0KhW4y/h8tc1E5SM/dfvBJur3ehX2PomVS HrWAQa9nInKdw6dvBQeL/QvIV9KA5Zilgrj9cm651TzYiYYDtHkMhq24IepkWlNro962lOUd9Q1F Jhw4JwfJ9St5bkj786hbpVWajk0pNu6GvUEgO2mHCHdr1syWghgNtKDSo24/HgV22sEgrHQpa9Ni z4KoXyN+AhYLXGTyOp6fZf70yaZPsUuWFpdvuX0DiZsQ9UBbnQ3N5mA0zyPLxvKDOstM5xtsq6G7 r7tOwiRwVZB1a/1PApMuQeRH6tX/KRSqe3P2ydgr51hf6bC4XvgtTGpW1XjSgKtBBx/wyWEPh+2B MaOXS7IurllR/BXaLyyGhG7CHAkLS/L0p0uhaGrWwJ2gL/TqUyd7EV/wzVr8kspxTcRjS7b+/Keq rUNwZ4V8MWFQ2Y0L/+uOZxFDQzu53pjFIXiESgRPAaki2qKDL9MvwvbGdvkX0yv9hawOIIVG6TIY UlqpPNeZqWefYyzbKvAc4lc01mTylcW5zsbaYpfBi6xu727+qUght149b1c4pAUUBezOT0LRiByx ymW+qPkqky4jfvLpTXOTkskBMjuBQ1irs56h/GFZ4ngrdCsP4CtzhwpEyNFJpZTCcOkvhj27h3za 73Vy5ZG6ee9nvIWR/3TNRDPJ8AHfVQbv2giRWCW2ItySBENG9XxaIYgTgQB2FrXgPQiqKg4o4TaT P3q0Er53TzRoT5DHPdhr0101C7Og82Z+82jEGf5jviH/YwPC8wNCQZ1aOqd7Y7yswMlPaXdGEWT1 6kPOQDsJhEn6g1xf/3XDZJjijFHk5eu1eI2Qp7hX2pMCNa/mqPr+bRN1mAdOurHsfrzBT9ZeO4+e k2MtVvexYsQ66f8uHK9qiOva118wpGdzqWq0o87RScbXQy25p8wAn2YjsotjiD0dF8XaCTwJIVfC 5cyyMDOuzz/sJy+XIWnLwuoGCb3K79TtiQu48GYw/jBp6++KBc5jzD9Fgkau/RiUuNhGhVa+GBj5 qwEonhrNUBM3Rd4bUiLN3uXK+wpI17otUQ6Q52EV8YqWjulv97uh2qY05UiagFrCwbhm6osgQANR OJ68eTXp8Alk2lUOBYrPVXxJXAC5DUkBNC6bCd5nkR3uxOyru60rR+eadIk3/T7gQV3WNolORNJJ 6FPjhnZLvZN/uxime10x3V04IrNLA2CEUrWU585O2+iIlw6lVvmCCKnBCjlmbasK1wMj+25gGOxN 7Q3k4mW5CdVjaozla6T7kKsoxvlpwAe99vNjVvps48uLXelgb4cwB5gySZ+y//KOIvu1UHOx1XYE fgMSztjRmQBvoTUUvIPbT/NjcVBqIQyv2ThfJrC+pLzwWJj/wPvlDXyX07GWj5hO3SMMfUDZolcC pY/zldKsNtg38N/0ma6PBkRagCUoiwadIONU5C+YtpqtHbCI1SgCEAPA6X2B4E5S3Psrp+SR6FzE HIRHomuTtqS41tOMxa6ZfvqBvWW4UdfP1dwFFBwhVegSeU5FxlKVZYSmXdJqAr3fPrvtk2Ybt5VY xdke19ZhmsnA4cspoifvaBDzblcnffew6/jLWcgBessU5hpTIwbRLNHjaQLGUh9NvrOk6FqP0uor 7yf5dMlIY5i5u5PnzKFw5WK7OujtzwBbAsjEgn6+atlDcQdlgT03k+29P2IWs5phTdAFoT41h2oi btCAOIO+FB4HgN+CkL35xh5P4acFyO3ERepb6E4McA/bAfJSVB94PUvsP1J63hWH3VFbH/iHtg8+ pPurNpb3Y0hNgHT09YRkalNZ/UsOpZoSH93+AyEA13Y3zsXBDLVvbJxwABuDlJZcZC26IhKISTD8 wUjxqxyaioOsfT+fviol320KwG3o7Bk4nV86hRv6GJXBJAZFy8LKy80Pf4/NNt/FDFgBNlXOB70+ 23h9GwlFdYSkFJWiQrOMquK4Fm+k+MpZ3uu0C5fzenVwpGgoWeSa3KwcZ2M52LGjGClJYqPC7JZl dV3FVIlXS5EFGsfNa810L5bUZer8HzyJSiVBsp/AFVBAMQ8xa1Vpxds8mtiSPZ9CmVgbzVjDUgm1 tT2YVwE9+K7oifaq6yLOexZiqAWPHUZZOyIG6GyIa0kBDsXziIZALqOS05j9VkUf4oIuWS7kZdZg XuXJ+EKPdEa5SHQKuahnpXF0btGyBgSX1/7iI2MgarRHtj4/r2swjv6X8NL8kGKqEHKUIV7JzSqc 4zLnvgIVzs3L5nobJNf6ZzlYqu/Unjsk43Ltft2x2hTSF5b6j9yZg1N/ClB/RulIcTD5ogyIVSwK cnK5wu58SAjdvHeYt+YkOkVQbaTtR0Gpgfd1KKMR8qKduUTuXFwJNso1scFJoXTTdwS4fL3pSaWB UNIwEzncmDryuCpdHgJ2IDo2zY98n3d2nCHbb9gA8b/FX1qz1Ul+NQurp5CQHXuSXN2FOTQCisVr z6yvNyKmntCspx7SIW/158aDuIg1PG5RoAHVyECWdlVYAYN06Qe/SNo59E0Da8Xj75t4EmQSuaFh PYH0BaRs+1EP5ftErMZMywSJs/SdpRu4osjg9Yi+v58+960P2S+HOYSHagW6GLtB+67N4RtA+z/I NJh2IM7pDw8QBeLSLDFpu0buHT8JVA3qP9M7ACzLARCM0opP6DdMV89s17W/q+2NvuBNQ4LsWTt/ B7UQ/e+x0MDWlNESpOJydfGj5oVYsIlm1e1re4N6Lwk+/OlPLYKaTPl71lCZBCCHpmvTvTsHGAv1 jex7iOg+DqdIMR/EOSc/JnhQZrfQpJDBPji4MPd6nXssE9InL7+jkA0chpe/Br1sh6Wr0EtdNL0Q zwwyBJrW2Ae15vIp4/oVyZh7pFU2mzji/HeNi6vGhge2ne8Qq0OKLAZoasEzvHtKPx+pkKQjhxaY pxEUysMLkvHw4W6F4jiiZeHTEVlBtRLpVIufl4mZNeDZtEbipulH4hc6+DS/Nq5jfUzYeQCpU1Gg SsRbjAwKt8DBhmZGlIm0F5dBdWfXo8SggFtSwpz4pK0AwqYVXSle15Z1QbjY+9kv4HE9FcWIPeJ2 WnI0+tgIuxAEfJ+AmYNY/8MWGGdaMcG/xkyaFyNB1U0M7OQh0uckxv5Aqy5j84f5S4aIPnITfN8b Yqo0zYQAPnAfmz4Y6FfnpnradUb7u2Db1vsxfTwKJ2rsOSFL4urxT2qW03dG6mUarer6FAceWnLU qw25WcbG9Iwf+KT+xk7GPvLv/b4/KE3nnjRp7XRnpu6gAkH5HrmJONE/h4jvmb3mOtn8/6Wlnk63 vA470ybWvrcIhWRb3hnbd8jkuC0C2ILGeBLmZAXDW4Z+P/29FG7Yj61yp2fBMnN7TIC3QlWGJG6l 2a33a2QPdIgWM9gQCJzdEvTgkPyrB0eJDa4WlJynlSrmHHUaF5oXz1I52leM4nvquJ7iUe0l/FwL 5M8UkYOAGYR6SIlSbwZ44qRph1naQao8/z2HhlDfZHwRF6IPB4RK6SCBxpZf3VJo+wtsJykzwZ20 vp10u8xdSx0oWym5vBrVb7q/seWg22E8PfWunIGGSls6wPDVDRGkhH3mIP2knCiS534CaC1tWucc yQfkaAZ6TP+zx0eUNc6jCXFNSgA4aJ3pQRU37OOQEz/AMiLdqWEV9aL0Lwi3hhxiD6dj298YZQKr wU3yRzUu34tKTZpStBFnfawdGJR5A4k816ilJo5eG5a0lcFz3W+yuOYFhtoxk22eGKL4PouBRDpf XRdN4gAmF7y9jXxGkNEyArmXxMakxXTW/T8GLmXlC09oIXpsV9kBgD/wopcac4/BcVjhrR7JFKO4 izWDENquWdG++UIQU1WMvOd7S5mycxIIZGsFhRaXIRF/Tv91CLz2ttm0rc4zIha3kCnu0DSHRORs ZR4Vesr2v4Ddvz3riqsTawAPY3rDMNuFXn/ZZYe2zJwnTnL1uuDt6l7gNMPrRWilde0BXxc9jD5o AOFA38Ct4mtt4KFc7IilBb2IaWL4yEGHQBmsIHOed9H6Ot8NtThsr+SYqEtOnQo40vrIVbijbtDj JQCwyolJ6YmGSwH7ToF96m3tk1uMswCaRqfSdW5eZVNV7oIzlgLxlxvNy8Q5KuR93tTPp9KKpZ8z 6YnA79QMM/Y+J206DsH3rsKsuMyn6hqNciWvcHCbD1SvJ+PxftIGDi/26VFYItqvKt9v0K3K758y BhkoL4VVSyVfKtEWb4rtRRvZcnHLidVX4L0pTlgU2jd2luk28U98T8KJeX4IVKP0iaXqgMFw3MAr ziyg7TeVZnTgO/oGeIcjQJ9DRpe1hGTKYbUAEHZqKztz1gtc9YgvFLqScSCOfS3vmcAjqYS4+WEX N8XBtPc1CO2Glf2uHI9mAtGFXwguhejw1ZS5lNZ4lXcaVIiJm2KrSkeq2ZYE5rAg2x2XNPCs2Bb0 zVPMvtgytAFJFLKgifMsIa0O0UKBBEzepx5KUn3UjoOBalF7QFFMgw8VdkEEWjuNlRkK5cAE7qsb Zg0iD3C4+Wvqi+4j9M3NCe3bY1jwSo4vM1E3E5XvQUlpsg2B3WX3TOHOSh/Ee5T5xLziQP066Zip mYagwSkfYSuySiGsS/LIanykH3ecRql6TDAY+c22QVNOsCNuRwb2KAfr1KvtGVTmPvJjLxtIcc7P pRboWOEM098meiS4by1NvIcQvi7HmpQa24Hsy7a9MXCCsk89c4Xb7OHoV72nnZg1tmWs2xh2RPvG gws/DJ2U91aSu9KBJtDeZ8goypCwZsudwMWKTs3qft5WY86Ixq83GHmgqxwaINBf6rd3Ch5Jt5AB X6RjrnSjU1cog6Z5LSB6oupona4M6Fa23F/+0BRRwoLP8gmTXxGCMgR7PgX7WFj8GvqygV74fela Bc9dSH5/TN8wkVIgjCVOus+zX5PSsN945lmEKnEzl1cwgitaOcHg4/Lth6UYdys7z96ssKAxQTPQ ZzTtvEYTLvM+jXPBlrKHpvPPFpkbP7wUgwrugDMHv/gC5wtsEw+yNbj25zgoVeSRnLyqyDCVesF3 uokcrr0riY7/Z04NGXOdWShMI59lbyM3Wynn1PL9wJD5kywPgXEVUNvTsgBk5VQBkyJE5SoYMeWO JAYEPw4x026PmFFomMPjKVi6LL4vYb5IcYkiV+RVSeGM5tOggRvKphk2ijZ1wRG7hHOD3lbh9pbM W0K4SEFJRXzsKxSeO/FDD7n88u1k/mLv8lsBSWmejBlu0DaJ5Nu5sj/RACwHqtyTdRIQpHEauT96 xCdR8Vm6NGSDOddtcd4gFMzcfjUBh/yXZPhTbVZjrCxcYv5irTA5G9agpV+wzV6FtIV5WseMN5sF jefl8jqHXIvB7ryajtUq5wKvSG4ePonmIISR8LrAoZk15+/sCZneDkpAfvmFUv9Z57oI6g71uBBM lqTZYLj2B2/btMKMykyJaPxonZmDYccpYlDeQ0GffZVKpFmJ+jXmbD+pBQuvwUBan23zC4deHBP6 EYx/6bhzMchpKZ/c/Ur5q81AE3SDkQdy8cXkMpvOnLt78MJl9ElSX5LGbUIixYeV5RebIo7TtL6O W83OIfUDDY1+4NKcFnLMjXLJc+Sk+d4ez9PnHrLervyX2MBOKT+X4NyQUwUwCdIS2nZ8FqFJlF3w p7/n2U+zf+Rewf0kYgasBrJlH26Xs8Af1t0DTzfj9di8KH4i+HfWBj4/fNX0enBYZDrpwpLB2MW2 m8DLEO696U6Vicj3NOUf2XzF1sNIm/4HodSNcCRpaUyI2d+KEnlelaWgg3oHHXemdU+OWXfAN4AQ Jk9h9cZutwIpWmYaSNv69G4Q03ZIT+ECHat1y8ZkYK37iX/028gtK08zPFWm0mIEUFP8ANDTMLMZ n/wbucKOhnd/yozTZbfeDj2h6A2heHQc34VCLLh4RhxblrDPEexfNs6dlEtigbwbF3GR0XbUTHx7 73JyWzn3x0T8FgRHoc9TDYVcz34pTSY9ZI7VzqNvi2MHK2BL4RiyQSgJa7Xy5/kHvwg3emZRLOq+ Hbgu7cuh/GF5BH/INuYDBcI0+UUXx3neKzl9orv+rzg1sNDZvMyQv8+owymLB4yInaVlL9PM3+Rs asiww9G/GdZw2hFa5zdDKQKipXClJuKWVvpMuWko1JY6S8MfFoRhID6gw9XNu1QJClA2WY/GEfct 2kmU/eHeoKpqmdrpNvmydAVhDZj1funZUtINAqAOopUYrIUOHvvrwCPq7xXzZAV/rIxsR0adriEJ PZwG9cRL4j+nLWj3tQ8O3Q1yypjz6LsU8WPg+3XBkkr3GvnMn0izi5grrmG3BFZxEmxlyMG2uLYp wOMD0ACyUWmtfjhg5Xa+hD6kZUtV6hsz0HEAZQfTcN8nU9Nt1wTC4PiDFRSjfp8LzfQs2zsEY/1Z z9Rz2FNVcpKfQqMnCymbQX9LBehd8R4LGOKFVF5GfN3GyOYtx/7uLiLBakHhuYxD9vrjHmPj/mdi eEGErqhappFCKT+CEXl2wdb2GeLwcj5Xs1VhgsLOeK+/sseGo7r3bJvlSZ82ZshZSq9oL7pm2T1Q hbr1RmC/ttErPI0LOC3FEts6NLHg7m+2aOh+fax6UhZXmpq8fMsXfFwUSM7eXuu4eVYoXKKB7Vh4 pOrdOcm5PjcOhJTfOJp9h3L5wVUAMf8Y3ajga05D/jp/yQ0Vlz5XFHU/rzGKo2eUnobPsGpEqU3p G7paDQypWLSCtoMCxcVTSVc+HUcKYOhfWGlSj68s2s3IoUgBcZ0MKtwNQYn/XLXV21oh2ShyZCwq UnEzyBi3oDA4BaT0AMUtvXaUtFtHU3OhgijTVFrpnbHWYtGPqo/UxpiFj0C/7Zs/IUo2PlSjFf9U z640WsdYKRWbRgSb89P12BNJpnhNADxuJG3gbR7W14LVoAZwuNPWVS+9XKtGYuNCZcCYYnXj9OVs T5ZxnvUzW6O39kL0kiAWodQpp3jQOek1jQ0azjUEYacdkBpBoRR5DBC09hCl5GUbGFTTJJniFwRa qsXM9RPpIJf6FlDhKBtDiAaH+AfvkQV7lRlZORfAnuQ7ChCPN6ePtuC3rCY8txEItTRS7OPuwvDO OONUrxkyJ3Cp0APkt/5tJhXVNQ6BysPiaU7QgMvslILFkpBRPYXKAUq+T2wRWDQmwndEL1VLPiBJ 3V5/KqzAwxYp0jXmR0wTc3N/DUrseg9YJ11GB0xKoL9D0T321DVrKLMkvXNJapKr3RyLhC37ahr8 TUAdLnwGumxUXQjHyU4v3Sn1XFdOQyj4iauK8YFujoikh1sCWKAxqOAYpKI/KLmysc0ar7/UbIiV 5CV3rgDqyp6eM3FPZE3ML0+D58uCDAUTy2uXXSyiVBBzxMffh+WJFLEZTjK+D3k6hlfmlJ9Q1U5M zSYeVmPkQV3ZECzOVHvv06D06rIy3EaRbczQ6NPj7pRrhEja+MauHn8AP74ytY2Fq5DWY0z5wULZ mog5gkHo9/Wrl4mCnjH1wG9r8zl7BH5kg5wkz4qRuFwx1R14Jnp38+bkP8oSB3xlpx7r6iE+cuPw 57vVD2/O9XKP67jb5u+0qMRV/F5zr4fcO2wGMI9lJQtKL0R4iYVK1UPQdkMT79F9m3H/rd0I8Euv anwD+UFC/3+j8nRLjnN93Q8aCSXkqVChNRf6gyU6mlzEeu4p3cSMQU95mt+U0DFeNGVx8NroqOGP Z69FNBSuS/2EFS21yvobBj7rRiYAX+EE7d7UY+bMlSkCMPb6pKSsijQs8W9kPTgQotY1yhZiNBob RcV2LD04QlLufodN7zgm1mRo72leRfqs8+F6UXcp6y9Hf+sbx5tkYl7Qyis5HQaD9up9K7U6aNhc Tdh03ZcIIiVt4jyYqZvd6t778mPDNPIOXx2cSiDTGpS/zc/1y8t/rR3dTJ3O4OuUmnpxJsF9po/k fmCOmwhiU5shEPAQbvaHUiOY/K8rHoNdws1F4zwS+AnO6jGqQhtKd5GOe5K1r0kuUlTq1UcQDJ+f c5y5vClBVAqkTlVYa3uj4GUKSlhYyv003EsFHk5dJ83e5/9nxkbEd2RjUqV1i02aej9zWWJjZ18y lbgbeQD+Zn7DbhU2dJnDrT+2MCdAD9Z45EOkYjAvvpQjzxfuqr8bPhgmZNZN8RPW0F/rcCEf3wbo V4QUVYUPeXT2PTPQwhb8RIHaj13aWjtqNAFQAKsoXBVnLHrK8SY043sF+/zfncexns//o8SXfREg EeMpuB28NO7Cbv1aQWLZBKxg65Ld0t8bvr5zvqTxuPxKCKwBwGQT1Jsk8HjpF1g+iLmQEo8KlzNx 3cfAPPJ+ZgTUqU/82gQhQgP59E8eDtAzeRdGsuQE1TaLcsc6sPJoQAwTBWWJ8Kk8bJUBDE6SM46h bK44nlxvZtFZUGpIHYem4JmqhVAcOHRMs0jNyS/LLLkHJcu8keD8DRg4NFXGF/h/PwuVgflRsqVr nWc5RNlHMt5ROjmnc5AKXSi/2HGp6yv/Mfx0kRj6s0pm9rjBQZODg1AKhNBRvZqSbDZ000Lm7C6X xS1dyDsuLrBLToLMZNJppvEWIAksWwFDr0D/koWQGOvcnCgc99fiYR1z2x5tr1wyx5d6V1h8Hd4J 1168SlS4Dck7PF/TniStMLVnGUM7jxrhnMK5mC5xfCWErawPEyg1QmwZsagDn1X03C2plD9GubFw 1ZRXhuXC3mUcZNVSGEthdu1ypMUy0KQGYbOQOZlsCrkrN6IRB3sYCYlnoMVW86/fEEDd5w+x8d0s vGNPfh8JhW0yIe41E/PWtPeQBTrhP6q/reMWP9h9Ge9l4F2TJ0z1Z9rUQoPzjeV3UigojY0+Jqtx ut6lqHiQXas4O2DyJZ9+7Gjn/ioacVfv46oUT5UPO9y8uEObDGMGQm8PiOMF69rXRsp0g0zR9m82 ALC1+kf+Vw7C8ZAzPrpX5q/bvXeU7jailwVShgMQbmhgr2EVeghMOn1PJ0U0c4TcUFmhzVbgPeUh ZMP04tC8tnaWX3saFha7I0Edp3VCjiVbUKjPuSieGgeJOoMOKD6LppueUlbt9PBNmVF6UoSPhQrv EZNiK1YDnrMrdT11pofdaseyDOhXWiGbiUo1sFuIWB9O2lBQN70jrIcFFuuo4gH02vWCsIicYhYy 0o8YoiN8g2Q9hvKvrzsR55v+BCkR9zGTDqMEL1nx3sZCjSIhVuOftFA0ea7zMWFQFXY6DrHBFev3 KO3UNUVtpnBwIkvV2k+rj7oFd6dowjjZbBFgMS+eWrWeBZSIOlTop9MXAeT+VEtfnNE+n6nijfjC 3ZZUpVD/ZdhcEypp5+POx4uDV34ybSuc6pkfVpPEVXOHR6FWZuG6EnHXyZG9FzSMaa8CQBdh+h6K dl4lAy4sxWiha66wbajHwFNgU2xLjrgCeein94g9YHj7RKxi+p+1fSn2UoL8HHXBoNtupg/yQvkb sQqeSGwTrftUrVwOPfPMB/XIYcSD1ELDNEcYkbaUTCLBuGXGUOR3XfYhVB2xn7quSV9YE+7Q1N63 cN/SSRw6xcnWo12cJfFNhvNHq66KCqbrvFjYOzT8lLx+gREZcwd/FGBZDHyItae85xxAcaQOW6sD 65xYtBlbqDOB8dlppZkiT2Z3D1lTsePEo9n45unibhjhWCZImLua6fvCLssl/zEb/fmMPAHKSOTP 0chbteSpaN/7xqZJGVPNSd0sX25+7dveqQPF0TBIsTYTmIcBOS96fgS8pYmIyzB1z/5r/OYiahy6 o7mlsvmu1oHap9yPfgrmRzgZEIizpLLQ3FwJUo+rTG9+tXm/bV2KbEJ7odY0roli8gdwpyTj1whf Nky2Xyb/RdSLVZK8HdqsWTshOrq131M/aEtAZEhOE6hukyCahAnfd52XHfFNwG5rHO2UKUN/HPV2 vkVFWbWLm5K/Cc3ZR7ImW4CkEu4PjwnmDL/hqsbVzb5S0RzOGjThg3QTO43F7MmmwD0RqtWC0Kje +1GRixj5cgBArmW0k1VYdGy4HdE4+ETsOhgL3659rQsMO1QaOge5e2DCAIO1e92LEdviTReUcAHe oD7ui4apiIDlSYa4GbUgF+dbnIMLTr3v+40BE3I1UwAwaXm00oFDy3iB/xJW/RrTniFcekmqIHjo af+r7tqqMdjPtaOdtjIzke+05EqVyD99El0nAMTPb7QmREj6pd/QWTDp4l/kT6hL3FxNkOY6PGl4 wZX14PFkIBthmAbh3NpUotl5dbUj2AfODciyi1qROb10yHjzPL7DXcrrfnK07sNhrPUgwF3pPe5w qcW2f28XtnjAQ2UQeNXM0Fmy28VP6wfkkkkzrVAsNHD2DEL51tW83cCrQQpYZV5IhwtFm3WafqN9 JOBQUbpuXloPI4ZSs542XLPXocA2LDtTtVIVz3bBDsllIiwMjscu5Z39CVWz7JeGtYxFypBkrtiy /NmAvXm2NbuMUaSNdDm7PsvpOgaxqgOFYvNSAVPq6nTcQpS5bYmn/9vFip2PHHQuTh7eaWRqo7fX 65N6IEWlJMwRUz1CYjFlv5Rvwp5OdM3VZWbYyjIfuNPZ+yYsKymCBn5KXDxfVwVDhnZkKM5Ns2ud 19oKf6oB7PTij18KYwc0Po2NsmweeRB5PUtNCQR1OOGMCaRZBo19bx/R5No9nUw4eA1ZucWkgF+t ovxKpz/6eeWVXB+2xT8TALsmcJMBU2SEzHYdUxeVo55HO5nsY8+dYVAvX72mr8AvmakljRgT/sNW 3ofTMFmvh/yR8G1YGgRcdio40DM6OqvhyksW8OvErwQ0df8Kw+J6yk850qVcouEabrCo1NCQL/N9 EQ+ttXf5HwQP94JQ3mbx6KLNn0Rp0kQaheXKNpfL0aL+xGm1qFuLQbBAinQsVopLHJo2ydHte9Jj rb1CLX519ss93uYkDBE8Ctz2wuOEtzdfMpxZ0zrtc1iy2ngmuhX3n3DXHDyMzCS0AFd56aCXvhEt 0oE+qg3wgpDEOc8Cosz4ptuJ06Jk9OG3rH4/Zk4ZtmxrEBnu/AxXja3H2t7IESz3r+bU/ERzD24u ZEeW7B1tUl2+FE+GAKDZj+Uq4aBG1wScNPB8Bu3zHy4iZ3+HL15pgkjSOUcGso3XOjX7Tn6gCB6Y Vw8RSfMQISjaHFwwQWCc4xB7i7/pdJE2SUNlDs8KVgVBTNKuv3dp2P3JR5ZyEQbsWqt561e/W4vy iVHEyacZ6dL86C0Zwy1OYrxJQPrphbmIHsPqmt5JreBgSOL7KPRehuHJEFs4+Z1yToRR4GCm5MPQ qkNOHJMN9Q/8HMAStUZgGGRMtDfpNabqmxGyCPEQXBUQDplaXXVETVLtchNXOeZVNPa2d2w2oIfN GJhkvew1nEi79nraFoAYqUYl+Kaa6gl53C/dabf/Sz+QqZwThyL3A3cODO4jbuP8foO/vi7IG8uh evBkRahlm/JAGebKdVvncVxX9LecHMsmDJ682VUU9GeRLru5ze32EgOOQhKa01P73tUlbCSiTu+m I3IDg9AQGrrhL4EZS0ygO8mfyBC6sPNDiTA0aJukF/Vo75JKeyX/shV4fxFofp0LnHh36enD1kIt j5DpPRiNEcjlcBBAlMZz4Gs0DP9ZnoEDOWXD31qxTTmwe8yTAzHwX3MSgcG4kkJPW5AqgoVNRyc/ ycwM/7bEyuu2RlDEgtYpmixEmXcwI4kIWyUUzAbxnFjOT2+R4tiMMwTTmbpuQBS6IxrrG1ALul4e 4fu9YE70tHoTcLvgmHou+PDTAtjuOjrjGjCpVymQxCCU9bgrSCSiDM4kcA1qrQEGUKqFDkQ9Yy6d Sq7eYarEWy1ICBW8UVmqrRT4GaQyIQHrhS2DvG9d7w9lT6rcGhJNfPO3vHtKd1IdQBVhZ3SlSgkN G5X8wLgShdo2jNub8GDFrMjQOt7hmztky7Z82OxKeD3bkZ0sVh2qZ+6Rvw20w5mI4SR4s/1ymOcZ 9MzMVzChGYfWwnTxQ75OFcTNrXeVeu0gJptd74kg7quyl5YdSElrte3vWWjZoozdO56vs5XeIJgA cQyqfjH/cdRRDUgl/OrwCFiOtexhavaAwHmci8GmCvCG5bavIh+ucXIfSt62vuEHhTbyLH215ami WmercQMlJda5TShLeG9DNoqTQi19LAMU8VBhdUoc2h6Ak732IYK5bLXZsRXlVyGDV+iRRQP+PtKz CphiygvkGMZE52VEy2+BiLDLAAD2xs7q4caNEHXNwrWhSunnURzIEv2Ef/kpkqZ1bVkCycTTkKql LxuolFQxfEwhC3rQHfGkhFI8uR+LZ1CAY5hj7KQdEsywpYvFy+aCFsIhLJKeMta+mTA9XR4C3cI6 wgHOw4HXJws14DxKtJOvkuZ3BK3C6XaG605tOgYGO0ycXVp8K1CjvavK4uxps3V039HKimdFJ1at 655aFHXrPefFQWv/YtPgR7FKVq0dqUEC0tgfJ86c2xEMT1mvoh1J8CpElyLQmj7uGL8vSaJBaXtw 7Qd0ta1epVSEG81anDgNs0u5hFBmWioa2CjbTNdtfP+ezcYVCtGb2LYFfB8pExroJDA3oVjiKf5e MUB/v0ybeLXRmZvNIITlJJ8urO1CFyjSWRqapvLl1vyPXkv7p4K4nXQ2H5WEtMYK8rWLcZMqHTiq kU5qVj6I+LK5LKJ0lFCDDD/DU9Ia9wvfAbP5vYH/i/niT+OUFAwbYFCtiasqyCA6JddaqeyXbSz9 wGk+FTVRch4Oi+FiE4iowdti4onB/xf2zs2C7b3zQEZ2g3h7UTgjupFvTSvT3f5GcGtBVxaj1zfQ tM2Q1CzRsgMzp3FrtQTxLQh7E3TN96Uf28nWC0o9RerVZmcV71d0lgnXfTBVOoSJnhzJqg/qmWT3 IHxwL+jfLxQ9QlSx1hGzuYvRPQSF0EuPc86PJbHkkvD6QiDtZGYJa7Afo8YCQj5V+NIORoHbQB9f h3dOs0bGntFdkId8c36DGBF4xBomHZ8Vcp/Ux3VeuBNkNSyjo7MVNv9yQ+fCJsm4rSSZOCftSZRg AO3t8FbgYaXhD6BDmIhwQWy4TgLWN7eIxFkameGMpgmcyB6hAdgjb2YkuadpialWkPWFhE2YBE21 6eIPoAcE4h3DK8+b9Bi+8SQvShI2vFgzr+g0HpylvUnCikmCYLWMQ+65h1bh6RCjkBK/yJThMgcv SU45PRjZULw8Vjpx6U2k6MMxzSk2tuAa6qMtUcypoFA/JXA3gFmykOIfzoizjix+96VNQYeDcq3K V5mVPqdEpUiR87QYmERfDkiWdkPNCkU6Hp0csKBYAk5xRzVhhq3tmJDZug+ebBLj5/YASqHmBEMq Uy+q+Eq2XFJaVNX4Hss5LlbJuAXQMUmZDqc7u5hPCcnPi/fonbrVn6k67c7/qGrSvifAWNz45cFB L3QeiBIHdvQhCV/euUJduuoedtzYPJX835m5R1TmgrYGrzTn1yWfgkQ0uOD8dK+J1ZQbTNpm1GgB sYw0NI7l+8ib9TRrbmHQu1JyyWPQvMZAmCdZshmW48omyxHaMXy+zAj5vAqfFmP7LoWSRdEFKUeZ Zxa+Yj2sZ2YXADbgu8bJC65KQ0KrW5GbIuN55PpcMs4qqdXDoEY79yYENnpslkLUbyHGeQMx+o+H NKW2D72Xvff4/amFS66JOgOQEJfdEH0/Q7O5KNQ6685A6lpXLsKJV1j6d/MJLig5erMMWmW7AQB+ g2zDkiHP2fgiciSVVi2av3rzVsrA6BZnhwT8KVDZP05raXtp4sz5OnwF1Lo0/O8Z+kjQJ9VN4g30 KBr35NNqsOFNvW8M/Mz3PAmX+Z2Mwi2sgDkfG6Wm6iCloeegHag3yNos6Zdta6GOsc3heGCdtJYm 6/I3W8uzhoFFITUiO1VM7lsBU6rXHOTX76xqFkKEXbADZMWQSYXniX80HAVMtEmzPSWVLj3rUXrP AZ5yoXsUBGta1p1ACeW9Q/jOyjZ7wM00dNd4Hegh+K0nLno8eCwAegidgpoa893Wmq0M6Oo3zRZb gs4nuk4wcFR49PQzi2kNj90Nr4CopLB4LDeVZxcUvpDij3DIJvQqjo3vIsbEEowBvr1YwXzxtYpB GVlBK7QdklHTu2xRVV3Yivlm42LbLLBDQnHzVzWH1bF8W5eGfShz+0pL8Majk7P78ZdpnLgTLt4/ lJvGMkwpivN1R2vg2cLxKqFHqp33P3lMrIJMgfgCqh5SkXueUBAgz3dYd5xTefBsVnCNTHD5mZKv 6N2ntKL8SnzWRM+fwz2vv+TNwAZUBe9R7wMZXm9zsCzt3QdW/VIMIV03IIV4Svi2Zf/7ZOazQQXq BcaFaSXQNzOsqcFppfh6P1ELhmT5WdYaTnDIjTsDMjPY+tv40rHOpaXiBygBYmuFBDjHiEfaiJE+ yiC11oaaIcfH56DJ6F1iCNt2LLUsr24AZ8Hi5Pbv54aKiGACx8uZJ651rgrmPV1tJeJYwkqHekCR ExzVkTOk3qxk9iW5GTb+tNSEWM6aRpLGneKlQrrxh0d88sfVVgvggsuOjSF8bL+TuVup44TJUthK JLcLyRkf06DiPbTlvNLB2NgOOkezTi+j8WWse+ewNeL+BqpF6kRg4kTcDB7Gl4lhMczg12o5yuIH TnRvNC3aOV/AKu+jAwPiaTizNuIR+9Vfxyzm4I9l3riJS3BLeU5T19XOw6smnu9bOjh1OF2Jh86x oEJ5TZdwHHHLhptj1Whe/iCGQ8ZSYNVQYQ007Q7MWiab/Vt9bnHqT8NkKN/CHRQaXuSHXVNz3qH3 omvYYUknbrKabeby26KblR293iv3eBuEQpQLxnpsUyLX1J/C7zF+Cg1IpTZ46i/xuVGwYKR6UdOG +TDaBF8CujvYx591aofF1dnorIILpp62D3pvYHrOwS5YLexvLBblz6pWch0jzkLJZqyVmco97NxJ MXwxZBGsFR+GT5HT7va6ZRuOW94xvcoBzpPdiddIJGzGNnhToTVEzcREGtNXDpLtmCZwgPXzc1LB kf/EIDyIjHeHJCD4zohjbLyjJtTDFI026u7sy74R0lVYAMiKvXlpd0QlyJV0SL+m9lGS9yFkP8KF cDisc/K+HDU7W9FILsJBPlTb3LRKFSF5MmcT3Lr7wERj31GlVRaObFym6PCosH3FjLkARCLN1ZUP 00rPbOzpsLFjgU3lOYPM1nT2sYeMIurb9M/mscMiiGoJp+DHOpszaGNA+Xkq0ZyeWqISnQuXghYh vhlzV52Spv01ajU7He+SKMFHpmtFZ4zUsKbb8aE/MHMnijdAlzSEEPIpv5MdXGFgEzKlV7qN5SwU PhwOGFWSyTfy6PulXSnSzeFNh4FvZdi+Du2NNjDzZoA3tQWNyYIvpQGFp9mkyCYFgVcTNytvEkES Rk+y0sfQU2L/BBrCIYhXYCBzGxQcjNDNHNNFEUbknI5xhVkQW0StEHibN5f42tjhAACVr5tcdY3Q Y/rlFomeyBiyzhK54P/C8QKK2Jfx4XX4YAgcK2VI9lPXut7inDstnsh0Ik4GO3i3UqS8n882yins T6ypmZCCNDXI+fEfkgpbwDB5BZusNn7LDEGXe0fBY4ono9JzkpoCSGvikXsK0BSt9WUWv6bMuwXK 0odBhyk/Yv2CUC2z12N+4RsSVUVVCYMgNQeENBB9nBCmjcpYmeneWMXrOuipkOErr9UvMpiv9UMx GPNGk22ZIWQkwsDXUTq+h4ye3NFlp7l+AiqzJ1uEifVRJx4INzM5kqdKeTPqBu87mUzS/vv9w++J rMDuKLPhd9giKfBB0Fhic6EqhTgN1EOnsylX6cyPBeauf0mFz38cSOsYc4ECkPVdEMxvlHbbQzar 59rS6ar5pm5rHMCjO92B0uNZ4o1A8MYPL/QBJtgoyEfYyryVotPSV3cKlzeU6FQb7u/IQiNr3hco aqnPKD9g1VKIaN+KdxZ70G9UTEX60HjOivv51qnEncxsAdHSAQuwW/1lFpZOXo8ab5XgyqIkqpEW Y3UicWxXqBTwhDhtd2g8+oO/NDi4/3UY6lEfvuLy1DBO/KBobi5yY1VK7LjhNehBYr7XbzBZJsmf doPJWlfZAaGB7PM3p8t1nQSJ8H+iAxfSbcYZG/LaMQSnP6pny2nuC4QCtVOfFuNfsi+6mln7RiLn I49MONzAxMeJ+TjO0q6q60g8cw09RsTOuMyZ37V7bPSBt+u2dE0yVxLFz0JV82CZwufH7JrhRxGb f65vs37hGC+Ftzfxx3ZEN7wZuSODqDpGtP1qCLey27CKuSxfILPeNJDo/GXXQO+4cjBmiHK25kbx qfwezFH9a76eDVkDzImGetr3WDNqOUbDj5OarZ5JbnewRcPBzNVOJpDbhipeTQf+ikaav//mYpld ccCpOJJCa+ZLWoMMYnZKdc+JC5IIchF7X0+o9feFzzJHnWwdksDDfmgtv5s1BQGQApZCZZqsqYuY +w/EHgLQhVjGre/fLjluaslDhlJKNxYk1/61dzMVaMG9cpCy3K0RpCscICWfxbyVtDwVDuQOQjjC EFRAZePpCVzDIjUTFOXbA/cby92AGx0vZa5ONxx/ucmBTfD6n8ZcBfONPl57OvtjOeN7q2fUK1tF uJeYCHx6ScCo0mtc/IJW77jqUn7CodOt6/+4q1hZBQuvln6/8kZ+rvl0HxFvlMU4NXbdxY5BBlA0 xIuH2knp/GULwr/gvjrYJm+ggg1P/tJNbBhqS0oMYWKYGSK90bRFUSesBmQOORq82xC/tQw5Zt9I E2FyKD3IW+Bo32So6m7MvmXS2EhPgAKCagxrfSSBfM5Qbc9p88pZVyIF9pkkVqj7gmwLSlXaWUK2 yGDeClLXSlURILROZAyS8Qrt46Ea0tG7IIQbmR4P8EVe0NPB3BzhCZDj5CJ5DsQZwj0Tjfc20kgA /fmU5CY0HHEwwVK2j3pam5cQjRXIvBpaIBGtUAqVaLeSvvjIViYd9HL5cbMVnppIgiJct/Zvif0o 7yXASdKUqdolSqU4Qww55q5mHq/GzoigboH/zw68weK/8YmL0GgcitKtOLP+GBvXiVRweN1rZlmO BXtvAufH+AAqLAJ4XecI/Isp6ANbysb8e+PI0N0YRI38R3h7ZVpcGJWKA0isJLCgHA0c3N1PrJ+W 8g/NuJ5ciEkkE+OD+uc/lYiBrqisQLx/7Ul9uwwMYWDVHqYsuEveK4EsoWvPYOuBo8TcdtpSBGPV Bc93PaSTBzDaQZnsN/ZyA3ggV3zLyjZvbtpbDEpe+9UGumXaalPkiAkqC6ek5hY1W2LiUs2o4LOP UD9UOD6kGsz9zaXG3bpnZoA3FNznxoCGsCrx/F0MIvKw3UU453DhE7aECVjnt8ShrMV8e82qGHy5 oSq0IzEntHHOhXdYMgkSHfgjkSW7M1QXwb/Zr7eQ4OT9onlFfN64NRlBn1V7+DJNArdRSvt0QRBD N+yilHESfq0F/JbBcJpDwPm5re7wDHlvo+c7Gb3IxbdJcgDHb5sbXRr4E4LR+8fte0b3xtMF2TrN juDLGuaF9qDO8OfhBQVFoF4f1pS+pB5O6nGI6/BQW/+4FFZkjK2lMFZxpp6i8b6qynbP51s85DiZ SYbXdNNjuGxdXyxdBWp4Vpl3uXn38ODNs1yzU5POfeKBo6qO4R2F4Cem+mcfjwI9TNxhN+hyPaV3 CovzFPnXDgFY5L6mCPzAQDTXd0BZUQWiPsKUw/qbyeozwP8Sq7HpAqyzalfubumqiewGfEKQBinO xX9V36RXKOcnw+HzP//W/Wrxd/w+noa40Ml6+KV1V5fJOijzcnlWslQWHP+YhsZU5jEoBBldfBqR UYLgubIJP7cl2AWJw6Vk3KgjcmOAAL3fQ4vZMHATHhDN8Jt/Ct0k/N2Kq2cWfOH78rToSXAEfxP/ Kc3acfKvdZkp6bTd52hqahtTXrIQgIpXBEb2uesRZ+T8prFiQM72GHX5/sULHtpHb2Df/52fNx25 Wd+REMOFHS+qeUcsfRUWUrQvlc4KCWhV3qQeRaEPZZH1tVMPt9rZ0eMuptEpgvN6rzj4p1renuM3 opCUz99+MXfNwPeUHC6QJnSVOIh2QEVmOmRkx6/PM2A501klmQQ0q8N6jn1kf6wKS1NPtROamyfm RqjPzzyPKgL2biRhz+rbwwEV87VBIC4ldvbMxiN51+f/L/qhCtbkhaXj4bCCoVe9ImOxwZd4lkW6 Yx3CMOE9Uz4jE8QisYPVAG+wrky0+xotmIsbztvQTUOGzO0G63UzJ9nuJsk3I4k7d5O3Zid3euaQ EIF4roxgWXFwGqvf7jNQSnmPi8WOsy1b+JrLd5bpAkA8vjTYHK8KhkiPqwE/nkdTIKaqeXvY9I2s 9+BCZ3oM0NjPuArVNdHvTtB65kABcCsLhvSN1jyS1f/4XUfSNcnG7YZiHlmD6/I3StY3oHu21YRp sPnbh06wBErXxE4Tx2cAHjFaAOyzJWUR2SJmJgpF8lbVmDIQG49+GFhzxGMP7DY+P5LRCXobHYFf fSQ4qcnGUQJtLqgw2leq7KGhFlyrRSRLDhCqgmWt0TLjrE93cUeMiWn7aSEljmXxaL37W/cawAWI rNAYUEWrwzSksX4zSXmshCEuiccOT8vzgqSE2VCSl27zJBlHlA0bMj5fcBktRWOfyCn3Mxevb18o RSjEZMTtNKoGNNxJFtsqb6HwdPFxcrGBvq/9gDZ82bOEo2C/uKQ86ym5DFuwYx4yOAFSw4UFW7mf d/s2zARTzVpyL9JGNjyAeaoV+e0DVzzKS77T0LWKnrBSe8rhgieljC3GgAVhU1zoA0SWdvNXzi5g dsAyfPEcgmi+RPeZoFH+FfoQdzl4rw8pjdAA5IyAnyYbfIzOUpj9xAxG5FABP25GknGgmpWErTFo wQmPtUwvP0R8nN3dG0foSpBud+TQ9enR45i1x+EOyzAY3P4M0pHQLszMIV8HyhbZHEWuDuJeavXX vrmBViucts4RIl85iHCHLfFGToGiSuk3ikcDpXMs3XdzPFP7G30LgmGE3/OBmmMJLo0vOQC+JPkf F2hyJzw8k4oSd9WpF1JiXyhgBQrin1siaRcwY6lAgJbUkic9HwdzJ5mOwLgU2ZNJVeo2S3jXXMq1 oETmbxRTlTFQnuvsQvs5NL6xK54SdJCozgZffdFq0r8RjPBL3q38AbgkSfVXcvU/4oXc2dgIRM4R sfGBOXfixtgS7i8QykI0vJ2aKFRYf0rMy4gRMFb3hmlS5PlBLCiSP2ooiF1BrL/Bp7SPBh6J+hQv V47DVYYoiDbocTWTRWi0SkLVoP6UMlrTLfSI7y3PuhKdiR+pfAvenWnQQS5SKX/rfMHuKNC+RR6N 78xSEv9o/MnNMiUTYnNtnEuWjTqjHKqV+3fQE1yIKjU4DBCvG8rweaBPkesQyDjEg6d1eIVKR8rE 5qoDQzDCX42fD2TAt8bj68ZXy75hypuQleiXvXxf/FhC9Au+AzZTV/bpC462Jo1oyTg9n2X2EwNd 5zKAb4zmj7AdOTdSsJZzwbUoLP/sM362DdosnhwohorKKNbSXYXAYpPJt15mZy0TI3Fs5T14naic 4/y1F8mEk/5CATYNtetZUBm9v2buwEbpq5ls8a8M0iVZjLYxvImLqzjErk0yfhiedYlQYqyQSybI acY67WzLNAruWXfKo+nO/PBalME9gdTzqLgYVKK3qcYBuvhsD5M5MRRkeeGsjQuO+vVIYdzOiMhh jRSRtrbTmBb4cpcSNxYUSPu8YxtJxXIJqf6jyofCeWEgXv3ZEY3lus+rRuWvNBjlZcDa5MyE8Jx9 YEMM2OQMAOT5NpQdUXVm09wZcFJwbBTsT4TKQA31bNXUO6zlqWkhYu3+k4VKyJlmzo6XatCGIFPv o+uDL63uFymJ6Hj0a2A+4Tv2Qoyk0TJWm4nULoXDpBRy5VIwlKszSmfMxd5mTJF/Y6sfFXojW/FS L9YIlc6ppHHlkGMBYhKZUs1+aVjQvw6zbOhM49DA3OfwcxKhfkpW4AffK2Bv0z8i+mGW9M2zQMme AHT+J5AjiwgEgd+Dy5xi/dC9QLL/x9+qs6wWmTMiXnv5Vf1ATQkm4YGPKISMYy1x2Qe5EXEhG644 TfIvOsOLe4mdpT0K2Xiu2ws02mUUlfQtIhLuwd9xBzyTeFPVQP3eqoFJ5mg1cQ62la5mQGjqQGxW ZVKlEtLp87SDgZZJdDprBKN2y852VkTG9kFvnizt0SJxMtmkQ7h51DddyVMAtFCBOdFl7eqMXQZZ BuGboLuL3CU8J0L/WUgoZ2QlNtLppbkU7ZMLHkJDW1fUfO9MbL+mwUi8viyiAzS9usqkxwM65kN9 stXEXoBFCUmYGxjU0pVnsU6BTtxCM9kacmQbHgow3WbUUgEUNTkeaBmhdne+CbxLSVIIUfimtgTl Oizmc/oMgqlLQsehTqk+B3WoX88M250BWI3CMjzm3CkoRN3Lp5LLyI4daRPWG0kp755S4+B07nlD 9W3DhWOfy5qbatwKfpPuX3RJ5FFVbPRRfmov6sfMzRFWA1qQCmxjxGlLZquGYCr9VvfMk4J5Psvj 7mhNvdweAk87XynGRgq/+vtbRV2PtwvYUPtyA/2rLknZUCYbeaF3LLZdPgH42mobgzo0FZMG12n7 vtcnEQb0+M85W1HjP0+pakzSv6Zo0p6IkPRnhY23mZGLTj4vYjfnmPuN9F2GSOq0zLZd/ZMuzngf wQau/PTWBE2rrED87f7/zyuyoKMRkmVnqXL2X9c30tRJWRFYvvJpTXznnWw+X/eL4zymGlbxa3cS XS2yLe4BmR/TvlLH1u7SbI3DtDSwXqUiLBzeYUsevIBgaDaMn5f97ywMuZwVPr3hMsO+rlB2F44O 5EzH2OoV0+1DJKeHCHn4uqpst3feHWvy7DHhf1PNneCt5/dfHjUeLmRULmu5sxXLUz5hHfX/FKuN X+IGrawqD63/P95C4xtE0m5OQ61rTd6Psuvp6NkyBEQ0H6Zq8XQaa0QYLP8T3WlHR94X8rZcSOIp HJK5iM/Gr+opSgxuGqfSGupK/Nrfurg5tRYD8aGhQfYRAdyyEY9MzFj05FkTBzN1aZKKutOLG4Nt yhCMWwyGdq+10DFT5vE/r7Die816s6eCEcy020ftWMFAGGfp9DQfNWD5tzT5rXdYlwjWHXTNK4uc c6350PGDLS9/giGBuly+PdLtqdm0JKuVWQMTOfPp+ujahIY9kPkNi5Bg98S3wWW2eqUBh3X7KH5p jKpBYMyR0uipDXbahUvw+LzyhqeMcdltMRVB+N7FuCTpEfOd8yMfBJl8bH3CfAXmX3xwGNmG/npy wRdjEp/RzIoN6CiUFwBPVI3sju9DJkaBX8Y/LYuilxcbXPaEYLtf7az5fX8SDrFzclM5mH0mKpex GOdYhOO0aVuB94YeXS7UbQ4iHxEUlTBDCOq+UiEfxUCckX2WK8eeHjja4uvoRTL+zenPBZsNqwv5 Cy7VHXfTFJ77PhOyHsAPsRq68o7PGkRxfFCPfJhVkKJVPOdowHJfhhS5hmS7T5s1W+VstuAkzNyO 1pQFhYV4xLxzqVeFeLf5HdBvdfEQrXluZwph3J3gxn1F2RMrbd/UrdhxlIs9Fgnt2GMg35mHsgbt 8TauVL75devlTPSsSjCPVOxXHDQb+ew5wVvpusE+I4cr016cMcJdlluUB64if+bcvHraPKDfnhkJ 5UvqzUcvUs0CcO86TW/ZS/+/S2VoqDwwLDUhV00YSosqf6KrG7AxTbcIXoPIGe94eq1ZNUAYr5o7 7s30+GzG9nDQQmujGBaOuJMxCJIAG2ptvvUUow5ejsKmgfqV1yCoo0iUbODoM8XsbZIbscYZm78s EfZkEVwWzZZ5T4z4pK1Zwye44iCct5M2wvWnSdoPmb7MI3koZrLLluHN4PfxPPgNuFomnvN+7wTt yurg8naSssT/Yo2LC+uX/Mkk1zPPxEPzGpPyDa3TezIXeLi1z38ZItdzqd7uuJS2rEzw0CbG2h1P Dr0zQKHtKuIaYwuBP0pKQsgrA9Tt7U3a+0WGDSHodxkOhF/3vyVw+swRmD1poAovy9eRryVzPUVv P2R08/Eiz6BKKf9nN0jw1mCQ4pc5F0uN7SpS5RQgpbmJELQjytEFo6eIjCmnypLMxW+2KlyRXp/r v8u43RISGXHkcbLUhDSNJiqL9vxQdcNY8qiwjb7cbUjj3+rAX/VssQ9VLb8fwCGBvKbx/QT6h/gM AZLfQO9X2O+A6kJns9f/a865NeZu3dUQ70uxvUXsw21gaEL9e01YbCuogppB8/m40d4KgcN3sRGk 4mHrJAunIWonNgv1txjp1yEqRDNi2/aNSL++/uSk95Z7dvQOnldWreaCKYlDh3jTp0oLgvQldvAg CwwdhiUogiXZlsBrQpgT3ah32v+TsoLMto9AmAiOMiLBz5VbQmyzMPDPSod0PeR/gFKESxWDTuxU k7H9TzNHX11H3etHhqOIQB/ptfjm6ZL/AC2E/eVykMaa766+3rAEkAZB6fasX9eWtBW9JxFPOPBG 0xfVOwyZAG9v6fy2kjOoqr4EAwDdw0OYeAomJUr8hw48UXMioyhEisVk251GzRHhREiuxjGCfgS6 qcybYp8b17RvN/M+uhU/cbfcr20L82ZsUZYNrO6vbE97afEME3DtHQLOdZBEkirlOPnzt8vOuVY9 MX8o4Y0nNLuVdl46E1182XEhE4nJD7dWS0csB1nVElMxYWVYOWfmWlOIWBKjCrrjDALa+bgoNk8p UwRiK2jsNjuYYMmvYTPoF5t6w+fJ5tOOORyLEbm3ggDeLug6gCZYehZpaUcBRfLrwE0HngAlP+dV IkeZULsbkYGyPLLB7zOf1wVwr3OLWypwj1E0JMk0jT4jU3M4fEp09HGiEoGm3yfW9SL3SOYBFRIx St6tCVWVgQExoK15SEFuZzthWoShLQHtIozKmRrTfnrzf4RTb39B3r5mM14MMwAzLA4r+yXF3wAn v6vOuhxUQ/rHCH+Vo8SlCsqxpWniVoNRU+c8HEFTTFW5ygmBeNiLLT66XGb/gDNka3/iLETOYEA4 3O9tSiLKBY8Axju0o2vjBlUuDHyrX34bKrYlp44bGgX+CTT4ioqgd7K0ZUncfaOZ5udGyqqWV3Sg 3lVikHMVdgIrSF8BfR1YMzdtWwrj871Axrng9G8+kEpQC7zA42NZxg0XamCRYEL9TcMWfEI5c+3W 5U9jQFvK99E4V2xAUnY7egQIaaHjmzH7pkAiTFz7bNVGszKfqzcc0kTxfJ2H/z8a6j1lHavIUaKn vLwssWuzkyaq1V3B3qesbSC6KMWAg8kA1Z9QTgntdAz7aKwrShH9/q6V1uxPablTVGUSeB/Z4iKa NKChAyZ5iaO/Ktbqlx+Zc3Z9a/SGWPijPhLyZLl51i5rcRE+VwsqzFoOej7ZH6KPTIs11uYXvXuJ e1X18Tk7PxSVkotbZW70kRObaEipRxXITky9wj8gCbSJoXch+ndDpRqzlxU4d9HHyqhFX5wCahIr f+HWoCgYxmD7g+Doyz3WJmd19cGn4qEbH5jWPB1PTobzkAfI/t0y6xs4sbT1RXDHeuUS5pxNpqjM vgGjtMhixZwWvpWDsnlnr+QPYAuvANkX7awnci1PXPyBKsk1DlH4tpL98m/+UxnkN0ZzGYKLOwTs Y9dLOJicdjsfXpHNM7GD29TBojGWluYBJfmpogsEw84ZXzWKa2BDZ4/MFUlLIMQX1xm3igoiqvbi 8LdTsjtoLP7ijAzKqFPL5uaX3guwz7KQxcQKQL/DYDexcN31/smef2uoDMs8mMbXqG+U01QVEein l1YdFwm341bGVYPLDi+9aq1pO+Tx5EMh+UwKdKj37bBgdteNOgRBrV4RCG/hVU1kkxqhQS4o5Ya5 oWAmqwZiSCd07XkEdbFK/xC2C5wjvoYx5BbAnU8xCgAFFGfRbyaOmijnmriv2vYqnYpTsj9D/BkP KHbdOnDiNAbsdYaJLaIyD6f3k8DmJPAersvS2LBz3t22E6wwPpdquPWYO0pMjtJEPDZRGWbF8oM1 m3cjUUIqukW8ZqKT7xrmi9/rk8mE+OcatFN9aHz3tKGWg/aXUTNJ7Fj3ovap/RQ+y62VKuv1EVv4 NP0/hEJg7tlO3fJra8qgYRATnOu/P/2ooUXRnvSGubqTV4zux6GV6ro1raUUDPrFIZaLV5HE+Mwb EKMV/IvxMfUk2p9CLc/9kDz29DUwe6fS2pGI4pq8TVSgB8BUV3kuxKyGXtjpq//uAf79JL/4eXPu GR38QG5fAxE3rOkDjeEEURq9uj7LZg2792izj4YbMcGDy1LtjCHHMDG4+HwXpGzvRpUF/m7MKj7E cm/jUV6Dbev50V/LPvHs+ZsobzmYdGzRVR1F823U77D9RpteYc7NWcGfEEP1DsTZDUkWz3W3ULXL YITO/UHs8tJkxg3srM1WUD2Qw7fA8lhpCx7cflH/5ViMTYWbz8duUWeDILIASxlgiqegL4dxmaGO Hj2474jTL+B7JSoCzM0Wwhb+1a5UUiuXgKU7Bwvbnce6EG8h7AeqTH8cBcEe/4GDCalJJhBhvTp7 Ooc28QuV5LPIaq/Dsw/YY87u40kPmo7UiR0bjRx7Bz4r300sxBdyN8AfaW91hXSoLvn44Kw8uWT2 Foxf1QryliS4vd7s3BQA7xsOS+A6fv2Xr/ZPypyawHINyrm/sSJMVfeeqQOkTsqPCivNymUxTRXB Lp0heRmDeCrB3YRPAuJFrQn/AYMj7BZEfqXkhl3IzhLwG6vroMJK19dAKbYk4orHy35CRkkJrjsH tkAughTavp4JtieK5FUlKXEJJw95KcYEPivvreAOIg+VIVYgJSlnoxjnTm01D6pnI+lBLTQhjPCf IsmVmRg4+VuPFyowmHrsKHddDcgZ61I4/UPLvGnBTpFgzDi2bozJeav2sj6QCYFUf8HN14uq8L1x BB7XtrUZeix8HJrTdFKEVqwjaa/7LiL6rSq/t9IYUPkK8i24T+Sr74Z14oVX6XvrWVn18z049ski v8zBkp6pyBfI7ovuOZRZj/o2LlImiLWaImIyhXWne6SW2Wvji9aFUcxkGEsM3mzdh0dmMpV0Aa/S mg9W8jwk352AalermvOOT5GEdBpZm20DkRN12z4vjtmtXr3t77JB6E/+vDYmgZOETspnk0645rRt 9nn+bPThH3l28XcSRfKoghuN569/pCViKtYWH4mCh3a23GXOpeQbM5HTI8Eby36H41OziMeWGVjS yFJNkOHNWAjii4On8Ecjg9M2MmSxUNE4Lzd+NoRs71+K3LCvlDs9JA0b2W/cI1c8CfkoWeUKqlSI 7O/hBgFHogQTMOFsHxyiFZgTVyFfBhuaPL+99X+WAMulYya06rkfrGk9f59zDg9ePRcjjMsy/3sM 76JbeNR22KweYWzewn3xSEE3YrbizceAH6VxngpKunudv5u0V2mOSd0KoQ3P5F2ZAwg3JTtrBtcc yFDp2R/xoGnvJHm+VRR8WQZpC/FQOTSeGZB1cqs1ElphqCjDvOGmyxAhhVJ9c4CTUGaLxRAYByMg ZthYxy4fJvqRSmOZ1dsiLegfKpf9/58FB7veVLVidSSB8BH/367WIvJELg1juChwbecuy0kRy6vK cCuBv8fmyY9w8LRjTPQG53yluQ3mldBF36+HBV3zs1NYOv5A3gmJGOPhfp/aqmvnTqZH/+nRee5P RmlC8YnWArWLoMnkLrIBQpUzGZOFxrFfhPWf5bN8XEX39aml846uHYfhF9ZNAOZwUhZq3aURbjLN sZV0RhVzoj6HkXTH4DG0ul/9qoJcSrCg+HsC8uOHee6HzVQTEoHxfAh33pMr11MyNKnj5Z+8K35E sJv7d4cdov4p0w2ELvfsYO6wH2hnYGAoyUHJnPUN7Fdn2cnNu1qQ5Hlq+xLO7eQyXgQFYH4oDKot rQ8DZ1/XlfJcMQeI7pS6j7aT/bxQdfUZRTlXRJUwmUNYKBo/Bo1zPK6h8Zr+d8JdTaB1utQrxbqs 2VVwzGGOjHPHvVuFdZNppXv9SXTXt2YJRllZ3E6kTXoAJtqEfz5mgw0efvJ4IZSOzvTvmgKoiZiK iooG2qPh20L1gwI7h1FbarZR9L5wTm0kJmdoKwUWVrfSwoswgdEwsMe5M0pBh2pnFker7aW+ddj1 IStSK+pT45Y4fpiY7BRQfORHqNHkIiEkZdmP05Yb5LAgR2Bb9dEFYAud7zJRm6Yvya491VOrozTj 7JzleG8b1/qBRIycAiXSoSyWXiHur7IepDOvTjS5kUXoW9QT35ORzYZoHu51dd3T5RmlliSXstES 6JysSSXM+RC+CVfvaQneZ0QCRvYseEphniuetEBox2Yvbn+T5ug/K9vmR5E/e391hwHUeZxOOX27 4L2jvztSfy0wUlfpJrKDEep2Afdc6rYrBNJz7RDu4XeQ4R7B62ChEhK+Jo6NQxjVZHhbZ7XPzmh+ CKPMBwA8F4cBTfJI2w35iFUbQD1rcDjf0HWIHf+4ZMOBHAfxbdU7KTQ9Qsz5fiebZ0JNJfX8Yq+r Z6Vzf2VM91dHFa3cTWyb7yvJ0UBKxS4pwjCNmKd4SR3+dEHzS00eNrMIGwHsO6dNeSbREG6DsLDr aXB4+aMmLyExizzHwEmxoP7SylQYsIyCrVjY39PetY947CGFA3lzV2IaJ8Cv5b/v8UCV4U4zMdf8 o5AMhiHndMyB3Sk2D6kCjfzBvAGN34l6ArAXPg4KjiY0uoqNL4PTalDVqWbDcu01vk1A0Th1ZrXQ GrjcH3muqBdla7YN+cwrgfp+Os+OSAnS53FR+nAFaP3DpaGMIJXZ8GWweMYHYnmafyJtLmTyaQ2P BrSqG8/pPbPnR4TE7NpySfELrr6XIYH4uyrBG8ebn+cex3D/4sbi43RGK4Ed4O6y7kSL2OGRwfHT spTICAsE2k+ARmsTZEr9epYhr4SGH5cWQUvaoq1CM6XI8tYrGMDYymbUXF0pAwBjmUgcHHGzl/zw u0X6eQHm52u/zVbMhdi/N6AlS0oElabf1ASrcunyqO5HahTrxKmhdKDg8cuWC2YRVAaAR8Jgpqtx a/FsGH19PRFf/6Fmf8LlBt03lJ7ukE3XKqCjAAClokWqiWSTeTtsDPhLEJZVZyKTXYmsdM8G4Y1H 54TUnyJO7BE3WQrn8gDnrrbfazVIiUdyrobLTFNckSxcRA8pOE55otuL7ADifh10st5fnLrc5GZl EAltTpfQLcj/8DqXdyoy5sNEl3rQqzEXqkksA1HSvgdL1Xtj93XulhJtdeAXG9wnKtqUiBM40KXd Nuxa5jr7+GLvciCASLHH9dU93ZV0vGhHagWOM1g+tSDG3+aHqbvU4y4E5l6nq85jxM97lbKWa4Yj Nhh1ztLFThoLW4n6LgWevYw1O7FDKoiGzk7CHXG2WIl60X5p5K9tyvgboM2bc4sUmF8oGmZ1fZOx goHJTG4Yhx6rpGQW8ofWLpMyioWduyCQOdFlX1X4T/R9eUxhRh+3tnvpWQIG+jN6yC2yCvwpLwNU dd4EAM6H0gu1FqnYUKDFKn3CDEuV+VKBF+j8khFpGVsvHxx8gfJQVbuC2F8EQvmN+ItbSp/l3PJB mskcQQZ0hqVEx9/TlJxn+byyATkXffjN3JQhHvH4Dqmsh/iy/t7WTXYRCnJURNh3HHnPreob29vV 0hPOTW1j/21CCH8llmTBR3jUCuSo6z0SOPqfTn4+e0F1w8+ebcIkC7/N4KrGU1/uxJ92tHM6qpgI LfA/HRUs8ewdrD/E4zq+oT2AlKWcIf61i+0ITMkx0Zzy/WJBJZwpWxg8RkLMgLn5mNL+gsC2NRnj XU6L7HbWz0RSY9xewx6b7jWPmZ+MlQ0A1gKk1HDS3nmRpa+IBWq0Qr7Rdv+pXNpqzMORukOEAhjM H9sk6L/iI0BJXvljBt5d7l+XSqG5BCWUtZZ4iV6SqMavx6VnsgTwL+nSacrdUasIv1zQaE5kvau+ WseYajckptF7YWsZHDpN3pBHDn0LYQHG8h8RCQqupo3etlGpTJV4GTN4PrexgI6zemnCM6Umz7b8 XuVrR32OimWrH6cYBUyM55monT3fc6hQ5BTc/NueSXjTOUU7Z6NrB5B9aT4I5HUReyw4y2RRfoba p0zYnnFbG1hBnPaH3nK+xcE0NorCy6QU+D+zMcjC1o4/ARE81bCy5h8nbNH6VuF5ns7zG6VllE34 xaFbMdH4M9+utAcb3dx/NT9lcna8JH2P209uTnTSc6jBSY62Y2jP7PVETmA8/0UcdAgD/PuMhOCX iktvsH6olr2DBIsR9qjAjlx/LmeuJEK/WDC1jgdcnarvp496JotrcTN0tX96PwMuYt0Ci5O6XrIV uOPCfLFn2f02a6JQ9f8oX5CthN73ER/xbUM8UeEMOq/kOEV2V/GIwFW+IOwqg18I2jh5iqPgjSvr fABSZpraesRhXXG11QFaZ5vvKD9BW5MieL3LSGdUC02uS0mSzxoZtLqcumll4FY3z8H8Q0yMeGVB ZKAymdlWgNMrvo832No45EB0HOZFNeDF3e0gZFvcIG+XsgpKxE1M0S6GhuZXkidSzWl2yTdUn/d9 l3RSoGkm8v/9147TPMKlrIRhU2HoK9MNCHndtbDzuagMxBqzbmjidZKolN7wPeWcR6azvb7sqCqx iUdwY+gUQge/tUVBlOKG1G2lG08+pYYf1mII5oGnRfaNGNKrRitMPbYjOPE5bAAundcdfWzhqArv Xywn43huDbHcbNYg9vXXJCx4M15NohzQtnDSNSTAIC9VvOa0G1A3ELWUb3lbKt/BvGu6Cj7AaGH4 iC+ko3mMZMz4Z0gR0Qf1HnEu4kNoTgpJuAxxPrPff2M6qkFdaFcENLy0weEMHHGYBopyP7Nnx5Ac FnX5ILQtz0PFJpsWtKOar0q+6t/RWutFNTIz6cz26JWFzLPACN+UniCNPpwH5dZKtDVX0P8V2nI3 /3Z6g4XfGGTl2fjCbAwzlsCieoJs6hGTqyg8PFsRPkF2d2+65lWHEgkOsCXs4bnWrcc0FS96jWEV OZaoOiiv7kcbFXNoaVdtjNmmZdZsdiQgf6q3eDX2BPI7LnoYmfLh06c/NxTmcgwTCaUowGLyfBIj RQPD4zfOLKUOXz6MQzq0A1ZQvORImVO6G91ZJNmDHEitxEZ2fQ82/1XpgPtDYpHBWGF5oT5i5IvY Rfns3+QetpJPvc7uDk68NKtynHZzyyhZDfqi59fTHFqDo6w8qgsjAvCI6DJcu4ZMz6QmIVnMM00u 55uR5rYr/4uauy/tR0V/XBxIyXNaCQks63Tk55sGnVIz+XauH3U1BZqAhdoHG0YQ+5gRJzB2KtjG zW8laY34DDbT42mvdUkN6GqN+0NMcq/6hi/6pTJSMgvEHcSwXoR7IdkoC3oVBIn71Sh/CyZuprVo 3l2Z+Zthptz7U6gsGNWrLrF1cK0eqrk58cJ0Eh+OKZ8dFgvQBDP1b8/M9BhtxPcG/IiaRZPt/4Yp YZVvGq0oeSikhnj79R9WauqEqQi1QvmxA71iG71koiUfWgh0S5TaDJVtFpM2UKiFnE+RHGKjIJDN r4o8fne62FVtWlZwZ3yncMiRvJPEOXXWfaFfiv9g8higrJKM0M3T5KznQXflVKPZgMPRrolqu0BT l5nqhtX8epQHJ0vXGgphoxUFImUdu0RvvwxnOJ5tKKiPKbb/ONN183Q75Oto5MvzP2UWxsAvu8qn +NKlipWmxKy4Qq9FNJ9rnuudAfWEjLo6pTjFBJpoOiRHANGPcywWzbgZhbffoINY5XlgD55OWy9m fJvZ9rvZVHlXLP67GWgbJAnfdvhe9dVCTedMRVpp88RQxUKYPRb5KTe8xGb5gVaZ52TDPavBIp2u CEnYLxY9a47qsJFqbsY3KRcSc6KXvYy04KzPqxAFgumCn9ZL3glGnSfzISXwfv5PKLefL3fmoWwX 6ajE1b8B9PV7ImxvEjFb3LAHIbYFXNEMDuJYOdPltdMRo2P3tNkZb3fyCoi+AsQ1uPNVwVI9U2A6 wqT9Kh9HtyeeX1YW9IxhpQL4dpx/pa9G8wONk3eFfMtQCKgEf3KPusA25luj31LkgYJ3Cbh2Cph6 GruQSAwiZOi5q6AfU+OwLryF6zPYTROx2tj1v1H3tkImrp7iwbbUrHlhPqlGm0mMC/FcVOVvUWeM agb4NvILVMUbdPzLr2uJLKmskpCF7NSI42Qe9mILS/DNOIxLDex+nWnUku6GYy/UaIoxLfUFTdW+ u/Rz9h+7R7qIP3tE0KjaphxK6gu4rzDQTqeua9Tl9cHt3yhwpzG0+AAmXmzRRBRwGR28B9XdTi3D kVW/vhIil/AqthnprCMYDVARLIM1S34YI8g6jY43Af7ualmjaJyH4s9o4Bg7tfZustloyt6yDGQy WBxeCHMTOFW+Pt5UXJ1PfUVdQXa+z3JiAsz5LtR02Lbs/ixaeLrHn9kWGsWvxIyT4Cd2klUz/oj2 NQ8JEwp8PNQ0M0V+IC0RSuIhODW1PSN92erKvgeB9DMTiur2Xoj0W2fMd9NObgTjWM9hdl2WgvNr 8jRA/eOmm5ViVEet4h4Zo1uUzBg+farnefDmuZHWhE1/opFQQjWCdpzeZkWBRl4hfkckkjaju79Z 7S0o5bnxVm9pn3VwqOYVQZ+bWh9heNGg508dSSRa+eBymA4YIWr8bHfEiqSqKO4fqcPOFsL07hTR Ms+4++e22PtPrtwWikH8lazzNIY/e+FbmsjxQLoagPXvd/sXip6XjnOff2U4H7GZgymR7Lir9QKy GCFS5ul/iwnejhJ67bjBfCTe7bwZaY8mOYvQQgUhvN/xhOsYa7oDzEGMIYDlDDxrRO+oyi/b66xr j/FYdTH2v6dIAH8EPc12fopPfdIZ2HJTrG0tbwlZ9qlCFJjoJ0mgyobufdvWO4yPjpxIwDeZc9t0 OGbBj0ktDJhFPI9qDAUAkBHi0juBFznaDwjjFRmSR0ei+iXS4vgSB3huyoUDnPbiUgffKXAQy5nY P0/IjOVBTHYNQrgup72V+gvBZIx4z3JtD1BYjJKXviFvxO+98jSvdrjR3ZeZ77u+j2V8jUCoPL8I a/BprB8SPsznNvnZ8hF0usOgkvaBa4c2yx1fKtMvQI54ItEmwM7Pq+J1O2Bbrzsok8+76E7DJ2Ei X2BbYvfTFyn+UEOFbBtTSd98hq5XOHAvPhUXi8i1cL2Bs2SBeTHOLGmcLeioPgqdb1EcVIMTCfDp dde000TYp9kfdC18R2ypvK/PEDivpY5kXmm4WDAfGqGNPVdTh9BBEn3WJliqpolpyVzC2Mop5JvO /yY5VGBelGVbWd0UvT6vYOidTGXHxBH4udEQMR/CAEhlSlGQaJNd1kzltdCXTUdW2CnxEwVMLDN+ ybukVZRzqaxpW4b+3gp7dt8MIk4k6MVAqTRAHS4FWmdDzkGmj8qHaoubnNFXADYjsduuiPZ9A5Vg rkUSJNVFWXMMqaPUy7ct/D8qq8MILJ9j6Crq5AC1tOpmgrvWJ+vHk7Qkx03jKP3VHCiuKOgMqprs teFenW6/USktliF+zAMKx15XLIXYJRx7USIAEghpZCUyztffLdDvnXgEZTL4w2YJIKEixrCpsr3X RmdP5Pg55u5iWPcek9L4Um4wG3pQa3MIbMDFNK3QvGnkUloCKONRDadXN8TTgieXmfkhbbLHgYp4 LD9F7JpwjFDnWZ9+5fSRM0mccVQ9W2fpv9BtCkAB+6kQtpeDbNzUxRJaFc/b/gPWVMoa6CMYQtPo KxvFre3R7OJZ3xamwVyR7PPelh5d5t/Bwt/zH45Gi/dOjXk95vhdgIzCv+ZiCEBhucuXQQVDybYt mWf1TigeK87Btgy4fjbAmZBkPvNNJeMRbOdfMbwDTcxstnN4SOwphMIZBKt2DNmGyMfnOWnZKv/M hTlhxOEdO+xugYQUnZIZ47z8xFRh8wLl7lDOdehZJqCFnhaWIs1Y1m3D0nbNMrqrHfEF3lIiy+mR 6GjzTAS/kTM2csbP1siFo/+UzkAjwnxkjp4WqHI0G+efOfljiiDz4XNDn0erNr39nf7HD7e2Sun2 2TG4ojBrw+j5kGeDY80bxBjk09NAKOvLkYGMmxqEPTx+yrSXNm7AR1Zl8Z/bbOmYdDI3jzndhFcA WBT2/YPAje6/XRMsx8E7A0ntuWTnnx4VbA6KC1/0CzD0fs3ZQ7s23sEAgYds+oGWiT5KhyxW9+bY QFI85Qx1Fq/qJq1KpeZzEG2bFhqHixczVQBhAXOm0lKpLEjfgahjjC45wbMpeYN5TR1ZAwYWqjaJ 9Iznu4zPmAjMNcbfsPouVajbwQhDyZvPbUWHuPUXmTwYOhoOVlmKkYSzFoEjvy9Ekn7NrJd7QxTA 9LJFiavDJqwv5uWsrbMYGy+tpaZshJG6szTC9yHn1p3TJ2UkcchGv6CgsHFEtZAxXQjyDmRrd+zu h+OR3zXIDUG7eTkYzc7CwzcDbGtQcglhxhnVXcmhww2LJC8lj1D8Oh3wJ2B+H7VNCl3AoaYB9nVW hLMbi0eOQg4BnCoXBWFGAfb6kcsFs8G3YRJ3BDD8PygyU4vjo17Tg3rtiKY0kOA6f2F9do04sKgv YNxvUqq6Le/162LvN/12knm7/6IZ+fO7/bFoR8w0re5olKgubzRCe9o5E9G6YaNq8+a4BhATWb/G gEn77J5POgdbwVf0yZUj9jJFdkjtqspcfrIQWxrEoTYA/XdZQDLcRJ6NKbIBOuspTy2eRUgCsHsk 3iGn5kPTVUmw54+j+kBGWM8xKG2RzyjZHqHZnA6v8tRIWOSJti1R2/sHtMt6EyRIrvMAFUsQgEBq /nByOM7RIfB1OUUoQ3jyHug/guAIf3og3FOkXduPsZwK3vuAoWiqiaqLaXWQ8mQkr/EoiZFQ4OAr j8DlOzrB0cCeMYpUquYrLoa01Pz1JMLaOslEyVfRIMJfCSt+SLmnwfpZxjx1K5pgpG8OWyAE+jFQ xyotCkNK2SIsTow7JjLpc/FI9QJlDbJIJdI4y8TIeJXgTOHHVNL+NK8019LvbBwImG8e739qseYt cp8pvbY9Fs0VS+8G5XfW/0MTG8BwB9IFXkvi12QgUN4KaBQTe1up6j5lPZ28B/7xKvHp5TIwRFKD 4wBs3sg1E3GKNtW9Eh6IpJZFJmj7gNs30f0tLxkKrtyRLxQ/BBtdTC3IV++gswDXHaqaL5dXbG+C 4yc4UgykoY2bW0PZNDEyxrh4leqQLhSL5A5QDJFPCiaPX+weyuSIAvbOtsqNH2NZyP3rocVaPBRg hhkErz4BL/Is6yRYQYo3huiz5IG0o30qBa2ADvTpm1Gm4IzwHdi/669XqyVCZn9s5OEp7ev5Dr1A V3FyjC/0uiQilkNYAn6+C+/wxv7r+zb26uD6rN9rb0S/EoUmycivqB9MUkI3cu7A89nm1j+VccQ8 xwMLAGD64qXXD1k+foAjMewJTLA6Tn7Fk/+nBwrmyVY+DLZmyrJvaTJO4ZFY5aM+2HsFzfkPRIJE Nj9PCQwNimmb1YpB/KarHPgE8+gC9U6O0YClJJgmw6q2vAdDkTtThOySip6z1DeMuW66N/b3acVe uICwNgQGIXZdTAlP098M7ogJanax9kJOHYK0Gx2uoGwJz99U/PyPBedeNzi5cBCbeZRETXAazxc+ pmH/8vuC594HmtCGrDVr/tKJUsLYXNiQveBTL5J7WF6du9SwVZGmcyKXcC/VExgT50Lv66eZG8In pqr2u5AoFrvHVrWJ6CGkqtN5kmacMtUUPg6MiKITnMLrVlZBDNlEHOsFFTxRI1mpuVV/ACw+0/on EIZZdHSo7XbfR3fNAfo5os8c+WxZI7dH2bItiubzF11pgVOZI8IOmofKYeD6SYfOrNmzta+SOnNt sJNFZREMRBZadLFUb09w6+Vsp3g3JmXTARi+UlW7XCPK/MPWeo6PliyuLUuDC35oFUkR1o4hjb4N M9CZyeKgr7m5nSUT9HKAkeNtUAmrd8/fYodOfHmvwNVTmTVhGk6h43P1qUHwAehchpCtdDRDnrpZ Ihjl1vJx5tx8EGe7QLvOYVx+zFnfZ+y2hrDnxYHGjmUFBx8lg4+D8HB7DB7V5fZfUcPhYXzqW1qp eioky+NuTJfX9B19T1eCuMz8wgke1RlUZiqSr2QdmuXEpdBMsUTAJDQOv7gQCcqvQb0WtuLjWfny /KRTVOUH6P0lTLMuyDhxq2WFjKOCgRkM59fV3GD3Stx8fYbrPeexAKE6cgQgzeGSS6XCbZCmAqN7 W7VQ71GTMHd6Pv/b8IjRIgIydVYo42mWUVR4QsPAI+wGGNDL51J/tT+kLryPVTlRlJ3tczeR44Tj VEgpuzBKaMAffteoq63pGMOFFHeh30/KiErQYT7PEn/BCSGDA5SSH3pMfSmS/dK3elD40QpeM78x 26g65ELaQ5Ev6TJKmy/TUSz8IOwaZbFwYcn4/DfYq6Wu/jysg3RX+K/3Il7o3MLEi5eeATZP8Jem 1tzaspr4IwgF/OgyrMklVSXTFT+w8D0Rfknnf+OGG4Mci3pxbeQWKSHXpUpdN1IUkJ05+slX67cf vWvFmJJ5mhunfomkdJN4KU37lMS8R6ZF2kEmQLZn9op8Uh8zQE7/uwMlSbL7bf7vwAideda4JmP7 62A3fsIgiArgnMWWL74gh5SUjDbuqoE/1xs+l7FZNhXm/pnInO54Vxr5G3oa5IZjzDalF4cu6Tqo RzAM4MvHdgBYtMzajiOVdFiWwLbiZLrS6dFv5fuHnOyR49XgmhXEpwRRl0Ou7Uc7RzMCDuNr6ERE SKHqX4aJjslku6eYAa+kct+zohRsUHa3cAYWsECBTAWrJKyT9tAx6ukFPdrKulhLSJs4NvQBExKS oa9xgKq/L66kFHCUMEL5cen60HTHGOnAH8NksAvUAb+43SzvgdR0mrlMmGzZBY1qL7o7/5do/PBp 5SNNOgIzp+bG34eeyApd6a9Wc7Hb/7ApR2tbdttg9ITHcOOezk2Smd1KN02vjOZxYSsxn7XwJPHN rytKbFAxVC7Hx4EUCAdsaQGPXFsQvOG6Td7vcuYeoaGT+oW+s3pQZdpCou5lmjF0m5wciFSX5r2b gBD9kAX7SM2XnaiMBvxvHlEINbHtYBpIn7VbpuIH4f6RLBGDsIwBOpcxVUIrfemXzymaFNT/88H4 0cdydqbdSY2aWLjyje+q6fKU+k0vA57A1EsG0Be7IRX5+16OkEo/91u2xWdvvx1XqLnPpSNI14pm QjGyU2iCA/FX6Mp68klAEkHRWEkI1S55Cv/wCpczKriWin0zm3teIN2q+UBxubYfm9xUjebgDIhq gahY6rjSO8+G/nfmUPS8ogYgqojemM9lw8NjLTVToPwKIHjsrqE2/o4eCUEo3vJFw8DJjjwV0BsX pB7RnSxPQ9SKrktCwiRdgIXM30rHklkpjN+shZS16hsJbYWjvTYXPLEPNs79MfYRsWmfMFHT6s8p i8zf9MujeCS1gfn93o5qCi8+m3Xs+nDw2JuKyaQ8DcBjzRL02wRU3cUEqAjmb0LAcp/7hIuS3C9P /Zy3l0ebIcWMxrmQirER3Iigqls2c2imkfmA0zxODAIuZoVvdUbVcEnQRE0geeZtk7FTLlI4vefl N6IBw06PfurjZls+fJotVx12sUAUgDTyw2Ix2g8OxGdyYSuExpbmCFRDl3YW/v483ou318JQVnJ5 zSKKr6MZxuXuqxfnVMaIAdeF0axw5UIFdwGAqNYZpj6kiWJ8iaJgBJvTO01Y10c0yraqCO9tNSpk oEZ1OI4F0eT/yIkpJrnSldFOxe/n/oPbVCfVPS/hRYhNehoG5Z2xdg0rK4mXvMMBkxTBGMCEQCni vvPAESu5wpEZoO3sc5R0YpwBp7UCHlI4mD38mSokLQXfupS6+8NSJn233tTUY3TpqVIMn08GzHVE x45ypjeTSi+v8w2R0sRafasnhvo5an/QS8/7uUfrUh53Movkbq8naqMeDLnv/KovpCqgrnAcn8xc a8SmArCmfK//ssOf/XCrqERDj2iChWNzpRld7dDXV8+ImFcgt/gq57v6dbQoLsSFxEurqZsAVhnf kr7OBt0YQHClhpWHkmo1Z5YL84GlmrJRZ5tz4rMNzJjBZaF6/tfEApmb3idmFTvbu3on+nuzQeU6 W6xJXBxU7jEdXGzTRaXCcvcrGJRsp+qftBXLuYMM3ZIXtf2GAV84Ua9inO/W9r1c+9X770EjCAX1 B+3CrtEKlHuhEQpBbPrrn5gr+peb2nsFwZl1zyQp7NBvnt1ldOwuVQblFlqusrPv2WqSUPsTbdQ8 TRnRSvObUXz4Qf/ie10svz3MWqYol/fllFIVXXrE387brj+0mGgSmgr89HVuyIuUBq4n6i4cCNaE 2HXXMDmNPZnHWFjyM0y13ybFEpJq/sRygUrj9HJDgrHD1MWwwEoBKC7/rhnzlNJsAk/cD+t6ieFW KuZz8nGIraPWmtq9ydPrsS879Pi3fPsFeFWOlai5PHN89jdE37KgFOhThyPduEADEps9lHNC6Bmv CP9kUrks9aAq3JpIsH8CRt4hndQW+1T2/L3JlG8gX4QtDzIU1YlC5Hw5iqLedM1ttin3plt0SJVV BvJRRnLaF08PYABlgC+Y0LYlkmZfdwTYbgsBrydU2IJf10ehMS71J+SQtSi7EDYI93iUGMP8yBKo 0Qpj28AmdLnWswVqP9AA8wHdCYxn+n/nRDvAR85Jis2LzSyA6ixhA4e+lvA64rbOGh7zoijWAIl+ yWlQf7SXP559eddWd4WSrmj82lVKi6qAr1PmWBI1ahMPl7IhteCJcSghm+sTXwtr5GeBv7059fxU LjuLah0eYnnKw7y4lxrXgXbO3GKR4tI78ysb4yDnENky+/nVSw6nl7AcSSIsguq7706OXo2xdTtU 9xjNh6CDFCygAYwV4GTn4MEfAJpu9l2aZ/rA3ZKgbCtg/CJzzQ7yelT3pS0eViheZKrlpOH+1Vfb hPp6Yyu2koKVPt1ITeRLM+uwCRXagRc+tGCo1D+krAZNLhWzCKeJ7gynIFxVXyvNT8IyUFPvjj2k q8N4MzTtZI9Fn+91R5azOPjYewIY4DeOKPuvM2WVfhZwJUQ3Uvo3mwmnA1cXAF1w51+mxc8QA8Kt tc18xSmgRsPsiIkX14LgLKYYdx3zSgZAtn5Wljnet4DfO4TA1tMY2Zwinml003An2snucv+yQzU1 fzKbZNtF0aJOdO4+kBGf2cPaFk0SZEr3FGBTivCAOW+RO/A9kjfr+ugBsAv1SqtED99Pixz1bXpv jVpKxYA175ErPX8oWIRQuOtyDmXW2rwMXDaQSvOBcWI1YUY+HubGKYe8YMew+G8ThXDJrpseesL/ rtDylBJ/KNZNghxO8n+9WlByV8DlUivXC0HV4bm+zlm02YRw6Py6AlQnrmiuSa0qXiknqqblg1D+ +nYjSDkqsFK9G1LYOZpKIJrcArT21jRP4T0x9AYPXqEHkB25GB92Kcbj4g5tAOvYmn8+ROZTwph+ SbHW/FzdLS86EbQN1VGB9Qhx2Yz6XGrg7jjfCYWtcyeauRrnru9+Yu7Bjc5FNN6BobItwxyXjO88 nqtVnBhkaGKmfR0CjRbCQ7Ii0fswcmzXMcHNSgo2BpF9OaYID+TQ7c9HD0QI7gxfjAVHHGYieASv KVkGDntVW/Py/07h7Rl26AIDZYLpfNBK7xqlShzqWdCADLTUvU5xitwf8YTPTE7gOLOL2K6nIDjq CHjLr98nu4KtaL0ERdN+dZIYYNP0TCWCCPw+nTMmmwrJPjaLsV2xRqMCY7/sCOz/GZ8cqMCThj99 v/joKraCa+5weGFlQVT63qjJyMB2DqgonoQg6VrKTZ+JtfAlnyZiJxUwrmksbIdMmFHnfN+JOsjy AcpxJaimUxN5h5rt4hsxfXxucyAtfo2IxFv82ghntVO9Z8ucrgx4NbkRKdSPehDd/mEs0XffEq9E MwxgRtsmmvbjyIyBRe2KjPzgtdulBmdjkaboJblQwPJGaSKxAAiJS3HL58yqyw9hOtW0IPLfV76n 4i0nCY3LRBihrZ27befEikbXOgm/NmSW6DjWxJo1ToEvQ+dckH0JQuHSMT5eqMuz35YD05mgRiH1 Ou9H0zRYIQLyPTTG3ggM6cZPO1JSifuOUcXZQBlrobtcG8TGq5Cdgc5eNCLhqQikrp6TftaQpRsU drEziqWBqlBDcZ09Kc8zOdUZnzgxKwXFnmm8ucdpLwQMgKi0wQc+uzcr9/4VI/zYsD9VJDOZp6j1 Hz3vA/C4emg9diSU27P0DR7cFCiAYzydF+nHmTzzagF2oq1mwLszvzmj1GTCnChAb9kpw9PdmWpd kkrvASDqmqESxZdqNbj3e7X/OpOeK0spfniPnN4//czuUuVBvqaBw4vgnwbqh0kHOXofUaKuRY6v RBpKcM1n901DbRlCehW4AaZ1GAMqyxTFlMRtl1+QS1KWGtsoA70vwWnreo8L1i08B6sJA3bWW/Lg hBZoAGSR7WaCqoU8XNgy51xAxk6fcJpxJg9NUHzPHabn4pnT3PbxNq/LsFrdJ6I4mdGXjb0jRpwl Z+2mM9Iwjv1RuchzzkJ1j/FeryJdeWOiCQlzpXDpyfYhV7NOrr/mE9o+zr43IL/Azdb7KrBwYo/Y GA0kU+tjuVghdqf8nMQSTfUjvX6h0GtJnkaIwtY1Vba13KqALOp/YRDiSWdKkOt1LnSY9T2di2k/ lTasISLP5VGbYqLu5uhnnYvOVbmyWEERoEXhdOSobictlgqxhJcTClCbBxOGDwj7rbGVmDPsljv2 P2t90rl6S2+chduvOcEHBkpPNyuJY3LR17HVIBlMQDbmyLeSRaB+JlNa+vUKjvh5cmY0opNloL18 fhZsLKFjuFgM+W9nt07WiG3v0QOUltnKM/B8/4cIEwWOQ5t+1cc4y27fFxiqGnIudsMQ0DYfAp6P 6Fe2oVt7zLdJ/WzEv3rnXUUp3gwKjFwh1AlJYjiAIIjgvbBCnymc5JVPwl/0Yc2WHCi546WlL9uR M2O9ii/09BK/UEB7VsYwGBae/3/maxa6pKs7Yer5ifC87j71ofzivKDaHSimLqa/09z0/5KYS4aw ayGQPI808VQ0YvydH+UuQuxYOtGagZ7Iia/jeeCrEm/ls+jBu/S68o/THdGNZm0qDWqQVHJMxMft yLL3kuGObCYa+qJt3J2t8sGiEhFnm0uDE0XGmoHf2ER57aXoSwGonI7tvevmDzUGp5Yu/tMYo77f khBfVL8D75N3xqqyB7wTZDtQOKUPujK/hVjSxMK6hsLphJle4jKbW4zp15nYHjg+eONLzAesWtvM I2Mfpe4+omQVjY9lBOvubiI0N3XrpIo6f5vdC9ryWRj7fpS0Rt40LfmHEqeANBM2pb5MnNNoW98a aZ9lchihianJCfdGXEJ4mXYOmVM77RYqjUy2Qn6hDnLgHKGGDVpassTdz6HtP4UDM0ndrWVaWtSO oYQ5re4mdmpRq4tE1Sf/q/k4fbkxPNtwNVuJQ9ScOQ0nstJmU+vgJaqdEhAb4tpAbHoYHoIuIkhd LGuHsMaSCdJqBsi6Xx7vyRVLxXdNl/J0kO5CbNmdotrXfuVx/nvqb6ZTltpWHsixHXIbZ8HVcDZi QwTCXk8g1Il9ebZbx1YcG3ZVSU4E8JPrpRbYD+EavsCWHMRgjJEPsKIFxJdgzVJWcqZ15NcLfv6o eQfT3c/i0447/1BUg1h98TR4+yfdoARg188uYVz/HD+okik+F3DF9jYzqWrSRi8xrg/x4+0IHeEz nUrUVwWGUGNvYksevDRg9NlI878HT1Od52EscTcEwi/4xfe8YBeK/EgOS/DONM7xlrtGdwtBdP5M BKUQRL2JFFcybt1wWerEGZP4taAHPhTuT4RxUreypAVwv7V1i7WoOnTvSPM5y9BbY/1fOsvEp5sn uGQNq4nLEwdFadP+I6Oq7R0QUJdXVp5YhXdE1zUwOluiFFuUXAqob6MQ/dzXh4OKMN+cgfOaLLiX Qio3dah3RIMbUuUsoGqxtNG5FHOq9lywYkycWma1MmAwGgtpYtyPI5oP48Ogi0FNRPIBUzVFv1/8 JVR+0Unolyzq8MtKam6sCS3I2TxO2j2Du43UU1dL3Di4CKfoMY/jX2p+C4+l3M9K6W07WiQNVlLd IbTdK8B9zuin6j6jWaX/RRLJ42XBgHo7zWDpVosbUI3eJ8y4yDuYp2/SA6SCXSbjEA1VTugaY0eh pypOrAQ5IjT50ORMK35RjNW0ApkqN4X0BsaL/IKjyG1qUiMn0wY1eL3aDKQiBjSX+TjRL40DF73a T+Q4Z6BQaaR+P6DtJo77Zr1bOdD3xwewwzu7HZat5cfoyjaEYNYaOQC5FjIa1IdR8Xgq/XQeEzZf XduzY+R2wNZ0i36zll2hrE51GSHsY+wxHsM1v7Bzoh56ZSYjtwdAjPp99EFMLpkl9IFUfgXdb0EQ Vt/P1KWAC+0xETRfuX3z8dgSRtal0MjnG8r+ISV5KsP77uHDCkiNXsE1jHk9w5t6HkZQIup+xKoM z9X+Ch7xfnrlyYhXM0QRoquWKc7yW2isFMTWMdVF8HfrSjlGAoCl3882FKCUgtgPNwk1ftsMQSdW HVcUINeQ3MA5PM9xjSXTns5YEGCgsPdMdO49ZncFnUdbb2rRjZb+KtCSMnBotAAAdNGhRJmKD57w IpCUOq+bmlxeYyPLGh4khzYhlTKRKdwIuVsDkxskoFzRh/dj4jIYgeiovOQq6Gw+tmaD4X5qdDh4 +82AmpFhWZJS0Cq2lM6N5w+zt4jSXOB6puCLxL+/Mn4Ql1SJTlMmj65YKkiCM1M+stOJPartI2Rq //hPjZlajlk86sRbSpp4xRuAkTynECTL9kcy/p0SsW1u+3LOzyWgVUwM5k1CFMociLS5i4nKtnYV ljQLSHRC/WPzKXFGz6UvyHHdqPz21OV1aFaYzHROPgieXAXh8eCHyDUS7OKy1xyWtC4MNcVvHak9 iJ/xZ6dgK5aKYFTBMoazpQFqD0XANtkh4UwGi7yM7EDwnbgUJJJ5/frKL9xhKyu6yRg03Lc/TBd1 LrDO1J4vfoOwn7RxFg3cFhuSjCQRytXevS9xrStod0hGDe8F0rTweYula5Y8AHhZESkIdA1Fby6Q F/G+QPeFWFGbFsLgfsRfSSkYBNZ+m3/oEU9lL1wtMFdytsumtsM1Fvn6KHZT77AfZBN0TRGKlBkW Qol04DPVfWiL0YcWXM7V9u795Q6q4s0h/HiwI9zBiwIF8KyhbZdnDame+Rybo189xLLBBGpZ74Mv GlvxvjiQnd44Xgg1+B09psMc7DtdTCS1kqvq4BLPQ8a2LnMqx5VdMZdAz2rxRvBANAiYfHGZD8zD aRGHXgzPKPbl/ivySo6LhfPEQnesxzP/JZAQTcBLJKqukWopsb8fl5cKf2sgYa5BWe8wNoQxwiyP P5mIPTkPqL8dKmO0VFLDw05zrp7XK52Bt3udYhBnCJ9UVcZyOQSLRCQK1O/DDe9e3hI/Sas/Bp5R dxGFfHAxDi5BGWsZi1eewVf8lwmEd+es3Qvk2LmibixXal9tXi6sCLesmd0YMuJ3wYp3soGa0iPa TU3tvgdbLsQjKx8K+yoRu1eWSyHq1HVzT1JxWyzg+2VEMprsUhl6T6effrOh9H+RhFm6DmHNxyTd 9XRrWXe4+tXVmBJts1xAPZ8/bS4dvJnq7Bo9qE9den7EXDQDCC8+JLoHm1oXPn3u0zFafG6UaGgy LDddtog0WIIyh8eMVYr7sr8UcSpMgmfYNg0FSbDd119hkagNNs8WTaxvHsnD4YDcyNPQwFFEThNI nQVMyUhxM/hSxYI4RrtYM802g45AynrGFceF49yeiQdfLrRiaT21uOWC3M+GvCL+lfclhhifcAOd G1/Ucq7SA0Kt5Ua3HK5PZB0eysKpR1b3TF/gvJRuqHpPpHidPAuyo5cAa5SFEgVVZUb2zuzIvbrG b26dukflwiyFKAV79mzSQEmoT7ZxwJtYGqbE+t5F6UhkYh97hDrPQD/4fCT+fip4VdmBQozkbQl6 rbCr2UWFIs+lGYXy41CRGwbf0ghLbJ/RFUiMTl6RREx8t0T5Byr/032KLQeJf+g7JLXzAPqRu+GP 9rx8BnUZeRdDgxD1j2kQXMhAknZECK/1sFYCIyk5XmtX1nvznqmi/I4/v2IjpfuCe1WnNnHQZDhx IadD2E44QnwhR7pLVwSnkkgnMAoarDQnNd1tSoYXrcplsHkV9f3bFOKn0bg8BwKeshCRDXV4F0aZ +37iTo6HT4k0BqccvzHnz7Nsq8ZAnY1h8cCT69FGOhmW986PzFJ0GQA6+x+iIxWR3ldYS7mh/MzC UlNydEpdUeYQODYyTJLVn3oocuQXrwo2hkYGDO0Y2Z7/FcPdbwLg2QcSoqQSsumDar0xCKQ6uhCt LGKTnQiIw+2PfhQXQFjFFDojJSIGZUA5cxo2jzZdU4ke1LTdEZL2xfuByCBcgbeJu4wU1CEfIYtE Z9lNKx4wmRGdB4vuONVQaRDbVPgl93ej6VHc7ElmXOhO8GdazwH2tEoO8WbR0UgLS604iCaJ74Ke pxEWbKJXimiz44nda2fEMhsd/CXcsjK+a0tksq+NkN9ayA0lKXIP0UkICSFJCbX50Z/To1lOmgEp 2wkqcBZO0F0rkzHcBsfBrMTtQIz4XHLmuf3VrK/w9KtoKlVdwm9VulYPl9lDICTrLr1Yehugjf1L /GNfPOythXF28amXaLr+jf6MZSXqjY2JSwXVU20MfG9f39m2MhZopejqXyDo+DG6fXW9FbBB7ZDc oviBVX4wUvloXBayVJJUzPxz76BoREltlT8ZjjpfDVPGvQzDYMzv68Y/wDarECrGdqoiU1sAWiUO 5zWplnHRg6AqAtjC8Qa5beEuVXpNEowiatkKBWZKy0uCe3YYTb/kjVDTYOD0zC5sefJ2rfkt7/hd O/Krt9xYPJT12nFU5VaYFsmiIuUxSa12xjWBr5xNzAJvbqn7W4TZnOWSoq9PrUICyQU+yP4C+1Fb Zz4fE2fl5MuiPsYr4b2lhJHMCcqGbZCcD3J46xFvXlH44vtMIofBZE+0KF4YsX/U9yv2l3cvFGen bEp1aO2TVHuHEB6q6n+qkUwQl3TTSNJGVS3mFDjiPlY/HfFlAAqgYNuKttTb6JOr9m2EAZixYwZo gsiIKoP1+1C9J+DObSmdubwVuDcMoEaCcp1D5nsfjYx0xl6JXqg8npuSCU4a5yBpZZYJ6WBhE9Ti Ekwapne/AzXHe/8h9DAq4na+465HjRETZio4oyu6O7BYMycmKcIgxXmtVNhGFR3bZJPR74DCxF/1 pHiYXWMWBgaXArr4tgY6aZ1gJUXA/MVMoBGfFm1sSM9nTuxdfi/pAcobYbZx0ASBgXDbmcN6ZY7B C/Hr+iv9ndGzx83M3t91xe7uR7R/afZuA399EGugkTAl3J6JWkbR6MAWF6BedR9TT2ScNZHTZ3Ko bzPuPpgA/Bv0EeUHuLiClqzLF3hfwicKcM/PQBvU3pW2m0/zFELW+vmVpF/AgeiYzNKPHIRdqAzs 9mIXqo/Bex7ntg35iPxwX+DJmyZm3I3/nXjQitH/gXxTBRG113oiqEzoWBhxtd4+Wg1EsLTsP1MX jX3GidKjmxz6cs9S58z8MfIMK6C3qwCvn3hEuwzZtBL1Wirw8UakD/yxSg4fSp87yqI30EHdFnnX FHNai0KymNLJs2U6ZwDlg1+Gkp3bRiIGqPyt4eLVw62d5FaxiGitLWfQydQCD5oVrMRLh3H8kyyF O1jBShFIQu/fN4xMbhZHChGK/q/5xt+PrV3Reu1/79TsbzhMQAz96JnTLyZ4KwCjgqjSMyswxFDq /nZVn/kRTu7vMwl8/akeh4dKA3woexAqDN5nGT6BWsV/3SgFo2yRp7qjnKThH/U5My9DaxLh44lO AmCqEW4YolRSH1dXhtB24oGaYR5V+vqGaJlPLpydpNdXYZ1rQJnzK9w+7MUXsTrFZYeFcR0UxC8A 4YMn2Z/bGXOgbyhFyY0lPkHsm9P3iMA6NzPmn8+YzIhZ3LIPG9YrJckBPT9Yxx3j33YvqU5N23LY PmiLswDUuF0tkxee0jyZ/dDkyhpC5F5UkJPHdNbi6RjfjiL2UWibbiZtIbAnOVSXq7Twwc7Vkvrv VwksM+wVRq6yKuy+w1FzDCw68u4hbRXUfXBBnx8trQnUEeIh3jFQrlNYeHuGpdyrjnXRrV7wFh6q TkfJUVkYRSMnl0fs3WUvlUErZVFSXxHzGb5Y2XCI91pmauiF9ic+4AZxJS57nDUBsM+YSnstYV0M ki+iUxNvkj979AMBbQTI9abykxfJ4Wt11ujVv1R1P8A8BUaCL70D5qslRQdidSptoP+nfq8z5SVu 3KVT90n+glKYKN8AVPhpgMKa2JK2Dm6kK0pYCDPbmxWiSFRr12nmksT2cq/yoQUhKppl+spvzHt/ /qkmuvBcqpdRNGmhqq9AX8kwUiECRvb6hl6wNOxV1ytwBQCECZrtRf4ydD0qD/Obk/Bw8B/5qrDN YoSlC6hXd4glYWIKhie/euEqEI0ACn+lfx8jalyd6E7HNYooKnb1j4NdZPCIJbl3WENYCqKpJkyS tuqJ6QsxSUL3IcRGyPBXU+bE4FMmuatFkdswQ+kBgRZrszFVbjvKUMhSUYKRNKYrUQZlbyl6Gb3Z scf1zuNb2IHQZeq+nCpM3TdUrADpa2UBPZNg/cGbWEEBAVreaSfJ+oV37cx2rL39e0c85VNQcYZu gclUu9IbZ0kemXAw3oCC8cAPdFcAH7QJesP1wMf0aIdNyAf+CoMGqpNACTUgWmnr/Vd8D8uJpOpa DmT/h64qLK2KsgjNfGW8X+CZVJ+JvLHymlPajGSbNCH/0vR2HWSOQ3ZHQSwCsQQiyFMof1XmtfON Rmp/GXlNnH8sg47Jq7l3CJR3NizD80QeE/cg7OoyWNks6yusCfS41LW6towYOUZhF7Fsjk9J/Kcs jY9TiBQ7PhjYuEF40sCHQsAhVKvc3LkdZOvzKq1Fxqs7KXMkEeTBCcf1MJttXaZNrsmDmEG/9Zwt snRkduLZdhfR2lQhLEex3QeItDb5p56IZEdArrNNa8TcUkKypS7YwMwJj2rV2lZP20FRMTdsqmOi KIc4u1c2AFIFb8tE2tJDHcjatLuCKzK2OeyIKMjbMqepB6Dfl/zyi9jJoaAG/9mR3rEPfnxVL2pl a6bdnP7EiovTwpRQLEJhEJVtzj1Jxp4PxByOv9Og+oC8mqBvf7ElDpEhQCTGtQpToFWcrcaNeNWN C8RsLDXQH6xXdb13yp5Ya9rd5fnk4SFBXcOIYDDPb0Y3wsum4+eeHh6bqYcBLz4yEsmDUlLv8Kf7 t1JOOnFjpKbafLE3OE5lgDqPsHZ/+OZgMSEeMhnQUKx1SFvVq8EoNm8+Ps26IOHP5IJ/6aol/H0X CoLt3Gy8agjjfDznzFTa0hMJ1a0JGly6iwGsN1Wi9v4hC1JLJBE66rOfKWErsmrG4gtqac80IMsg ShFOnvoxti9/fw29cEQr8KTLImNmTMWg2SA7j32vwqXl8IsW/TGLBeOHcq3k1VL0x1j+jHuLq+tf 4bgQ6tmW1cPRL+kL8TnGGlLh8n9mMmIfiD+yv5znLn1p2fAIe2Y5uhKd12cM4GvgOYsTyJa9e69N NtybbAXgSxA5+MG84AbTJStwJ0iILwZJ2y4yb6Pv6aYEv+SgrEciKm+3yYsmtN97lbh9pcXfiIWd 9AYtOFw1rX9alPN5Vsyss7OP0Gp7Qi+a9SSYAeWeHeDvSRQlLfN7gWoPZkDU26sc1rnYolk+fCFA 5CNPnAxvBKyqNUaqGw1pb72E2enEiF4q3PVEZF1yXq4pdfCoAIKcVF/vt9o4C5JIk1tEY7jpqK/f uZPdhIcLP1+Rh8NtZo0JfQq/aPNRND7b4zHEWz73PQLMg9RRnk4ZiTSodtTLEbR4BFZCuc5+nfRe 11iOVmoIPQEwkYUZ4SqhvFtDFwwOSdAnujbWNnTsdl3tkEGYi11wEH1hFOYU0NvEh91SDZZsVQbN FLNCqcPWil128chD9C4qsaQ3rsqywoC/Itwm3fHn39hD9jOjzjqjo/gfhNcLV10gEfME+GW1fOXc pzQrI1D4e2KwhIgisHYxG1cT4T66utKXRfLiU0T+SY9P99Y4iMkX24HtcphAj/n1sUtEg+rUW56p ILIRUsLp8K3v/JCT8K9QrgcDPZWjFTp8cTZmKvbE9BmJNVjXrO6tzb+64+cO5P9H30mWD6xDghov VZP6CGzAYRyXS9+cU9CroYAexNy5rgTsg0N4SLQwMvcQ2wi2usNZvD3OJUZICOabG2BY0+d3Rm2R KQS7BZoFaJuo0n/eGll5tv/Gb3GQ7CwN7lJ6+j84sBrGqxIo0EkozJgPaZPw+D68PVyujRe4LsTg 9Opq/NXb1XoTfBAz+pj0cSwJPA1zdkUnxvegaB7U7EZED5PJuS5DqqWAdGlLU/ASggmJOJ1L9RZ5 j/5GEfHj8PHUYVf5w3WBrpePduKHfEDSHPHQi8NuFBharas1U+2kK23/MYlvhpzBa4HC1xhxoiKh GEhYd5rzGRFvLzG5HWWuNzbe3bE4M/3ldqQQ/amVY+vwwm+4+3kVBxhVY5NFI716ra9ns1pS4ks/ 8n/eEAnMA3Bap8UWoYLsOYsCBOoa8XhSHCCE8JqOGPZHLeIsTaK5lp+yB19nq+qDTDA4WOXG2AmN OdYGRJrJu6gE17JNDdA5sHhlU4WnDXT1pphV68I3gLDv06lIRCK9O6aMhJdKQKzvWH3YSaMI7+oK P/I8sYMMbZl0Hrswgnz++8nL6IS067DzeAyPhKgY//XKcnJIZ8X+FGz71tz195AP+p6kwmA8S9/c GUlARf7Fx2sxuqN31oMzWOaim7cN+6yQM4ew8Dav7+/aXlqlB7iU3nzirFbYUP9m/ev08BJ4yG4Z wsf+AkviLwbkoVRoGMMvmBnQ170Z6l0hGCvlasfvCyqPXqPFqJRWJJWRl2xMbvJNeH089QJxvmZ2 RIazOCJ3bUJWC2MsFsjynGs9WGXI3bfQCg7mDbMqN24r8OWNjcdume57ycPYPPCZOdZL5Cb4bvqP 0jVm3eyHcDatDuauG6+4BhakJII/PLvjE+kcQNOZ1iKtK1suFtPFKt/oSG+7Q9+FhkDN9XAfo1Ej ztsHAsGbzCzVACiwXRaFHsb7dBOunCrl6DdYJ/HP8ODadoOyEoJxJ8WV1izes3zBSSd/4tcqziQv xDumbXsJSQgx/ljQg9JTZ+xV+GWRdWcWRrufX45k7lIJ1MCykRwq1ZMVTy/Yn42lY90Xdke6oe/R j37ImnQWJMxLdAn1GVvFxXXo0d1XyY5Yte1sRazwB1I08LuXi9xsM5ukKmdAOwbHZbvE1JeUwrFO kJSb85dL4BFELXy33qRqUDNkr6AIHNmYzCOrVbfrGvBRk+sa71t2635Q0ZPcL0sxM53ERfkmWA1b zLOeZFJjs8tIh9uOdhR6LBdYvlhoOmb56v03qJDnUzAonkX7RtyZGV0Us9V9kkwIhKMNwct9+jdB KuOgyNQrqIFOjNcobUCiMZ/ObVnPkCUteIDPhNOMQFUswbqc280JP9MDDRuznTyA5vRxSzpR6aiC 0jqF7KszaZUt5/gqK6253L/zBM6SGiyLZdPgIAEPIyGYWKdO6nkLi3MF9aZfWhqxX6RWmvstG1cj /vV6Aq9ulpzC9O9vwlufUatateAXFRteTfpHcaQYldUhq6++dwyMmMY0NsUAdOnuXNr/GuWCBPbu 60/9BteM1BdOYkcgbc5F8BwFlOfi3fjGNpaSn4X5YMmTG18nez3zcEmJeP9GVwavhAe/gL0WtpTZ fOelhfSWT0ZJVHzrTGPq0HgrWB7hAHcE4AsLP8jZJOmfB2U2C0E+Yj2EursdUwYpCEOiYkGf/I/Q B/lJcsMsnVGM4Q5tPggW5vh3TcTkBDFO9rtrwY5YnX5UyXnINfs5sr9cYHHeeMwbszy0A4vIpp9e 2flwMtCiy4RBzH3ozGDjxUvBGWR9O35QdVNyRPDW8NStJ/8ItrZ5eZ1jwwqaAD8ZwPVp7s0h23Bg cuGDtWt3fahUHphpF/Y27J95wvspR2r40ihADs+lXoNvYfWOKHWGXGozMROc4wsLenFKV4VtbAxA W7bSx0/CHQ50JXozZemQBn2xDd1Dy8Tbk9xgZQWRooo+j2jEp5KWAgZgHr6VXhw0Uch71d1XoAhw QDAgNTLhlLvq6O522ljUIE7GZuuJcA7rNyq2u4DSz0ln8fKzy+bcDc1paOJMyKJVsYoX4rpeFBIL Foro7zmyMJyoGjP0lbNod8QCKvKVssgnvH2s3pMb5YkagJP97/7DQP0G+SlvGvi9LmgEHrm47WgR rREbeHie5QMzQmd0POCgem3uhqqVC0vpttxukkIOE9US3pDtjE5fq2BXiEiaiqdVH1y+1TQ0gGX0 0CCWKLNaY6wuoUfAprCcCjmi5rmy7s6QwX2zQoCPTkeNaidZEf4RVaTH0yBnktjsugVgT61iQBG6 A1gjTjdCPSW0jOWy2ZILLFoFwlpBeDJnZnHtIq9KD6k1VIOkS8m4Q6Vfckdj2tOf0gCkniSBKyo3 EqngWlCN7o7GZV3knLKtlQStHe/W1mQKj5Cvwrr0EaSYhhoLcnu7HSQtehKMpJIWGDUyoQ3UIF24 +fJ7YLstmUFcMuGYiylgiZUMk+IIpXVmtvO8ZTfr42myfedEwNAZQmyIBpvJhP0QZ48Ka8zqnp0Z BrR3B+kalLuPneigHYsgnE80t30Kt+DPdkm7FnGxOyGg11DJ1QD8qKCOx6y5mp8JmzFuZBKiYn5b BD4PYYUuSMAsAX6L41Jjkx+mV56jEwAVm+SHEBfy/0we4BhdMUKimQ7IHJ1OOYd8AsHz9mtm7Q3T DAF3sFJ3QVD7PPWduV+cOLSUZzW5lKNyEALojqL6wn///i8UGzWlkv+QNF5PP4lN+qM54iLumRao AS2oA7/2Drle7mbbAbRRpSC0LdBpgyo+P1IpjvD+gepDXhLw1hsn1A29k1C4gGgts3EhVuYx047j 3ngd6oXvQuJh1fYcQvkhQx50OjYczzsLh+MWYgC1owPxYrAZdqQMfKK14h1NPOwSW0FgUr5pVb0C Vk3+ALzGn06LNwwcRzx9CK0nQF9kZO45Z3i8cjRJpU2OHsRtCPzdBwMCePLF7H386ITqAS+D4dz8 Ewvfv0u7WwXATOdQZwIMmzOqrA7+h2/NxmpZT+J+ZJMrom8wH+4FxcSSGL/HVdPKXLealJR6qGSq 48TD/ygsWJMo6P5qXKJ7bLHoNjScAQuigiZjxIm3nLAxS9/9d4ZSkpCZrQWfJiVu9+new7Y9nrV9 rbbzJJ9oHNXBkOJV/Mui2y/QhhXHlMUnXiiHzpMDnihc9UDfqwp8qPIGuj+FPMfPKi6huNarYkm1 +eZNxq2qLc7POUE/kUv3hPWz6CYz2SprH5HIfcwjlnDNF5BUOnM3EtrGJwxhe53vuM5YpnErNN2a 2dvKACxVBUnKb7PkGBa93mCZtJ3beVpVTy9ZI+SQ1ZpcwRL/JZ5E5vIFAfJSqZsoI9FaNXK1138k NbC0niGO8+u5dn2GQOSXy3OhRheRJqp7RggDqOT/qyiNJire0K/ULnFRr9KHgGlZF0kKdDMRWTK/ O77gWEW4k4kNPb19VGqKBRZR/m5tRSmAcp46YB4JsFYQjKdeOY1AsB7pTBnULq/ZYMdTt6BQmsFW 0yY532xLAf7/FWqn7PsgCpncbe/FnYW1nYhiaetvFlZf3vYuP+78lsEizdCNE0kRpay99UlSRhfj Fe/AQihgw2KmARwL4sFkdYephjzDTja3YfjyTRmuZBBTN45cAevnq1bt9QepGUf9OelYjYGO40SD iHaFh2dlsljx93gKDULuTKXMXcP9JN6Gx2Qr7FhCJ2BRVb1xGddTYJNBz8QU1VvptHobPzwK07N3 IKy3J7iNx/D39mvGoA8ln6/AzCHhs9wrSwX91ABz9I8rzGDb930VEMmqzv3uvqUHTUiN3hWUmQIN s91uvLR4W5Bz1xle0XE5YzBbLxOfKtqoGF6wASXhefDPocStDjjWQGlgNGUsHhS+Sg6z5DCDPnjl Uk1EZ5/nnztPMfAFqAfTtwEOeviNNfaUIoFA/Iz12a+UVIDefwTAASqWTlm0ych5E5pno0ZtxJl6 CsQqsheI8P6jxwzl7dfzjLjM/KGv/9itcrToQFB7WYE2TQtM5g+smH2dB9rnhyXW/UeVsjGFsvn5 giUwe0r/XLu9ZpS5bgHfnS07Yj57zinLPK9bMyWDbp04n25kXe99pRbLmLuy1OXy5gFGOsudPm2G MUiMHtBUeq8eCTA48CfFrC54RBBsRG3xwqRXn/OvzgNtZjoYb8DOGICr3Q4NBHOZkwrEbIvA6hA5 iuvTB5I79mSXMcrI49xNpTmn+NdAzzKHKCQ/Sedwd8L7mv2cLRCwrnYGFQXSsBHNkR6SHekx6s8X 34r/61WtpKeQnmsWGKD343rXvweDWidmis5vdykZJlqvPvis0dAbaF+a4bAC9Ns8Sx279Qz/rTii UGUXccNaYqBPMA8VAMCbdHVRGx7gEqfluPNrgESCXtjKoxMSQrUoLLqxdHBgnF0B436fsYo0G6Sp 5MZSy9dAi130L3c2Q5n0C/9gyk4x5XJIw0LIz3zeDcTzMdG5rCnhXolHAW4nZ14b21R/HPff8kvA 27883e1JXCh9KpoXn5g0zu4zVPaMEurSgwBPeN7FBtkbicEXyUEmzJYIu28MFHCKXZ9mdO3+83x9 H43RlLLtbBYJLzTcaX4kkFhwyTwy9x+8mLEzllHD9B5IAFbb3ze9Tic74cBq2JkdHSpDQd3yB/Js 24n9FYehmEoHZZWBQ+tkLUdntXKk3hYtN1hqFBfcDfoY4yHUW9X6utFfae4XfCsygNxe86CdaQED E4wPYyoEt3bVImc3a0bSzIuSuXlW8vBOuu5hY78XMu/bSIplQ2FPH9n+GzN0jhvJJpcfjNaomBCV qRPjoMagDL315R++KzFM9Zb+1NjRaMPFfdaOHkZnmYV3JRGfAudJip1FsZpwnEVxCi+RJupw2M+a KMVyhUcrC2yVAYL8f5CokKuq1enlcFHw6i0W3VYOFpFr35z711HvoFpAXsqWMowCS/tIxcW3k0Ol mKXcM4a0A/5IZ1N9wvFTFHGQSXBndUcfEJvO+KmjJ3l6vgFXcF9Y9u3FCJOyLsqI+8MqHip6YX5w X8K4HP+EyO3uu6zx1m216rkB4Msv50iZ1YtMoKz8F7k/U1cA9QR8hp6xIPDbGEXj/v0gnQgvAPvj Ilr4m3gW+YF0nf2B7iwI2unrCFEsRewikhXb9Br4ncszA06JQD8HZT/HftBqxXggXL27aULm6acu JCO+2wlZMXzTtP45oT2JoQnaCT59XZuwRaf7BiUFYNSny4Lm6P30mLggM7K98zqkqDu10gxCRyj4 xoJYwbUAAj6KJmCEmd6MNjuXCC4f3YvcLzWma/LOFvkmQvm4XTlUBscyNLecqJOgHOjQh4fZlWQe hzIVTRKAM4aTXSwPzQlcFD3XH93JKbAVFNF9rRm4kIEAER0NtSF0Dp98Tv5Sba8exOliKlws9tXZ jV5ybwFPgn/PvznGuSUJcY94z03hBFGn2OzcgLQR19dF73JlepS9YNgBY6qLrgsT3ARrMnMdB/4S Utd9LRRGePNhe7D5FaTwBhyLf5IA61vJ5cVHCIoP0B9lTvVKG9qvtI9dGVv0OaAD9dwU8yBmjjx2 /lw0xU76dkQyefQi+OO5//Kd8z42d3s3KmtCRVVlZxtiNIKLvSXwmJ1b0CZBoBhzCehlx9rdhfLk fkMH5UhRrHe4uNJJCq7nCednQCEKoH2hFKovaXb9s8d89V1u+ANibxnY7yCkWN42o8C1ReMjhiVo 9KwHX9KAHMFyiPTICZaNngBtvXXTGnCSeuHEaCJuAaFYc2vBskXh6VwQhjyRL+Aat5AjBVuJkuBj gb6D1P0k4Qi5X/ohfP7M6e4jXlQr2Y1bAwi2iHsHtJnWMnN13IBCVc+7APZRYTXQrKkovfTcTamS u0YOt412iRNUmcBDpkmTQouXHlI8NtIrntOhYO7BM3K5tqHBT957JfkHcp7D8Nby5bOuar2yjKL7 iBGhWYtQbJa67PfSXHkYJSs9Nb4RSoBM2eLQepgCOZNUel85cN4IHvqwTE/O3JjxeCguEhNigVdm Li5T6cH+N3F1AaxqQVLcc/YKVA+JXpfLo1x/hboCk9sQXR6/aC4+KCYproWI/l3Ql3xBhl65sRCU 472BJjnEkb8ykLH5sVpxG+syB05RXpQNqUAANYSEgwdogf4xqIOhhkXt5GXzYwOcPUQFYM24pxBA EkHSqrApgfkCy+pG1NvD3uWc98YNdC2dEnG5QHB7z8kH294oYbpEWOI1MAEXG66COgIrajqPwUXL 6bEekcSzFnpWlagruwd2f0oxYf47bdyiE6ThjId5gGAD7cjWHj7iI7reOLbfjP8modwpgxtpq94y 6aBAGjxMbedelOk3qSCawMvd9oa8/UJvymkJMCnl/0/xUWVumOqZuhd2G6G66AVSsFJWbqapGPib y+6/COJPF7JITn+/FoMgb2i7HC1DJB3E4Vn1gVfAK8JWsdbMPVfh2/rRt69BwR5cy/f0Fd0KdTdF /gx8XZsGFvmJPUiJAr9ZBy1gznh7LisbjTWLq25m73YoE3bOYo+6RkSSuqKK4v4y9gcP2+gyA0ma DvJlw4iXaVYoHEeGr6T2oji3jCej9CFnZhZEGUFOICIV3LNoJ249iMlNpX8BJD1Wa/FfF+16kGRg LMDyOlzZZd254uchuq98rD5CQ3fmhvy0W7S7hsRLQCqXZ3QPethuU2UvmxUqmve15yE+B6HthX8E /GtfgyP0BEgEI6IBRr4fcl7C/xRPXeRGLsOuYW0qnY2G9Yij8mv5JQKol6ZDLNjSyTbFWh0Wj2rj fWSh6ZdrfS+LrmADd11AbzWeUvspWH15ZqmRvr4vizUlkcU7d+ayt3YM8u1Jcm541nPmVlkAqJaN /t5va1UYzRc0YJuCMyBHFkR8IcEoMjqwovtfzsGdx/cyXpU6dVTJd0p8idS9V5+cSQyJZmmKN4Kg RnudQblCUbXNu1saTxT6xUHREUt1sC3osEx66lRagzWsiU52wsIlp5QbV2myng4xbB5IAgPc9Ot1 EB7qENIrX3gtd1wRWENwGfn2hWayG5UCdaHorhDhKDXk6CMqdyhtIybF76BbgBUYnw3zguKFkVYN uXrunbdlb0jW4YG4MMfpLtBDXouiM5RjmzY9qAoSt2f6Phq3Ik6kk/pOqMXEr0Z9WW9n78hBJ/H8 ZMJCDlne94fUKZxTvDQzt7GWlhoCWwTWfi7SnuCtW1M17fx3KkfeNq0ZJeZTwBJNRNOXywIyO97C 5QAReNcwE3PgW9uDyKgDka6dinyGmsRkfO46++X7cHadquGmoHBxD8FgjyhrUYzPOx8ql0QWh0r+ to3+sxRh2ZGU8Fc4Hw20/6ghws0WJZ5XBC+zSFw+RoJSR4BT8j5E+2B/i7+7GzxK45M6sq/uosjP brQ8fMB0fDlNAq9mcG11/BuJjCp4uWmmK+74dkQVgD5/lx5/xSDanPZjsWTj1OYqxjass60D5i0w 9OPD6zG6fvy3dQjfitZp7WmwUOGprs+3hO9C5FXEaDNtOwtEquRbawO8FacinXbS64pnufcwMqH7 1XvpCNjrX6ksW0GDr7ZQAr3MprzGHjXwU4HuBc0PQAyqbuNPK7S69K6lCSKfn+syG0AbFO/T0rUn RhODqCoIhCwtq1Mz/UskxB9JeNKwkRfmqPoz9tNUkUzoHk8fcta7ZeCehAF+KoNNqWZ/8twSiOnX VxL9SWCbWMxwoRr7PxZLnwMznv3LJS9wjNxhpxxCKeI0u9JfhI+m2a2nbJE7bziSdJqmgWsiVSM5 iNQteXr4a2mX1iIkbmMM7AFC84DxOpNMnLn2QfsQYk+kF6LkEvwlnqCS7mkSyjY4qidwTUQwANIX 9Z0I4Vbl4HpyIJVEGGwXt0jV1marstXf0Sz2d8espXSbG5JW8M1s92ZOFBBKyIHuCpmMwazS0hPp 09ALUxSaxltxw1n8tubVUEhUPXG1wpdkouC3sEPvOU3ijFtuzFHZFkg0V2zbQhUjLWOPJCJ4IA7S Du/8M8PK9iGgjYQd9mvnp+jwJO4z4ZubDRdLqc8say4VHl9lwfxFvWdwDei+h8TS5iiR1xg9Q+ew HfIaUVRKhQQ0drfCra5G+l1fnJWutJG4uorASEtjpLkbLdu+R1F78Sfri7LcTuHqdHhwIi4b/zl+ NiojcGNLXMIp99tgvYZE01F1EHOSccsZN/W897Lu/OI/SV8AeNeO19oRYyPChXZMAQSxUdrXA/Rb dWKhaxZ+zQdIwNecDYDMWfyKAeaIL1vPnPiFYLLOs9PEyxm7DWlUkU2KTigHf1yqKM0Ew9RR6ARy lBeiINyGBGzZHLUKFOxUFnhW0clbssh30UeozVOeBljGY2V008ki92vg/JbbGWS42g3k26lvU9ty jZoYf05Pyfdc/E363mJW1dwhUgzsZugVjUfA33SE+Doq5CmozrsucUdhBlcsV6J38n7Mmbmc5Lb7 NC/v7nNCJUihwSPsji+i4HrwSLh8Fr4QA0rIV7xwsfV9a9an8Z32Oo2xATybmr65j2ni4DYKTcWH 1lIZEY1KYwQFg/K9FSPOdmqetmNVz2BV7uBT78zAWmlF+yGIg4IqO3+makhz4jrlN35Ga9S9SnWb YSes6Hl7B2Rx43wPbUdFCTVAijAwghnmhkDibig3XggMeb5tXpxpxFJsexbIUY/QAEBC3r461U1k LtE/5fc9hGt4cQ9xihrYzR4LO6xP3eSsH1jQsZCtLRmcxMcR7iH9wK7uChIKVwSRM9243gPSV5g8 WmLt5vmTt+bfwqNT9h17oy9HZ+R3sAHw0AZX5nfyZUF1435aFasgjjkMk/Imeort0enWLIw04gTA zlOlRpdhWgfNnDI8ibL/zoMOtjGz0d9GA7wsl/YORnkKGq9qTzyg+lXFSGuvyJRwgfQAZSSbTp+A ObMfd1y2+XpNqo/QTNmK2EL7lLikdICoDMrcM9nArElRuJZWF4803ONSvSZx8ucJklfOEUDNsok6 6bEzg7ZH2VCKsrJjHyDyRw/sQu7oE22GTi77O83wJlaEMCCPp6jPcVFH41i4Ed8APQ0JK53vlU43 YJnVyfT3nQ5OsYmA75QU9JA9rDSdCr96sX0/YJgX6rwvIqtOVX480Ji7zx3kFNZ5o+/qmfCAPrun dQm9hE/8EV4yuq64DoB/p1x2lII80CQsnYj4jhRpYMRcjkcZHTlVe8Ylil2bHaPcQ4r3OTfkqMin cjWkl1z3kK4JQcsUEl5WRl9bkOyUJ9mQ3qM9AevNxN9GpRB6LbtpcPzOx8pyIRnNYLFA5qAa26kP SGaQn9l/X3taXLck09DCBdZ+ic7MysZ2DogjDaQeH0tyEO2ucLJBi4ht+n5kf11tOHY6Uo+esesV rHt6+TzcQUm54FkjU8kqZo+omZaVaSWg+w5eYh1CmGR1GnV4IgtdD7Gv5mBIRcnRSGWxXzK7gz+U 7maItzGtmjQVSh9RKppKKlBdbhD2hWV9NmACatO/RJGGSpuhfAPBigaaUmd4jzkBNscbsS44nMGw ACd/DCWQWbrNX2t2XD0aRiymXGFeqkC/QXx2kE8vreCBntqpWrimNeAYjqwqpLn+8uoBmk3jyic0 j+903zNdhSQgjbtNuRfwxm1/YE8e8b9Aq72Ce4jNVopdPtvyIF+3pPsN8IPWvlZsO/4rtv9Dy9Qe 19BK8FZRXX5yJDaKydXmtyQ7afdOpGhOBvSSpkmr1aYDxaz+hAd8IflGHqtYs3wURsSSB7rDJ50r ry36+ovj1bEDOhSNyQrm9exJBj1y0t+fnUbOIJ4HJyIhhtKe1PVtqzZyjUNAdP1ck8ibwtIrNmvj ShXkC9gYJB7WRWj7HFQWWl7t1Ik0XR68ZX7w73i76DIYNxFvARoOVA+9F7u9Gnx1Ri6LpUz4S2DV C2ZKuwkN0+7pSYI4ZP4i10PReUGyNhM+G2ewZMR6diNzgMaKSIlcvBOXSHLZr6JhZv0yf2dbNjOq ecaUaBujqsfFx+yAEVjr10gxqbjEPl5gLXkdEWJcFKz3ZQ9G2p6g/Yrh+P4+OU5mgviOM9DdKT/g W02PTmeoCo3meqzCnarMfNkAK2QEYXNSUOD9xgn1xoJppZ6g96QBPIG/0LWxf2/KCJVZZvopl1WR 3FdOYT7dnoOn9FJfNGxJnYyZ9qMqpLXCCZkVohi3EBIpnYI/i93F/kZSE8FLuAe9mWH+UefrbZsc DJcDTxPvDR2QSxDBWVUWefIeoqAT1l+yrM2XjqHXFJsH4JLz8rgjPb8DSwVGQghHLzXKkh+glFf+ eHiqQJ60jC3gywydnSg4odj7BI43EIbs4SaeuAeg7hxSBEI6beLgf1Kwgu1un5Vy+B1xRiwIMQFG 2/5NuLMDLb93kbQBDwvWk0Li64uy9qduWeEcgTMTLhRUJCtIg/t6VSszqOuQg2gjuiDeoIl1qXuo SvX7zRYfbCXppoPk1GVaXU1mnrXEBpLVhiCcJE8ysO3JW14FpZzF6b928nQoigUjevn49Bl09j1j g3dgTp+OnBUQmzsZPgE0nY+MD+Sf/PqVisXj4/yprmSd99NzFNxzS8Nk5Gmo1Y0sy01NC+RiHv87 QLV80OTB9NVtgaUzxVMh4b5I5tS5ypO3iwkZMTpkoFm7GYgnSU7qD5Dylf8fsoBw/RwozncH9048 5vfnJaRfYXbram0NsiPycFptRf/x8/rgDLtz7IzTHKZGW6IGGpSFt1bcN2nUm0nEME344HpaCGtH 6+BgDSXdWwZlGLz1nqryyQuoKUfA8lhvkI7esZzzLFQVv9jgSMC2BHqsVws6+LWfSBVtIK+Tigm6 AVaFOYrjx/G8eGxMMoOnt5tY28bBvipBJ2rlOAslklrG5gAKq365MNBlJYjzoYXJy9gt8mh0CqYl +ulEmaWIqQL9bWoGqMhEWTrzBv3EoKrs1CTGGkLo5xzsSFAA6RqOJFPQwPz44tpx2zhHziTBCdlL ZBa9u3OUAv5dtfXs5nVrIr8mfQYfMTK6A8dL0yj2ePo5QJe5OmWIIBJJHijXoJOMAmHq6C7lSEMV xKLdM6Pzp4LUiASP0SQHXmrEEc1pf7jB5M7lC/gScYxk3vC8fuGHiWwts+QNwbTd7BOQVqWmrV/b 31zqwDBQeLYAi4koTb4JDPdiOs7hZiCVYnf5bx2p9Xm5Rh+wMnHJJ4akhCebPlK+pEFjzOcg5QJr 4lAtGG4dq3iDHs+dEKBz44oeTqdpFg6LHA4MSvzv+3im3AXancqputz7yue1+ijNhtE9v5iqk9I5 wSjHioLiTrnVD+9V3wHfFfaaPAuJ6LYGjDlhIZiUdCOdIJs2skh1MOhTQBvIChmgifN/LDxVMwmB PDJ8yi2qmAUxqweaf05esfoYNOzQY7d7s28KBX9KCmYzgQ7a+82v/qjKzM4t7ijiFdHz01zIYY/8 U4jQgaQSKKGnxwU+1DGTeNSJ5LZYz6rMT06/2Eaa+dOCCFl8/4DXYiLLYJkQv8+MxQPC1SMzG0i6 daoxm1QLEVGPFHTr2O0A1q9NJhm3Ytpzaq6QXKCJLLluW7jYHJlrchENOtKOAMOoVcokxg7YwUnr 42kuYQnTmdSL0xa6SKC/oYj56vnSys5WEQen1c4xZR6Z3+Tkc3JChH+vKMHPr3vYYRKgersAcGhM hCpCP9sCEK5BR/8y7upoHLbeVCuTxi/o9l8KsdIjVbh354OtgaNah4XfChYnNA3IM2nlyx41jVfT LyVwHQ8sKQHiCKXTjCGy8V3NmkP9pB17Ul08Tu/Al1lxvjc7FYJHHqdIMeNVu7xsGa0xjH8rcYnM zFJXtEwHIuvYAm7Do+rsPnDW9pJu9GxNMH/t8Ni95USubSLyFG3d2qpa6ottHagvDpHzqydNRI+Z od9EE9LFfMQGBdNUKNBaC+yjj8I9yfvNG0+7ZfkVwFnFNr1SNvBJgXocOh8D7yLO0cQ6l8KeKeCN URjvWWsMvhywmtfo9b0aRbaUOEKx/iNv/Xv/S+T/XpMXVMgYldZk9Sg25zoyHccLnfWPSCOu7XQL JPAkKxtXxCkLG9W5bnMfHRpMaphb9IZzPM4dO2i1nzlsof+I/IBa3QhJPTJ1zy5UoNgNqQz0+q49 Q5rSbxi7GtdrODOd0ErL2Ahj0nKPyvUynhqCId7i+GnuaVELhkGQm2526na6PMbgcmYR9iGVEmCK KCFfRUJ9fm3ay6vsT5KJF3iAc5L9zOktOMBXG0CIBkjKmWOFeX0V0x/V38lfT5Vee5p52PeQMFxe zkb/BS7T7zLaEBpF5BraVk6RrGnrtnU6bQ6dMK9m3xo3drYtx+/H8gG7jqBm03xBu9Z02JLHj8Js G1iRAoEPpZmK1XhvwWb+ofTordLKRNWPZar1tbgpWT3mVPjVUGTcSUi2vgXXpKHd/R8+1zYcR4SF gcL3J0M2VKmVvLTzzgUKFKS9TIvDCqnGFz27UtwGFCqsYGCyv2YXjPQeAgv70LhF14kXxQBZl+qu WXzXCQYYYlLVkaaTjyCBysOTgiYUcdZK1WQZE8IujkymHx78Rk68c9Yf929jasrZaq1ZAWQnalmP tB4Mkp8WTD0XYLhlxjpu+FtPpMpKOaKL7QgCULK6szskTFCbA2GOwiALQBVCqK5TR2f23Wjs39xc h142lkNwVdpx5WEZHtOAw2f6CGfGwGTdRiO9/EsEWRsNJyg8tD6exsQA2i3Rx662SDU4qU2xzpUn 6Zgu0Vnaa2ptoj9IrMKSdVL4ieRq6S+OxFnhIsyp26MSxSPP9vJ7CwcZ46vAhADondvPq6SWj/2e 9VIXC6BWBqyVlEenMXIMSQIlYzuxx04Yov29pcS7B7hFX2ziFw3j4hK6RpEyTpuEpoFn+lI46s4m NtidenTwWCymxCbnFOgUh4zgtQuWUKwV1PFkUzAyM6D3PhoY5/YEpB6WFS78pNlezDRr/RozJpmL bXIcA4GwMcrYRFF+h6Q5BmhdrQGK/QwBX9O2GyMr4w2xKZw1RmkCG+/cktXe0Vensn/of0BbPb19 Om1RhBBjqoOBuSl0fxcIkVQ5YZ7AZTQC8VIEl9ehL7cyPEyTnIRMN52lPo4Dj3uLtFDqKOUvte74 kOCocgzsIxCNlkAknbhHFrI16SNntlzNykHczPnNuIqxd/iCblrfe5lwMJh2eIk8WUcj0YWyTLj9 eJ8AY7AXO5Y5OGESkVgR3mr60ooxdvGCEIoyFh7mvEkH0GrUp0z8erCbOel7jMomu17GtvYmv7rR DPo0xR9Rgim+JDI08H36vlco0KZ77iHWzcUg5sCTz73tSM3G/DeRGw3B8SS4Rnhge9yg2TrgIWDw J3/SGMcniyYthqEDLE0sIkaHp23edzu5w+Cw43i2CzNQEWXgDP5pWqO9Aogqlxgn5B3ara+RfCdE oaRwNM9s43h9aQ8d1K6Tuz9s4ZJZK3RbAAtpOOvMNUJxcqYgFVpmXuU2638EhMpKJy2QrblPQW+T XeOXKVdhh9n0W30+rZjNn8RJuJjfmBl9INbKuJ47p6JN05gsLG+SQrdhezL9c5Ue8x6yIxThhegt BzFsYuU3Sx68Ms/pIpT9FfqPZcMCc3JXOtpb6gIKs9IwKnxRGSpdqgcb521HdEmH9a6n7aL7wYgx 57PuaqFdkXUZMIh5vifSFLAcXQeteBIMG8g+ZXdzAfpy579VoNGpetQ+NS2BzDCOieRhoBYMWLuw Uyabk2kHtPCEN513kl78akd5F4egkLy4CIFtXJev1wNkirOUhlfTbQubhFS6vo5pX1GiHbIaJnX7 k8NAoEwSBwgjTGwjZr25hyw6KgZ/uHqj64KKKxemye+L5aVeUXPg95YlxT+7can2k9JTFkUVAeaQ m+NDYT3cAjOifrxBtShQsuUEqTuwDxt3HM+Cq+3Pju3p6zaOklTzlLqoZJlco373ZAE2i1cauQ55 aYXPdc+Iv+MmocyrdKOrYnOvK4WNeKcjfVwDLDUUkeH9Z9YNBdsKySGv8jR/1nEmJYjdmgovqlwT yYon5KaGn/nzEDfdVwpJFXTjD3kX24SCMILWtGi278equdAXks4eTP6hErLdQCNkKdECnbrPxvRs Sn8ZMINdPwmb5z+AeU1jdkTnYjiskvVu7Ce/WwDcaPHvZua81RdRC3B4P+PXbb43vBMuQOdPtKjp LlaUQPGSlHnkq8KUVbl4pRjuKAvN4Vc9fLNfXMWwLgNptWxCTcq3g63kLMXHOp/3qEPPz4ud+clF 3+/6ZhZUj/s+zg0CEfDnTJeEPBVOMWZk2oNlOrSP7jARiFmNVBezyMq76axwyiFLaJHUxyjKT6zX W+DsNYVyRGbJrCC7b2snII8PvUllvbQDTLGt7jHRc5dIPUrRe/o8luf9mDk7pavurNKNVb25/jV/ 0GF4tJImPual0ASxAGJD2dESPdvS4suLhueR6lknRlzOT5IketpInPV6uA+XOn9I4WGVUoEKWQVL pWgiIznpb+REQY2LSVgTb1LC8sUFiw4DjnxDQCaaJI3Y5dE5f581hhu5RU3fdWCkmzFuPOQXah2X +0SnPJkwnEKspg2zu1fCP7EPQ9kj1fJP6/JBHg9XUHO4erNIvIrpZdrMkyg48d+c1RPHvUEBeFny GCBRHeIx05Ay6C8exY0H5jWnVJ1Nsdt5aDOUWi0Hrx2expNHAMkidR8MXw2yxYoQGjVbD5hF+tuN QqV+Z7DUFrZEIycK7wPPoNszOtAOB1KiL22up5jCwgBPLbht1UVMreR7zRyu5N4Odf5mzeWHNx05 UWX7IK53ER/0xkUVwpZnQpSVdmcNQiAm+hFZcQdXnUb07X/pnMok9k4LrQ24ucw+Jhps9maHEDzW FDxRROreHtFzRTI2SkZwYdNsC2wJ1PVkzOIi4Spl/tLgPqlEnGGADlHawDUFVrdmBVZSCejpQr76 FWr/YFOvAPFL3zQUHWW2JvQgUU9mFz2dlOX8fyZ+eRl5Y5ZsNTuayzu6h+m+5lHzIVDTMx7+cjg5 tZPtgXwnFi8iBJq2mkaucepBiFlvz2gm5nDRnRAju5TTd+558s2oXmWy7onXC6WunX5oualtut2S SyzElmEB1L6Pz+IqQmcBjQRUYB98LcveLFrgwSfcv8kYxvJ5BnWSUYt+kdIxbrG4Leqi6USZZitE tHB2yOT94floW0cGXCsRSZvMzlL7gT2wFnmg7EhUfADkstW4DWWRNbhJ9g+sVNcuWBM7VL+AfJTq 60AL9A7D3oYtsng7PFAO8D1RPFN8klpSs43MNxD9UeljA/goShEG55Z7GYVo1Y3ofeSndcQ7MqI8 VA4O1j6/bVhVcRJSpFsMsx0/uwNvXxcpyv5MB7NMjXRFKdkQRR+sC+UifBR4BX6RCkHhT+UxOhv6 NXo1cyJ3aja6e/IC66+1uwJIffjdZ2NTHIaP0XdsMMu6EC6+N/iNA8BcWunE/hx6VAc7MBl0DfBl FbiGx6dYYSCiPAknHBItYxGODs+NgGYReojEKlG5ppt+dwIBEwRxRJraxJKbNqPMa7Kl/Q+ATC0e wUF39aHv0QcWaJHn6hdfUhXAuudCxdgVNXPP/rZ/SpQC3V8hqw/M7pNeXGYyB1zPw2kEycpuMOvM SWKGgKV4GZNsf3f+Yo+FzHR1WJZXlyT7dCujJyXWpP4HTbvAMl7eFzu96xd/gZ0ax7IkRuYNVjwX hyLoLfg2FfbwJOUeGOB4TczgHmCd2ocpClW5bi78TJvZuegjYtRnLcKyn+tO44gNRjoUvPGdS1lK kAdG5N3XNZcN+9JdiS5nPycit8hcDTwB4WY9mgs7MYsJLfk5YQ/xlQwD3xIYVRxTZJSI5p1fOM9c 9mcyyxYhJvf9aq5Y0aaNAwpiwlP2obtshhYBmpkvPAfJx0/xxWLhTfwBZFV74gq46NY3cEca2nwW g67MGOY0wG+fY8nYO12/eLeOkoKwdp8VFFp/uZKkgAe7WDvMvRo4sz6HyVsH3JhxuKjhYt/2rxoS 7nS7lWP/DOZq0wd+HMdZwUE2emGEt+vvKum9sk95NhWwbK9heABAcXh/VpAUCm5DCyWILjJ23fJJ 1vqmHTFeHXib0uaJFN9I1TkrQ0D/LdvjWiGXqh9r3qre/vaiIkyOjsBuqtPWje1KuB0zkmqDTX8z +OOabDuZ+RCAiDxmuKFDwyZCmF6XYxU8PWRbtFSJMG9fk+wsJUPF0L2981PRVJzHOuIQY7IfgjAj ABIwCFngp9fA1rrmjkUjI67F/ZCL19+FOYXAXZ8eGuo5Z7KQWb0zFYcHWZDKr1UOofpqGLiGk3KA tMKoIM09KVLHkb7ZkDdzjMh4Vm7cI4iYZvWRSJQYuqHpuZI/gfk0DhchjuwczPmQ7HAU2KDIB6BZ FXkhLNk967ThKVm/cRLwbihZV51U8HTiAJ795vmfQEk+9WdOPPhjKlB0JlfTYGecWO5k0+BsEfEY YkfAOa0nbqgf3lSS4yzqwBIc5QNSu4NgOoNt+AEQByqnll0X098MkfbhStamLnswoI2p6BsLF+Dp ZVr2JdMPlo52/68FqsjAYfqFuJeB+GUJ/fMcQkIPfWYB5xNY7GG+RPIlQYZz/EXWB+Ssx9CUP2PY onX18cidq+hubQsN99G8y0GAUPuWbtOCZVM77HG8awzxWHeGeVsrkMDJOLy9gMtBE8jhOg8o3DlY xmTC9e1PaqVWwPZxm3fSC4+VXDjPAw6TCLLa/96LQWailZfisWXWBMCHdYZxHlqc1rVOb7TnCwVB BVVsqhxIcAU/HxeR4ISyAEeCk0wbBSVqbwUGjDZSN9Dfq/6pweK/pm5/cvwDCKi7FeKDvOEJ1Uhg EMleCyflh67ryTIYOnj5JMf/25SeOzv3WjHTF01faeqc7M8KVFf6qktn26GUyNKG7QX0KbTSVOai Z0x253V1Eb3S9iToyD+uNNxsscaWTwzVRo1Ee43oetcErFEtozqBCjZ74EyE70wrssCSDDyI0wKp g3nodVWHe2GDiEkfiyjxzuqQYnqwI6C0m53VO5olWLwm7YObUUhlekMXQxEBKf4BVUYfQvhf8HlP ErbE8QxeBt9xioO+kzptRF+kjOZp88kTJow7ZI/fjrZjKTutQ+1KcbWD3rGJ2N9vYUI38+fyBS7Y lBrOlmx0Qe1ohocgtMiVdsu0GIILVa5T17j+IA/okVetLxF162JjQHx9kcG6yGhR/EH4zzhm8Qi7 2M76At58v7W1cclIic5hJqOkiZO9bQFqfTQTKQDHdpil6KrrWMVqJYTiaFMluMHky93dhOPNLZh3 V3o+ERB4n6R8AW5iEKtUKl1BJ0wr5tycO2DeNKh6rFA5DYR8escSOqFd+k9KpHgeDkT8gck1wnBp KGXVuEDsvqo9DAR0qZh5fpUWnZMhJTf13N5NNrzGFQvYr+HWv7bUi2A2BLCqC6PAUUmjOB2nyoOA lFPz5UV6US0Ou02OBDlQ+l85u+kSgD2ejlnm54A36V6Hc6sdquXdC/SuHaAVhbPJ+rURhFnEwUhS nQDqqy/zXKdKtRborxztIKb7dKpriIqIkVdQ5Rx0VyU8tqfc/NeMfgIMqnZIcjgNzKhEsSJS8hnc pXKPBjKbXO4uMGPUZks+Ray1d8IHT4VQFinUCpO+NphRl84zTPOm9WEET8WgOM5kIKa0JKpjYYhE 35Kdtc0tOnGjF6QIEle9X8wioSb7u/Wbsygl8mVTqHC4YMKn5xpNSx538XqPNCnSgicc/SJST6v5 jOXoHcKh4TNJ8wWlVZuDXQvUfYfzv7MC+ukyRSYjqna9z/8iJAzv6M+dxcp7jFj1FmY5isUZlbi+ piQN586zD65heISUYB8zgAfacpySveMPYz9/JbAhD88fgQ25/qUo/asi0Ljy4NXKgpUlpQJZIkTD hsmvTx3GZOgnmACP8Pt8uCCATQgsKATCBb0imjDh1/x5uBEuzHrdsJhj9UsrhNAiNByfVh8eVTBc YSD1qsSjYvNAZ9fG4iwmljZ4wTxR719DaAV/FABzUnXcwTLxYrqFc2JykP5u+h6dulnxy/TrEWke IJGsrWpnZvohplB2tpigfkiUpm3hJKDqywMg2PY92KBJDkw5dG7WfpuKSilCTBtMt+Tu3a9BfY5E /nvDaQwvVX0wE7kmeMA0fls922liy6NxLomguWFtKa4+FHarulQ+gz5b6cnE3jB6L+KgkCNt4Tw/ aUsnY5lhIprrtOLvr3xRJi2BrzLDsj9u+RdqKUZ3YSUWgw+3ofSmkSRII5bp46ln/OyoxpqBU1WX 4Q0mWMnD9dAOKlDJWqDCtfSBIjJaoMB6oUZRW7clTUGxUFUC7i9RAlrXbOc71s6XdoiCmHSZqD39 iormzhOaXRCtHyMeOBT6/L152MHPoyGG07OZhLuZlWVv2ZcoVbgweEd0fGCb1+OmZ7FItY8UdO/C 76uxqoJsB2eGgwGufZHfzfXAN7WCljM2oBKjlMDnRnhRfwsdHkgFQVN5Iao7O1CmS9vaYjoL7iA2 GyOouFqgn+4iXdxwdfscKLQKw1GSGJYhAUC8zqSWQtVUN0MW/mOJJJV95r+P3DKdfFLcp4awy1de uYvlfq7zZB6K9lRp5wggamokCYoqf83WhlPhyV1TduT7Rw/wSJ3nSdvma3dUVbtFzOuUQCnemReX I1IfUs6QZlEkmvtA0vpxryOOnRgaO5TNVrU5yWFRn9EoqQ2tz6HCy77dOUhu8tEFdmYmLuN26Iie kckE1Bvei99GbQx8Q5KwHOmEZWMQq15Zxh9cBowrh6tbvAOp3BcvF9h6xSsd54EkBzAkTRxHf481 YLlFbvGeMeXyvVvEoiscKxe70gtcmHTWnhN/loXy/HwsLukFyFh0BiuTw58fGTdMSRg+SXle4YD2 8sh641EIqpr0ylh2DmjhbZGVGy7pfWLLCXmo6XMqGsWvg9btQro9oLJxidexwxHPHhF+60VsOOg3 xj5a1oq4PPtjzwJ8N55Fwax9I6xm4/hVa36oUs7e3eY+sc3uEV1IUBo/3tLda30mmD3yolbHb2Ci GJdPEanehC8ZkziEF0VusnlwhAYko9neiaMkPA3a8M86o5VMcqOyrcb3lNhiTkAPciHz7M0fRVO1 WnxyM7fiWcdt01Fl8l4GhripyRdn/MCGswjF4p6w5N2hUvhKrw0eNjxf0xX8TsKGrbMrhf+cVxa1 LU6hmLHfVfRBmtuIlegTL/ewhQ7enHaqWFF9JU2hRLLK+t09OA9LJZ5ZC8LRb3tiaZVcJfu/p8ld shY+S39xGX/OTKQcwByrG3NgfHnxb2YkS5DtsQk8jB8YGGeTDdqHfkJOCmLUDE3y44wrkJjNtNec CBESqJFAQyn7VsbDbPjTnnV2cOlK/Ko3iSsohVDmf067geJ6fiDlCo3+8/Ad0S/0w3mlQzxnvHvT IqizfETUfHJ8/3DzijliJZiZBjpYErgH9dwm3s/xUMa1Ao5Oysyly0IRqbpYLVWgappkgJlh/+gn yTmpFplZytCmyCla0M0ZgzXU5Y+CBeTyqoRm6vUMMAFGMkeT1ZFRizL5LliI7mHPFFMwGkrBi0I9 efpePFTCTDcp12LtXwWh0phRHNrb4Ipf1gPeCNWl1vkScUGA1yNh2tmI0oxaYXaGt5HdFMAVRWhp /0NVzh3OuQnqfKbhJfL8WNWGblJe9aBUbaalv+2kF8pSsxvcN+c90re8XoVhfEPprzoOSwt9acaT XQJPnef3kHKlQ+fVQbwwn1T9y7Aw1zku8s3vx9ttYK0haS902J42m+zLstA3iHiHJwalgKvKY0oW I8xh3gcZK9nPjKIDE9wNURxWIhBLdnbCitDAyRS4N22aph/VtXzcBq9j4llC/6b/PDg9AVzt8dsV j4vay5iRmIjF/M1x2tvHqsuURKUCbYYS+UqSnZXJbJOZqK0ow/MzbufDstwhGVL1hbZoiq0SnUIl 7FecJ/7Hg22sqxX/fHmaUTZH8hyH04tJ6VV53FoF29/0PWmFFH+TaxdFoh1y/ekdmNfo2oMyLign z6v9ymOQiNXC2/CbtO8lteU1tPKm3Fh1LCPSW48yYwdo7MS6HVLIvNlbPxLPNj8L4mx+sde2imza VCcqeD/JEQdcBLyy1N1v5qzOMLPd9nQrCn/aqoF/41qiK4+VbsP0mHDFqHuf/u+nrllcGqlBr3Gh M6Slc/hObrn6htmUy3XR6ip1Vdpw8eaG03FwIuOsPqvP/4f9dIKJWWrHis95GaWBq5PWpEIEPwqZ lbfT/Mp+Jl6gvsu2cvk4d4wFqOuegO1IvWtm+FB/zyQAUbw55a8Ro6kCTazx6MIvB6puVlzlDMmU MH++l/ZuZfodhW3bwgcS8o2vCxYP2ET2D6kKJjt9MZxDJZt4mPDMczsYV6W+f41wESJbyrveJY/0 CSLlt6Ei0OrxQgDjYQfLXSWbRD/JwGhBeZQ6cMEhXRH7kWNejFzMJyPL3v5EMTCmn5MWqi3tMP1+ 9DDIcwBvtMwiNiavq0ikYxosZjatyhEcIoP1QF2Haggka5yKVg56kUFJsK9WI2MqLzsEU9IqHg9Z JgnJNbPT2UPuMDIbyGYPQ1stqP5H7xSyTkYBPfui3qYc2XGyVGpiG6NHyRxr5dCn0cM8S9UHeq5U dTNdcI1InSG9P9TgsTLS97UUsyFEV+zo8/DAhnZLOonb8L5zrzxdCt5KT6Bnxe0TVS6NFSEPxtoN DmuYxC/GiFSBhkwBXftdpZ+2AwfGqBxSYCr3Le9gCVk4QVCbLnhkxSWByxB3wIl2ZSIC/U1W2roh qSnsr0Qz4HpQ/yb/2qvsU+tfX/o86dfH8N5sbiXyFBpWtJtuYAScYwSns8iGoOglYAOi9gMpMpW7 TFAhLawU5q0Hv529fnEZPW4NLimkNMVTbKozPTz50AOSASEdPWR8PsY3inLPaa1AeDikX+rvOi+L 7zUQVtCUmrCtAAh0kwrU8E2LqjcsMzNX9x5YCybRWq/j/qzCoXoSX0CAWSo9iK4XuyNNqYL4g2/C FbPufYBGkhCzQuBLDv1mt/Mkx8cQmQakinGm9zdIt9/F/ijDs/M0EGXjaf+nbAZjj6I+gv3s2YdU 9WZFETlML1L4lmwOl2JsJQRKMS5Pwj6p90m5rh7G9A7rtt+4Z9Pcn+O+WpH0MZI55+3dGc6EUiMe dbDLW+H6CqLtIOZMZ3VLXU7ky8Z0ohjLNje/0yNfQcCvNzYXcy0dr5XAAKGQXRPC+2kAy86oJx2D pFoB9P8SaZjcbqpltXI99DMVFF1inzY6z5yBeOKB7yYl0Oo5PmqN8rtjA+zhe3XNHNgGx2vaobNZ p6L0RBv+R5gXv9Iep3H5ZO7R/sUiiu8QXoVUDz9X46oQwzxW+dHfGY1wDIFCOOCglMBIHAQB6mks QxpAfTU0qg9GdAfB3G8CFHVwZko5j3cKBIp9CtUCRPn1AObO8S6mbjhzFJQPKz/PgUppZ6ZZVLrO b3BSP/J53NOJLQAiMPz2JPWj7eGnPBKR/1h0QbGqldzEdPfSmJ3lD56TJBvSUtTAqVdDCe8i4lCu XdlUfmMUsMEXXDn5IxfqHISxI7kQvToqs1Pj1tOOtlIrPuWmxqU8GbH3+jhumdna0UoYbb+adWM1 JWyLaMCk0fB0LaavysZdXwSK00DKnwK1K5E+tRK/N/4W9YYtTu1dfpyDPYmOA4k1E/Pj6Dc8iBKC 2e0v7NPF5tJuBY7qNToUNQX6xa5Ud/UQjr+I10OxhS45JYG2pT+pmuvbHIG84wMciman12YU+Tur bOQbwJMkm1FtW9K8eaeLjV2OJsvIDudAykEnCEJKguaSdEe/52nLyks5AYj+jIm8Apj7rRV/Cala 7JUQobwaplJpytx4EiP8suyyy6Uz/FeW4eWaFdmdg3Z/Idj7NS8+4UEsEzFcsx16moN4EngLxjeF bpyK8GGtA4Sn5cJafZeJOwu1IBYmvmrGhYJ3Jy0/kkKsNd8S0u+QJhaotzlkhDQ7Veqnt2uqdz01 C3k5k6XzfWBi4jTn8JpICbOIUJHthMCroXCje0H0yME87V8GEqEuqVztfs2jpad2pbrKRmm5Xopv Hhqw1Pkchn690N0wpD91jHTygqpKzC7/PhiGyfMcRJEv9uKYtHwiv/poqrVqLplodD8DHiYQGM2M oVIV4JExr+qFiXnklTmVy+6ZLaH5+t9ZOR1YizdrrFRQMc/2MuPxEn/ImYZkZ/VuEjaCKYzEyVki KZj5TXTvvhzbAnDM2LjtB4we0lJhlDVTWhf4thGk8fHBRCVZf9GpG0qJDPKmcnY+PAJPlyjjwSnd QF69g0swro80ehr7nAlva8oIyJWFgYYdocLPH+shuMVQ4GcxM3DnDA6Xkq/7P3GH9tSyhbsIBjo1 lCshb2cyUxEchhQIAeZ+hnzM0Knu49YCnLWJi6YlN6boRjBoTHf6FBT/enspW2v5tBG4bImmuPan imTkGa9AElPNt1EcMgP9Tnv8TEMaevBpg+6NffgE8qjr+i7C8rU+z3b0YArRD3x+DMm9MSrzmN3C Gi5Rnh0xC7lqt60j4smMUwLNNMrvyDtMcgcmC05OKk5CcCYXINjdWJiVk7vYsx0AGqwcbf3IaWn4 5IFjZK2JSr7t168a92KEVIXAK58tsGPuXwMlMrnM25Ho+7sREfMOEB0DG8eXRv2EHZOVTQm/Whuq ZKi4zBRRvnHRu8Z+NuQ4WBC5tuwyaxsOCxgA0U0BOhAV0Id04aekEIrgTpQdyUu1R4M4/jXGsEn/ Q5hkXl1XInJDbcveivg/gvqQoBnGx/pTa0yGHHIqM/d3ekxi/8LC7R+lBWGNu9IMLhV68YnZdYz6 QcWk13iPNFkeoc//Sk6J0YAw0rYvR5kJb7KdhvF2vi0seh1rmZR9/QzAeuXHdnABoq8Qy/NJffL3 55myaSPCm3Zb3eXXTGzlk24C1xBkOj+/o4PqNjHiH0X97ZeRrmmFobWUMT9BCprQ4S5pZB+RmDwZ fQQQ02u2UvwHOr8v1sA8Cr5IAfHVgcQnA4eSClGthf8HgoH388ts3SVewDlDcHlu/8MsDjdTLbjF HSXHaCL8Rjs5aQWigO3DFRB16SFRNxflxLnvoFkKmGP7E+oPWpd99+xuRkiu1yWnUrPUIGx9/kUW zfLlc43BjqIH7z02d0UlRmAI6u9pgwHNdl8bXtXJqpDF8cyOElXA7EDtg7HrTlNrbYD7P6id4WMy j60Sn114mZCLjYD5RhSW1L1vYQ4vC9rYG2xaEv6+SKam06Z7xxDDM2Q6GCJQUJsMZlAHYpnVuR68 n4SKSw1BxvRJbvDh9AdzK/Qk1juexGgLkrTkfH/lCyp64PJmcYYLPfi7oPYTqmejMsDUjsckaezq ntw8NAQxjrSAZ9/vrL6noLTci71Ot6OLmIKiAqg7reUuRU0Kg/qzjGVmQ/I3w4JpbnGqX8aRiZyS DN0l8M3nT9uxToPoIQ82e55FF+pEYT0FgtvXfZIxi6g/lD/YxD3E5g9Kgvad9Xlp73F0KZgt1N8Z 5AS5ICfOZrm1XuV42ifT6/SXSS/hT+3xofI8Kxtr4S4H+GabjV1aoCwZZSQqGc0LQwT1ci5lxPgU bIYj0mzBAbOntF7Dp2+v/PlwO7jMLCp4uPIKJ4E4Vl735XgmszRB2h4oPxKSz2Z/J58h6gGE9dhs A9Bg6K/oHdXsaZREBr2ysXbg3ruRRjfAPwXNtxb4yO0kbQAcTZqVNQPmGui90t1zsO0jDdLlXPNo 0T4oHZf50blX8fBz2ESxJ3FRJOvOy9hto4fBBo86u3LvQCbijaydcLp0hNx71kIK+oG791bJ3Hr/ gjzqh7A84zJ1+mRZcrtS35ZazI8xqKmA93QQ3JjNHRZA1uvOw2jbWOS+eHlQomDb8+OsbUWUpUO5 BfQvVxdR4ekwyN4rzV+9uUvxWF3QFljpUxYzbbQXGWlxgHBwzDR0WUxys+jUTPGLVyJMHrFulr+B tQ0vgTNamqSK3avGvhmRwKSliwRir5+0OHasoCuDRSeGUEO+SFIbShyTjydiFgfJvlMVlFF9r0ZV 0wxKxmF6rPH25O4QiNGZXabGh4gAM/icp7Vp8vo2uNt9XERl38cetDWz+yPgdkBkEE9x5kfop/qJ LCCaQmJYNV5TieH64RIgqGgT36yKN3aY1ZijjidBSLP/vx4glcXVql5UesiOOD9eY9Albm3B7uyX lmiQsX4meJsAalWE9DDliZcumLC7DEmZwNwzHgN5HOQjfP12gZLt2aMpyktig1gAs3Yhv4j4lFNR MydQk+b/PU9Xqm1roqqVxl3j8QleCk4YHliIBMdbqFv39GDvaTaT1IctszfSUL2a8v2DAfLjnHds RXr5H4r8N8mLFE+5TWSmXdh8qpWilQG38+hS5B0iBxyJJU2SVH60gov6hd+WKx5ZHxQy7CCM6Z2M DB9siwTJ5vPu0UYT/MH3I1ZdNH+MkyGQnsXrIS+wVM9qps+yGa6nycbr97f4bv6L7HNGDkuz6Wpf AnGJaFnO+2c4AiEH4EBAFjSnEEMaOyA3ki6UAprC9etC8r4tO2VrXpYXYcQWj2/32AnkGR8IxZkw rkumt26Q2EO+KU/GizmXPoOllW/rzt3t6kGQAaBpmcLm52zMfDvYONk+HwipkBZoqSsxjNfKpvz9 T7cvLHJRqcTBdqQYCf8sKAXY/3GbFaF02mPN1mD3UbovJIPFpxF8M5bI4LzOwDbjrxfO76tdPRFR dbwR89mAy3YezGLRN4eA8G8ySsMxMlVNzwnnFrBMgrELOCk6aKunU/v6a8RsCtujyxI5ZdpXWG2f xsRtlDV8BiqpRUwhbAzZr8pvWC3ml6COw1UxaOaHHBH5Qt0EIwq6NUPE1TcSx0mWH4ynN87moSyA NtjSNV3ccs+9cj8/RGa53Pt1THwDRe7TmC4bbxngklAEFuYKqrCIADR9DpkIRo1BTr8IDANcb/Av 2tHmtilwZpeD9AjJro8XCD+EfRBxYJbDwcpgDQzvD0pQb9Fv4Yd2e2jQJWVdJ7QJIpEffO74htZx 81VMrRzJXugGyZF0AyPvl8PjBgjc+6FGst3Ln9ioCiZNcGsZGNS2BXGtRJfqov43prd65B8RsVCJ rmj4tSzGqoqxNXxxsLxqkFE9r1N6xT7Igdciefud+KnWvleba/IvcmvhFYgzdTBN5ig3OfXhEbUP PiBimWdH0XJhQA31hIirKxuxYAYco8UajFJaaPZtyu019Xr84A+FxtZsMVKSbfeXAHJH7pln1H27 7vD4hPdC5IXngYAtWDNA9nxR7JWAatQV8X7cvFMW68YzpciMdZMpQwvP8O4MnfKdYhijkXILYMqt RlsRVxWklSiFuF30mvt1M5VSd5UP4cBogEyU546KwmL0EsPfGVBN5CbuqmyHFtPbSMG3MUYHB1uq 9eqZ4Rl5rDBjD/h5uJ0HwTLU8rgd4sD5sZpjKM1BVwYrLbfSahvpQ8KXsDudILbXyBRDKfjaxqic p5UlHAPBtQNxd2heXfUwTO9NtCn7fBjOqcWVKFMygHeKySm1eawnHhYFgD7cfZWsme9JViuPUXkC GjNBjH8OMVX5BEg/cHiR9cEMDEOkgx8dZ36M7n2mop1J1YMC+TPGB+ASTo7Gt2svlUCDMzpo3FPF 7e6vj6jCGTv80giQJZstpY8dPmHjL8dCT5PStzpwP5Cl6tRP8Fc8NZsOWDiyyJ/vyXKjzQgNgROE yf4r5qyQn+nWK3QJMarogNlO3+K5br2IZNm1FX8/DTfNYRbrUfgBhmbWPINE1efIRSSXIppe/2b6 pxr4hqzj+PYlnxhj7h/uJQ1ztwXWsJPuRQiE/fJWxymxRzraAyrcRKV8UbOQuG9DTNGPBEKcF7/E lEp7AwHc4NPluWEDk9Eundtr6vzvfPntxpZ4VRUdk5qpd5EB34+bCSUSaTC43pQSsVy18xmC4nm+ /Docek7xO0rbCPz9fvn5LEVSEFc7u/EiVOXhQPXH4kCdBMZ1oEPRCOGS/8rE1V+zgBdpkBn7++Bn YmnABidMTrPE0nCbS5hmzOUmbITP3NHDbBtCzVTY99jxcNqw+LEpEj8tDPmcpwP5Lv1jsXabQ/h2 maSS6f445AOAt9HQifQ/Xkc0uMiX8An/TaUpgmmHD06ColBZak7PCYj+jPssRRqpoz+L++5uEJRn 4fvbu1XMNBIn5dxdNrlMHBg65Pq9126gPCZ/pP+M263rsspwZmIg8h65B+8q6v4EjFdjnKt3eSgb 0q2Yol5wdj89jogXrEJwJvUonyz9efO8QnT2NoMfP00r/hbPHAn57gcts0xDUyhmV3dLouNlN+QW nGa+dnJclowMzuEsdY4MYNSYVp0RRBcxv1+BVZe/T6qjkN0+cZtpXxH8poL6VmZSPDadlRvT1RoX Y8mgZnkdxpWqPJoab3KJWlJIXs1EHMk6pdDTNPpz1Q7iScu7f1uz6hufbhvffP1cyq+5dOcRv1l0 3b3sIIgbzZ1kdu+cIjeqhfpmhgeLXi3Y4iGrzZLTt/GyYvYqLFa6CP40j95nGqjAqblZvJIbXX5k MeN3K008x2VAEL3qWK5y2bmJfhx+atYAUjeB3Zb1NXktGGAPSlnoeDSocOGkZO6aS6wpbeY/s/pA /IlnihsYRxgvek5f7BuYfG60yEMPkb226iVyiadDC4mVQLgqmMAytVyTERp0Ecj6sXQvfi73hDcr Dd9YtUBnLn+00gLFNZQ5QhoQ1TZF4hTvNE3IZeTCYsqEsEtoqoj1wpM00GObbtPAS8175lWMXyhw C+PvTiRrcHc/jpOr8njLUx/8jpn0dMWtm5Q/i5LuaPVe2KW3oeWMMCixkTnroBT/ZnbEM5G0ld51 NfEaxfdaL+eGIQ+jPswDZ3MW/q1tKzI3fFvpkhaezwjrILAzNRFD9YyebUlS5/m56mJHzENzkmTa AzY8SvR9Lgw0oQi9qDLJvkBNt2K9/pT+wQsLq30xUfOt7ffG7gx6dl8E2i/x6YANc5+j7iVqzq4G 85cw33D3RDo7f9pWXP1fNwa9JXfYEmaLsSEuE4eKPyspHn//xYxSxryxlrZNyyGWVWkjg66fA3uv Tp/U/7/E8XIbDzPU965M357PDPry3Fbv+A8nFGfitNlppmWTQ1a/YF+5q8/ax6rgs92MUmDstn6N XyylF6MsT7jsfEtumqYO7odVCdWpKFZB1ChalrqwEEbMtYSB/E7zNehO77Aqr1Zq/+gPYlPG8BB/ mLDJD5PCzrpiIAn76UMP4Hd6BH9PE/T62qT+yGyRFE/tvO3P95IaFmVY/KTLggLnRkcPA5eLF5Hw 9MvMCijL51gpgeW4+Xnb1hR7QOQLk0A6EUS3pCXbLi0FlSN2hfgt0vlBQTv66URNVDS0ZuCLFJzo iZRQrMk48IdpfpCu/RNI6NozcliAk8OYazh49cWfVZmg9uL3p1TOLmVOUalSh0daip8sWFb4A66x /NzHH/pHqgoqZExN2+35HAW30TvtT6zKHgWpT6R8SZDA/d4p0DoQJ1oc4+4X+gTM2EUsRVYDHZHx wQsEE1T1wkWnTxIHo33AbD4dvkF6J3CElRsLD77oTvA8OH7pKou8bsJ35aXQniyI9nwQazKnQ3yq 0zgvat//PrzmwpSKkm40Pf9nPjwAJ0XJ3NtPzcyhDiir4F6CBXZAtZWrtyZd2saqfFtezLfa4C5p FQEELI//qbpXdG2ng93ENNb0J8bTMC1PDeT3grgCUrEeYFNuK/jXJ3HlqDB88nb7JfozE0EUtFfb iDoIGOYLOuI3apmSDf1epAPDdkZuGNoYMqVtafoqyXnY9tJSAHsapbW4bi6zoAuwak8NlLAN+ORS SHJ6a9l+qxt+HP0zQ5EYUuZF6+O95m4zbSKKcFPU7X2eLiS8zsGT1yt3z0rLfopYW+kGocHA5tDM 7JcrNgkPy0mQniFA+9AfcNSRDwMbvMrY0EUuVfo2Eg8HE/wXtZt9XjU24q/ROya8qY4t0djKihM8 odm0L8wrP6/4i/04pF5Z/Ra4tYmb76yPK93fOeMNFaNgcT8VY+xGtN4CySUGODZHc9HykgnSy7tm eFdKmLzCpuvUyerAZaovI6rwoLj++N0dgouZZkuU8IrR1b/feYVNQ4ThlzG223fLO54+tSlVApL8 YFA7h+aYyYdkC/LeEmlJ73yTDIf0KJFoJK5VcDfHO3LAE2na1RxkBoppPvZmG0Mce4XVJdxNhtR6 CCWonPWMqMuusTsnBkBgnb4GYh/dx5FMf8VOqErMUicJcksZWPU5SdG3B3YDnLxadgJWAAOJAzDZ BT6o5w6+wxkjAob/IBjR2cr/341xAR59lOoJc/UYOtBfKbCUSf9JOi93+HBchS4grmtNBFE5Snmn BkyYKGTAYggPuxFKvX3Zr3PCHC/tZf8LtMDIIbjXUOLYXxkd+jB+l7rJWCPE4uTYPdkpb/YlQDYZ xrhQTF+A0wF6BTzKpUUQ3j7l4U0C7vr/uKXN3HXZXoFWXOgOB4TjmY8LMRionXGdHMKnsnnVIsE+ wKpEPeFTL9JLkUUK2Kir7/1bZI2wJFIyM8fEFwvYEYVTXdzX+DKzGR8Um6G+MJ5jUeS25UJwLkXW mSIW5thr/EuxrxbnEQrpOjLsBLQuo+vB3SO7Q7b1GOA4MZDFPfkvMIvRO8sJL8M6sZ7Vshyw+43/ 0vR/iUvxD6dtgmRpVf0qNqaadrJYR0mtPiPc9O9jCWSETSb8gIRSKHFzRIWY4hxCek4iJD8/rNfv iIFaiuIa4J6x1L+CSIGoRkkkj8o+bi20b/U5CtacJ3rqqSnf0ugaNmctbrBdXEA+zdgMkiM2Ev9+ Fg77MSL/tx480JmAGiNBX0wwiYbyFnGzuBEL5lb9ECWx98s3mg+mv0UYthQ77miY5bbZHWglUUL7 BJWkwQjFP/ynkM4guEnXDk0Il586m0hHYPTfhuwwUo3qeEPWaxGQFMEIAa4ncOgpZnral6xoQpLe vxUoSb00U/odDCbn+vIffJiCq/IFn8wBA2ZfhQghEi9d6KZiulixNagXQXmnxTh/5DlFMW8wup/Q I/N/xCjreRcalSoNZ6UUXGvPu3meBHkGTEIkwfLu9yJlkk0V+8bTGOYBDDS5jambjRyYfmZnzvvO JAWkWytmoD7xAkrSosvTXA1Ltclt47fDPWPZOJLKODSLCnQpYOEUrTZp2FlG8bVS5VAtTqPc01J2 Tbm8JyPeBrXYoOOMf0BnOA+ShRRH0glyCnrB+rulI4rLFhUSXI2NuLwigE+bUm/BffxlnGGLbkn2 EIistUxa6P9nQ9ghW/n+oRSAdAhk1wzDyYenPQYTQPI0sF9I94yhghB3b9cB2oOBxEn6CxcvlAbK NuIaM29yPuALODKm49tawrwwa0QEFIkj0Cxz7xXqmJXN8O2Bi/F2ZDThT9sQy/u18kYpdQJ+Gi+3 IUuy3uDs3xz+ZbA1c8xwYwtXMmSOkEMp8cS5R21aMGiXdfrD1283WG+IWpM7aG8n3tleI1joDchz sjHxR5fc2LyOQicTuD6UGuSc3/2YNTtpSrB88mQufMSrP2oGOCM4m52HJb4XEMIfjHdCnHR/C0ZZ HAP6dCdKLB37OLloXv0uMMzIrtWrfHIJymk08jt/vClahY96zhlP1piRd0fHp2qK/uEKlrrtJQVG wUj7OQCpBwdC5PwVFTSFQ+cIZIRRQOmeXR8tGeQdgBy1r8xkLPD6oQzHXnNPAct4r4TbwiA/V3sq eHiHXkS9+N1Vh3ZfPwxCAEnA2OMX+sPYtuWsAgceUgQc58pK1rOnLHvOFHqeeCdTTLX+e7FP3nae UYqmeoRyWNSmk+z04L5URyp4a7VCggIff4ozZjD6oc4i6zwFhW4zzxq0cC2bF233JqEVUg24A9nr p0QSio5/DRnWruNVD44sBjCrE7puYfvltcxi54sEaQ5sLSAdFXOqntWzTmvMwRnJQLeEMDn7XFia sEatWX0QxwIp4Yv/wWcMazk0gx6FvwZq1Ilsvj4BOnGXVEA83bJ73czix7mFfS7/desibDv/jQjg WlQDyxblUgTIktprb63pXhhlUXrNdXbMv9+EIXIDHqphpoXzsb4Z9UsaAvgE9LSJ1TiUbbz++wFm pvPZSbiwgTDXH0ITph4Fbp9RESflAAJfoFWLq4eE98gHSjVJB6ryIdZYLFGSACrh2/uppB8DsaUU S6xJiNTN3k14Y4QrJPZFmm3BqqX8iEHS3cWTEYTNCyv4oocBpRX2mYoRW0YS3aMYayJemSuCGVvq 1TI2q1fDcSW2U8kMAh3Z9Q+oOWHn3imA/oaI7kxd8u1bU3/XMiNZBSXvXu3Rxr3u5xEDj/AOycb/ X9oYv92wxMUZQtcFCbghuzmQyhwncwAkPAAKl+CyRduf9xK2aEUJ6v+iJqADlLVFG3nq4xPSyuBa A+EZVU0SHg+lzlJU1ozOVHi4f4EMUlOM9zN1W05IgJGWwuyN8AenuF3pf0Lpkj3LsK7N0JU+YxrD z+EDRk4EAPUSgWBarf5m+erOKHT5/SshZA3TfSx/2HBr3qaL978e1FOVXWowZ6rW5BGVGNL0PqHk 2aSXlaGIBcnJbqPt3XNj0rlkLMLugRHfY6NIUI88BLFg3OEhFxD8mh/0Dad7kwMpoQH2sVRhhJ6w 8ocfBOMhHXkYlejyzET821wzkfN33m0dZzSHj2QAoawt/D1XzJagTJBtmTIvXku45LAqoowwgMTH cBsAyM0lVTpnn32hRc0Z+q+7wlwBAsvQ4WswvOOrpD7oQjTGBeSgjPaRKStW7lV7HUX64yVTzn/C Jh61xOTZnGahUTDKATprlvH0KDZ1povIGQ2PwXUOOep3a0D3czri1cK4OOZOomUAguSYILhvDynf 4kFeIie5qA7PE+fu9EdDvgdqsLoepl8BgdEjWLOhb0uMfZf34zTQ9Q1k9b3U2qcCCik1R92wMMFl fGYBf0FMHgRRAqIOWu8UjeR2hnnhHqN+SyTGtDIX/YPT2iGXRqfSyrX+V6etiFY/c9Bm6x42xRlS lK0F+fH4d/9X9whtLI8fio5bANselzt0yGt9Tj/hwKZ+GUkyLbm2BN8Nlk9FCuJKdH0/c0Ijhm6t av4gRXDqSUmfI6jjDX9tioItHf+wYPagWYvmF9SGKNSU/IvC8R8FHLUusnWG2vQG3UgV8oBMvb+L zGsvhCvGAjgZzpAPn/agtkRdMF/fqlrZVF5BKgf0b5zsQDB7XMZ5k10DzPCpQxITawd18id2D89V pRFzqcpTqHN0kADgATTaIoIJ8AuJ2atezwkfPRiPlo3NeCw2S2awq0vUcOCBvn1kcr74J1jzMPqj eAJLisUxcaMs6xQ4h5eF8LKoN3V/7Q+3YOWb8QSInGU1mULu/rRGQJaj+snQN2TngeWxQbj7xxzx gOhB19porJzleZqX9z24CszsSjauSUC0fUiKAVz/Lcrdt5BAH8ALOvFC2NQqcpI3fxNhkyUN2L66 J3VLTuIUGqwhRJnvnyIYsOrfkTqdcjONDAPTMjtTbZ7pBNK17wWBZ8o5Swd1URjDTgdSqkNv/mX7 BCJUEh47p6jse3t9VyYPdA6bOvVCA/0aGjet6ptQpeitCM4lt/Mf4KOxCyhYoUBtqTG78TjZgkzw xuIJ4YeCS/NsvV40cJkDbkhdLGl8Lp+APdu9s0MqOiF3PTxmRzOGpy9sCcWfxRnBThKx+XSnjD6E wIyuYBIH5zCzdy9bXWqmh6sXvuHvz9G6QAjRjH2uo06IYL15YDt6NXxAFSRNuhJHUdzo1lyDb/gc P2SBQEsXlQKLXRh85APQzCBNoG2vt3KwGVMeH7WusBJJh5OYde0damn5/q2uKXd8WaJyNOleSDUr +zfGGByvXOmlf+Df9SIsDbbEfiwjwy8U8Pa/dIuj975oVYY8thJ7mIVjH0xn2QAvqUE+bF40+Abl DVtKVHglficMU/FvXuAG3yhG44t6Lqsu8X4uaQ7TXF1aKVltFCP92H7llSRmo3WmNqcIC6S8zVH2 qhilYryp9E8ClsXPlXJls+3Wyeac0u77X6is7h1+GXUHGa3J3abfzaEk9pG8b2SAR5FX+avYkQPj s7ez5acUsYCKO7GTR8zSTYF2b9J1JF+uiUH2u4LbNSMQ3jwsBX/5FFrecwNDf9Dd5aPGdokLzwV4 VNHWrvwt+pZeh/mDshLdLWPpuwLurnMCAivkDM2ozL47o8J8+0hPbZi6TVjsshGV37R+QsmpcL6U z+J4yJ9KS4/T+uFwH3pZhyOAkD6ScGSsy32NR9XZozgHyZwv3IQ/j4RRhDuSPyDjqPVSFQTvlT/k iHWDLh6t7/K6zI6iH8vFCWps4egSPNkw6KIKb/uYWrT2NrunDYV1mm5ocuOMjdXwP6EC0uP0rlQ9 KNIao/ZzjKXhK0gecDfXCwI8JQCt2TCSVI+H+wfHrA6GGEmVG9+kekG7GyfKa6R4X4UvBYiB/LZK p35h2hl7PSa/GlVZBU2N06kswoOf8zIJJDMBs0lzPrNf+DoLHdIesfYHfuZF9gNm4sbjc0083Kmo iM8+rwa/cYr0mtpalvehyQ4rUxRHosTvISvMN2ONzIswEWxyrqBMagfrUq9I0GAafpEo9KRbX4Si 6zDtkpLZ/pMTu+/a6/ItswHgR/IaehzN+1v995hdVRFM5JnZzP36+gu36byUJxhTcwuz5W0IVHXt 1PV4M76DDcIgavfMPoVM+LJvfOMWdVevM7k6Toc4Y3K2eoNQf2FQJZimZLhhHUrnV/zJEYEAU6FP h9oDtA/Z7HNnZja8r1ml7HJxhTauCZ5syQi5+wUMifweLryYJK4xEeYX9MTAVnSKAjvGFs/AyCSL /Qb/5f6mTelUHR0zTSV60Om2xED6at8dNP19NcyCCC9To1P7DsIf2AfL30nX67T6jTRc779IQN9O eVS6B2yPR0zXPSF/8idUvcMMOoCNlV0jMpBPI4Cm5KOAOcXgpPvvLvcpgOhjLcLXrl6imNycWMSo iAJ2+BlMU1VEvQk1Pe4gwNpTPR7EyIGl7/brJ59pca09lxZn1vAcy9khtqpn0r9Xi+pbwwCSGclS s7IUMYiYW7o5Ikk/IlGkwMgy8AMcJC8xzn7+GBCzNUq57g55f7H0GdPNZ4K6GRAhcMPqSND0NKQE /i58QQoCAkDn/5fD4u5q3/2nlE3A4r7w7Zv4ddfd3tfpijZ8paN5bsS57XeK6ylQg5lx/eaWvqJS SkLIXGF+eoPd4CkjVO/sr4wXMbBfqV9dGPe7itGsZS4HKB/F1RwYTM0x8EtWxI/abQnzyy/2dZwL syecIxzjve7Lbi3ZmBUMrPfjYdbTNqCWYLAIK7GYe5/vBTch0wm6vtT9wo0HP9Y+f4u2nLoV1Dcg R6meHryT9iNkKtO5hzlQlSDTWFtucAmP2cGn8K+Xg/1RsIG7AZ5jbgHaxzMpMXM7QsJneCVps52A Gyyt5Upgs0jPWTglv9c8+auumptJTcLFEAMpk5eQUW0EFIF3yirNN7x6Ne3H4qTXUaLxw3acUHgG ajepHhamWdeOFmbrPHgoHHGQILQYU/4l0vD5vVqaVlxiqFah8PWR7+6fI6q5jMRrzh57FLC5scOK pNIKZpsnEtXmf686MFCmiib16CqFHEArUZnGED/5ALLxhm18SRhmNhBNtrRE6RyX8aF58nk/i35J S0xiZCcIsCAf7KUqv/xHpkL3e+n7bx5DEVFF/xlRJQjJ5L9ba5U3oaoO3+hQYh7LbI4xLiNbjjoI tkg/S3cBFf8WizS0nbuK2QC1z37+L1bpHLk+GO02XWaFzUuyiSmryX2rJeVkR0o4/ByAqjt7mW07 Qix8zPYFIZ2sal7c4HXTO7/vaeNS0sOZFqZwARl/53GtADhjGMAHp2GNW+6YdgKtr64G59KuEZ6u IEnS1uNImfRn1wZaW1M3CT37ngNuAd+1VyBxSB6gN4O9Hgi5bGuE6zLeA34g9DyIi5wG7Ae/FKlx gGQYL/Agq0efRslQmxiwj3Pn9cOb0whkH3JrtrAQpnufy/YRyrc+vH66CIEwvm+x+nzTfkbqMAEf TM8BskWXRKgJZan205FJJTUry542I8nxeNsZHxLs8/azgg76Da+Vji4uWwekF3wdgkxG8RZVS74B SUhZU/LUbsebyQk7G/THzG0LEdLrG3genevow5wAupX1F98Xi84IPqNx/wnYy/Sbo498AZ6epQ9P 9Rmjz6bq+QFKLAl3Gv8DoqITLfQHCtvHQTtRSIdBjaMFpN87kYM+21HZXKMPTgDSwM/e+GjL0VX0 6kEWcap0cUActABOv0pAtAyXA1ZCwhr5j+50GfenY//ixVHlvz6COWq6I3rYSRwsOajaFAJ1R6LX I8ix5ns7E4G/AAL+XejG1BYEWTP2ZlrDlM27mXpssL8qocSe/uCfEP/vXz5FZCPZcqBF292aM7H+ s7K1dtN9bYP5/eQ8BcleVDpKWq5AV5qJXSuYeXftLmIb0mHL7BiOcskkyVMmDKsB+P/TR8HagAS/ bNm0VWlHLJXePyOb2MR3EykSrS38AdNckm9sbjx7EYK3citHAmxEjby4CEfhlMxTRhmowcg+2Aoq Bdb6dJ8MBcijuulNFAsgUWpBoZD6eVe0+q/qnXSO0KWhbI0/L2ZGSmw4ysBjpcJYRTUR9K19n2mW Gu14t2MHSv2xoQ3DgnrpyvwyRJTGYMbjCi0WWCskKV/QDGLCKB7kzmgDaTzRSA5H/CVjTohQyFcM 6k8tUleFnaVRP0JoFy7z7ZuyYvEUHIlUCsHQwt/sbrP8MpdZJrXwfTIYzYiZ4Qxcd+EFaQT4Q6ht tCGYrBlu/k2tKBFXvFzfOXb/Bq5yIqWi6ncS6ZmMROs49t5/obpAIxiy1GHcFAVtvA4FWf3pWraR agXsuXTsVlBN+9C0BNli9i/74/pJeAcyFYCJieHeCczqBeeevFN619MBL15x5S9ApTsIDkzZrZ+H Ea/5MgLovIuQoyJ6a2gqMYxIhJ/bOS1g2XChA55/iI1W2U0bwbQDoSXRe37YOCwJqlxMtc6RVGfh slQmbRuWKo9aZlBMKnpfe9tfzbuBB5voKvgWn4xtydhGUxYseYbTWHTf/tBfK2JNbJKMWqRchvVu i6Flg5zpNd2maSsjQndTkOdOw0kLtj8d4P8kxSq40RfPFzc+hdRG+7y4iexDSdfEj7mHmB/V1Sjq YNfaakwdbYVb//2agxHMtQqYX22lGh37wRORMBuitSpKSIUYrpq3OK/4jnje2QooF8js/RwtuCte PmpMOECja1hq5YQoEE/jj3nIImQfo5HPS+5VNUYAfGa2xifUr2rQ6LL77HG64S5igVc6tXbhe3TM B4Y4wXvIDQnSv6lXUFlW+nNmLJ177lnZ4MHOG5FZqNnwIxPlnZP0kvXxg6DnssIh1yNCA/Ft8m3n p5hY+553aPZ5QIKT9H9kZSBOAHoirwjF7nHFo1CCouRk6ajqj+6gTDBXOb13ymOAJQZmibX24a3V PsMDYqvxvaPzdJaAI+sSvdISyZUTAxitJZdq5ZmVo96nMhn1fCwLwB7rMosaUFL1AlSLSffBZuo4 kuUbE5M+8ziWotCO2GyOO16aXMcNmAIlzxN17+m5hN4GIdhNSniknX7cF798JT/8s3QHiRXwYWy1 IZxAo6+Pse3Ax3At6/2sp3hHvH8E/tx07gDT46rEeJ17c+4LDwV1h0p521c10Wy5tkFVJa34DVIS 6LGbrqTKCsAJVKs7IV8wtftx+48pk8JrvDmSXyLcbMtqWXKElK5PkhmzfSHh/PcbjeaRyDwyZ+MG 71lF5JScHvLIXBWS+kYrpoIRZ0dVUY2+rRsTCHgOP2bskqnuXai3g4EAYk8OlbjG6z/r76RqYFar XpvnMqN7cYhm/YX5lrAFWvLCKcboXLAuh8y7mdtWo8RLrbh9Snl1HYboZGTryq+yMTOsj+q5uwgu 7f4ZxUSyojnD4XTpeSd+FmGqCGxsKTjz4BjreKPXhB12XWSXlg4wrWvsKaPy9l/DlunVzGBcjxK9 FZTSYmHV3PDh5xn+mIqDr9GL2hYsvFwIxpo+xvzPQ0NB0yZxxT+UGVBIYrq7iG2n6CsZ5AQv5c24 wkU0Xehyyb2GvVSubTKXnAvuPRHE2YpmTsxIdnFTataPEwba1vEXiRkqBPmSqKuqu0yOkILq5RMN 0q8GutdhXUZ+CJ6lqLKuQ3sDciW4zWRPpHad3a9bL0g883WgwfaSi43Uo0LqVxdPC6qQNRLTIiHi M5zvykmHYNUBjrQox0FGnJ909+Ia5hgo92wg4Qqi3l4VevVe0chbAHs7PkMSsVa5X3C2nj6HwlUs gYhvXHfw+ZwcmzyWYYGgZmPusWyLx1Iz2Gxw9I6+J/Vn+K3NvHLYUDqC4Hp76Wb6kSEAuEgx8HBt AAr4c3nELjpGrJmg1333GG2LkHhiK7g7hgwey2e9angu6uEEJWPEybIyY8JWamf1RR05j75W+djZ oaEG27ivdXIn6mbtjwbMyqgg9l6ULlKY2cdpw/UWwgpKaBIQurT44qyiEpwpLJQL9BjpPDgooLdC EEs1lJ5kNQxng/phGdvcve2OmI1+n/8P/AwBXUXYk+My0B3gY7hsDj3THHpV/h+RYj3IafNeKyzv oz4uvQTWCwVA38dZXeA++G4zTTJloCnHnw4sH/hsQ/5Kj2+5IyxcD9eEsW/19pZphi/QDIe1XhIl x7lrCEWcUqfzCJ3Brv1Xr6y8WCFg03oHEBWBC0DG+8/RUDhiQi0Mbt/POQ8Wl71fT9cM6FDKJPDh ZP9XKaahqMAgIUDwrWDAjkYm4hf3X/R4AQfGm/GNCSDwS/uaUytrT18aiyyYV42MXfHFPJ+D1SrH ju4IyBbQJldVxbXwvuPEpsadP++iEi+xCREULJnGGhe3DKEdmaj76LIxy7kkQO5VPDq8g0Ir5lqq 9Ksji2grB4vYXlrcZpJc3Jq/GI0QXKrivY0OlDZXhUjT7GkM2hqBKtdhdNSRSrjUzxYPlQwTxVwC XvNEb5uYozzppId6uhvAfXvSyyija7iLCyRkEk+AOs4eUYScYkzUXze8GJfuUXResHFTjW0w6Tk8 FVq1Za29qLIYSEZDC83lc1FDmnBYwZZorPxv0K2SlreVaXc3kFk5On3v9A/b+o+9QBlYmTOG6zqJ WPcK25CR+Bi2cdZnVuQ45HB255Hblh0y1GZ+oIB78CEE1z0mdvaB1KvwY3S/HYBKieXijVFd6Cnz htjdIxBBdqZufLd7pXzj0l8RqAo9HUieBWZYPd49QfEW8dJHKuRYLzU5ZOca/sjNWTy5I7bwBBSX zQyTnm5ZMuJqF6F/aYzzu+yHEko5DZ9WMe4+FaIJyJsfk0OM9GEUMNSERHa1g5RKu5JhbVjHE/5+ Ya0eWi0HWq+XAFKakfoH5HhsbQDevZhT+NqS7NK4+3P+xoaBoxGcGiUCBfoMmBhpjq/kai2Sltf+ 022WBZB25OGoY5puSB1FfI9GLde1ADthnslay39MJU6GOMelYETicmxGfISniAqg9gu2LfMVLeo3 uKZmPQLpiCuHjyj/OftK7PfQ1AKSS7feZ657YMDOBqrwO5o1HXule1V9ff39u8q15AGTdgvU7qE7 CC+nNy5BmV3xQeAjUa69d3ws1VUJSlpcBE6c32LUkWBGVvRemLeeAdDgOl37FbZaJbjeUekrHF4E MfCAEQoQHe5PW+70O6VoToztFnO1oW7ay101Bi9Gdn3tBZZdo11JTvaB5yO6A2hxG2PKTG6Pkt7U 0VaP6wngKZbH/vYnLv6jgtpIRIaMNRrE73XlCZd5LRhdLpwUdogUI6qNQAuDPFU/hEsuA0hCoOal lJ8bwhhRWZYclw0pUFL8w21NU4XhSZD+lmki4yCzdPQpDCD/g7udhgFP1T8Ck7rl5sn8f8xpd6Fd J3N8yHLHKWYCaUXsZLUv2VomvfbSkSHUDjPlW64VPQRds8AoHu2n7p8YhzL+fV2LQxxiSEkKRlFK rXZaX0+sHp8WaVNjcWQLRhRU/VeGtnaRN6MvBAw8M77UH/tymTDDG/hzJtBhfjzE8fBJToEFUAD3 wmFcnC6Nci1cjTxkLbBrqJq+IUQpny6xMa07ZpDZQtxSgqZmNIiEID89towOlH4hRJujLB6JU/bL v6LK1X2TCP8Si2mXNW0XtIAfyjOneLyby5/0Dw14/WBen8JYs8Cw5P25RU4LAgtFKedzvff79+/X nU2VLxkkBB12MEMFRJIewlNh9AJ5vLOpvGFwQOe1RiYIe4iC2HNEJfM1fNHcqHcinnnsE7byKsx3 CYsMbfKC9tKVpAySFlqIUweps4qxvkfU1nBULhYk/1LRL0W01G/JXeBTOtAWd98yapHbCZ+eWUsM 5On82vRvAENTVVhCyBM0ilvOLKxoxX5fWxf14xWaOgJ7u4d8N+omXJE0TZWc+OuluBRyGwf3h1ma sJNhG6AEVT3R39nD/ZhVf/A7P9dLMBkgbSccPVVX85QpK27NWbYpBtfnh8fceV0uuEyRm77+SOaL O3EYYrIvBDj4BjJXg7/Gtt8TQLLtasna5En6Orlw31ptWS75vzkRJdVJbY3i9VdvX7hd6S43L/wG nhxiePRGU6+n10Z4BWui++UlksQK1Xh4T1AcdaJ+MRhDn7mjHKh9gyQrHVCPDEkMTebsWMNJwSZb EF22PchU+H/CT3CppGdjciqdi2CdraI0Vp7wTfSn5gyn79S5rmVghSJw0JQocr4tMXNvPk0/lvW5 EC/teSzC9CKku6vT8xAbk1X5G1ai7eU9LWans9nuCMe+c/+wei+sQxedNITZIKA8xjaKTZd6+kQE 9bAP3D0quqHoAKz8tnvXxX7v3HNGOXklGRCab4NH8SJ3kj6bW66YTvBcbvYLKwAv1oM2pjt6+Q/X CP14rtzj5VsmJp36K0lB5QZz0sOckzngLKvYn3NL4hiFBiuIJDr57Ft45tBPtEd3JU6AhEQbNLK5 4pfXBkdVcLRGA+iIILZEQsoxONp1U3lDuechvmhD0rdoOP6T6mS6LNK+M+w6Lqu0kLC5d1lywz1k jIEeLgfFtl7no4yNDE3BGgQ5f4t/L73uaE9bzKwbXwyRhllZjl1aU/lZpgnlPxYqwelsGfpClz6T xdXfTd+0U7T7FJRtV0gECdmBmvxV8HVe6+otG3WfrRwaEBkZHieU/8DKH8va61c714LconhkZKd+ uCHde0s3fX2dGRGHNjJCOLJpBMnUvEuMeUM0mUmDsodTSl9ZqxBiHZdWDUafX56iweM34htze0fj TabKGD7GRTV+KltOxBtVtOx5EnrJQ2Z1pdRYdKuFq2dpuiOhASOfhEygzpRKAAU6BDd8iv191t9m kuolW2+g2bBWK3wJBEhxD+5QJceE5H1mdu9y+91yqRAKju2S0yKLzM/us1q/TIDjd95XCi0lG/ua SD0hBjbv+2HZ/tCqsbCPEQpGB3Vc2klCdiwYtbMz5bbsoiFfYydOL0kPP0iDLXsDf9peGcrYr3iC 09QIPrAksQ7CvN9z/BOlF3BkW+gXKkRw7cRfSXf4Bsniw9Krb+rXMiIM79pB5O8BAJAP4gq6nJK5 yrBjubBxWlBiI70smuBO8ov8Zf/XPIEqPlpDdHvK4SZ7EyTAIJyKEisodFOgaDfU7eI67Jf42uRo 7IU9zhcbJiEZepGt26ESkoOukXCkeCH0o6yHpfKpYtjNUhK4hRSrREwx8WzzITJ7zu56qxQLr/MB g0vkremi/MFWwLtb2QCUUPEb1CjYzVYnuUKgaE1PqoqnWVPxelKxNhie7CvH1pFOoHWk2ZUf8yAS xsxMsMcVQHYi+pR9KWAN7kS8l+E3Hw/66GaHcTgrFSNE4o0yz2p+ZQqYEfwZGl2+FD6EuXy8uj8v iaA46P/JDOkMoDaQ6RF60M/flAKVmsDe0G4mAQSH34qnfr+xm0lb+9wB1tq7zP+AioyFRlqMrCoF ZqK2SeU679wmiJGfESie4S+ahyl4BpLLlMxDnJnzKkNE8tMvboWgsGm/HzofQHw4Oyiplxwv84I7 kM9lwFX/BnTgniaxWlOaEX/MZctYLBQZ7O09Id70GOkvS1fIPjY/BYjT4lpoffvow6/StT80W6BU LgKipyRCnJLXU4t4aRRrk5wwha603aGPPmBE/kQYMMK6GYqjPp1df1WOxQKxN+Wn6f2a+emBN/Xn SGbZtun1m25RWkxH84LP2Ea9m8LvGQUGiFriFgrhWQxnnYOAwPl9sawIqB0B6wmfS+Yjh8MguHpi SBfzCvrNC4ejwC9FzGua6xVV7nqa1av/udb4h+FReSDQFsjGE3ViPoCOsX7I+WOMHVCy/8cuThYF fKo8E34N2hjd/ubFW98RmPJ/XN0w5Z8CJ8PFGxcgN50VsrFTEmsOEhMJhjo+e0ZJDL3gvwxobzkF /XdNt+w5HOmjDMzSPfhkO1MRxhOSjiooiZXwrWJryys65aw07ZU2GivUEnABEp58nwXoDqmexI20 tR9KIYaqQlhbuR5Ex/zRapb1byGG4HumTsQDw7kqurMyL46M+mZsPCrWYjXPgDE1mHh4r9UgOFGo 9TvnW7WjSp5UIZaS1QY6Uh628UwTaJcuDJ+zT+IvFrbYQjEs8kDxdy8vytVlO8erYhbtN96+oQgK mK6VUaHnJKY1XDcta47SA0vJJ26ROt7id5VLOpAy9dm/GOndfUBRxs+/DsDjHS5kXnfvcFmF4wIj /0xgs/+uVJJjCWNrZRrzVwmWkgcrx6LhJ/1SvscAYono/xWPHl7ZmrQxmkHlwupkGcGAW0Mhs8eS yz09wXxVOFa4k52/y0XwpfDkEYRXtRIxo2yNWvpigpj+X7BtYW3WudEjjz6OJ6631cpXb+FcnuT7 2ifkObYfNixyCFnEvcMWNira1NLRIawwzpvg1FmQi1oEpu6jWWZd8ztoIG/Z5cI3yDcygX/WQqyw ABBDrt1hU14WYqctQH4SI+IYYBw6GP69ggbdLIKc9TUnmjoZqh0N82NWwCruKjlTL+FtLIJf5DJU fmq9t9zaO20HJBGVkLPEtFd2qCvc24DpDNfWsmCdjNwwUWVLosckau/SaJ32sx1jHyxe9jpQUV7o h7UIJaM04yn+mGFyZYfmHiie1XxKSXLGfXx8IpkXW5JFHjmrrhyLriHhO5d/LIHEWQomDHpnXFeY XoXDxJNmLOwjMUsfkCoFqsZ67BbfLYnpy7supRQHbPLs0SM/e0Rcerf/eN7OiUwxMW+3fjfRN5iu IUN0avNBNHBbx/k5lSD5iHO3U/JuGtTSYmd9isNu60r1ofXuCD50fC1I+h8ZJtDJghgCeFOT3ob0 sqai9k0i96axId1HbT81NW0G6Es5RrbzmAllbYXPPm+R7m/Cv4O90tnJwXJ43pTs9e+nyyIIKDdJ QuBi1qxY1Vl1N2+zmU3WZjnzdh2q0sKUfInxHsl32P3Tgo9LF+Wt9d/Hx9W1BK/GwWEkGbcYILDb 9tUvAGS+ZQKD8el0oQ1Nh4V9whydCThHSPcUvGJ+bNwyRWF1R3uiIWp7YMkIMJw02Xud5zQTje58 wWmbfLroXnIxmJaZ09tmdJmENesvZwRT+LtDQlEwdydH1j7dKbT5MzWDbkRjorg3+DiNPd36/Oh7 M+9wat3SqWEW5Z+0IenSgINDLpKL5ivMy26bZpoaODQZukqgG5j9rYKitfuwa5tqwqBr+MKov0Qs a9Uz+XSLOHv1qF7cbtmz9XwnnxYq18GNcX3j4nW7g1i+qu+TdcH/oqR0AoAayTjQuu3KbnWvWJRq bPAFt2sCocAnQjS+MILNu5DTEAJigoyz3O0stRI3GeolMHgRZNCPL429/MUpmTrK1SM7B5w5VRSa lSR9ML/dW1mVwD4yHlF2HQXKbLGKAG7REUa6M0qLbryhtBW6S9uVS4nKArUYUma+yxC2HIMZ10pD eCC4S1QJLLuJtoXBa+YRZJen2BuPyQLE2xRzfNCNWZanJsLcRc3jqwpOIhxY+/g5pUziGGjWNBQ4 R0mKW3evR3ESsrG4ZLC9fImqqSLyuGDW3YB7v1PUVtypioOk03OPPmJSoTaHblG+dfhwJXMBs4mo arwc436GlbV5UBeLj59trPpq5fHMRdqRQ8gjrsayQzWjFIybyLYeZDlPVm3zS9rTmeWYG2yY8XjP bdwFFrqa/nzWM3NIDGAdgAMgak36wytShkgxGNyNE2zyG9ena8+MVXfPLgNHCffelTz5dfoLOUBq TXFPJ8hBefJItsAFUaG9feiGKfdPQrn5rRBumPhayMfiHQ5wztOI48eOQa1I2AKxJAP/RErFQuT1 MsK/hcPiLLaWtpzQdEg86J3DuIEgyYmR7WO7mznglC6rzkNLUnudKgXoCx3xCvKENzPIfvfhrDiB 7pKkQu8xpuTpp/k5t9aHRkB8nZqwwPHnHVufBhL15bg7C8KOMyo1HwOyIZc2d7ulGHO8MGkekotY wApIzV+AFCt3xdWz61HTsqR0soRUdYwYjQ3dO3whVRfuuJh4dYmL7yXHYolg/cmuCnLXnbY8O/Oh D7XCEE5T5ilTgsTgnnBuf49/axdJ69xwdOx9V38nUrRucEa6EhqiCrXzXSwkOL8i8R0zrzfOGP/H SKNaPXqvmK3rhyY3za3bC+rTOSjOYp0AoqMG3Usr9R6plty8p7pW8mVhpEcf/l2Kp0mgJWq5BMsk T/Fa4++t1FpXuEq7iQsZa8uW/GKd1jssnXUmR+nhpIsmDp2P0XW2xjfIPp2HPREn0naLkyOBnU+t x7UiWUf4tRzb+JNiYvz1tF0uknAZqKOCZ+UCf37PD1JMM8hIHeGNFG61KZuy5OZZ8p/Wjx23JPDa hTO9P3ocPZ4rMKENMFe7z4TozB6O/f8xpeX9soXtvKa3mOYs1potwTjelz/lLDxHOxomDFrVeVLe kM2L+GOFcBOv39hXjS+iHyaytwg7l57f2qyEYRqVrhDcs/9fjyPOV6Ov4jmwvmTzaZZBJSHR613F 7JGX6EcfVfdHJNS7XQUpy8XleQmafJzeR2hlkfixl8/0pb2Sma5zXXKb0JcCNyGpjsbIeC2ChuIb bbIN/LDlwVrwjcbIqDW8TbROkj5MZGBpYbOGuCB3wzVqjA8us7AuIyxsIELbB4wpEPLsKa+m3TWU H7qVoXnw/9viD+0Vg2milgueabysKp6C1uknhEd2lo5Y0cxzVQuL2Mth4Sjm0wBPrsGL+C0uXh3i 1j6b4SS6SVBzJydmMSjMa4cqFys1QAjOhJoui5pQarFhUdg1TNUkIvx4eSqmKTPaaIEELF+7uNMa UwDMVU7UkecRWU+3Ls3vm5h/gy2QXMlB0a1kpQ+iLNaTQZCdNa/Wn493a7zNONQxzCNRaG+S79lN +/eKrBfsAfRtAxrMZBi7Y9Yg9WXxq/J2b1sSjhEaeCy8Qqzreb0hdZGbeBSjTQJTg2QaxF+RXWKs mkeSWmNasrzDh30xthno0Tjn8CZLR/fTUxn8Yr9WYph7EOaQCFD4j6CTOmsAE/rVR5g7SKGEHtfA ATGr0xSbm7CruRMM5qSsQv/7A86AwftZ3H+pqyCPJu4kPKnqN0vRCdsGhxzC+XjTzrUNthTZqR6p 5KcHiYlNLQCjgWIMhoMjti5PHHR49YvgpU4nIvlwB1i1fOmQ60NcxdFvFw9tZ6U/rtlujR2uUmKn Nr8uQaCTfTM9JKOmPVc7ItmVKVnpTqB4Z9GFXN6hN8OoRy4yjVL9AHOu32qpbxaOMLV2fnDz8Wyd mw2YnOJxpnZd00wxB175yveapg4U6Ji42AC3g+ReiSsGWUeDLEDdRVLlsNar6LPfl9NQaNEfRxve UztrHvJM7p40EqQyGlw65JOxuVh7mP8IFokqSE2UI1EBH++E7PEvwh/SpGCyhcP/VtVXMHSpO656 yrsp+wfWQlD01DoNvSbya3Wa/4VxodYhEObgVM9H7YmTeyEIgxqbMz8pCXemopL3Ev+4ny+XcZD2 Du2IN34tUXpxek9MRNS5W2wxWBtYal0AkjhC4T8ra271VUyYl1EPWOyOsU00S18msMstnW2SEDz5 SdmzOkXQ0nKOYfK6TDJmiaCPA73L3bd3Z/UDYSuw1TZdgirKG6WiTbNuPUrbSBTj+iaUzn/pkWBn Dx2+l4VmpeuvpHEjcQ10DVQfErud4p8LPP3vnU5dq3gK4Pjt9pTEG//6m2nSM9wKbNDc64FSQ3OU UIiRisLYJ9XAS/k4Om4Zgom33+VQMuLvpK2KESX5AnvfNXVW6L9hTMmjt1DHqk6R3dWyzC24hl4H f57h3xGcG6DMkWKdC4nxM892MlZzYAXD39G9vuVYdjfccpNWBVR3CxwpR2onM4Uf7CUbr1Ybm4zU o9R22vw6qiVwggsqRaALo5bp9zmvpqhxmzrkmYvssJFmXD9dm96jJqMHDsc4CoSIsYK394hRv+rQ GRkUUPtH84EewqOMohU8yeiIl2RUMIRxxRRVct9jUvNXBdz92ws9N+kQXIec3m/flEqqQzovmLbX /5bkI0PU0otIYoOc8GefOChsfFPBSOl5Z+OjCIlYgtJMaFfnOGRQMGl7TvYM8sMlQSDUPQOh42pl ee4l9xYBw1TJwthF79pI2bzKNXdr/1rYT22Tna1z+tPKsOFjQk/UzdScV75+BYAfAdqzN7zjba2A mfB307d14zf84ioEBuZb2ttfofxMgGiLxUv74sC/Y8TEl+xiaCFlJX/ohN3gZ9sRrbLA6glYi8r3 dXkwv7T2wtWvqUGGHxh8tkzUZHot14r6ABgUuyVR0dg67qoEXBiwWAxVrK3qChSZyaLc+FDoTVYu swMuHTevRkND8AZzireKP+BkiZfIQULa4kSRVggSoWAZYix/LqB1PUn8pQ8IdjWftVVGbx7bw6Tw kMtBGdcGKVXG2oyy7S2Xu5siRvb45SSlHIXR0qDn1n9SD5w2DY1Z0DpcoeE7DQyIHtG2T9w+95lf 91MUOCVJQhaMJJlV8UFLxHpWalY5IuZVYNsPhsKs1LlPmiOE+r1pFZyv0DDiHuxK/SYQnchcwwm6 6/V/czKZ7D04KoQ8lA/szmKx2cesjBKMZGFX6N+0iQ9Z+ocVrKdRGVpJSn314sUYLD8NnbsmmN07 rOZd30uppNh9PxgeEdxGYoPM2dbXweLJraXemX0xIA1gsGQ1x1Hq+tw04gb0JfQFzMIHe3d/SJce DfxWoAHDUIS8u2ykgJWJB2DHlLSzCPsP4OddB30Y/d7Bq6bKenYIWJ3WXDGx6kLGU+RaFJDLKFV2 T+aSpYjfp79FL5E839TMR+LkSSfMbtnh3LVZkyQNyaTCacM49PZIBGtQO0VwXaRUmI5RFh04Ub2l qGcAhfR1UdCL/jnfE/Xrss3dI3nyq628ocnJw7y7bO2mNRTlJe63EWMhWDdeOZghAFIY1o4pUkj1 hNqka8w00hVno1pDKkGZaVAHrNiN1U8XhRv/nAvvjowb9k1zZkthmAdrIt0G2slMmlj6/r1+NAsY sxSAU580/Ef1Dmzio45j715YgrBXdPpXRzymots3rIhBQApNgHiZ1ABEpyyW1JzSOnm6HA9h7HP9 0MwVrgLQEBTZcP/DdAyugYGAdh/PS8/NwjHgR6FFIHozIb+0VgJdRtAtCfJ1n6B3YaSB1zwZfKuM Byt1tqkOSqmGPxDLYqsY67P5JuX7+XAYSKpo2AEdzQIq+LGwT2Odb5yTIIpEMlscG8rNocT3k0XK HWDVOP27PevUVeo9Tq1Pqd/zXrjoJTW0hdKlcBn+h8kuzQlFIEyQQcBpYvyAb4Wlrfao0QBk6gtQ w8THCK8bsz2kFrHC03xSGuxAijmOT2+bEj0oT1qs6ZgttRCwW3bkw06RQjCF8v5SafljvE3MZh/J Tbf3uk+SH1sddJXqJsXnoRpaWYGr96VSutLLz0NqXIiIf5YD4OuuA/DmmUs4ereHdqK8Q3nCHuC9 uSLeNojNgPctbfYudZ98V7uN6QEuezdEt4sSbH2DI/hIjDfqvDX/AvV/Py2BEX9umWbWKjr6C55S mI2nKL40nwB4aOOtO4CY7YyoQb30D9aSAYDJm/VEjY0y+iKpWW/z1NJoKjplCP9LMcQpGf0C9P7G /OpLWTiPOouegBDQ5U24dFcPTjQlSeJUNu4QDIU7C6k1fnElFSKpTL79FPbI/dMLQIU3TjTjpv0H /l0RePVUcTVJjpuIF+KceyQlEhDi744PmScllvkR4CBBuX3g0TWouv21NKutjb7vOxiUC2PL0Y9L 9/50IbaH0m4FlHB+lPeBjEz7oXnVpl8gcgZ/wY/4W8cmzu44if4rpguJdybJ4BBejma+0wgbela9 /OeButphUVKRiyPdUfIY1lNRNlyKrWYpT9SVyjSwhxhk2JlPSMpd/78hGeb9sJ6x+NYjJPXIyNoT ae12kmeOSIkHzwqxWNFKHmci/8q1nCmoQmq74aWsnT+DCusksK5qlJewG3Uk4Bxg6w2nukKYd7si ms1/G8TCBdZNvKf1tqvyV/AG/j4oW6478OA4FZle/uHpVORsKObnI9S8Uwx17SrOJSd7cgN0rvEL ozHpiM/0avZme1pOFtXQu7B0FvP3pArFG8A2buprB4JEoVkCykr/gAskgi6C6qS2jqc/3YDTKs09 yQ3WLGpWj+9cGAewxylqVztK7TpA82WnvGsoJWmwYWU29Dr80l5jxr7ghiUXjyxLgXhJo3ixOo8L gZgMSBpnAtlAeOC4pqiKtwfumBFtg/HWrPbhcZq5g5bVZkP4VqfiWNdsgER92fmmv4G88OZtmPcc hz6RdncXceb4Uic8IVKRZIGB61cIxp5DXAolcw1dS0+cOpUSm+Ec9/qM80cnmIRiFr3GBUsa25jc rXSxsYqG5QJBZiJ7bp23yA+glmTgG0xQYaXhepbq8a/6xhtpKQvuMaald0zmX1Zei8iUx7dOARuE mpzHlaPp7rH7riqQ3hSOwZHJLfgodh6Ef8Lp995lCDlKYCINAzB8hIiW3rBf49A/vVyUXNZqrRoE 3lkHOkEvwy9c1VPQKT5tV+vsfCDGYt5e1LYxFxaOC8YQCz7Nn6dZ3IevTe5RdU6Ux2mvax1EHKXJ OJ7mSLOHV3gRS/TNtLLMpBS3nf6e4DdXABrtvg2VF86UPjhYUQRsUBEd/25X6ekfMTxRo8Tgq32D w3F95GKd48Xk43AlpTjub2V0Ap2QBUBa+x6PgkpXBjnGrMA1Xc8hAh1ltn6VO8x4q/vhMUoFVSFT 8Yq3ZMV1wf6KZLRZBaqGDh1ynMEaDPKYnwpvm2Wo41Rl93lMCcKX/Xz/PIpBmjjvw3fAszxevkmh p0mFZTIvkJO/et5Ueuc0BLrbUELvqQuZHs5arYmHbBJMKyS0M5oJOTDoysyxzWdlOEYFkcSg5hrE FLhMiM8Nh/aezRJ/EdO5vo2SGphv8FLVx90Pf0Euaj+uS8CwZjCYGFTraD0uBviASdOeEFUhsXg/ ZlL51v1QtVHKCyarVXRsPysIALEWLk+Tzydp784rXJdT4VmAxob7elCJPSduMMBZ8l3Vwb8huQDz x7nXBWn5fKswkOsyQ4B+Seo7Ro6asDCuSXA8hEecm64DCP67ChFCzZoK3ctTA9bRCJdWaJxX4lsz RIGFDPrHK0mbaRCUgi4nvgiRVnrEnHd8oFLTBLPuhnWXdnIuNndb6Z20NMfD1CQWbQy9uQ2PmI+N oEztxckQURFPLOpg3tM7pzYYnxOS/C1ZCEaB2waLOSPiRDAi7ENc8xy67flsV4S5qy2Lf7JnHOg6 SgxuL4HTTk/tHAG74l2rcZvCxIoJxxqZ8ooh4OldxOFel8YhJauQXwIXDonFzco2EG8LVJUDEaLF 779BoE+DUezoAj5WTiIaYI0mVALClhpa1I7KSueC3CuITioJTJ5eDfTrzE/TUVeOy149jcrdnh7N b0XukMEoSzOFdiWdCixS3wNLqiOI1AojsPsFRIw6oIyGTLEfn36CE5GcLOHJnQM7QeBLAq5Q+mzW TwUOGK0pi/6mGEoR98ugSwTqD713sJzS8FUVpgrVYuzEwvo36BEoTUmXsb8eoHwDhgB3ydDZqdlf vUT2DJX3piYlgkLhicGJNUSWaoKpYIr5J5Mx4K/nSMorpfLcLl6hLtiEH7COqR8Ei3IFoZ8lg/+O vKID6nxHeazplxCUP/ILI1RmErGsDwAC2paGNzJG9u8osh9OkQmrlIkLaPwCA+imEc6F8IMEQvZX y2RaKDXWKKn193JuIF+BYKf2xw2WuvqeQsB1s/DFE3ocZDAvjXaJOBMn66b/yq6n6oOb82rDlE1/ y+oStkfoodnbg082cdsLzdUCboi5Og6Pg4F1fN5XWEG+6b2KiR2f7wfxv+kJrkd6Vs2Fy7LqqsKS O4/JpIy2oGoTnTqDzMpTWsz/YWEEvg10q1G8pijEvZ+L9wXwNGMt2Y+A2LFb3TjDUQ2ak1zJccOx Jpm0WC+VMZtLQvJSyHAY9F2mY+Da/MxmydLJrS4/3OnXcp1Pehd7HOYX1MG2F2XG5pTAEdF4LbM4 urQMZScn0u27RLzgOHEbUPya/HPQMoAqY1e43ixtjqpH2BwgBPd1VS/0a3FC0piFdYqdXXxEJR5X b7Ez4HzddyC0dJ6QPXaZi8F/MC0eNLD2uW34MX1jaEX6kto9e636dcu1TvcAVG4r2dS0p+G79MIM yXzMCNNSRBPaa1UuXRZZb2dAxVKWTBR9saSOH6IxbCBTxPu8pqqFrm8AzvNnmsjye3n3gmMCV/0z KpN0m72aT+eJHUj3I/nmnv+Y8JBfomPUvJCMGqsROdrEp+8D3wciLvTb+xWL0wbPCjgHMxJky21u yTMVCrMkVOJktLL2uXn1IzMoVPFEKj+MzaFE1OdWBNyu/0Aj8boSyS4izB7XV537xdUuXHVDt5tZ PF7Ti3d3DcmWTpjKD59U03urdN/k6vWFMqDYU5jcq50wnHi/qP7pDhwkmNk92+lCeZdeqhvOMqpd jJGkIT7KNU+hfPJVS0VFAKBMDZAz6aYzYz/sbaNHHiUD0ulSGp4Y9NIBvfX0HGTbBuuuh5PDDydw IxIUSEkmqtkwifBWm3QS6To8zQlgYicGlbw+/YqUp5PJnkm4UUep9abqoPZLHFZmTNaoFYkrEYMR d0cXHylRG3jiqHY7kXpPlr5Q/awAT2OqAWnqJ0htijg32FI/m+7fb/0G8XBuavn1jBWJU7OwGEFA 0J/3pe9O/9IG/PDIhc/l9y5g9mo/uPXiN0EfTNu8R0TxY9Pzrjx1diEiMmXfia9z60ON2N/onItM ZD3mhRRfN3IqdfMZ6uPlkinnuSNJs0S6BT8WHUFvTu8xr01ZbD7th8OL+3TXzCthZIhSJaS6z4Ax 1nYzDN3uf8yQRJVHO443j7EwQ/zVCf2XrQzD+TRTabDyxiJQEYX5IYyIfwlnVOc7GqHKADLw3PYZ fDtPbBk0q2L4BNRqIZ3X2tJP1cjcHKQiXq/t6A7pN4bkcE/h0MFU07t0beHvngJj04cCkGhb1Sy+ GfkIvoIzbS3WyUfPiWc0k58v1H3SUnD8eTSnNAzAWriFCyTIAIvHZy1ldOhdZLxJZn9NtPh4ptsU humv2OqLLeN5RH3DaX+xA9C9kniY5blDO5jUfdWrGLYAjX+lbfAOQwt3IMPoer74cDguKVunSC5E B4eEz9GwA0RimZ54C9iH0GDZzn8b4LF8tahxw9g/1RdRVZ3M3QDgGTNLZbS5ulc+UM5fSM1l/nSS cVqxf1ijkX1Rw9636zeSJJYxdQlHJOt16yDfMGuQYfhDrhTTXPA0tkbr5VqfdxSw4TzgX5AU93M7 KAPz8Nyvs0vswiZYkp+Kus84X/LvQzyvskJ7rCUiJhqolvcJd5ee2nGgkhucSO+SpxHWCTZWp3NC w4XZSa/D3rqDiX38GAIsZK133qrVqrrRbzeVZKmUBppS/DDlq4qDK8wG3jbxeuBBsi5VF6Cfcmua 1VMUxmq7s6fgqEpO52aZWSAPNboA8ziw+1/BLdRDdSeyK/s+eAPGGOeOsYE8aq4J6r0ezdm6q69B BksRkaJg2xrDNUrq/mR1Ji5aI5tRx4hzuxvPY8CQhbb+WxZuCIDOwtO4+CVmcxKc2qs3Wa9AOpk5 na+tb5sALZe1MslHS2Csvs+F7UtiRi0H5HIWyyPb8HrgC5IDV7VWFqlEGbYt3mN6LR16R/JGZWN0 /M2kmjJyHGVPiRbiTWUKm5++aGFoEWEyoIQY7osEKwQfwiy6p6lUIvQQbj8P1Hw5WRpsGMg+cbRy Xdasecf6sMtXEoPCmdTI/08s8SlwLp/ohe9mtnHncfKcH4ktVnUcvOKW/nsLhmboi23655a+OAJ5 UKUD9SjGZdiyBqun4hpJAFjIGzbv3ky2rc74rIZZZ4N60Cydt4HzFIWg1ZgnG6pwLZ/cN4iW30A0 PsK3Ban2myBz7EmGgKBQFkJzZE3ejslnVquBdep0sIsGx0dkhmEK9qJOVw99+9H6OwWjV6RHE6AN Uaaz2cNOkMDIHuMQdvvgAKxUeAuTc8u/3908UH8qfXySG4/4AaHcWQAY1Y4XVLoH5VcJjZpqyWiG asGu/RyTtlPSL00WZdKJY5Er5e7P/skpRjOmADbcl7buR/yz36NSD8o6ObeorU3OacZ32668FvA+ eT+sSbdoTwkDfZSZgUiSO63mb1tzfRICS7yp3t5wLo1ibHP0eEea2LA6lWoliK4E4oIVCJkQogUb 5KvkFhfCGm6gdfMIBnNW4lr7lm8dO1/HVOpbyc3/XnELv9T/2ErE5qXzDRp0n8EEOy1Pysw96w9p RJDeXsbOCp1HbTnS+ZQ9tWb7MraVPiWpmnGxT/wob7SnlG04xA+qupErfAodKAqtR2aB7vUR9oiX KPSEmFlHd03gVDxFlZkPsBu/yuCLpz+KQby/aqqKHStSdDwLDf3IGC8eG/3A4xlNAf5Bq3IPBjYj TTkStEJ5718UiPkQ7a4rFR17ENFLffgiV3fI90nGqhVzVcw9zC2XIOv2vTIFPOHC6CYgBks+3WCt HWPoPYUlRFJxG3H7ULtoxhiI7YvqoShizGugqIzFEbFDVA8891lfY5uRccqGq6xqGYj+836SYeeM 1L7CwmKjsQs+MFmwRi68FAMxIl+G+3vdn7AJbqWImplK6PkH7TBupNS7lMa4lDloyFCYv154A55w 3dt95JML6klj5yYXnJvx+I6S5LWFk3njoQQj+QRdMd4sWTOTHKmcd0c1vxn5mAyS4XLmUledNX6p SaATDjXuEIyTuBGq2HvTpJg2GGMVtDKr6bbp9/X77O9Ohw3R7SMKakVkCDNBiFI3qTWxAUA4epTa dEU48akVw+Zl/VQjP2rCLF9EXJyS5rIQj4S3ex4O85Gzz/+xymUsDYNYX36Y7/IdawiZOJL7IAQX U0GNZ8r32rH07Ap5yXML0GyRAblYVNAV8O/hJUKPEdJU4pQ8/MumEzlUcDNhAoY2urzoDcw5fZeu 71GdWf8y3J4m6waWBoj4nhgKZa2oc8yepO+tX0OC0VtsuGYEbvhSSGi6LqD9CuiaRpTLIUuTO1h5 +1xbybxuvLRrzQ3HdmkmSYrmFBr2nj0IPceItjf+qTzZWQO2tFJ3rRzZSOKK7/RpemrBe0vxYsKg ZukZzg3kpsWeawoa0UUFGtk1vrlp/vz1x2Vn2tNg2UOFKASuWqM0rPRYxrfX8wuxDzhHLF0lc/wX iZetBZ2iyyHwwo8lqtGiQoK43X8h3hYxsX7n/fkhZ6lKlF4HgO0JGcjysd4NHaWp9wnhY4sVxrxs 39l6alFXZjSjz5rWNRyG4XFFVX/3zeSGD62nTlL840S7gbYJxy+I3ieaVltjF27kt8p0nXc3Hm0M LhhjieCvl0HxRiQ+Znz2qNnCp14FUGWZxSBE5yLL5yL0hQNalATwXU/fIKwZm02PkdHq4amKUHGw bg9jA6jTPhjT0h0nTmwE/fschifzfOgshq1eTp0EoeV6IYOR1UqNavM1BL0rM9sXH4Q6jXkY2xn4 qpGxohULQPTIPKnQypbZDaH2xVkyMlcEfJlupg5lEOz3EWbVfkK/aLzeCZDn1/O4te/myxmkGLy2 KhYfJ0+z8wk02L9QQAvBcfQVe1AEBp4xU30gylqHUBBfQV2G7fa/xdpxKvm5YqJRT/vLnGurqPld wCIaQ+k0LlNqxg/28tAX72I/za84eFiqup3oEhe1a+FfTotFDSee/+dWqwr1vSpp0+ArKwQR8iJ8 SKXnlBAq3A7wU1VIf05o+f27JLNuE1GKev3lPikTl5WIw6in5cXTUPL6enDEf57bDHWXl4aWE0Uu Jon+JHVourC98JozcoB6KEbTnivs3g1jIlXne1ohaWYve3UzR7p1JcEHA5TNcfojl13KK3JNhqAA 10Badk+AxYU5Q1KlPoRMGAPWbzqzN2tQvc53WSUMLdAqiPhFFbdkU9DOPdAM3sawtMKdcxw60uZO AYHOAD1vkcB8s/AHh1h91H6LXOp0BV6P82kW/1YHb3/af4xacBw7jPxTd+RqCFgMDWzs8ksiNSXj g+8zx7E9dZ21fpmH/6L0dGjG3Hd15OamnN8O7FMtQOwdJ2Z8HluY+hCNJC/t/Ap0eYPNh0qP8UNv FE1OyZc9Do0SSWuiDlyzPCmYupuqEJAVrRsJkjtQVB/erRg1P9awXdqgejpPwcteeHmcjIMyLBlX FXcFpzZZQcsnZw9yqPqiZ/PSjMuuv6i+V1CnDlFZ43ibqd4dEyfdxgnL0pe3Rq5SbDhM33wwqIG2 Llcv59bwiIJBsVatHsa2JXA10sCZ9z+xRBGhc3nuxE+jKPYD/C+6KvSYES06chEFbB971evl9Ny3 ETjZhfPaDOU97xCcSyiV/wSPahnnee9WN9OqsgDqzI0LjRBTeoPOTJW8iNhNuUbAiSV/7bvIrjvs 6kwJUi3szkKmNQO/4RgqzHlQE/tjxOoOq7Wd5ySlP3DU/Et+pNcWBP0uMphx+ujS7tF0tBwUHhPh HkFlVQdDLYHJZlQLbl0+gz2cUMB1zla8c9GmIdK0I3wrrXZTs35vkfvuOvOadCnkDWk86WE5Cj+3 /szsObnphRqkJ6pKHcY7GLlqfSyihmAxjsRJY2aXC/oJdQl/E8QTQsj5L5E+QBW4GxDpUbF3hpD1 pBEqABa8ePagwOugS6LYlMWwIELYBjZyifpqkNRctiK6OiTES6WQT2ZDkNquAzAOlSBwnQn+5dtr 9UOWh5gnYUbzMUcIK/rDCUbI4/uyzRlA1X3h2oFBYIxJ5gdq3ykwuipPFvNk+3TERF+kkIvB7nIe v5XAnMi1Rqsw/+6IGhbZbCjeieTUHlb1oYqtGAIrFgX1ReCYPmdhoDm1yD064LCzKxXb4EtwDRCK qwwjooUk/xzH8eaiDIr6Tqv+IsYd428SawGxYYdueEk2xOCtfyFM8ww/KWoKpB/ByGOGRh2GREZr x0uKqbBfTxNanX/NZIAc3BSmPgfZaUJSLci0/kqqCcd0aHhHZRfDpz592Sr7IDg3HCtHxfKXVG/5 WXIBJVplzBEvtiuneytcToc1X0iXzdb7yyU9jYndgKgADfKR/BeqIuS89KnNM/tpRKbXY0DKUrCf gFy7b+d+BYnTfbOaZ0TBQcw2AnM2/BEcvHHHOiEmKsQvDahsb/DIpYn3gRuNJqjVfcq75xLI36qZ c+1zuwuRtdvL4Jf6J9gMoWsKcsrxbpEuWSB2OA37eK7sXGMqj+HaLROu6pCcb6iZbH1v7E5Q3vAa oX4JoY5gSq70Qs3yAVLUawn7knBWMlGNc8bOvNZs+hiKyPZSE6/3Gduk4L8YmGNMeE7dZRLZ7jPG XFwWMdKMlFr8fquqWbL8w3k+1fBmNVzYaAyG8N17NdxG5LpJ5hWVvbRsph6V5XgOZ+E1Ku6hLgJF pl+MI/rqGygG71ZFNnGVFO2TSqciupYI33bvy4eHIANjmI+1LwNnYwBHY2tGBnSlFaXcpqESOWnE lFMVthPh2XqkWwjDi99TtIoqwiJT0Z/yoOXGwW2TD51PltgdiJ9U5b6pP9ffCAQY3WV/XpHR3fth RYDrWXF9yd2G6mWzCrLjEhXS3366bvpDW0Z3sdtkTTWFga2/GbHM3CrAn5B2cQ7QI6y3sacuKUaa 1uKEBuYv3n/21y5XwUrQd4RJc+45sqg1hX+1rJV69SwUWerjMfqaNt1+6c8lWpFV+6eoJbqZ70+J qFCG208UOVH28pvMZJf4+u/58KII816FxgLy3B7b4pUk/Pny9rkHEHVWpBHuNt5sIz1XVHLBG7h6 KgWNVa5djnkgqLEXn9oAPFkDBXF9Z4Z3DY3GL4nK4Nnjs2BbyzYN4CCceyc6jesy3sjwqTFTZimO c1bwEtV7a78z3mSObC/Fnxyw9vcrvnFAqT1iAc7gO9TrB5VY9+Cgk1BZHrrlqrZPofvwnhYnNaKb f/zTey3+od+hMX9+IiNZFZwb+bJTyPof+SS8u/mNPQD4bRxZbyjHNqzj9SDS+ry0V9ehTLF3fM/K W7SkN5ez6VgX8jjPX/Tb/C9S6MN898Zx5q9RWBLA2E11O+nyCGboXOuFB3akABMncVbQM+cpy2a5 vn9GkfLPTYpSijK1hYldpolMVdZelcwhgMf+pMbkMRg96ZOXG4vvIGrwPlhuhbxPIUiElx7yhmYr lYqtzaI/ZBgGN591wCdmABccKxhl4sj8ZzQs4OcEqW1fdKD7y/eysaTU5r9D9v8YeId2bQKXQHsa DIqHGfYojbY0aKOR2t6TGRrXylkbywLIn++aDv/X44E4MIWyJCqunn4hMH3tyC1VKSQOvH99vJHn 5PJELOGcRWC/pk3c69aSNMhgaDS0BhJ+wRKLXdHJwPtmgksl++13IsaNC+F4wwx1eeSchMpbz5D5 s39amfmqvZinnURzzkonzicmEA826gdd31quZjOFhIPLmz5LB/+G4WtlVEOoY+X6kQH7njTYhax2 fWYjHS5vYPio/HOSv7I/sPEfXptjcp7/V5sI26JL9slVuBh91RX3SCSCHevFLlmzK+D8yKlvqsiH 0FoumDZLXczOd58GPx8LaB4jXpCWgilQVHnLzNpYpIHqmklWBO4xwn8aObHVRxet6z7rPs9hz8Uo ygM1/GzyCyApJJ7cbkUKjMSWepG3x+yCgGGrvTi+U23xsJRnKX8MAJhEGG/xhEOLPRXYhoeffCpu o9fYclBr2XRXUGCjgcFX0N1vychf6LPW509wLPX6n8CRPMqhA6bm0q7/W4IgK1ZhCOSDvLS89DoR 2+nDSpaOLWHfKMvax1QbuHJppzw3FRk4M9pseN1s9ECYL3spGeQj9Tq7Hmp0FGQM4b106QJfpqSW 01P0zFaaJDNMw0MFcKr9fDBZ3yewwh+3fnr5rQNhV5njaBC+7oQOiAZJwippCLS6WE6c5ADFOw3Y V7ceqw4yGY8wA5JbtKnuW/7e7MfvYntkZIuypqvq9rKUrp5Nw+7g/5uuOnJYRw/f5/ajCh4e5/My 1HOJp17jV7b8i/e9NLU9SowBb70pxMTkQMmQ9ENB7z13qeacZu3NEiAtHsTSf8K7U8a1P7F+N8bl JCmbkIjYt2qHLG0ZcBXd7hzWbB8TEcBHN7cpMH+cjXlTgvEwV40dDclYiUojFk/6I9dIYtZovp8a KtDafowRDP4olJR9egJfKxTMWV2kuH7RNYODVjVky3S+NlMEDDya4IFoEWCitVAly+OoYeFrpqgU jDBRQzVubIUHHR1TlbT+ACv0waH6H3uJ2gTO+bTuvnrUNi/GU22IUIG6LJvYoF2oGqLyGMoB2njy NuHObRLY+LJjRDHs0yE+LNEgOeJkOYZVv89CTOR6INJr/MZoAgNQE/dgqNOKq+IhuNEq55ZtZmdT CNkGgJu2/jx4pe6ipabx4ukm8KoS1JKuCknxw9tL3bm2SGk77wAUrTIxirVEmjJzQN7F7lwX5fJu zCdUv7zi/KDTm+tRKxws8/9rhnBevc+y29R1k/sdnPIcKinvfjdeIiXl78m4DVPVLb+RZ42iaLFP EOAzDf68JWAsG75VbtDvrP6TBjLEC9EeYRoM/T7xmsHGgHuIs9Se3GrnuENaMYCazTXALFjw3yZ8 3HTwQj7+3JIjQOSVpRvP972X84s6NQLoiSjz7e4+yKubBcLhdPStX79pwDCaZCcUaw0aHzmgxl+Y ejqTesLXj1JLkuarWC3Nagzhqnr+pM60VhnNs+imRxVfnz2RmcCBXOr9TVYZJQcgUtMGyHIN0xWT dNkDPn/BB94ahWDieSZTJdd5GWx0TTkBX6TE2elPCVvsr6b7r0oNUZjo+gh+RX2tvxu85OIv1Ls5 OxZ6f1t4h4FIIFdJsk4t4BOJskxyaoRXlJ1BKK6YZ3WdstqrDStdgxNNknxpGen3xEuV9ClSIWHQ DrDVTs8ILAR5DxV6Z+dtSEqtYNgjscO21hUqqHXqAKUJe7WJKsdH7qCFjTzuTIn3TW4gNLswpljL HRrlb7Wg+xHYHp/tYdEuY/Ad/6kL71kdzAt8XEXyOe9vKrwhOT93+0NDZ7F7Hln7YHl7UGeqcWVR muPC5q1UIgTjo/JIS2AYR9Z0J0SbOPkUic+ugzZRt4HDo4A5Mhq9vv+rGJ24QR2AosZXSUWP4I/d wy/lour/IRYc7JDjuXlbonqBxH/gEN1jsPsAfTES8fve7pRRXfCrSjXYF0Msj7MTkBwY7qR9g0oS dCy0GU2WV26n43xAef+RJ6npUnpzEUZuknBhYd0XAnSspk/XgSs2eOgX+9tGGZnaAwJ3cNuB4UmI DcgDXCde7ze5tQP5ckAyAqTL1GHY5DA9VeyPUC3KiTpjMDzdHJDmv4qgQlBuYejWJoxEQxpQaHZp 36hAdW/Vb03o3gkbuaZZuvsWeYVO4471uGVSNcyzemAHfaEaXLqISjDr8BE97WZKFtLKTnkvJucp SGdZBQw6k1q5QnOoLZccevJt2ElRo1S6dwniKIwUivkpeMjxZ2x+Bk7RLeoKI3BkqOQP0ew3KSuI kJmJOq/val0q+L12kbMyBfmdbaBe3U0WkienyToJ9yB1ETgjlYZxJPtzMZlZ4LgsOEMpgUJCMcNg ro7t/uN+vwedaoNlQINTIdO4k2I4v/nDj14z4pFrI0Ard5ZaZCShTG/GEVxPrigCA581GNRC5U6z 7hF9+M9vhCArPnXu9RHU5n6dqihttP8xOmspUmhcXc/rvdfrqxSsl/M76r/ctb8UTsq7EaJ4lPaI y2RWVjfO2RZzk17MY8dtPQIAy85v9GSH4d/z42/+Vr57x5D9ECYGMGx+83rlP2p0EeLpGptLi6nn 4VTtJNNx5EFt/Mfqw/patUuPHYW7UHcM8LOFruaSnTcsqv0DGr1115458oFMeNH8q74FY00v5ANj p2NifV48Ij1GabBTPEEAGjgw/M5cpBeItFgeHN8DD1gpETIccTTEBknU577wKSO2L/5C3EjCyEKP VknVS7pjUs2s96hHMQZDxeFyVtYUO3INMPLOI5F5LewzRskntDxcPbUk19kJnCzQP38YBbTOXany p/9rE1QjkJJ8z6rKVQqT6WkSNmm7AtodUudJ+WVAQwTWrZgmi6Jjpmap5ZoJYQT+uQZ7ZPjI8e5c cQ8qXBrNNG5GMGo++mGoMXybRMtA8OwDTVFOZR/ehuFdWJNv+SknKJRQnDp+heQ6g6FonW7C+8VX uyq7gWC/LF4WgfDEBXE60xGrcaUTtPHH4SqLRkfU4By9R3SUoTajw41Hz32A7IXUfKp9R/237cPu 0C1VZbOPf83MEjxwovnsUexNz9JjYbn2hylRJ1pKQUyraY0Pop7RrnH9coYN3iEAJrbIDmaurP7t gIMHhJZbwccNz4EeWCG3FPtVIWL9JRNsGaaetQ38cz8DJgPYZyULC7tfn1UF2I15EcoZr8BkwPR3 tenzwPMsZ52CqP8+mCxz7ta+19QmCjxUXuIRKgwU6WpLmWxTAu7gtkSDaYxD0fryQVf5DHHHheN1 xy8Z5UjUzMcIynVzPI6xeMTFnKsf9PC2JxWjpZ9MQr7xSAxQQu+v8834uNmSNZxoKTY0I06Vz+3l cC8BZZDseOWy0nnvbQ1grB4wQ3rpYdD7NDjBrJjrKcoQ5vLBZ7xts//m6kZATEfzJWH/c1MFiFqL hxO20QJUNyTbaU1WjTi7P9qMey44BlJtqt/YatgWXh9J4vGYAEbSolwiIw8gZ21T8467ykRXfcfD +zVNRe+9pX5/1Y3ibUBDnROLhMOBx2EoHvvH1VORWeobLdF+GOsjQ+//g/AnoFaISBWkLxtapIsY k0xjsgRBeXEkE/y6hd9nCbDIp/7duGdimvbHNE5oCF3cE2nx9zJDZN9saxmU6X1KsTN0MtwG8QKa Fgy8/MCog/u6ueq8nm8BDO5ICa/9G6RBj24n09VQe45u2q143Dzrrv8cJ/n9njM1sIA1KDy9mW6U bivTZhsZLvm3ATUk7jzlEy8IjkTGKiup40ZMfrC+ZPUUFiAuGvW6nokdL/kLK4HP5S+1NfULoF+o G6R43pDsE8G1glb2v3retbcXpBh++VOOBvFlEB/JOYC2ss2D1GkphOX8mWV7HgyNtASUFG4wL5nQ TYY/dr1/xrOTD/wx8vL2gR3qqNXJpm2c7fVbdImD3yqQMydVt4NKAqX16AueWOS8JACwR5ADdto4 wCM4CEN/beobqK25Ai6eXJcBKgAjaXFjYKMgCG69lFIlG6QDxTYTiQCLhTRHxPWf7L/jHftpyErK cjrgdd+u8gpkhWw2+54QU7DpTNyBwPQP/JN+buTu/JONfw3wchCdJltXBqgdYvQjOb2+UCGVlDow F40dc/7NOShzhV9JqyXxWqwUBrsupoCGfcPv0ni7l4UP75d6q8GnD96fixC9ACpYmCa//o4IZjwq 08RyXvkhoR/d29/zX00Gn/SvYkoeaCY9o+s7v7FBoxDj0w1JtIfOR0wel2GKuexZiDhw5vcxtVEr SGQFRSOoLjx6Yn0LgA7gEBmr4APRPvIgXJEr4UMR8PE9NBg5ViWJpP3WaacZALvG1mpwFC9PTZTH hOcth4zGwDlmRRJoSupjqJarBZwwle/bIGo1AKENncav5VRZVQthuOnPYJ5bsDgo1ZHXjmdIbySA MlJsfxC+8SNXFRGG6IbQ676WhonwaoQObgQcjGkWRcwA/R1j3p0sPoeTb2SH+ttIrm752PmqTZUm EtYqXWjiR/MdEw/ySgL/Y82kDhxE7yKU0p0Y3oBe2BzOc7nFWA5Hj1+z5B0qi5OeNmKucmdTNkmr ZSYIKVVmyjnk2Dky+G8lq3glMC42iKwOCh8rrZ1zC07YJqLBD9iNRuMmHvAx7ttrepwB+6S3bYX7 hOD9RRf4QwD4YgUm+FXjN2x3DWHAiAWfUR0mb14Zcyl7mcldiNhLEI4/hYYeDd2ghqmdlRoDCIQu vfAkYBRinGqn6a4m2Y3FerB46gx10gfppFD33dBUr0Q20lPVkrwvIBov94wdgohLqjSRhI8JLAXM GbY9Q8y4+OskRC9Ga822vUH9lnFWdnawCaJUwszFvheUAKqqd2Gnmg0GCry1RCfKX1h3Iim1dofC XmJHUiFgjqdzF+uhENZ/bfxxDj8xrbx2oqBibkhE0HWAMuIcNkB325skK3wAcvT7troEwalNx9eC tFkAgmRp6zV4Rq+TKX8OBua+33j6ewkSSFq5p3pSkYIKhvJGfs/pHvAnyX9++W+RWo6M0eTIpKC/ XqitH6c/4QW3ESBXWlASQYh3pcuoSzMdfYrR3PbIf7pXE12NJO63YAy4syJyCwMAWIYuaLUA9MlS KsIQmyF2B+9HqgpjX5Hif6JkexKlOrV4WkeVi3ONuEtoA5ekeiW0k5nxxUZX1rwuOZV0GdUC1DDl WiCR3tPhGpr0/IPOuMjYyUZHE/tNfeti27jOkWmDShl9rnDT4IRoSsWx8CPvek3Kktbhbi5t5fqh AOUnzuHC2PhfVLUH4uwY8/fVnB7ApM4gGAZnp2CKkT7CMXeK2A1RjkvuJPfq2HQNmk3QpT5JosEs 2TlfhszUCMpsBUKlsGZo6LkA2z6bH2XX8qCDfMP7apMjRR+dqrtxOVbdCyW90PzuBQeLHUgJLypj ddx+HejUNTXNsn0FKageAP8rwla+txDF5UiUBxZ3MCmZqlABxaTjmTzhgwQbjlH/1JGfF8GXDluh qf0CKGtXIzhfoXUYeIsyDoduTjRoBFHnlH7hW4sx/6EFuHLc3v+b8WmBJ7Vd795cqPnxqomfhhjM vnALOTgxaHssoo7qwb1MrElY2fFGjNgFDsUDCPalbKLevcgrqdDzN5/NdTTZB8OokA7QKJc7aRGI B6A/47LtcRTL/o0Dp+NmiAMJLjzmxvo2LKUA7kkrTZhOa2pgSQFMZiK9aKmkV/h5mEF02Nt0Q9aA iUY10UbgQQ6i/Srn7MZzFKSB7TkQdgfehg2YvBEoAHcpA5TTwiCyqGN8gljCb6fhlpCyeMGe2XLN oipV2a9ttgfh1QUiCSLx4r9qZ9IHnmv4znXefekXzdK4QgC2z2pXfM9QWqo/ruOtw+Fn9jBXaqQd IAPTZe15Ihxv32RY4rvMWroDB7BvHUOlCksKCVM83EFI6JNEAPOwECRpUMO5jYesINSVS4kbz8mc DBpuOt2M3Vf0h1C0rYdYFkbP00EI+gYkdGoGyJIck4C+Hk7pUMM4dBEW27W29LkWdfGm7kaj3gZO gklwxrNXRAxdk17l/twCa0HsO89yJff7XRZ8eXOwvtUKMQhGySpM8EaxphdpmAUBChPaiOHYC/Wj qOTqYRhFqK/z9mnS5T4yOTUWvblzXI0eMM34xho2O8N0lLnNSHrm33tZn+LVJrX9Pr7Iq22u9/7i G+jwqMUZFq/cNIp5U0M/4WRGg5R9GhkjFRbi/F25D8A59PvS3mCl6yNd1F5uBILkMwzhO17Rs+Gq IgNGpHJ4iDS4P0mqrvorw2zwTE6VhF99NU13x8/E7Pdie2A4BKJdXR0w+Eiq7winxyDeH8WlT1cq ZikSyTExGr7t3/2QMNFUvM/N5AHg1QxZwC20Ov/5ALbkSajYbZbVl8nIbZzpi8lM6inHoBzkXgi+ hlH3zJhqjeo02gJHbGNpgKuhuoYHCgh45s+FNyZdDiVAawy5YbCunoz0t97aGt9qKDUyF4sZX6Dk B/oJajQQ4/dM7Z9GBl2SEac4R1PXMy7IXLf5M1x1Owu5wjbxN3WFQMLTxp+863zh3Zzf0Y3qEb59 aL6a1CZLpCRUfmh6D8NiFIQ+rt3tTkv0GaJe8MM0c/e7Q/imm91C1vkzSvvKngi3xu+Cyg6W2LY4 r9toa4XJWPePNtjJGDSnt1c0xrvAO69rtHO9ZamMVznUx0ibbEEsADJldEgG39QY8WV6qhvYwBpw F81UAq8PwMrIrVH7tMLF4vAwvGwCK0EDzxg5AqMzB5dLeSntE9Cfd9OYdzCAMqcgp7psv8wwpgZc FC/WiFmred+4WUmUA1wGGHsT03XKt7K2hurxou30hJOZhQQX1FYNSogacdrK/N2rqvL8u3L+ngH1 95g2XK0QTg9WtK1a8C8hy/usEyLZSay2FY8NSnZpLt4+UQpzExhXLPBN34jmw/9PtuBXvme6ETzV QrJgEYnEG3Vj0OG26ZMHnULYIWL8wtIEMK5QE7czHZ7yGzrC3MHJx+LAOTttSGlT1EWVuTiH92Gh zFu9/KgXP/xuFvLsMBMbgb5qMmeunOSsnWxXfurr0oTjNrAfa5fvfBZ7HVAUXx4xXiVqDz964VWN brjPyhx574xQyFglWpBv1QLmPb8qdiHL9fcvm/q6lHGL6UeraojnJd34F+J7K0EDswWVXTRkPU2z ecRD5IcGkPUkQWSkSGbz24AvX3n+06ebTlYvr3ji8664koVEDUvc2aONUgiJpajjM2mqQJSRp2D+ bvcHOZlWzRDtQkDMGUhRaE4jM6H2MUECcnbXeSUqsz6R9QOqa/huHdnoRfEbIVggjMBOw7p7uZzI Jtmv4OsUs9MoF0X8z1+iQtLdeqL6/Mx/E1rxf6BDXhMBcAwG3OmR0DULgpM7Z5zE1wZUuO9kV+5K H4zFP1dBtdZNbUXFXUpjs/FfPUnniccmH1VeXJic9xHrpO4My2azcHelamDRDLboIXESAOW7IOCj I3benq6jj0inQnjVLtL6dB4K2o7sBlzYkE4d/7xI/GbsANWHfb1x6n0OeurAzK1gpLhqDWiIZfFZ LN81puPW4gimpARm3l/Ig0nYIEBl3WX/6QwR5CjlXZ6hogN17kT6mb0ivXRr3qY3fn1EYw3jf2L/ PsrJDSFwUqJyV2+Eoqs60qDoqyxv1XDT43xtKgAlj2pVFtWQ4COFB3CJkllDvawyKnzFymxWxhLI Ddl7MeiX8RIQoxEcgyhVDXvWWY5zJuSUBUTiE4vdCiOaIsOwWpvv78nlBGfuEk8M1Q6bQGT7nvrm G1LJmgjI0OqVFZMfsbcInRLl7/uXSpLJ3M5I5PbKfliebVPhcauLWeQ48RSodbj8Tu4O1IgdDDxv VclcxR3163wCAWCVyhQEFlrDiua5YXSi4hYNxvh4AFYuWx0aNk0xsap+Y7Scj2Q5dCLmHe9CtMLu /h9az4hfYKlmSRpu+rruKnDp4/Q7gH5lHHBx3HtsgjH2PeaklOoPWCq5/qElyNCS2xYr0/ivM/PT gPGGVYhYTuxHUedFqZYfLPKlG545l7kZW9j1QgshmqZJeLDwpOnTweKzwOxzznLtFLSfnC+LS6tN gG7Kg5purbxiYhw7vUan6ZgHLws2vkNrjvIF6jS95e8Zx3fsJgsxtxK2FoPK0TexbqJVuv28kVHf A/CpFrph9RxOT94AGncUwTfZrOpMi2jEnhHDScd1C8YSQJwxhv6SbXW5YmbMiZ8kvrt8iF3YhwHf HwcDSfroumpyOGHxJ9rxps9oxV0IMjIzgKIou04nmSkindQ9U5NB6Ods77zWjT0UFhHGJ2wnlhNP LiZWRRJ1tXIJgcIjFs0SQ0yZP6j0kHzJVt+B8+OCHXT0iawBzta0PQ5WAagDAXfwWRrvhks1i6Jl nFSlbVuXgiTLArlmeBmZ6oIMPHgrQxWoQizjnMtfT7kcaBoo+7MbPFhMdsUNVBusWotkqPM9Oryu HOntnNllFMLnvoB4Ujp8S8BumF+3Ys0511wNcn/sd1eVrdKsTy7XBRrxnRYKYjq8QHmDb4IX01v9 ODY91eL4g9jTHgotB2vqsxYGMPIwLM2zBQKTVQbi5xcwlYo41QSJtj/LSLlDSVgy2d/8O39/9iT6 zz534vJs+MFuQ8KoArCeaFvYjOIJzhweEL5GAM9CJFS4rcpRkXQF7bZB1cd4oUIPXuDg5PeRkPhI cLv60o+jDbBFfugwE89NZa8qa0fOBuBxjEIBogGw3UJwb2/FpDMW5kTxaEdGqwbpfyVSGOQ4yGca w/uuvu0BRugTIUf1V4Q4NQ/TRGh5sp99zWA95ZdrmTwx3Ejw1kNl+jSC+Nf12raEwoiOXSa+0LiC OF0x7BRfC/p5Jjnvm3fT3CrBS7Eb6aq83nbRdNfIFJYUc8qGaWlTg1rU9yyaxUHJ2bY2dcHAG/zT 9OIUDMx3GKmm+edwejg8jV7v7jdwd9kIQx5ZoTzS0EMenBRAnNP+f98Dl9O+7QmuIYJvU5S1bjrW toPVIt4rO5kB2N2vq2Z5MHWt9S0JHBg11XgF8Kc/LbiHga+IviCJzv5mYwtwmI9t/MllUhipMyAN qNFQx+uzUGoNxPBVSmILM68H8p1zR1XYY8iYEHbZ3IAlmUc8xWusPr8a41afHzCsTfHeZhkQzngp rYd9nsBi4ufOVf8W7YYlDfBR55Dz7dFDa6ltk2W3URq3lPEHE1E0v1KJkXtzVZUHsa/bPAJyx5ys cYMogVXgnC2y+1qeoFc9yNLHAdA8hfUmNBkpMdSen3xUuScfWL792lO/9bvDixAmggeZLgs0egHu NOz8PvYh7g+885TUD204yTKgm1hgp/EbBsDrG76NQB1Rx1nVsm/tkwnY6mgrfMwIbgTrS56kJnHa /ZfD6o9N4ohms48JZAU27QvQIPd+bcdSimzDG7UdN15tOlDe0Xqs+lhGwMfmEn1BQfRkXgbW9ddF bPe4UZS6SnymF9/x37OmqL3+f3MpihgKJCLDH6DFiqjTCE2wP3/qPs1A8iS0d+rbjo5eSy1HKoyj dwVx6wcgYIpen36V2OKmf5XQ9TvtGcwExYa/zNCeb85f6F+qCz3Nro7HHQpeIFZaNGCpaZia5md5 yIHycPFpX/RerUzquxuB10nM8vvQWqgD/b+PqtU9YxIqznGyk4InxobNkIJr57qffQE4rwFq3KEp NQXVLkwTs9yVTmIqNTm/bGiAFAgWKhcI3g== `protect end_protected
gpl-2.0
74205ffbf274332f472bb1b05df23e4f
0.954434
1.808764
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48e1_wrapper_v3_0.vhd
7
25,627
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block LrcqJA2wv3tn3mcqbeXaiwtEaI8F9YfS0IAb8AZY+ubdZC74N5h5y22FDgKaTa6aYM7q56PEzDeN BylEGgLlEQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XGfKWOQ6EbECskL5NHYB/UfAleScwRRI+HDL5v7w4XkdoWg2GNpffpdJRRQlnHHrFeXtrsb1oH7P xVQW3WN47ejjY6/gHur5xbPQAyBqt9PFdYMWlJVfrqoASFIov2d7Wtu6fZCu3TuzruzWVJxMeJLB tW2dGUqrj25tUaT1ZuA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Tn+nDOHcM+58A4OocQJF4KVtvMs3IwRtWXwsakQOALI979V3plnrcE/qPh5A9CmSbpwtW+jUXcRY 1ZdtH6KC6VuVoEofg/TRhOXnWRjAIZsEKW8f6F37rDBCEwjDA5XvTdACWm443GTPHls8CRwnqYf+ +M59oSAv/V9YuXXI2t8fn9YlC1t2OpDTHkS+ZYihzkjSb9/ZiHLbMBrRfFFaSDqsj3/SDbNCr9E2 0rfhHXo1Aw+1O6Y7KxGBj3kuLP9Ut6SRLSrevdfSrCeeuA5vfJ2bgx95YyRMsF3VgXiJzJxZo+Xs 2voIpxoGy10eTOLf38vUxFMfE/bjcW6WfPOUWg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nD43MaSLfQgho+0tz/qpgF/ekHgeFA7StidnbEdeZy7FT+mVsAA72++p6a8LB/JVMvVSKazz7QfM FSUWuIAUsjlb4Zjt8KhtslqocpEtEfKOAhHrdokIwiygKEweuzn5RhE9pgacboHzs8EP11kAn3/D KhRZrUOJvgFRFDc7xP8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mPvf/5Iw3pHNtXNYgbXsnGtSLYRz/5h4dyRN5q0wB8bN5TQ9YaBYQak/wZR+IazJGlsnsu5nWs7t m9taNnrbMCVqKRWU5/RqthuDHP8hQQ8CYrNTtK5279jkphAdwPCnRI3ZkGFXmNVfZxvhJUW5PAbc yS9HchGQkq1VtBl2SJlybzR4GXS8OTw9ge5UBldRlhRxsd5uqYbHC923vMsRd+ci/cPQPzJpvgxy n4YZbEV4GlEODrJh6mnD+/2PyNgYqOg6YhTz0KUsHF1wE+JnTSCBnXcogh9wDTs6K97fFtr6DVff bSnTwJisTcX6FVh8cxaq1bbhdYUPchSBikKvZQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17232) `protect data_block 9d9tkMcZUwQtkzM09SrRHC3oKgKdDaGG9xeNc6SFvSE//ysu1HvcFAvBod/tVnUQRBEgkUfm7czc nG3FucGWbOErrMhkBwy3K8G+PEvMR8kf16Z9RpBALQCPvuIBNkoUp/xHI2nqg+33B9C+OoRP1uWP UC896wBnw2oogPdkF0G6Xo5M0r4C0V9OzP+gYOlL/J/wrV7g9+l8ETVcyfoxC2njCCT0OH0xsKVT 1J+Hd9KQZub8MD2Rq2Hf7pJsPwVzOM6S4QdFef56AY6/gD1241WLM5QWbB7VPsX42UqqDgKBeAuT A6F5TPLiQu7/KdiLKLvD7HtXSJtLOYsXYEEq4IFzaSu7wGnZ9MrD3BGgBlCSbjDElrE7NIi8x1E4 zxq2wQcUC+e1FABVaM67EJHZ2rId0XHWrBM/3PCz1JLAcNwFNmshCOdDp2uS2Om2Q6zOG2iAyVNB mTPtQPuAlj9vYtZoVF2zxkuHEalKK0Mba8NUenatnvIbMrbtrl0OoLdhI0nfwfBBwng18F+Qw5ST vyq47D4aFrKYahP1dlzy0hiAuEZkSkrfhRAf00Oc2EXBhA5hU/O5j1dUZgOw/Np3YWH+r3iaAly7 ptCdxKUPjtHUq17vFq0PG7+QFmLlGBVwDEfwN9SYu5+MuegYdIg8p3Z+AEwkb4O1Ahihny5N3WQL jN1RcgUnjwvIQ4vYHbkHi92p2EaNG3sRyxjL5zIkN+JpsuZQW1VGDj0JX6L60f/hmekensE1Gj/+ uY219qcVGi4+PgKcI/hMkS5oNBStQG5n9zeg2LffC3qU+zAnptsCBzMSjAEG3R62Y+nrg2u/jabt XklVdPsfzKzhRVrA23n6ekWKVicJpT5rhfPfCVydZWWGeZqhelz2R5C6pGtmYU/ERO258yvZkkwZ IetfLee+OtRj4fI2GbefrfArawWn8ovYmOjhGaI3yjF/b6CMp1kyhS9/vLQmRxkw7ZLHi5jGhYJC M6Mb1KJg/EuqHsZxdklrFIEEIMu4N/ggBFgZ6xvpmGboTf4WSJsPc5WBFW0pHWYJqexeAHc6YpmS fyxuH5N13IZL4OpSc5o4GKS6176V1d0O2BEheWxw3tglVBn1RYd2tCZUd3809/yxx1FxIRibassH gBS6ku5XpsZdHZu/7eaC/3jFp2X73JdEy9hSLPZz0zByApdhVRY7DQmI8jnTVPBCtV0YxKaO9YY6 BOwjxuU9UtH6X4NjACHQpWmvV3AUkYqVrN1DWyFbEXyOcrfbn5zu1TFDdqb7bG7IhwbL4FrxVxnH jeWRhBHHrWXcRmb2xCWku2y6hhNyNpquIj2xQyJvXYGBJCMJPRgAHFnToJVigy3jhW5mRr9/T9Qo n29iFM/LAWNOr9vEsaG9RtgnaeDlbQxGwcDfHO9tgWQbyT06zq4MyF5nOauGpFibN7fWCgB3vgeM +rYM6kHszexwh42Gd+5UR2bnhtXLD9e9tCowoU5fji38C3acGE+hSMGkbkEkZPu5jaosmiytsJW1 CbtZa5gbPM1OKpAx/vGFPm+mTD6zMbHkPgbSb2r/QPM2EvvvPbeykCViJrgVzcXm3aJmMsEs1kE/ fBtdab9mZoFQbyl+56fB7pjS7FVtp1CVlYCxBGsGQ53JaFLz7JgtdOlLqGrnNHJJ3x3tIB7ubtdW qbf0mnDWP7OvV3npyMouMtKb79wMxN9p84cBIAHHPJnYcG2wcK0UXfJPSs9vszNZP6g4J4Ud5nAE e3nOmNst26P8tSH36y3JIahldZ2rzKxn7SCjiBwJniZPEnHaP4XTIN4iPml/B0RF/0O5h6SRpqqY mhWYWWFrlDCdYCqFPg2h2OjYQ6hBvZznE+r+hvEVXDFQOmN+wfrTdw997TM/KYVSSBDvKM1dtlAi Rwj+zSpab45bH78pxws6UDv/WMmPOwBKw5EIp5pCy2RU7IeH7EZCWU82Ht75HOUQY2YX6unxUzzw TH3U6xJieYOINcE/rnijhalqypSNbnLIyhR6sHDw3zqgxO0nM9O3QS/y0tItLCnccKThL04JGu/U 7F7HSZMtdz83r4ZMs4Kxjzg3Po7Fnyu0LqVAJ5izDt7fRnLC9ttbsHy9EVx4tPvdFW8/mAdbQpd9 6xtF8dbrmt256o5Q3qWM8aZjHpo0XVR/uKIX6VkFnjLpAVvXZSq1JXentPg5cIz2tldpbR5/HxpZ XD00V2+kjkk8m45SadE1zS+gLSqYJkjuKzWnxX++kIVfZqtgLCHhl+9rlYjKShXIKTz2sXt2thLG FtjhlDa7UsZAflrFQjumfk422UUEQgP2zbr/eSngHWZSC+hnVBzwTaVTPCxP8zCKBfPpwPjkiR1U xzR1uGVmKMAfrQFsXCvz8Ts3E1thoGrWRJOoo2+PLIU+04ENlxbNY6aFiBlCfnni1goTSC20FNpd JfWNsnXw3pjjypON3+cNh+X5cjoqlxMIS5B4Xr3dLZEEfVCjMUH8HV57XUJkp9tY18jHm8iPsP0p CSpTi6FYTMEh/tay2w/au2j2gg0ksK/EX/XRSb+jGnXcNayLYZP1rDzJT2e3NnlRTonA3kzCqCdd 0EutbSNvAaWy90kKCHddjoa5IqYaVPNjIr4EtChK3uHo+qH4IICzbs7dGmC0AUQJXMN3Tj5VusTf 4a+37jZaT6cGiFlneFakbRZFJxezhhkl3E/6YXmJwAANwQXy2UfLvw01IYbEK0JwICz21VVKPULr FsMtI+WX3NuLgXp1e1NtGFp5CXTgfuuZ7I6hEgfhFimPa67xoK5MivzimiKdam21URxlex0TVl14 n3dobzfqUrOnBPOECwNVlZUDvjrj5ulV2I0TS63GV1WZZQQMxyY3G0+TQn1mbByW8fyxFvPyu2Sy QUWCTmpHUBF/EyDgRRDV1X118ZUnBx5min6dlx3cY1xF00yMlBg6UDCJEbSBn1JC/wjzJNMt44XU OugQQuu052QrdDZULe4gtGOaTFl0t2q6pAVdFpNvpivoOeM9Z491gdXICnfdeL/rzibQQ29bHVCh LqEwgLDEnB5iaDlHx3h5qVANyn38qNJgFAzRpd3cffdYHmAWXWsBF4fYt4r5ix3TYW6xksWjFNjY VVClNtryIZkeryFy8frTimK3D45igTvZFt5vQZQTcFOKfzWKdeImX7C+gC3fs6KUXsKAptOPSjC3 aMtJS5ny+8H0+NbsSvalPrV2w0cAg0HWbscpRsGzRP493MQ9qJDtHp3mXntcnMvM8Gvp9BpaNmOI KbJq3XFqZNlurjg4IVoJtXOQghlyY96rKffzYoxaVhQr/363pe8ieC2sYn7j5pB/Tli0WkzcfBGS Df0JK4q75CSlwiIh8KHpNHsiUFcxBIfa56lpt7H3z0WKyUEfDUmywd2DgXtJomy1RF0fh1NJOfcR UV+1gloTzOYTx44hO7Ps2CrhTWfRx4n5+xygjmfkP/ZvbBPP8MwPfMCyhbCGJw2OjdvE4P6F8iEw IqcOarcQvreebnkWw60etRo63YU10ITEXub2z4BCfJmXZ2yByqAiKNE+7b9rDIL9O+2yOdSV9Kyg hxyVb2B2IooDTA2QpoRdQV6jfFQryH6+2hXtmHo/Mg8S7z5mQZwmsl6E4K5LqX8CKTW23U07yMnk wi9+SHoBgc+Tzmg4exyU4d21Obv0GiM9tRBWShJ+kLDpDFRTjuwJ1mtFc6pK4xyH1yesuAEYl7ua qWPsAtd1mn1DO2146E5jvJ4+lJ9pfDVvPXa1OSZLdLf8kLWupkMLTKH/BSbse/TP0uJPcButwjKs LdQjrWL2PCej+mg8drQ0IKZ4nqH3pPooW0NS2/KftCEfeDB9FOP8YnRtDEZYT7eDSbMGzTO06SI0 F2B+7/br7sR8JJbgt+nLq1ZRpiZtnGdav8PpKNbriAne8kZ512R5s4dmnUMl3lq1U3qOiwVIkm8x Y5fsnhf0ngT9rbZSNsWJXpNdTN2OlJQ/4dYejFGtJMIZrb++F/7lpi6rO94Zmt2itBcxWd5SUUXj AxIhNlfxNmCSVM/1dJFnBzAUw3TOptDc135gWsBvUdGqpzK+neUS+s+pdxKin5n961FKQcPNBtt8 5m3B5V+cf1XNppDcfuAvwdOZ/0WQPoalW8n5t5Dnns9+Er51bei5z4tUuH6LWCKkpowakOEkIPoj L/G3Lfo2Xsry+uAZOEKgs4lzELXbuJAndTDD8qSPenVRTU7BgmQ9jOXvnKJ4X9IKn3hATTb1VnM4 G4hn4OxkWz8feF4Jj43tZKtXfMDe49znOkOQTZClSmzXeR2EhwoubrQJqLlOF64nvanSOEp4Gp6s s52fnxiG6kf5oA7sAMucuf5d8vy7QUB4VoYNMh86YToq6OvWXg8U9KVFcsHrkjwxvrpDWwK8+aEC IK80BFrsCpVpLAuO8+1aZDBdYCJDl6FyGdG+FaN3u9t/FFTdVz/Sv3JdUTK8/4j/1IvSBMYnCbbd BwEy4uilkeJnbGcPaJgAfueHhS/1t5ccRa4Xl9xnGc/jXsSbYkk3K3/rgU3NnNSw8AXHf+/mGbRk aAOhpgvnkOvM5QMcQqyEsX60vUnRAltcscA3vsSa4dZ3mN26XpjmZ+3+BJ7ziz+DIqHxuO0tL1cS OJL36VmhkezV2fSi+KTVnbiKOu3i7O15r2yAhAZxmACF684w8ExHEaKowbP5G842bIrVB/XZsRt4 kHehN2pZU1Ecy3FpCC7tTaCz5PruH6epJrn3TbwVWxuG4Bgceqr7DD6VtEn3guHCukQoMc9RNgbQ 4mSWPw8Z1jSNZEvmYsV6qLQubIqfD7Zi4+YWq2FQ/P0sEUCOvs7IZxypAQzOncVTl3bgxcwaisxa 012mTNTvF7EgiRwbYhY0ALepE6XxSBZ1xu9WVVlzeYV7nP6GmKIZJAhtE2WiFHzVGtdnZ2jq4lKk E1RUfkbi+6uSfIACv4AhpNoVgMQpdzi2uNXnvgdCm+u+ZoiLkBQv0y9AZiPqhmIiArUHb93pzyBC yOKpVT5CREWbwoI6+9CT+iuh+NXyde5jE/EuPWrJ+Qx766lgHv5TMrY3fDvShyhf2vDatKBlx7ht 8CK9Rv7IYP2Eqp3NQ9esDT2LEye3eyYh1ZZGRe5zlif25cJSv8C37jRqnHqfXlWnT+xX0BRKKeB/ lj+59sBU5uTxcNb3/xJ8xfcWBKhEGrvEb4g0OVLfugqyy0CBktjoQ+2WWq7i6wqRFYclJwQoMx9Q SJt1Xyo4IgmeZjtn0JzwuwlMpE/NH9e7GS/noLiPaGiVRq7SiQc/vryylBNWZo/YgIijZIGmuswF +063XbUHLKUCiiBNy7IIaSyJs0QQvbFvdx9WNHkkAMTCD64HMCTXntTIe78v+lVmVewECj/P50WB n2UX3gcyw1qgQ3M3+ccu+OdKWR3DGsPIKndKvZ4Dwci+6L93z7/kOLEH+T8/AhVDKAg6LSNseZ9f gFSLvQYOTrJcnjZM3/4xy5J9uZAISkDl4TkJEdjSjaEOchwxOn8RcJSbt6if/Jk5gzjEinvL/sym XpbQIByFakD5vqAQgW9KA06VhpyU7rJzIScaKopkbMNNTrvJYNOi0iPPKHxTBMwBrNL5hVAoetKR RTnu7a7Syed4SI+Fl6vicAZaUh7Sf++dbapwHOjSVc5zgzHe9JPSsqfo1DJXMSX7KCIIg8hx+WI6 /jPs/JtpPvai1W5CbHuNM3Zuts3inDBhUp+IZq57Xv2xm3zSgY2kLqqQvt2K9pmmbz3EtXGf2HDT NX0ue2KRZYskkZVt5h69x4rM6oOiaqwyu15ekRZBLWurFArKBE8WIrXBqwEyxrS5qKlkWHO6PFNv Dxh6U2UV58hVs+m+arq+87/a4O4UU9IAG7WjMRx3LIphKvyrlt6x3vV3GyPhEwxLBjs946O0I0vT hPAwEF+mao/eAShVzTr5WEAolskpIHY2yTI6oEslQTOw1hlh36cwV32FXS3eI8fApA5+yOSZ5Zfm XoiRXN8REEQqDCAnJlKxg4SjM79wXH4oPDYMexTtQgwF+aZp0uTG4/uQlLqVKkw8RAiZzCc5/2Y5 QWMokbsraDobuiejsEEbz4uDct8O4l4HMzJXRlm+1nKLLWaS038js6cSjW6YsAAkVxz15BtFZuIF Y3qNw25gS2dFAL8un03CVmuR6ySrjVVycGdFYU1K3hbm3Y8jVt02sbM7aYTx4lJ/UbWNRME8s+HJ WAkfMVNHlaJrMpaDH2iW77zbNnrvyiXjvSyIcu0nn4K3eAyiak9I7upw1KwW8TgK/I2MESlZJo8V eXr1pcHLb7xWmJKpgH7PWdIsD64W4MEdItzW7JsfsRAhSFFkGkaIa9/uB9YGcNOTumb/A4qJ8ToI 2XYfS8PtlKnE9lamDWy9cqFmGrpxe8v90MGQp5AdnPWxa28Q2D9O7i65CMR6V0Hlougev1e8oo14 yr95PTXGRRb5CwqIeZBMvToRnTMXWdFNZsI605Ow7Qmu5VdH5tuhY/9E4SVVOEDovcbjYgPhhgy8 ohTPWZiN1y2ZfkA8fYz7QnT8EnlMEbHoL/9n03zw270wQjb7hQ4ZPo4NJrH4AM5sK9KFzAdSFeO1 CFvZWVpfzT9hOn3AwY3X5ZiZ2za0Xnxqq47zNCP27qW/XgcE52wNJu81vD7jolzJz5RSsjk8vF2z f8cMGN9qzDmpuF34b58c+lgrG7+31aMzC/afcGU5LlJcwXRFP0bOOTdcfYA7h5GeREpgs0Ps01W5 Kv5u79MtuqAafVAAVDuocZH12NfYKVl7k9EY7Giak/gyF0iVnrpwOg/QJxxjUTA4j596iQVmKpeE hj7MmXCVqbda6660KOMrBX8ctpNVDsu2tZyPRw4fycRZnIZFSg58AUTKPieTNucfr/QsGSP28Fys XOwlzgmqLdyJ0UxpHtIzcnFZOVT4ttWF/JqO+PefIqZeja1GtZuATL3Uz40D26J7IGE3N0PSU3pS Gj6yPb3//82Jzv9JjUvrT4z97XwO4lNY34uBybDSHtyR8KZEBK994XpLOGdTKWZcgZCsiLUN3VQ6 UssUedy0V75rrzBDbQg1h/0qH+2wPUUMwdtD8OCr9QHWnU/kKBdTbybn/jQcefv1SRI0/HU5ZcLZ kTanoP1s9tWmHWcPhT0lrfxsJp/b6KmqrNdeoK1HAPcA/sWjCfnQxmkVKz3xYjvzuQp345aWI8M9 5IrEQQQKYesD89LeYueGrBzU2KAGKp7xgSr1N5jCz1iRTSmXcMgfYR4FQJb/44OktSRsUU+77l07 8yQQZx/9OcO1TRyGAk3dteI6m8pPhKcxlx4g+rnSVi0Plrur+0GHpbmeVJr4OD2TC3nVA8e5t/nm E0WPGBu0E8Ckjrz7OGk1Qd7s0ckdsT0Ma4XFVwIaPPcDguU/g/PIoQGZjqlN2zq8eO1x2bFdpROi oRtFletnzjGHX9OFHu8RvGH6GwiwD7Km10FtnEVRK0nFr51d1FOjcnVFbswaqyIxPXN+YfWih5SJ 27Ok+szvP8Pscs+5vJeRvIwBgYmDsSDFaNRUPnQVm7eVZft42JybKAVbQTvK0HqUz70X2Lgx2fFx jLlmyflZsYlXMx+lbcQtzYpIJQres3b6ltxWQDmrQrSwvSISBT4xxXD3qHSLgK32NcPttxC3hWsR 9be3WQadenG9vpFJtN59a89ogkPWKPaqIrpgwGt/nwoPCDxjNZbBTbWTNGjM8M0IBTLI+SGtL65I cBeaQVkfNz2kkNMuIIgKso1RNWli4CqNjiHIkjjLE9iXspyCFaE9mznYIAvet7Js5RcD3IlVq3bA daC+dCcyzW5lk506Dp5WNwGFiTytf867tEQgLax3mq0dSF71XygshXKGBSs0Rjvt5S/l6uF4elLD WLX0WDWRNyBDZJL8rQI2f8VIqXB4tB3ZvGO0kML10RWK5v1C4+zioDn9/8czKgHHCOPGB8rHEvBQ NDPIsphTIMkxM1RbmZWOXnqHzPU+GqI7OqWftiEIzRSQxu+JsMRo0L5wxesT7UCWGC9frGxgWXkq FwyeYH5DBtzjqVJelLr500Pb90MK4YK+/5A6SoTeBmxz1pOS6/Njemf3tp9+E15kYxxeo6owjreY EkjNkDvk5BqvYHbr282Np7TL34biCseiXYaJ0rpwTzo+A4PW3rzFdrE9Mh6UmymcpOKe4pPoGLnU Nd6tLBZStANKPolreULbDJlgbo9cavPXCb+0C3cHIVQrckEu1PXay07uDbVKr7S7Mu+vdcPlJvBK FKbOdz+og0jaETBD2YWK5itdrAzvY/Bb8RQsAp9XIcqIinSp3WdzzIUBL/DCxDqBgbmWulaP3tUU ymjQCkvMtZlW0teS5sxr4DvX9/0LSPSWdovg/0yaxQCg2z4W7bylZ1pphaUsCxDn1TFHDo/8b+DA BKHF4W9KYGua3s7ngd3Gxf2Or95AEqKFpNnbf0UBn5vTCevHpCho2eVlmuLTmu4AFafH6xoZvA9S N7cTONH5BWq3c2QXGFR2IbsOuaGaITLUW2Lr5CGigtFcCsfnZ5MEFT4+6W7vMFhY29qjcuqSP2BA zfQTKpmSlM44keo4Csbrka1O2+R4rc64yfUNWkSV+evLOdJMoHmZOfZsWSFkgkaGUcrvglc7jT8x op6zEXlYMfsRyBgU1kuVqPsYuE47VvhhIB7XKqwjm0C/NRQ4wvPhKQ6qF0iQtMnfMpNzajBPh65Y IlIgvhGZG5qdWLCTyaO5av9f1Dth63pdEl8j8MiWSCI5XwqlBDlZHpz7cm9/nM3FAwUAPQviIi9a j7XMcsFtzsVfYTMFWV13L8JSSuEschPh1ux42OjF1fkTY2OA3SUe5UmtdUPlbwTMTI8D+KKoosGY hhm809eFtC3AcgP8s9gqFVwdq94XRHfonaaFUEl8jFngvImFWHt2t8xLNGj+STo0JHkVy/hndTjb e+Weq0jOlRI+HfbAQbcG6ER0Z0I6CLQsITPv/ah72u0BB4dNPgclkB416gC39j375etZdWpjRbK9 h1e1Ulkz78fxqv2jtlvlxdNRh/M41CpVrzxxy9QckYbFtgZMCU7djLOsIvcLw/D5ywWdKw+xYMfZ kQdIX9tU27pvCar29FbTMvBMvlzQOdN6kVG/+3JfdPsIu0XtTIkbdqKkR0iJYsMOfaRczTYSc1BH i2bmHHNfPLXyruhQeBeab5owtLfs6YFhOmGLQ5A2Sr5V1Oe6Rfa1DIge2R5fpDHW16oTk1weYgZy e5lttRwF7A3NZWvmWHF/EZ8nlajzSmjHxCCLbuNksabI9uDy3kwk3prSPXZeNdnJPYPQyTpwTQCQ iwoF0B7+kNbgklTozBWwxPwpJQ1Efj8s1nAsElhEdh5sw/hqxLMkjpBhhg6ycKXGoWnmI9Pfm0TR m9Mqf1MOAkCqeJTHuQ7K4X1KGu8LlOUniywLivbLly6o3Xct0bm56y/vN1zb2yE5+r4f1oY199So xC7aeDlCCJq9x6ivSZ7EwpjpzX7hN5bGjuJmck76q4HLtXepmnoINTti6tDY+s4rLuZAQoVsHPqn 7irk3IPLUJAyiyA7D1gLY1cyVlW9dHN3iT85ca/CRw568jD8423lpTWAPz2dYYym8HxJXZOT0Kt4 1Pw8saktx/W3BpdesHldIZCZQyZdq7imDLJ+wnFmyzjavIlMpr3cgQ/xHdbJVgbdGti/fwm3d1my OWADCAgO5t7wIIc/bqWV0c/L0EPeC92IuEXDKAuEZzzqJLaNnErQAgIcq+xL1hsKtp/fV0YT7xxX UhkRQ94ov245wYQmd4Ys8jdkNVBxZn+0+X4xggmwnF1B3dg99TND1fWKNtxltWuKrKrRJ8fylzx1 9lR9XEzeNZlPlgOXdvRSq5ncctKge0EbjMGmwDR8fEQnztkG06SYjglkit0LttQn/6MJ5PuJ9tjU hk0Ak29ViC5YQWDvOimw/s+f1UH1/kX1RPY3D2b7dhN29B4W0vcLdB+cQZFfD2BIzRmQtif1xIrC 1gjlkmZNHzQ9zKZBioI8N4hx2AoNnS9vwtAx1wWixXoAqNEKezRHf8fAGGm/R8RkkwjxmJq2A95W cvEl4Uj1tId6eXPaAWOktAv7RAbtpQ87+HfExj5GccfDIBy7GZ38JlSgg0n6GcRvEs86Pz9wAsmQ 8pM/4jnQ8Y78Pno8b/SrTeE5WQMPjTOCeyQ8//HmC/sNhsFlXoNvGTcbTORT6AUMy77AeOp8fk92 //JCf1l8huThUY79iUi6W1FSVUYuFg0D5D40no3nUR+K365a7qgyld0yLHWazqfeYbUr0Tj0NK+j LV3Na0gfPNNrr+FVimddHP2xbYJVLKciXN/kqB0QNEkaUakArvzKeC+6/jWowU3WLthwD/Wlu7yc qmgPVEK4kOF93MhNVBv3eLs/lLcy1t2EHJhnJuNvwJ1xcftZaDev0DwCrrSZ5FkQJMUi32FJmI0L KwqfhvdDUsZOuziDm4cvRaR6wlxh/g4PUxtXxD27B0RTgVMpsuhg2U9vcB+vmNEICPUY33vQ87UR WKCPhX8AoFDQpIENcVOkpvslIASxHPT0/kNKaOmQm3RHqbffGGLrVh4LrJq4D1e1Ia7y0CVRItAk fml1YuavnunpoZ0GeiuzNiR2DdeRT56ttPdZDhHPnGYG9sZI0VXFUkH9R9pqLXoosRqGsv502ZkR pyJ54HI+CxYniHQJv1fDGkBmwpFkg/Uz2QNEs1IwehagbEMRzfMMOI6nxXRHdpgj83BoGcE+oYun fen8BGveQAoiH8OpHB5b62l/QDIAS6SW3TUhXWYSwwatHmIALPOqd0XzZkhgh13Z4qaAJ+yPFg9V aV+tIHkLLAAZTKwaxMRqPAxK6MC4Bordz/7X3OfOWDyi4lT0rUoqP1rJedjdDEAva9yUVf7jCXkA 1U2SHRM5inDZZPLyyokUs7udqbQJyDpXQxYtLz8VvkYJRLAP0d/9gO6PlegUgyWJit8Eu96G+Bmv ES96QTAvSAVA0xYQZMcnsCR5zbcOZgSuIHz4CKeB2hA0a2ztjuEtw6KDESEByjzklNhC5OA9DkmO x5rFeWu8HfZ3/heS9TeUzfgS6WnVgC/QMRdI2sxWnPUyPrWw3CCWSBKU5YH7mVXBNBbIU9S5rqX/ aHTvaKJrKckJ3RpgE5XOhCQ/rXVYqYRqqbkKnIds6E3Nt9ukx3mme6rkmu2nLCtw2MHNvvTTXyeh 3gAdTZEKvm5jMxCmleAFt0DmM0sa7elaJ19jluaxo7LvqXBq1UheF7iLn4DaFh+58krcEelxTq2l eOVtU8rgOkT3j6UwWiDjT0JLo3xDCFlvzY+5KEJEx4euL9GVc4fROhGK7zs+uc0wmrtlC9m85LLn vsJ8BQ7veqla9M5N8dq69vRaEfZUxGqcGnN7fOTakNJq3MMbgCE8P1YipXXcoqZiC91uQt96Ayg6 TpBa8wWcBXEmuB7BaxIlIy0ghnVCx9CXF97Dok/PIGqjbEUMxJxi1iURxduWEdh+FZQaevKZgvEU kIxwFsVwPE/R9kZL6ekCDwus/MRNSRLt0LXOpTLyZEdvPTOUfQWx+XBD7joh0xC2fAz2B2Ca8Jlz +ynFLQapOp0HwqTu1YsnXNXpUNI701QLuJXscL/202jsZ/35DxLJGEyDAyEVMti6Yj77R73KUJNx eII9wccEWGZcy4ShJiaj66NdKS53mbg8LCMQbU3ouDKHn3v55jkui/k37vWB8R94j/z360QtzMJV UBp+UQxE7aw7wJDjgJIqHT5899NftLFPoO77WswB71RjriXRjtZoV2tRQK5qfTA1BGF+/to7sWpz JyQX4JUC0r0+AO1z+TozQnF9drjLtuEb70BQ55jPaN93AKJWXbWQ4J4CBf0/VDOodCSvXSow9fXV wT0VDKGOFLvxgEaO9mWRJ7/9n2aSo+hRUrCQa1dtPq6qZMogqtKpRvR+JpbV00GCsyRUXQwL/MNd AC5l1Ajtrq5l5RZ0DOC9SYYnA9wJzwmtBpMdDrHy6tQtAY9XpDwkaAM2DRc9d3LvkMiH+zkPbfU2 BVbtZEJEDEvUdKWP0NbaJRG/RkeVQEaJYZv6tk4b9JLnh4c0TCuf3mQPapoCKuL+D/e9cnZRL4L8 SiZt1pBR1Q7LkrFlobQmgc8kPq1LL4eWfx8FCLaepH0tvk5AxZn6QVAPXFFQph6P+COY9RO+0SuL gKrGDXAzIn8skYtflKOWW3Egx7ZrVloOttIhDVYhzKlQEzEb0ByAxNhIltjhAkCeTlWW5mSjrFLs mjc+kZcbP9Tksdyl2ne0Fz5qDkFK0HTFREIAJQ3pRk4kPIBG49dbuwtbJgzCm73P4cvmGAbTVA9Y TUtf2bECM7tjI/COopcPqHaTkvDcOsietCf144hBnyNmyPbEYe0vN58pQr+/IL8lq9KQGmlTZePn VqTJSkgGQcDrEtL1ygO140nQrH22cy48w0jEuS/r4MbZarFkwmlgjjqCFaYGXlLF8jwo/FTD+jT2 5/bKLZY+D1z2mBOhILJcTOFQw4TyyCH0v3D/f98owjL8SpM7LF4PE1RwtTUYlp9oxnpHIAZDZt8t 7uHo5Xg6VKYUqc2mcuvjbQcgJJbkXp5FZqVCtWuKnw+5vaUsGzzCNYyrxXszRJ9K3xTtclIALKkK p6y9uVW4Nu7wUfImB4D9mVqeGbSu+Xlt53Zi1yiIXKjd1IXRLw9myZX/GhS6kvquOy6z7Y7yELuc cTm0vv1cuONUEihoUNoVZPb19rmsta1pntGIF7kCj3c3WhiXvqetAMECYMjqBs1pgBBSxOGE4bc5 t58zpcerFdT3ORQhjkHZDQTv4wpSyue5s+hA8MhgRusAwdPWqUylcJWeT8x4ldtGOD6RQ9vst370 FyvED62Kltlg+rVgxZVUA8+IJhJX1iBwY5F+Hj8dhQ1jhu2ysp6b13Me+fYll+XFqGV2IWm8jZtu VmMdDoQl0gC3z+j8mTPB/96fP+sR1IS9V2siXihUek2le5lIBKPklh/Kl8lJxh9A2m/Fsdq9VG8n UPdSTunGCe3h3fg09J+gzAZcTA+2PeUrUXfsGlfvg9UEvKT/EDcQBXx9+aZy4tNp62xKBpWIgPPH MEAckvs/yMfBjsQs3NI5RbcNEyuaQ0Mrv6vnAyq1/9w2AuY8PMzFewyIROdS2N7vZZ5ohphyoz/D m/8GBsGvB4/piaPeS7HWMB60lZ3jnYVBPtU2UZjXSQCm/FnBhcVUGpDC5LBrLmB3UCsPY83twH/o 9C/71Sy5QDGxUJ7aYk2U540SjLlWyEnRW70suhj6Du8PcH6VhSxtNqUMzywtKXZqA/ABdfeuNygH fHNL5/Pnk7wkznWhPVJ3Cu+vOAaBGkA54zT83yK6bYCVCpcMOSKsyop+o9in8if2jwiC96Wlw801 qfOdefucN9x0k2GPepfRlVq99n3vHcn4jjPjEge4o38Qs58/Kq6Eyit1pKsDe6Lbt6I5yzpPwVbi ub6CTQmtnri1tll5hTy24ip1kV5f5qdiPdXgWyBP2KOfryfvvQeidQzT8DG5k2JkghLssEjiyjZ0 MzECdia+Cihogp/Tmf+hGtlm2DjwooL8F4JSFtynecstjI07q4Fcgh+bpM1yhcSEHZ/GruROaeRj Co3vCNB79Cxu1d6iApZ+3WQKgZrCsdluUQ9Ya2wDYmL6QG8LzjR5+mVQgbae3tpy3lW7yesAm84X wmfg5RdgjQ8qwhjz1gIvCsfhH73MC86MGwdHJmWTRLVx4ReJUYjunm+9I7cE3pYGOnZBrgzlJJo4 ui8VovyYY63MzdClNTP9IhU9Mcopao6WKEluU8b8bymJqj52o0/F6apV7ptw/34XLnxh1xTZpEDN 1bex44IqG/49R1al/duViMPpTI3EsRvtGxAZhZktNQfEcRyoRiViQ3lN7EX2DdoqCI4axukllAeT UJZKpKEfAru8WrqLQbeoLRT9OEo4NchlXG60Xe86aUXvKYV43uo2G8KS+5taK2gfHbFEYidBVgdR QUuTgDej5KiscqNIuy9SLHwIYAefuhsh6joxcAZbLbxdQ8FWpoyy1bqpNkFea22qpr9d1tEOBXEK 2rophnG8pZ3LPZ0ATApYTXf737R8Uk9JjT3KUJXZrkF/GAs+i+90XsExYAlXFWStfRR31BiPnyQn GEjcGB1k7iwDhkV82tD2wOkRYx390TyW7O/rXgwXqHrA0tXUjeTWNn9/Oowvdj6VSKZtrYlhMUsn ZKvd+1MJOaXK7no8UMyWVTMwJEbXqNFfREs7i8Lbo0iE0lN/4olM+zLyJhCwNue6t6rxHm3cBIo0 w6OfygCPHgmPVkINkeFQLOXrK7PUwXj0cH7VrrQwAkS+xw4dKFx4BNMXD5jxwvcyPdXOM+zatu4n 2batsNreM0M0cNBEeGeE6Lk75OsjIudWWo/4gipVSsLaUNNLeFo/g49RZpXnPInOTZhCvKTVIIrj wnG5GTHWL7i4BqlxqkWMzYqBF2MR0OeKJ2Qx7sgtpoPCx/cx2d/Dcjd6Y9nbUGlzmWWYuXMa5PTq rlXeUPNpxiIxoUZ5Q8TOeGfm9dimA5szcd95waVlusW+fB4wAvAGNfhu9WdKBU1TEb31y4oK6cWX 7BtOoMuTOFb6nWhFp9Z/8VAGEUUDAsNTMY0zVO0RTkfgysokXARG3R/lNOOXFrNlL3MMEvv+uYQe 9HNu/C4WWE61DKUZk8UF4uP29CFOx+WKjk2jpK1Op4PnZ81U95T8hUrBGCbBRds1UjAyFWEwDv7v dVtIPxrD7bOBfFJ5r3adx1XqfBit/U/wKIus0fIP+hSwdAtPi0ZGIaA35tHvuwnp3skvgfhvpJq1 57iHBQQ+6nuSBXl/RybPQ3T0Tgx/kFc0AgFMGHj1lxAvCO9+7Dzr41Q/ec5XqEFaV9WhF572CFJ0 bOfPWHGjfaFIRnJwddkXiBamDj7k+k1fljlHu4BL0tHCwd9TrYsFYo/w6Hk1zA2QWa/FYWPKW7hh 9Zfa+v8gxhVEPWuytgMCzbZGQt7eIdJoyvjwMVAxriM5hsu06fcYsfUe5ZAjdn+O+VJwCxkz6oMF UN5tbnuuoC6jXslUXKKPDWkIzrVMS/P9spkRLbZw6Q3h3B6J5Dlm55k+oyoApFed7Bh8CwzRrFb9 xnMSvlZq+xWzXlL7A6W1F4xRLLkb2h/k8RIzsDskdxndf5uRWqHD5leolpnMd2PX7xqT7exuyAaV dbXoahh1nLRuD0wgjL/HvlZbW4+VpRGZaJl9S0F+IiDLWCyhOXcz2tZqtU5LR0qvmMoKebimDokB hJ+XYEoyvfZeNUj9xvd2pzZnXZVk5krKk5eD7ns2eIfzTIJA5d7FEJm58QiVxzMOJL5xgbtG283x p67nVRzN7X9OitAWQqxTjSdLnwwefRyr5AohPsg+Flx8l+9qBNnawUvCkfvStkhYjraLb+zcmkWB kRd96PI0JwLoGg8yc40HElOW/HMXG18oJ+7YJnA+XjLK80YyVWhFZyHVslbf6kiQQv28A8M3KDUf Odm6blALzljTmeNORgEscN7ZEb78X8wwsX8a58HghOgNIosA0LIBzEThCvGj1GTtOHlrbajvPGQ/ D6mvygmgzEfINM+CNaKxhVbLwAKsWBHx2OmEYQu7Can8VcsqI7lYYy2ehOaMj6857SeLdo6Q4net fuGvWDwkQ/txXxWteuz34gpCZKDromwhB3sTWcxzwp+DsKnXrXkBecfhtv6OSLNHa6qr9qMlY+YB dIoJYiwHB/1IqirmG6BoPiV4y36q7jY2xlDSENiF+56f9wM8VmVuKM1O5KPzI+5/LAKmlU/RO2C8 +/beT/jLdgEFHhAMo9nCBWUHFD4iuLAwX1NmZEM9Lfcq1OhmFhAeP/EeRv9tBD3gDdRVAWNww6ZB IXxEX+iuWTU4/BvI8PJXIaIwxcZiIQ0fPes1mXDIC06R7btOvQzhopigxRNk1dPjhfwxTyaM8L+d 1v3/S7dedFtvg5h+hwHKBd00NGMSB+/IxxVsgC/LLM3Sxu737tY01lk4ZlY+BZKb32XqLsvc3+ze UErWDJxs+q1hfGpBpvJuOhM5r4eKvA0foiW+0SarpyhUgogwr3O1rDGpQCDnuwt75yYlaoDv8kaQ +56+uxvpZ3MGsfDkt7eyyHniuNbyV9uymENoiAhERmyHAeQy6sqZZTFUFxTU9xej2xDUazeL9CFA dqA5+kg5VQF6FHYtZloX7Q70XSPjWYSGozdvNURJ/sjZ/XJ2Jmrjkt8xbjXGeYnlEQEVIHVIwu4e sgmLnd650Hvym5Lro/L+L0qh+3iCSvFbJ2uKCI5N2xi8TSvBSyW5OQPt0gxHA2qAK/vVTVD+t7WX SrAGyISzqv253FTogwYqe/hy02qAgPhITpDDzr1uMrzzDDAsuKMoAGAzds9ViaQsajKK13KJfiZZ AEwthLLkuqZj6u2nDsEAuGO/Mgt12tn5SPsefzNHnSd1pqZQQTd+d0F0+q0A5AIEMFqOkmoA4JXD Z/7Gfo4z5k9kffXz5ia8w/fGGzJ9JpEd72NxZQvylFy+dHrRs9+lcudgmOzwqUhLLiy8xCt+9Fx1 cDj18QeGPRh0zHNJUNgNU/5o0XiyF145QE2aiXs8xXqFwziXk0kyYwmPVe8rxqAJ4wJYs/Oe9z/M GEIuw6pvfG2wiva1IGhYbhyQdb8fNU9kVmUO4YqUs98hYYKk/GT2U6R2+r349KB+WHRRo5GoeX4i Mf01KtuXdTJwSiNa0hAB41j0Dqc8xOk6/Ep64MYDRSpb56lv+Kfm2YZMGT8UkgXAMhf1hk0sUEc4 95ZkyEFQ+Zlvh+flAdAcRko/D3E0+wgdU1/CusQYyLhDwtqV90I8uz0kulXxPXavOsgwbM0oPd/0 793sj/PTBrXmy3f4zeOfGniMb3SPlZx/0Fqsml3IajW2N/+bigUofzUL/up4tVK9gt6wawW8b42H 0qEzZXzL2WqYmZpz3Ov597zW4cGXye2LFNPohEygg1MVshsuacab8bye+GY/0J2f7vQiAcS+nKtw +gWdn/zYYIeM4LvyrVlTEyyy5MbW83rD6B9urGbLENvZnJcNszeL3RFfGkGfvo/MaHiVjhABrhuv un92KE+FCUL194Eod9m/6VAy9mSzr2JNi9Nt5Thc4X94zNBz4JIsg5ZSoUCGA4pyUXVwG57G2quC 0AkVzlCnW6V3d+JaQWoTTsBBK0cxlBw8RRbBYf5H6aa0cE0Ypnf4ipSnkWCdNlKCDDzPo4a3Ljif 7gX8KJR5gNOUiGYquZVIXkpkiWIjhlhnds7+wHTmQ2IaT8yXN9AxJtiKktOwG7vhqK2B64lLJnmF BOpJgIVPzSWSoVkJgRg5lu827SYJ2O0ivSOOk5dh3vVdo5iZ8j9GeNpmYk9C1o/W5qZ2octm3UNq XS62UBAL3tgVXd4m/qVvq9HoGrRvHdTq0/ZZnTJ0DB3rBU2knRDe777QKvr1W4vK5U92+Pxy65vw PaqgDkIBxf+F65/RTw+FjNIL8cPvxoNWF4ix0MvYiSIbkR1aErKJAy59JvuUFpAgqOTqVYKBxTXk ManhV7AP1pypLs2SFFLo+7oxnxNpJ7b5LTT0S6jZmX2rI2SAkx1enVMFd6SP3oP1zTX6Cq84f10c KYReZCK+2pGZoCug9ZLlRfgaoj/8x4/lwvtA0wfcwKVjj87PgS34LDtMg9dfjsKae/ABvuFbr0gB tm/pR879ZAZ7f0SrF3qEzHclPCmDJcj+Z/JPjV1e7+cYnmJLdMKxXIQD2ED/eYvD5tpE+BixbZMd tCrO6Ux2G8ZBeNv7to+QjkSdGHhuTPtiQ8St4wyGxsNkrLsegctxB078FzeeVPbmwX/xURbouj9M JpfvLc3o04/8WKmUfvWoEn2obIlbLVHp+XYcipltd7AAxgx9RyZgHeOI6H1eWj7uPXHKrSyQFBWy WiXmmJWCnyqACacGPJE5WQknZ291WMX0XGQO4N5s7Uv6SlEyuCmVEeejT1GBCDZJD6e7DWL6k+L/ TxGsfnWFjFAd21wXbRHZqdtSB80THkm2Hysw4nc0NkIT3hMc+0+FVRdDqFJ38t3t182xJr96CO4z QkjWumf2TK5u4ntZKLSFWXWcHdxunorXef1Q/sBoMq0Lah1akEE+BAjeVbs6xvF77zCWjHbOhurP sOxg+nbv3RHF5vVR/Usi+CfjDKuPUMREAr7nETlJHA96LuGDkZjSya8UI/RBYMiv/AXIXGY4O/fO JL4yZvsG0uPSRbzDwWH1LbL6hNTayaBk3hSHx2SlTmu717aO1zZkaimP6kIBTmze4oDvVPk2FLbt KBgALl/4OyNA7D852C/Q4+ylX1MOY7oP71s0ZVKHLHGUATgWdjl5dyf/FdKpW2uQIDMU0QEWzVFn Ew9KH59g8pVbppGF1fsoe1DfwwManfxWOouOQk6Y7yNcneVNggDbpO4uElCtB6xDeV6thtpfYOgf 3nlBfG7d8zb+nA86WE4wuMg1W4V9RERdP94AU19dnchF/JEjFQvSZonbzg5BAPSGFORXCnx1FTwi Y57TTqdgaF+82NWPfLV3GqD1lTHdmOq7HqSOOEUKSx8K1KIgmOkTxpSfho3SVgfVU2IZsH3sYPTE gPV13/LYz//P0aktOJ128VTHQwrTiv3Jp0PtZpAogrC2V4x0qF9Yz1fU61k34EyMHl2z2ptpQ5AS 59QGqjYvuMsJZaDgU6rHhviaa31XIAB7ap7x6e8oiR40dwfLHKdsaaIHfTSDRQowrC4GT5OFikYh bC+A5NkDXOwz6NKooeGAwWQ4g6MfV/tlAvRlnlYUKSPTvZt3AjGfwBg9pWfbiZqC8da6agE4pKxc vd3cQvrOK7K4kk1QEkMWADeDYIfNjHf1BeOJDSF9VGrGF+hWKs0U37T64oPJHMWhQlqsHfI5kwJe i1T39bS1qo3y5bQ3wbieuRPP+Q+iyvy+tNoN0IVkwBwvgnwzGui7m6V7afvC6cpPZ3AerLC8AY+B souCgjeQgdhCL9OvwSCvs6WzLlkoOz+/hNHZxpnHXpwoEOW3cdYe8VM7amcLC5MQvpGYc5O1cDUk Pc/YrxU/jq//cH7a/n2jB0zbws9PYky4BFCHIb7niWyjeDrKZpVwVVU87CzDHrzDz8HNgbGdql5s sBf7xzIumNnEk7hZDiUV94w832aO+f0IkJIBmETOLWnR5bwgRtA/FaAArcOx/3wkd0I53jnNaZJn wx/si3e6THKp3/jyM+1/QMHMaknl/VFwh5dvbZF3gMIiIqMyEQbNT1KTWbLhs4MB5mvHk23cpVup bd20ota61nrrbzuEVB/fZ2tWYQBzeE4YOSP/o0oe86roLgFSaHOvrDllARPiLXLSbpxWTtQeKvRx 7UjmFXINGESzdSPY9LKc5p7C5k2OY5pICOrDDXMGYZ3Wd92sM7nqK/9Xo9v4RgJsZYtdaV3CjCqb ghbDHJT8iO7YM4TIIV5HdaJGQqOMENhFJsIvMSuXNVfgRHMel/8upU6oyL28WeKrgTKxCFd4VBjz roMaZr9D9g+YcSLjsUxs/3RTHRNdEOqej1YEBt9Qke1EbYz337lztQS0Gg3h+Gnlgx94hD7EMu8f SxOt4Dx/sBPKCJo3vSvcv0R2nTmyTAve4iv5JKUnK0V27bl6yYR2qTmtIthQU4n0ux3gCFcw4wTx lKaT9A8HOyijgWhFg87eqXed36WGrFZTlZXiGUtD21eYpGlbRDskONWIxhq6BX5ucSjZcF3ymYLx blqbNnxKSzC/yKZqr9CaXhZOAnYnWdXIiCEfSKzBbr6gmiGw5t0bMjqXrHl4kKUl5C5CgXdcY806 745/NqcWU3L6rNC1Egfv6Ze4QzR8QjycHdWWRyxEzVq9XRathjWuMuO0hg0df0RJr9ZsgPhNqu5t q+WMmAeBD7Wv6UJqVYrYXpIoUTHs6ovdOl/8WsvpWMqP5y9lCsaRPb4OVnpSthnASBqUOYmEsZm7 49dWwRRn1HqY+fgiYcCyQ9hjdStY25g4++syHVbtklo/C2MlYcOfsx2YR63aji0bV1a2kD0lPsuS cgEDC20r/DZSAMFIob7csHq6tsgPr4/4uI8pu/Poaa0JXlBJfO/lCa17RVXfwHMn+lDoEg01rGjg dszBRd/B9uvf9deAyN74eIebHQWsdXUO6qEafwky6ejZE+mEy7j7AYnMkLptIzBU7NmEOsL5ZuK7 C26Gnnr3/FCMUsF8Ck/mTQ4+VbMuQs75TRvhj0o0YvHGr4Ms5gZnVPyOYU8TZ7Aee7HPLt6Fi/ZU osPGcyJclsoMF+zWqVAbeMt5CJEa55goWB7asYklF+/xTmxqYUVUjPh3fkEKBPBIkPxPkGxHBKtl wswJTWLDrigGjXudk+SGs4QkoMoOCEWDt5TT9HefsgkxFRqddUP11t/+0UeIXKG3Z2tGc5YR+YrC KMwCAmsIENxT6qLl2YUjo6M4GhUBP7iEzHpxZtj6TnKP3Ox+VXItwDbXj5L+2t6+M2+v/tVGj07s DKLjCkM7IL5pzpk3vGrRBWT40PihqdstF8hXd/Y1fPRqp4Tpc0/ZYzRoOPjGIDPpUg5qLBRhvN+C xxNFTQeoA0SrU+eDl87fhB55b9tibQzn/jeaOesoNhvcXAiGmY73vW8pr+5HdQ6akjGXNOKwGBaR 2bDTBKeVoe43AxsqkztMqwgSFNtFulDkeIJ/prTkwtfUGtKvdd66ZYWvmzIMKeG34yOv2BniYm0e j/JVVNQD9F8L0N4G91YhlBqRY/IhMo0EC6yR5pCcxPlGZwnUBE7bMXK6LUT1s+zidR16Az4TKYy3 hLOPCF8XLGtFtu1suMewsm1INrnyHgInqiY9qIzo8EOlOT3RcI5eI2aJhE22qZbeWbDYKqcxAUYt Hx2A1qXhC+mur/PFGEHXw9nvxA07++73+KhoHUxcHvXZtRFoFi3jBdVEzdByG0Qbwd0MPYV9JZkE EMxk4gxtJ2wk5+w8LVpVb0K33S3Lht2iGQA27ACQqy0NPZjczfm2lm7RRZd8X/5v/crv/L8GYfxC 8m3l7Ef+ONiQzrXODPwAiMRguU8E41PSSGB3fK/EosRLg4DBsnlmfylFmR9pl1WJjV2iv/k5v3AG be1dJFa5Dl3yCDwbhR5B4FAbHgBHb7fdBeKviaIm2sQuBKaB7xF1+vYLXNKD+5ZfAKwFmkYVTU8T KQFHJcPHaFyjbrqDJk1YhdeLKCvbAarhLEp4r54KO38Rc/TNCEclBZnjJWTnjicwO4AIH8BVpINw YWClRNDpHJr1N3UcqIHFd3+m+Q7va383y6M8DQ77qL1X4FB4dHnxE01VjopXMbIdk/F+JCcudEXY n5eOx+Kwmu29M3O4XWUHxwsBoFPesSHnrg8TXNTlecSkns8v/gwaJCvfAyXRdWbbX5Cpk0GOXNpS lV2ZbCikMaJZXjeOybsaerCNBZWioJ3oNbm2grgvm5rYY/fIirJMmegNR8Z3BzzSgcTDUAFlUeSU tj//UbV7Oyj4qxUGo00kIx1al+9a9nbJJsNxhk2DpKEIUQX5aw1SUEjYm83+t1ws/WkXzcVqbXm7 H5+DTcrt8HWlgfmGEWbyVVDs+pVfzskmZLHOUjDheOEbMywrltdfZCOrQr4kTd2gcYHudK6yHOvi CU+s/zT1iFYHN+9BTWJ1EQRuxzYsjQWY/guv6jOWDkkpq8kc43Rni8uTLJjaxxpcaBEOPWMyP9CL 4tuiB211Kyo6lqQXq8lmQ+Aafo2TSnKSrpf9ABy0DE7XIYeZZye9B5w1lBwMvf+/Lt3Kc4r1GwZt ciMXHaXHsxp3Wyvvsl2Q9copd2cKpBYa7s38q/yqe8M3BowCR4w1LXr7mlq/Qmn8QGtaIIRPFWGh yzSdT/Si2OZuoX/MI2MB21vop1mFUdZQ7fLvBbwsix1yi2waWkyloVlZG91m1JulcxtapudKYFFn FQ0th5wpjFXpepb/aV7MRsn0UNWmsvCoNzp9QNTF2JI77Hb4pb2C/XJJrcwC/KYQ0107Z3wgE+4A /A78WmIQS3DmKFR82n3IRPfKOs4rcUrhHjuJs8iVHEuIqJBQ7LC0JFPqldOdO2YML8OjFYJJX1iF 57sOBkSIrKfscXdTXP7/NeUhXaoQKkoFQTKhNG+TQHMV4sgsJPHNkZ3qCrZilWH0KSs3h8VrC1Fp b26Tc+HMv2HzekD5VmF4BVYDSUHNSMJAW8lrhgZ6tXSBQT7yVT/2dIKGC4suADz/4CNu99/dGtbz 0VcB3PqtXL7v53g8aY+ZCfxg+78X8KdM7yKBpwpO2p6NXTQNk/JZytoRaZ9V5XnTFS40L+B8N41G F0zdpgePeAnpO+4RkDwrkzL+L15zMXGuVQKFkhtlpDGN7woXZLoSZzNP2L0ofXGlq3FmvHOkNHAl PXU4KHyTa54ql2iY2krJPq7/BD1QUFOzmSGut85qAyaTsXGxXaiy40PR77INl7sLNUP+af+yodKa 7Y3XKb0i02PLgI00fPR6LsnJhO96T5zfZohhG+5WHuxC5OdQcMu4sJ2BICboTteaWTntjpaNiby6 UGeZnoed1fr/cJC5xBvFO+qjsKrCbQkNhF9Qv1YGRVh9/JOqQblZeHGhP045QGyXrzkumSiSZJEC dpkSDbGVWEMEB0D9rwAeiiScg2hZyzIHK3fua3c+uNxjrOC/04qU8ucBCNeRYUDqWrkEeO/2x0GI lW+q/cbefQpTQdvTSZUHpOQxFZFCHyvlVz93Obf0be7JFZKJRKgBFjSUL5Bw6A6t99sPElMykHi9 z+cxW0VM8aZChgV18kEv5Cmj9mzhUuCUdc8eZTN5Bw3r7fUBG9oQrg8hDaZ4u49hoXpP4Kk079Pj JxGhMsbMyMa5rd/qmmbskCBq `protect end_protected
gpl-2.0
18163f7b2f3e9a89e82ccc4b948b1480
0.944082
1.843536
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/logical_unit.vhd
8
1,684
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:37:34 03/28/2016 -- Design Name: -- Module Name: logical_unit - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity logical_unit is Port ( RA : in STD_LOGIC_VECTOR (15 downto 0); RB : in STD_LOGIC_VECTOR (15 downto 0); OP : in STD_LOGIC_VECTOR (2 downto 0); LOG_OUT : out STD_LOGIC_VECTOR (15 downto 0); SREG_OUT : out STD_LOGIC_VECTOR (3 downto 0)); end logical_unit; architecture Combinational of logical_unit is signal result : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal zro : STD_LOGIC := '0'; begin with OP select result <= RA or RB when "011", -- OR RA and RB when "010", -- AND RA and RB when "110", -- ANDI RB when "100", -- MOV RA or RB when OTHERS; -- SAFE (I guess) zro <= '1' when result(15 downto 0) = x"00000000" else '0'; -- Zero LOG_OUT <= result; SREG_OUT <= '0' & zro & "00"; end Combinational;
gpl-3.0
ace1b6c8be48647e74671aa19cbaa169
0.577791
3.45082
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/cmpy_v6_0/hdl/cmpy_3_dsp48_mult.vhd
3
21,218
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block UPz81f0UFG1CfA1PcIWRnw02mYWDb1s9tECpXktdZNHIh3lPa38xfQl6tX6OZEQlL8quC/d6roTo L8vycooIOw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WqD7IJEBocd/rOB3VfVzV3Gtletp4VZBVeRE6+xpR6hYRcPqcu9SrOcRLB6GbbQD22KmaJlwACeZ RWcZQer7XIlkWjinGbNXha0TKIf+CewZMUxGfsND0eQrONMn3UyHQDSDYOwmgiHLK7+MAllKm7iH zQUQfD9XfCHgijfgz88= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block POV335yD0/OHwNhoZHfnM+a9Uaq3ksQeREmNO10BgtxuFgq/UK+Pmt2Rxj61FYe372VQbd648fID Q6YfrIFobq3vIsLKBKuXimt1OfRKfJcuAs4Cpz9WlXL4QiQxipwjjP35M9PmTcM34DfqCX6pl23g UB3CFO/h48OLhcI00hQU1hdQR6i/KLj1w/GtQuJRiiKEWxRHM7fioONtfKBw893RGGDmmC1njEdn MqPyQKx8nRgh6QU6jQdEAuc/djbbEUp/gZNwuvk7+l/4/sZZ96DD3JO/cs2iE2Why3nrDQQ2+atd AAMuwwsJSQc6ntC3Sr+0sjI6i6WV/n99cdcJWg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block F9XVe8GBgJyKaAO0YUZFIsuoCQyK2qpDM4Gx8d+UYeNb80RoDJSx6zB093Q1E/a5ZKrGU2XXgrGS N/EvJmHNkSMSwgC5FxTyozCFDEOIHaFsxgk4MZ9bt9CyZWn9dHGdWZbsKscNTEew2oQ7jA48HKV8 f/8JXitOM6EV3Zty0XU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HfLixi8yIncH2T1Tcz3QRjkZilLCZcfQgfQYnTCBjQs4mIHYPZBQ5lMKeZW2+UYbBdX8MdxsOlEM ZwLOXdMQb46FGMAKaDSgirPyXxAflfQujU1UlH9xmZBF4UC6V7mhDYuEsssWbBJMD5W+ZMbekIJ0 M7v4xeTg0oWUC9RvwPKsu74z/H4JC0lLvzoHhFjZ0nTUA/xTsKHSN7yoTLIgNmWPz/EsZjTvssyy K66WnFiXg7cAk4SHoUTrMemhyxMineOyXCADzimE+QjSviROsa3i7AHbdPzEVgC3H/bUUjFBvVpq /PSp4TCIs9+gxFAVt0ibMWJNGxuRTgnUmymCFA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13968) `protect data_block fzlnew/iRZj4kMuTpBvZeaZBjpTCTjmkaVEM345JWTw6x9oDeBTrhpIwQT8jAlitqnbOBhC+OqMN KrG4Zmn1MCn11wjeb7igxZZSQvowBHylI8/shZZz78V2bhw53YB3hyuZinCnBHJ8TsqSh0CFRjjT 6gs+QT/JZmUmsI94NM5ztfc6IWa3rdI8qvBrnsOgB0x44eQEBat054Q4jc0ZBXQ+Hl0jOXWjkHDt U1B2y5CZLWS01BNsxNLoK1j4iBoXtzk6sWievgTlPvpLu2QCdav3SWpR/VlZyarOQ1LEonWZp/2Q uiEFI8cYMcFqBuXkDwIWXTNPg9HI/bo5gIZIc7/dHWRJTXa7DJqmBPx+bwaWWn4tmL3C4s/mlgWt R3N5DsXXT/sCO3rgrOlPSKqxWVCDu4l+PcuxjEkWG9RdUe4jO0g6pCrN+nYXdcrrJDcahLXiG695 TORnCwxmVhDPToNsV4j++zxAL2Z5mT5ha3TuqqOpC8WRzx657snCLpH18eMxDwoT1P2pqXjqsavx 5Cud69VBZ1txn+nNwGyMI2zNe9Xw2hG1TsrNmXQ06B8hRAXKtXHc6ifDXcnbCrb2IPwLJ0X/49Fm kZEsFDdeghMhbgDLksyUBcyE+1nbKRRGBi1xJZqONIuE68CJlAEsjDj96Vqmrs/JnqsuddNgtUJM qN0CQVhp58ha+E8lLRY0MdsBRKAjzxEehLfVKwJH9gaRzP5W+F4fKXYk9PiNzizOLrhtBBLAJdlH CkKozqNzhzhYgQCOyJGXUPIQCfWf2c2uzrrv8vAoTVjD+vruaMO9LwIRXn7Z4LbHMvQHQ8b/1kZ5 A+oVKJxFZC3XB7iOFBjVxCKPryAyYfyLyJBj6Q9IjkbOLK6R4vXeLnRD+IaawWw6QsXJdeXEYvAp 4glcOgrCJi8/AdU+p4qFIfjAUzC0p40g/JDa2bl3sEbXVTTgmAQRrK1DOM+j5GP2X6TLWXgYUtR8 nQDSe04jS8OppeAhkqvQnz0nb/kmL7u892HrpkhhPac+3RLqoHLOlFJoLJ4GHtnR3JMKoyGoWKVf wsnCjtWRwq5uTWoHEtLrcKSUYsw50tfz8RWWZenjXiq+YjSDthYlYUtGzrcba5yQDyNT8E7J8IRJ VyAe3orOcftbqaRscgRAeyD0sPtZmBThJ9by9BAFE/qT45LY/5w9MUUADronN+DWjGP0l0WdQZMQ wrhVBmsFo9urKZQJkDMUOYn/X8hIF+syrtiliEfV7B6PAbq830IXX+tcsnLAwZZt+FlVwYy47dUg BuYgu5noGJZM7OjzIduN0KOfx/uTxzb7Je+c7GoYugFuwFJZCLHdaUJq5qUfSUvkIx/sCd3Tx5H+ qo3LCnzl5amYCzz8Q2fnBIHgXY5jR09hEcLIyxXEKAJrYw/FW1FZMSVNGqZfUGGxCw9gIiWQqRJs Cd8yu8Q6xR57SYdlrer/SPmgcSfCU1z1D8fgIzGfj03X1HkT3oAHuTVIaSqH+rdeLgixho+xCcau KNXAy8h/TEW9QZsBZTMEp97bugMNHyxbz+IEBA2Q2jqvhQIIKHhSiDZBbLV+9VO2ByeVp6drSAa3 YAjwiwd61dJFUyHv7w4KOv7B/9+lYTSyU6d9GzTxAgG3gU2LAYuV7/t9ezh1/JpyPiQo6uR06o6j tWwoeqU9rcN1yx3163MHzynWP8WOR0danDvoc2nnjovWPGsdgyWblwD7WcFjwkW499Z7WZB7N+Fn X8W0No1bXVeOLgsiJKvSCg5j1wQMH55UvoflqDzvUIQ848wy0gDouVlZE0+GwWGFKQZ6vtI/FY1Y AHxvCsXWd9AtLsBt2p9nVD1MVecmI6z6nfzhg7mBlbnfP4L7ThZCH4EMjK88a85t2X5D3En+CLib mb4PrmHxVbw56sGua7tMClYPvbEihRXlrDZnwoLq725wRj/gAaPL15p3fg8hamZIrZrlSraBMKMf BlNxXkQmsBmb3YQSVP6HLbi+VZbsS+x39Uq6Vexm3Htk+Gk7VvQF09VfO5krg6uNsLLDy9FtCc1j w8uiHFp4w0Y9ABWHSX2nHvNsNTlKv20L3CO60OCFsm2++gB3u1XsNm5KaDEZIgkFfJaCtD0zfL+M TNeRb10QcmLcababrPLgyiGYGk4iDY3jfah+ILig9UTroPWYC2NaFYuRZb7bGPmN15w8EdukPmT0 pNXVKYc+M2w0X1GrHPDrgaEWx3SDVGUPTqS0gc2Z8U3WMPyqs8oonGXVmm6RU9q6MUDxBYQc1GSu FHa6hECrqA8XBQxeqpC6UimTXji7UpBcJLjfU2WQ5ovYlJppAE7wVCE9sjFRgdDFzdsZTelHqWiT +nyltgfqzL0SObS9rxAAbE8dXFNBfPiNwyodEJ59lZ4PPsJkFR8EOgn9jN+mIjHKoPiP7abEA7UM /OSX6utDpJDx9Xpc0L+jKTfy4XRqq+ermU5i7ui+obN341fk4dngvsuHXZBoxlPoMAGZV2imh2uD 5wEQ3xL7OSAvO31NWQ8ebTMP4XrqemhguZ4i4Qf/JH0/Su/YNkEvBvFOvqNOb1Cn3+i1fJE3PFg+ zHaVpdmt1h7RiJAEj34+6XZP8xMmo0KHD5YPpZk11QzX7oBSRB/hR29O8KbQDXNKjePkU+P+vsYq TQAWGYwgd9xgGIi6xVGJAsqaYkVWF2IbOEMlic8UroPdv1J3Z8nbUiNZqULm1ep6fVzBtbYmZuag ji8TtjRchNQ5tZ9mQM1ubaMrLzxZdulKlbwWnVsbBxDI2J8RzyswYPpgmwxyuhodJ1xghqQNTJfj ieao3qHpehlNSa29aa6aHkzHghqb9OEvWTD3FRedE1Arq+pwWYOuHxUfTxAfgWdkyV3O3+rK2lOb gCUDIyZg/0ZyuuGL8P5mHuotD1hjiBimE3HEnZl5xkaqOS0s1sBrkS27OeEYlrHHrvedwGTe2ZwD GjUxJIvT3i7G30vYLvYPPE5nJdXnVqXDri6o5uvBMevtqwiB05+GQ+ZlD1BxSRV/soQoeXkRZmTi 5oGGNfh8eSc1G71oXgJyVsKyZuU92rJsaO5Xt85XrmXwj9AH74UJHjZLPIyIX6XKU21KhFKFEKv4 iN9CsKt2Y/fuYG7UbvQm4tnKiblS6JEix35bgjsQDA3lM+BXtRM/gLmn3mqSxabr3WvzyWbcrj8j dHZiE3qZG1AR6tEvXl0NNwZjMxG6TXplIo7rfP0gsqCCDsGEJ0/0Ig9xAWCWUTt/MCk80I/rHI5V 4q3ytZA+n24H0Obn1QEl7GNk72DNqKj70kyCd3jqxlQvzGd+ObS0NqIFPT/k2Yn8Zd7ELIDvyWuo 6eE0vsh2ORikV1EY3H0SbZLNjhaSw7/cpmZEhUmOEgq66hHRJPGxy1eHm/ysw0Mbu//f2t0gbQga x5DDozCNbRcrn+a8wZJ3bBctrvzxcbP4gguNafHlEWHI21PH/+mJ85NIZgEjKyb/xgfWF7bNUXnM l3PGOrl3hjFwfgdNO+51Y2h34V4+r67vRBAsuSGHrOw/QH3FCOtzbCMxmi+Cv02RzScqqptyISfH xJE6Oc5N4EkYfwC3wRTUaa5QEDtPwBqMWt1cLrp4esyJyOcXJP876QF+juAxtJSplUMN6Xsb+VMs RbXuuRXLEsLXIEYRJY4zxtnFxkAmjW0pUKoigUcEqWJOLDZEbNDdpWWnmuYr4dlwy4j2o9ATcWh2 Y/ybVEh/MYjrnqqg4oQ/gCqTGKFJrOLJP/2aGPRCeGqn0A1Ao4uRm7DMkZGwXsOz0xt20C7LT9GL xqgER2/CKem1u/YKAyHbj7RnES8zUkPUliTHW3ix0kohsOD6PEeKVT0AbzbLnTTTzv4a7FgCDpy6 mjsDCfNFJjSeIFM3dpD5Mp1MH2WbP+uv0+0X0Kh7ilfI+p7taRAjQvTGq4GMbcxg5gRgtsjTQrBU 1J+EARLRcrpxhO0Q1ogmBOZHxZdgn48kTSD8hnTZfTIxf2kwwc5e6QkqxBJ/gi6qfxfp+rU08e9Q WxkFsyRCYerq2zb7AhTPkWQNFXlDCpOhCgESpC051DcIKaZq7V0ltxKc51K5qiLq4At4/3828ZCv K63oIyJ0BcgQyg1EtMyGGQInPiRI8pMI83sBCR1/5Fqjk5e3MT6Bj7Iv7S7cqM0ddyRqNpbjOyc1 HJyzakbkDizYf7kBr6pZ5NotdW/XKTGsxdwscYwxor4fu1nbWmMz9KPgD38I9nntuA/Gw6V68KwM tdLdz6jweckECuSVhF/UtLRGJK6KCnTQZCJDzOF5kWj+Yi6pEtNjQpdT6tzRMa+I/X6pnHTSk6oA lK2SV5+JF2l64xG91NjTeAvvKd/8+DJ4LcQrFyq9nfmQXm2IXAldst7mNA5/5LnQ5wrOJc1b1KP9 o8qMyB9XBzLKL0r0HaP/Hy9+qcuc9iFUt5rmftlTO/aes8ZhtiKG/v2I3WQDknOZdWrazA73oOc+ j6KBk+sr/85Oqf5jMlmy9hwKaphVxl1j7LI2EEf1rSdUCmLJu8e0ffoMutTRMF79iM+p+q2NBhkK IgzGCC652bRVT468FCbUSWqYd/nGzNgB+wL4ipLenB0eYvHuJIIH1mcGQQmWqJbkpk2oMFlAT5Wv Mp4x7KU2ZNHOhkHo8G7t8P5Z66rEU1KFrF5bU33vXfMzUOC9p0xSPiiydRZcXV2xF1Qkw7YTWu/h X02rB716DugI/7KtT7xRXR4McVP0tRQhp4qL4GQeYmJH+gXyZktXljvnusdNaP51Z+HaVLPjrG1P glOqJkj10dNcfLTLIaR5E3SyLnYjeVklYDd0N6nPylax01g/gepY6sy4QKVNxJsiWCIOmq0CPK1q Rn9WA1FZSU5Nr5dF4lAzt47spYB8EqK/RGpEipQz+60+6u1ND77eARHfplxPc6VTPJ3l7uCpGn9C skzuKLrPJ7N3zF3p/0fhj/KvKdDGmR+X3ZQPuE901VyTpVi1SkJ6FJukXGoU+0OyRwwpN0fVh3rz ks3yoq+fHyDw4g/k3i4vOPGOb9jk9EuCWDZGtAnhYssFVbiLxI27xVBBLkigCV2f5LFZjMUB+G4z UlvHyUPbGoAp87ZvstIGcCSEH9bEZcC2Oh4HxWxFI4y1DsXr0Ovve+HqKHPwt5MbhqBoJSrrJEum pvGNRLw2ywn5BK2HMNtEtJvdU+l6J5jcbAhpblfwq1DYpFQ6yworsYsGL2Olefbs0lErEa/q+MJX BiFUFY83KwOyFnnaHyfwDGUf+4m3586wkyaS1xFn3CRX/snQjdUnEmzmEYRqDUNIHurT8LFGjKOP XZtHE/5VgcNGF/F1LaA/YzaC+uLvyBog3saH950b5/gDSFhQukOv3YBRJvFn61r7oqQQ1DPiR3q+ PYItf7z3W6ZffWMYJ4ceBLYvV06M/7dPEjWGF4AuzrVStQHAPxBRgmThE/qONp3FRqrB1SbsLayS WYSA7RbRrlHXIQAHUF61SXz2gE1a3VyPkv9Nqa18dbZE5W43LVSxCP89r8n84xSc/0bPJsgZEuLp TUdBfMACHBXBBYmJa2WtnAMn/KEFHCSBEsX1BVdb+EGQssCy8zoqJMMsg2V7XPJP3XoVmxGCdqMb bcAG9LdyZAYvV6/aJ2SRCJ3HrO6Wp/9YWlyAiA/z14BnS2fkJ4VPghywa6fLSDGFVXl44ALV/zJq lfS2k8WNVP0WDIjclQvMxuU+bGcdpuZl+MlG+nSLmn/1wtZbXhkbA6uk8VZwwtkUmLtZmwwgzT9x vMdbu4rPlwCtRHjHwxSbSHHxC1DTCzDJkUMzV0P2zVswzoa9Ek5IrI1jB2gq7cplUu4ZeYreOOer Kt2S5VnfWZkAWR3euhbap2F3tHjfUHzkIR3sMPZJPDCAI1SDrbNKusYDNvHhW1EpiRYkC4LN2oQX ud3DbSQYCREjRCpGSfkKi+QX4DsDs1FTTGR36LskYE+YeDRWslR9vae2uacSXbHSzwY0Q2qxe7S2 q9549ch8pZD8VqiKa1rLbaPWJIMIhp5LyJ4nhqtIRA+A3zHc2T7PZim2ZY0Zb14Bm1Uxg1YCou4W mzwX2LH+tEKlnVpYrqXm2rqXhUcQJWuTd5UCIkFIT8TcUQ730rFbvN2c2aSOe2Cezy+f13D8wzfP Vd7H50uDwulMqR/NOiVfBI6GNmKIMaaQVjxG7Q8vQxf/uHHUKF4cKBrp1Rbuqn7rPRiJdJpx53CN kgvq9XTJVtcpji/Cz/LeR8C8LAyPg1SNOfbBK1IMvqBq8PeS2PGYoyV2axzH1oGWxXhusMP1X3WV fZkPj4W06UFaO4NylKYLf8HYkFul1X+VSy9uUu1axuV3vn8L8NXQIUyqQCzbbcQ+azxzU3vYp4tm fTWHG4/zXozxESDQB6mMseEmAlI5IEICRJqvC3HUI+BR8fmMgs0/sO6Vdd2IwEonHSdlmCUNzbdN HCyP4MNbtaL3/VNZnc7VINXEOSp/W5Ikz0BXX4zs9hZOcLQ9fdPQKqHeuol2kvrThFGIctpN3mKR l6GUgO99cDZlRDcY5vtorb3XH/jHG0xtMJJzXPLNKadt8pqhHDtdJA61VK5fziLFEXqt0Xl4RbPl Qiy/UXUeXlknAWDjxWXjtNk2KP1VHbOfIHWn5um6PuvZdlJV+rLn8j08QBKAOdJfAioGOO/bO+C9 3Vz86OdHW8gtVx5bs7YcDvvayWIC93ViF0gZlTseFwgBj6u5V9xmPuDwqZGBX622dH50TPGngprp 7X1bU8kaHp1ZZFgwOhAjI23uKpm+pNkTUjrdE5sbgbfQHr6TIAd+DGTE0pgcbg4sG3eewR6ui3tZ idWWoGwnsJmISNf+NiJbkUk19X7tiPvoiqBtVNaPXAtkqULIeO4xDL1KJLfLABqTOLbwM7/V6ZBL u0UmVDe+iy0DFpZq+zwSkgO/d6H9T+qbaau8crqbC/nQWS0DnMqYowuSshX1FIu5IH6hwJeNasHg 6Al2nIBX7v9pliI3Ttfl9cMDuUTmDF61ahG3isyfMYhjQXndvI/YebeCeIgG7obAA/BQlQFrJGIA 0UH38J9LL3MfhyY3UbD99ZJUFri1ZPUm4o16DZeKsw0qZMr9EQPF3hobgnb92BSMguoR24/MqM3r plJ4hCcAeMWGoBh/A/J4KxtlLJut33AdTdRAyie+H8IBBrHOsDSrBGLHtoYMKRjKVjHZNWqod5ga 1lHa3wkXBLdi5XofPpuWc5U4w2cHhP/UzVcvIRIMDQXSdNFlCnJhK0gwVVy+yi+TNcq2zC/jC7O9 Y40mhWkCWaCm58TrloeRPn4ZmBDOd/ewhdtDR2G3p5tiXHoYbXlu45bj0CGXAIC9POSImI2VgEEH T/plQ2gyXgbOTtWX6++LM2UeBWK6ipMb6iXBAfzhPfvT8ASKFFZNs6RNYw/nbzZAQGjcFGez/2NV 712vBhCn0y2K7rsBLsoOmB80iEjCZJkyhgjM+wDEBSdty1ghNyCm/SJBcq9roCGdi7Xt1w7WrwPu SonGtay6RiDfCN9pWpVeFRXQZt1bTSqxUGLOQv/pzeH0LqlucgUH3Di45urEYUJecL0PlmHNwDa1 PDYS+Yh8kRX0fcZ4AmQTrV3hhKt6pS738R51OXharnMzo4u9XUN1k/yi/5IYbNwVuIDSY+y/671i APgCWxDx7+UtFyQ/j3CycAkNL+2fDOoq+XgZZM8oMQqd1zJfWQl5N5LWwr3WS/1amna7mRUiHG79 ilL9J2tNo2ra1ougTlR4GHuAcC3u95cI+YdoohudO0AJshKwfJJHyhYoeZtmmu0ARTz5ngYft5NC kHiZ1ohgBjtvEw2rX/JrddPE6yyKE8ZXzlmUvk7+TUfinrxxhRwpI1OX19jIh6wlxI29863iYpTj JbLazMqWg5rADHeiz8AjOVS2qv1SoVm3kq92gXFHTjRYQt2i3KN6rk4HwPNsNkk6kwat+4Lm0pFq iaTooxhtDeLULKTFKzyrZ7B07+ZWW3G0MGsyL131s60EU6fTm40umLpM2HeOKqDuqKuyTUMLOJAt 4LMGRoZWJwEuOUPt/M4eExH94ZlBxpjMXlEAXQddV6mwzCc/n6ZeFovwDrF6Fc5ZmFft8qaeM9ph AzZtUINKfc3MubyqgZk1y8lcUm2VA9aBLccU2TqGCuYEGGSt+z2OtRPaiykEVGg8EHz56RAGyWhB dswHgy8ZebtmyNbJ1wRBUNC1ecNOLR/11hedIEsvHEbBpGeXL0T13I65MYXW00YV+2EOYArshdPD W+G0vnZddhf1FwWSANUuWs23v1d+9YTHk2whq0T/Bqe7wqlNHX21gNPB8Ey5cL3U/rj7agRBAdco gX38s1tQUiN8HHfndqoBphi3+GiAUSSbIM7WBwWLtd4dzDI/YbUtqItnMG9pAfQWLxkFrCCm7Zxo 41dlqkqLwoyYMbTwjKuffoE9szxka/C0LyvAHQXKa6rBepi2hEv+570tIiYBvgApoMDqBEMBe968 zoQEScmKnx90QwzrmfDZ2V9SnmnMXLSZ+McPA4xs3fhzTqlBYrkSv/j0Gof9HGZIPa8NIhx/Pjwx toD9la3uwSOFVMw8xa3pSpz/dXvUbIoFv+xHn+o1URdkcRUroI1sMq6/UjYTLlm2mbqzo5ciDWFP 6WkQC2rOHqxjaJY0ksWNOnSeJJoiIRC0MFJISXnSJ3edA1CrfOoxHRlwyY+OlrBKZsT0NTzupVmn Yuhn3OJtxQJXUj3/Dlx/MvhRcEtSC/dKdY673rqqxFO0dKXL41blVQS6YttNYNahrKT/9NIbqElY 6YKmfth+XAU7WTTSRvBtmfPINPBYgFOcymMspy8bOp+MjlX52jkW1IPdY1SerxpIaR7MgGRaU0ja j4f1fs9TXO/k7E4+ASZjDX9tTK/ikfrimIhkJdRYLu5F6IzZnbjRM/vXMQMFIfgjKi/Qy99WohyG 1fQm4ukv/cAMAoilNslllhWblxHV43vMuzR+tZAg/xo8JX6gwYRnKEFDdOgd3FcmRCP0D2nZoxWB OINUOmyRMFReOIQRmCwIQ90dQD0x8Lyzj/xDnmkG6nbKM5JGntujYptX+d0P26LAHtGtWhSooDO2 uJrbs/zbEGQrDx8QvnrRbh3+NPBwf0fuuTjCxKt2YhUCOWftmUanb0lsCvDFSYaUev+gAARVqV/H W+GjHE3UNOz57qrt1U+JW747CHW2h/EtGzB0g00oeU/zsPaUsz5D6hZ9k6bGT9ndHUkryFEysY0M QrNdHG/alm0xBOpvbxWxB21DTfMABgrJqp3q7pLuwO9WfErM/G9y0ilngc78C23Lqf34UasQmmtM BSNi8s3Dx6teNnfqFYjY3KcGZXMbv5aSRNVP08id9fwG+Hw2wXWBc7lj9kXu8QXmtk98aQUIC146 DoF8W7MQei+L0vJOgiNV40bq8D04lo40M+I9mO5M/Ya2elfPHeNmC6ZhkVqzRLoOLBcLFUFZGSEB RyS28zNfrBybuRbUgm/21BZ9N45PoHkPsvYuVywDosCQnqE1OiBGQR2pzMg6BgV8Gt4R+EH/0HDn ZlXcgtDn3DfDiW/aX9kTjEZl2pbq8/lyCPRGOcf3cCXZwDZsCaVtOBL/pveysa8E4VaZMvpMBu2v ssru7e5BeJZrvJdewwPOHfmcJ8Adx9JPtzKv8/kVaMO4KNzzSJRhpIrQr1pkgDDDS+vj9la3stUP svXgNnd+SDttCGqvjNZAzXttNKcWgUTbw+pSh6/1yf5ZBBcv7LKd9zPUIYigSTuqufeP3n9zyC8T /ASRmc8O8xruvg49SMLRBqaBYNk6cgV9prGwuUZdIqZS5HOOubok13aLpPZ1HJGeMWt6smO2k6aX C1P1KnpS1fVmZrxbEmCfEeh2vzogelYwvH4hn60deBI+EALAtIC58Mg4ibDIh8WwdOyAvFkAFEMk Kh7Fi0TA5XV2gudeENxu5434M6AT7vJVpyjIKZsiLv5GEerIU2OHMuALAKKC+ZsLV5v12HlNK52R ohEFPvD6DfwUg5VMUfUBgw19hfns7GAb1gwv/bnbW/VTmOmmiE02IA/rkOqZHNiS/wKB4bNBkpQ+ bDKo/0LEXAABx9xnxbnNF19XaJ4jHyts/XVzRNXrYIZZTC9tNT6R0Sy90vSyM2aoDeImNblXaxDy 5+DUAA6gHvo0K5srqTNNhP4bGVdeXDUUxxSB3/D49O+h3NLGlv1Zl5hWRLwvIQ9V9wcneVAPB6Fe rH1z4PHPyyeWggcvWjxltBmCWLLto5QBVx4BhsD61wnLEVun+OHm6p3ceJal0vMFu3Cda6sRNMGU pcy73ZU3aVonZiq1iqUtIwHf4p1tlogFv8hVjUIt5PBw02c/n7xAwWwanlXD0Uvjvts6qbjrhrYf qfgvCgEn9mBUJKeWy+V7ksdK4Xys+A2z/HUvnTbJbD+OxdL/c+zcBrSuur91yI51lW9CTy64cFnM AUAaRp7tv/VMaGVtfGcEgskRGniY+F/gHPxm2kNauO/+jnXmk/6Gp5M1c6AI1/th20RZcvuA71EY mwThKBOVUZXr+nUxLzxImF/7W+9Wd6DmOLW2FyNa3Js6g6gsdCqLpRvhwkYjcbArObWl7DbQ3pKq MXw8QqLU/6x6JSLlL/NIXom5/7LxfrE5zNUSIUaYfKC+64PU9Q21ERKKwtvqARSYcHyZwW0FpIbk FeO1O/jybris4fTVUn0JGmX2GN8rjDxbZgMo4nbNJi4Xy/NmQsZdfpeNS0shvi0NcL/KfWmtfXTN PxOK28+DvTRcmqFxHQkY2MQbdJ/EabFxvwOXYe0usWPZB2tSxpWhmqFwGUUA5KQnXpWAx0IoJVtE wg7/qyBtuXUB7meIaV0ufVFbkos7r44e/hEzBdGOL7yDLc3+us3LQpj2HD/7GfDy1Z1MMp3o3KOW rm20ssN4e28gDboBi6Benh/fwADWvHRC5L+YK2NvRbzZN0y/aeiyvMQalLngB2KZmvpiR5Wj9RpA QivDLDkmfgKRMzQHhXdlTVquo0T5xR/yZiBvlXmzTClOhjm/NU8iArCyWa4eNNns7MpL2AveT/bL 6Ruu2n+z5U8HeFmVXs90BWIw79bxI8H1md/Mxqrc44/ec5Z4FakqB/2zvaC/hlJa+mPZKvM9U75T Ep6kLd1S3mMx9WsnoPEGLaYQ73EUI4VgZM1ZXZoFn+j66IWA4TguHew9/WurG2CyhBBC/OE8Vn/P ZHy1EBdfqGqWcmHkDAZjn4bUEoCNvWujj7SORuQfFMqnp/R4apJDbvUUe0qEX4wx6nH0S8ASkSEU 46RNTz09rAHhJ93ap2N5Dd30sVqtCdx1Mhjfm+IayBLWQWi10MH39qT4KAnQJZ+2XPM/aC5hjJi9 25TSxi3Sw7qPBLOZVv9yXNaeNzm5K0cp/SVtjfmcAK4piLPpuweZztY6kHpP6ZYL79Xa+IZUF3dH iIrGUp3w5TrhJouA+ES0npn9vixW575O3xxCS0DyY4lpol1kWrnt+UBiIPw5Z0CI7ZPzXuIwxdnx Lnk4bg8fv9qE9itSsmqIg15rP8JGyTu6Z2k+/HpRj/8xsTFH8aU3qXSRsZTIetMTs3aWJ5J3A03P 4cQliCvFe4gBf5picX2kRPXxDG0M6Y4h7u/yy6Pzy7U2RYuGRpjENdo/zvCrNbCVV3kCsug3R5pj y/dkTyRFA+NC4+PJ/7jn0lAJsG/np7+6pnSo0PwenPTSNBdLlmhGQ+Gxgu+fk9zodXU9naZUW5gS TBUKXbGgX9x/oTjWlyWCmOtU0NXA0m2c6hpOVKbTiNyZgtN9cfgqMy2kLrO6cOmTVjuUDxy1becf 1EdQJpRsMWw0Jlj8bdvR85t0siHrlRAW1zdG9WZ9wQlxkD+L+WF2oPrQb3w7VUN7wSD/+mEHF3gv VMIjuKiREsVt6zPTOU7/VmHcDLW2YvWyUNGXgdNHaUIQDe5aTdgdEnTwhcQ9RoQbAB8DGe3ibnWO 4fjHmYvGwcqNMcXNE30YqvSzAbc+KGu20dg9V9I1Ma6R/zYfTvBuqkCYtZgs6nwlAC0JJPaS+wMq lqGvKEco5fM3xsR91WA441LPAHLILzVf12xmXA6Ou0ZpmtUY4yU8sV6hrUOIMx/LNAFbO5paQR3B WAlHFWTtdncIY9LhOVeouVz+lNwALmlGQTqA1H61X1Zvpq6bY9PUWsoD31mC8vyWX+/Lb7Q1bhWa lLggYMmyD5UZ/Djk+jCQpKHXKUZQaaCZ2gk8U8YIuytaHNxjhR2Ld+7noHt0n3aM+241Ug+pC2/8 4yB18TEH2vxj35rR9B1uF/IP22n4PLqQBJu3hshxtY8VwROKoVxROP6OQSSXqm9MJa/1oPRmixkt ndGCMUwxpuXY81AaNyf0vb7yo17H3ea9FDUShjrZU7fkOolz4pRveVrdQqjTo2boQ3iNF+LmYExJ ebRpXrjfK1cF37089nX4HCQqu2ztsJZITnmNQ+JbtVoygI9FmZdCFh8lYpUw+i4N6p8RJP6256d2 Ca3V/XF6O1qtZ77/juam4FzqIyc+O+akdFbsjDs15Uu65YytcWJXbJmT97jbQDfyWpTWr4scht9H RctTHGpGkRr3PzIhvKUabxhQoMmiBvGfCcJxoq0Kyyr5FTy9e4op+hQ3SueFqWvsKnYLdrt9/c86 08wXIedGBgYzMK9SMIlJuL2xmf8IT5qg38CpL3uDHtfnA1Iul4qpM23P3JmnE+lWaeLROMmlNOVm q4+in/dQ1NsEPtt9CCQ0Mz3JUAjDqHZI2ZkO4IwY0Hhrgq714s0zBnxNqn6ssNWm5uVDJAMRH5oM 2GH0yF4MkjF3E/CU9gqKh2/fGOAVaE7kVV1h+2mOdPxaG5dZ3Dm8Uk85QmqWiRaS/PJVX8yPYS7B Fuk7H8sDo8rDQx3+1ZBQEU+QgcgbjAK4DnB4M7EGYJ6onyUoPCeU+d6HdgFwwkO9mhQv+Nl4j78S pJXKshZl8Z8pKe9kUIk7t/F/2AqyXtptzIJDzTTPkHk4jD6+0Mcr74n+B+9B8s5qi88PgnGEFpCd Mejr5kOvwKsgIyjQp5FUrqBno3YauebdWwYlej84UAflL/WacOI1gATEMGx0+cPbCkbIhmk4JFDd YIp58m/VjLt636B+FLEtCBpbMnFUkqmsB036XoKG0SuVrGZ9EsHTofCeUu3YlvHjY1i2FB+exsaG jdtmhtU+D1CNTCr7G/yzjyO0ojwmyRBkiB2yTDi/JoK2tXlnR9oGxbqa1Og2zAtT3uugUVPNF63b 8CBOLJ9OA/wP7NejW2pSCOhVg2c/0acvDRtM6zUtvIKgGqzxJMATJqr82G024eKJC782oB8Dj64o MWiwhSotqh6GjfodJ6dV0VnzS9mQpPz3gs8PCpG0vTHM8dYg64YLYWdWluKGTbpWqI2zDlKpGO1c SvTqe3najmBk+YXo7cDX+qGo8grdPkq2yOm7ZVkB7Ljer6yNv/VbYF89HcU/06DFeO0bj4U+P2q+ GjgrodB0dwgljKwf/7gH51c3nlqelh4eLEEoDnGK2Qs3vtDCuWjOkXxRRu9Mh4A8GtwgWTPx/A9q kT01wtBi+yrZrodRFxq5LMvMm12K4OgmD021XmUwKwCnh6Y/K6z0lZcPPeXh3yx1zoZQE9lKbBD2 KOvoKA52XdJv7SYjOvBAU7ekDgoGDABXeysNiIfbj7J6yLquu8UjlXbLqT+bMPgFuJlWQvc6neFL s59i49dv8Jv6WMTQ/FngCgT/y9s/sXS6A/+VL733OGqp/Ymm2Bxza55/QXYrJrCC2LuW2Aa2mZAm 31Lippg8p6iVEICnf4OrItOcKgq850cNwLF9db9EaHMovHcHoZ5Kc/i0V/YIhaxJqbydGYyXtdIl 9eA/XhrYcZ7qCPYSl2zF2CtYoMTAIpGO06re+uC4Lm2n05LeIcror2VDzmBszkV+DX40c4VEwK0g jdPnOWc9fWfsliU9CcLbLSMNc4dw70tLHyJ2hPmb8I+eXi1cAan40jyXnv1YwRbN6SDo+X/WavRM Wu4EgtB2uhqtAOSFl+62CNXq1ctpaB7RCrQpNNlafZQP0qhIQkqoulTq6YXWMopmoIUaN/uuK1cE vnqpTRWa/Jl//Vvlf4zVUSoKplk9noc8SF20bG2gcY1Ocv0F2w3Kn+xUwuCfYtobkydEbN4VdMAM /b+832pUqPRt6YG9Fqbmpr46irubHXz3q+gP+8OE32ORUdL0GOxx4aU+Hp8ng7RzuvFQmdaCHd02 oSYkobsGnSvVsADMe8FH2Ah8xMkFcnalToe+GqvmIqs7sK+UmdYxnSCS30U5o5LlFVRau4u7sKAv c7LcyuIHgXDF1Abs3eMqL4IvmS9phrrvOpO0jjtuuFHyS1Tiwlq0KykUvRP1PerZZG9Ow0fgZUSv 3Xo7weYFkbDnLQKVVJuq72PNKXvRYfwchg3HSLkcCEbqS1OvtdrGxx8hNwwge68bagF1/xt0E1Sy h1WPVxDt6ofDY2zhJFYqpNZkQae8IinvdQ04VknNxA5XqsGhsIwssARXoQaSbH2sV7kG45EruooZ rESgKXdiVKXU6bZ9RPLGi1YNAOoFzFoDD0GPqt8Uf1HUbsDcO3ZT/wFPLMgTGy2bltLSiqQm/0MD Zr7fFNSR12JILoIQFDn4urGVLHYRsR3t0wkn1sH1Wnvoou0GMj5uAnz7hyql3su38pW2Cnb4S8EF F063mKg/N7sxLCLfiiI7VenpWUK6Mj14PYgHUYn4ES/FT6gqmt/6B3B4cUG4EIyPQikXAs4WCGJw ngO2/ZVuO7ByYhghQw8vlz1F0tnNIQP/F8mwa+76GEIfl2LcYnrYDHcPzhXvNPPgIJqLDsCjbwka LpD7S5ddKHEqIbxehMk9cqsZmbBh8nk3lwj5+LSS/ASeTs/P0aoXPYIY6kRVExTSOOsOgNDjejY1 pcR+ZZJi07Zyp0AxLN1xd1d2qeo9R4eRIhnBAob4JGPMFZZdTMYY9xOo7wWpfdzKTESbB8aX90UB BeAaVa3nIgxRLGph/Yxa/adQ9/KfbSUA4MIKU/+Ti6d+MjUI8lGAXMaAgX84l4iXclZOhFpFKNyc b32cporUa0zoduj0/yxMwwDpCJmDn+IZNn35zIrlFCoqKFOm+Gyx/hGsqDYGun3gfzqpm4CgYSDl tgoGKhatdWaQiAKRdt2yUVhV57t/xEZe5yHkc4GPCtPtQL7D6QIBaDybrlxpq0zKfJFnrIbC2F6u PVvScq3EiI7coR1M9EoVUiMvpmZrdDK1C/qi9PNG/f2H8n0DI2DLHhUOlgENOz2VoKKMCZIkFp5X uweMIT3YTLXcnOb6vuW9OX4e/5yLLplUPHIjcPcbJGPIPi+cn++6UfbYhqZhionKcP4oVtXfPflc RKWZFme2sJNkszokETP7PTOW0kBJdOj5grA1QXNQ1pQ8gaWVbHBQSLFNeaGWVitW+A9uZ6WBAAma fCs0t1iOz3u70SX+Klftp1QbrMPZaOMteRhSgY3Q/SNzdH7BU+rXu5CKz0Yak/oEAVVfdHKd+PZ3 wEKvx3HHw4Qg/o8CtKT7zRmEHNGWSi8eMJmP+4gl99JcK/Rtf+RfKx2ta2BuXhkGNz5Lo3d1Vp7Z 5YhUsXs64dmPRlSQ8nDjQrnmwgknIUVyaRm55FZNOlbVNr10p4r35wfUOHQoPUydlanAINaQpCMZ ExoUE46O2nazNNjpUyGwL0N9tVFYhQ3WmcrulyHU0TIiv+UJ8oPYRh1j2bCJbPs7oXC9N9thDFf5 kILnsjtL6SOsHt1Ct+nqViuFezb9AM4ZVKbRBiwd7WpES3cxhY3TQERyNFp3aHRs6xV/ysPWLwEM Z2hTcjLXKdMsDUn4GEiKWl3+LKikDI4fqk2x1Ix6Ikhxe/lU0YuTDFNmaWxwYY2NfW4MRyTWbGRF ALO4V/y4E/9DVrXKJvIGusDHd8v08DaDrfEXzro3O7VMC5kf/bkn50eo1U9F8a7W5vCxvgIT5yeB uWNpwy43K5ihW3mEj1NFp5Vrsd3JCfbTFEY014YLm5O2Xjg15AWFwkz14mz2jh//Kxb0u7TN8Kep 7ntY4bWdq+fo08kVj/NNPasYidaW6+7KLNNjHjfqoFKgDwAcxkdTzCQVQGwBMg3GK5bvs7oFz8oE 5Sk+oTH34r+H52HxeK0xsuBy16sDvmT8zqcAcOVD1w52hj3Ea4zux8jPxUDtgS1ER4kUVESoOuGc eoVv/2W6/dV4RnOrjDFCvPXypH7z8S5YKvTAsldNXi64LkOmscTs6g1o182xAHygJKci/eCwUJ73 v6C5Dm93PdQapwMiSwMd0QcpYKYlxFf2ggyuxXZGqWdAOhyHavXd9zx+ud7NtbzHo9D5A/BLzLED r+evobUKFox6keO6uy6N7Xx7G79qPrbAxEe17bTt3lzwXPw4kre27jUf6v0O6evXNPfm0BQg0TA8 S11HYIp/nTXOEfe65XlMxmdl8J9I2YXMEj9u/Q5x54kx7vbwwWfiPkKCzM1RKC/qxtqd3g61qf2W AhynlUAir8sivDE0U+0Zz9EVqPFWJjO5vDHCP8pibYkEaFJ9ZXPsYqEKkCkkqcWBoKGjPkMK9SUI y5nP5AL0DE+omoMTz2KujmmTsec8MQz/35RV3AXnDNmfuS7ex7ztS85urEIUo2DA31zRqEXrRqyC JNjNCJhUCKTQz9tSzmtMYd4DAkM6+1YfZNq/l+AQ3+XNdeqK6m1rUXbMD2mLI8JxQLbasBvetqQA Y+jJltHQyN9UfXbbtJe1RYrm91ttc6TCQTSDn86OqYilIYWAzw89zlMgtxz+aBe4dTqXr8jgMDra 0Hmqh0pFdNuDLMJsuDJulbpGqlZJoqNPBuGjYjRK+9wJu4l8dst6faNh6vPnu7GAXg1OWGrwQ9tH gQqIOjzOwhr5C5PoFIj2DtaHq0nJ0ZI7JwT7l0XOUktuPUF7a3XjJIuKKPTLdr0byjyt5k/Ynywv 3hEOx/JAln/FkV9wbbEAg9ImLvGyYnu3eLyU4H2Z4KYX+iJPFQFDi8ZKZcneWVZ3RohYU1Hw+Ajh VkRFv5Ri4NAWuamr2JSEGDSh8albRvA55dWM6tPUyzUn9qi/UwL9KuKNwlsyJ5j2ZBtci873Ar8f 5C0OcDFPrIpx7INIJmjY/3PvAMGqL/Lb98u23X7z75zmOzQ1kvVbRUABND+kOZb/cVU47szAjBls dHQ69L2KDqBNgbOIJF9qBMBitp6lsM2oQsvfvbAjPGxErJcRzNJ6HZ3EYGPD52HYGsH3B12ucPJn ZKEv8CQzz9jkywokH1jkOV+Oxl8Zrtu+sGwQ9pOI2kl5hy9K6hGh5y4va9B5F5OfARBh5cxOmJW2 +AMQvABKYlPws2ciiSRBteMpSEu0ytM8vHZe+PEZF/T8c/RAIcdSTm29ujGVaJrQThzeb+yIJM8I X9wXfLcVYNV7XTOa1I0/r4X4QcUx8pg56ebSLdnY+b8H/+cxVQBplaZXJDgevfP8kBT9dUoJLjo9 WVV1MI0tsLhxMHODEK+7YohIzFs8F74WFUJe1D+iqizEclaFG8oN0ceraVGLwoAYtBAzz3notnkP NaAyU36i1F5PhNG7rd7eqkaYzgGxBXK7+Yo/9CLuEYjhdX77Z96UVPnHXvQ4dJQEZvBThAQ/g0WF Y/a9/tFXumjKI2V0F4Bi1Ln4OMTL2Wki0LcK8/Q0ryR/ct6aam/CT5qH+mxkuLRISvYTw6l2DlBm cJdeMACChMq5YU5YMOzYl/VDrfB3PJOCqHqGcwHCpi6xlNwFzmXE2i4Y/I8hSxJMtbyBYeIo7C81 kDnNV57In4G1VlBF4hJsvy3vC9qSVk8RUNgTL/VLFezV+WcVKNieUCWR8MogeeXTOnWg7vZOWQ+N bk6i68yNdp/vAbw/BiF550DF9KDMEFva6j5DcdME+KrzOBFKjN/EmY+6Y/eFBuzD4NtPTAZpVNbg iihqvTCL2xyEaomRWbnwAB7/dL6eeAdmvw2FXJt+ltHDTvzvMz+p/q2WNZ67nae3qPu8WKU3YOMz 20YPSdcGuK4drjp4v80lqcY/5gE11um8HAcxyXxojpl4Qudon9hobPMRs/+UBoGCjl+YIHp+2WMZ rPbqAs8jRNfDXx5Hce6C6tkL4cl2PA0oyax2l8Xrur1Lljo+FdaBip4UEhFgrw2bDR1C2n76sHnV bfPGrCRXxxM5t38DVr/ahUNm8ynB34OJLCFkphqrq4fVyyBVT7LwgCWA5Vk4nsLTNIEWN9jLhUkz 86ykwLVQn8mmvWL25c5DncgcyER6QD9bUdE8PEzmHdNDI66IDrinxutNbYjT7BWD6ivF3w5O4DZQ 1ILj/EL7NwBahOEpOt/yoDzSE9M6E0YVI5i+KcqoFjLi+d/0L0YK1vfMcA7OxZR6pby+Yb1W3HJc heXTM4JA+WLkSlZU4TG6Kdh7DuZia9sYCssxwDpzLsIYIB5/l+GGNcW/nzJOmORxnZnjMzZPmHzQ oWJk2q+zEId/RjWGf8Srlz9WQOsmS514NcABe8WCdm1JMPzA4E+KSDYiDHi3y4Nw6UEgQ5zSAovc 5u6+ `protect end_protected
gpl-2.0
1be6c0eb7482f825e6ef72e8d4d1aa93
0.939014
1.836261
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/add_sub.vhd
2
15,965
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block oXVWM6pDhJ7JhUhmmxH7vBP5Jhnc/Oo9W669rZnzeDmqxLUJtv0HiKxe4XeOC1+SXlJOSVeeCEtx 2qBi+uROeQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JaQ5P+BLLX5Vwj47kBmZMemaZlHX8DuzTn0hbES7L5VLTgr4w0E2JbYWHRr/OZfd36VFupVPdHYi HrJPN3e+TDg4nTLokx55dYn4+wxSQba1vftc1EGgSKT9M5BIklI/IufzgdrkiuL89GkYsTZEJRh0 c4jYh9YpKLhDiV1ugPw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 5tKF2v4i2TRBg78yWHd1QQdaWwgCZaPbQK47OMzw7TrE3WGPgdMhyQaDzGbxijEP3K4xj8uFR0KJ W7geIsYQJuSNvuwhx5v2rfbFPdNu+9f7Eon28ba7PwF46sIOXhPwITvYejIv0jchvUCovYjy5Ruh dzT9kDCjuHeeHvNhCJecipkMGjFZcCLF8KiyHLxZGPJO+od4ROVli60lil8uMeA9CB9PaqWeTUUm +ewNqYsHNllZS9UnPdVnjlSnKxg2onP2XBy1LM+M7+VuG+rik4m6sar6AB3tl3Fj0TXKfiQZ+Evc f2LGCFCCct8t3RYYHleSO9rwYWd2L7GTn0XQwg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OBn9yHyEcy9IzpfdWMp/q01jOjoDe6Wk+WhFFyxnNfNzk40t6kjenkoBH78Fz5B24PEL3AvLFWSJ NVilExESI5aAMpj1KQw+e5cfTeTTmNRNKGExu2OsaQ4vtSW/aZq+yCdQ6pseK7dS8QzHZDwhTXjQ WADNBnvd7zGWnOicTA4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lPOIRC74nnTaHaDnb7RTrMrqVrvXhgGb4G+YsBXceXUp1GMyc/6xmxV1st0/kUsBVRKXIzC8bt0d oa9LjEfAdj2y6D3+V59XhdIX6kXJVkURLs/E329uLxJm8Km6qi3GB3XOuV5eQPiTOodoJ92LJQPx p71jtdEj2GD1Go2EJu67hvujC8EmwK3X6JfKxUKRJbGE/jp067YmxiR5amMJhIijo9H7VANJ6INJ bNzBADW6acTWKwOrBpWP/I2aPYe/YJNsO42bOE9LAx8gFu402w0sbs3deoZtgHKLs+SmfcIFQKwd yTDjxhK4470CoR/OB9C+PRu9GlGBBsBm7lkw2Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10080) `protect data_block KCuf/3H9VnfFFJJUAkFfri4eyFzaYncoeGYedtGPed8OqVyps7cOZfyuxWEMVIZuQE4vfJfkALs1 I2ppT6bIiBRxEGEj1O1olUcL+DBXn9PUCXsz6jMZ93uawGowmiPacmYre9TN5ZCL+jUImFBpqkve RrusGwag1LrCbgz4h1Vy4YGpePxV80gN3W/Xrf3OlCXdtO4gaI7lLk+4RiPGU8to7M3PE/XkqEPs ZvUyCgD0guECNFzzeMl11Wq6vE1yrFUBP+nNgLBCvPg3GCFav0swZ/vJf4kCSa94I4A15tXXGMfB UWdQQ8dJS3N5Wp37cQORxH+PYVcr5dMwlpDh/zG59DIfzYjIUKmGogbwQZLnhFVps+8bdpYovE50 pGNcURUAsgf4i4Rmlb9/yP5/YDA/ZFoSHlBl42AZzBVx3L34mRfQtR0EyS1OPHWbP2jtgL5Zp7ZM imapgo3iUHXUhj/eWkaD0TTlpTheRZcuALcqyrYjzUSPUH6j8A34RLMrD2j3W+Vcm5bNVPUZueQD HtK8olpAOpwoTzzvDv2tNHGlG8qwsvRjJuODDf6+pbdeZ8UlvduV4+JlKsyc7Spl5bkKPHQVFD/L Q5NZE+HX4kfyh96zH7+lwzVXrgbaZgti+kl/t9Jt0jiRvubmmxbIsvEtauJCx1TDtu17vERjBbvw Iao1lf9TiW9o4ePddz/tkhklyxXIAZUGzgoQiKsGcEuBi26jw5saS3qwcwxpy4v71wICdK9tQIvK H6hXMW0JoOhFYdq2ny2PafI6zgcb/ljI3raxbQ7yj6T74LSgZ4yvTg83IvOVjKEsuy4S5yXjc+qg QkqAmYl/j/WfEmdexujz5hKawop37pRZzk7iVmQQ6DASrN6eIszrR1wd0b20bAnLVKh94Csrv7mG WwtzHeyR9s9ADdf7O7BjKX9FtoxOZ10AmV7LnewH4H7/pQ8Kwlc2jq4A9ZjK2k9nPxY488z3HlSq pmhc1WSmDTRmEFrD1iC1fZ5yFcl0Xbv5p+OpZHpy39pyN8w/jKpz1fC3hVUnWEdhYzmwcS5Wqj0t /rODAvrGT0Cgv/Mfa0wpzOImMSXY9nt3nJ3libPKNVwndBSfeDe4xrI+yoOZhzhhnDTJVwgFwMP4 FJF+675hNyoCxQGYmn/gB7eUBpZish/SXG+dins9HXEdMgzSs8N82lVI3AWqoSCZk+nBq9r4+A05 csHwHeE0JUJZheTcifk5l4iDpo8XDXyOWJTKr6WA1te77PBvj4Ks/aV+dY4c+GDGTaY6MKaBesyB 4fjsMl0rrNz+PXtrn488JScHaGw43wTzyu9p+T7ZqwZIeWlQ0DUpg5fF1M1IKYDBDpxEZfTtzo1C Coc6XxuUvByvD+fKXFawWD9dDatQOdj/v31lNGc9ePmyySUURxamUY/E2XgCe5gQlr5BVJAd34SU 7/Sp7uUh2XXy5re/2zoZd6tDznPj8QzugWtj3SMG8/hBUQ07KDJFSFn3FDUnIHczGpsYI54zX5EG QAmVpM7MLCg/2g0qm2PWXJE1AyA5jbvqC+9VWuyMSPiN6I5swPV2yJCnavdvcEV69CsGDOIt+rpQ AigDXlSqSg1wPhvoU0AVWHphHWQIizeloLp6b8QEj3C/IaAnSPI3yi1EfuRb3zCOLFk/tL2J07Ex odYzAN/x4KAovfKd0Xqhvt714snzXJU1ipr6GC9t9QGoLQ3kUD8r/THItbY2CYHU2lIwO6nzGnis Xmf/+whyJI1kE4uJ3ljkhoEwgFI47Bls7R2Y6WdWcjuD5uMuYPRtDACwl3WoeZI6tUWMcrUzbBjl kKDBB8UILzshCkFaeFthyAz+PD36G0UzMGOZdHAR9XleyMA9ld1l+31jfbYo8WhZ42SSau6LZwMG f2xbJIL8ktbbeBBG/yysJ0btQ63y2GS0S5shJMlKNsFtweVXkb/4Fr4msREzc4Gtx0idZxVymELt 4wMsDZkFSUXWGV7j13ZShA80fOcn6aCFP50W0O+Mr8lPEwA7KPW9Fc5K7z7tbJ7UOlpmwvPZuoHA NxvWi/en7ZkTcAlKY5mMRDlkJ61JlH0/ZjCgcFV4vjGNI76e5ncAeH4fJVWJ0S6ZZmMWVnX/Tze0 V2cvG91564xv2YmoZ3ynVGzndUXgyT6bv+b+fJoNh9qcKPHa9+qvxsZMR+abF/m5Gan11PtXurm0 +ug2vEI1RU1PFFZZHi8khZuxUVse3TFo3R3yUMwn3WPN61RsNvBP3v156/wonJQbbGGRwlMEKYD8 PlxQb7b95KwcnS6F6CwhpEp6wBnmtMqpb1iK7sOwDVYepFmNXK60oCuvJqikMaF3MkjuY8B0dFs8 KK+ztOFgLHWxUu3Nfryh3du0u6K17WHl/hXrbzyFcqkd5IYLfjRPfs/Gw6/yiCqSVYTglEuydv87 U9trb8PFm9Zhqb6mYK7UUdhnMEqDrskDYi+8xUX+6kyKTezYKhmiKd8hSI4urmrQCx8idve+UCaO slao/E1T6TIW5BQHVRe/XqVRM4uCT1t84PZcrll5rOjIZ6CF9cXpQU/rOvlX5pZZ6UUWnqv4okC4 0bB1E2mRsGD9zN23YcF4l3AfB5Vf4rooHLE9UbOBmGxuG25y1MbB/6ZrkYe/mqrujDeh9/8k6Qyx n9TKSTv0kvbCFqBZpLTKPfqGcv1E/IEzNXZ0L8t8oqX8pRwaDqI0EM6RZmYeNY+xmtWLYh88cgXA O9sa2/tvBhU3NLf7+u9PbiNSLRVVsiuPZ5vwur2BoFgUQFVYzr0T9goT7T7RyE3mIr2QcnYng2ag kWANcW0ofSj8PX3sMjmQkqmYw4Z/Snlkhj33V47NBO4bJKEiyejppJebxvCY9d9614v7+Piz02Gr MuP4wx1TyuFFBuR51pZuTyQMC45TYHu5iareHByGzEz042RmSCHdo3deb520b+bDH2aKYlBDPQQk bQxEbPwTJgJXbEP7nLUK4nd77T/xLqBLnZj91mGxkYW6cHW93GaqEylbvIqSS1rWr5x0uOu8zjcW bSnmmpwscaOTJC3fX7J+SCCewI90pIJwKraE7Mo5zIroLjYLJf/6un6EINF/TdQ5cMhedlyZFLqe KbXsQEvK1cfdhenJ0BXR27cd4eSW0CmZXDOzYfxidnBY1Q3tFA6JzOY1Ep3zYH4Mo3D6yr67wonZ 4mdOHPJgeonGdhRQqPng6rRbw4/HS69HqPZL872Tiar96HIBnimf0P+ovNO/rhtBZHouldPjHjfk y5OySzeltHoN21Q5zRU64NS1ZsVeLWzNfvwYYN8r1cOLo/CajPidB9pdNJ0Z4T+0z3RmajeIPcHs lWdjgN3BqLbxwQRpTup1elZhgg8nLLQHQB2Jh9bIoZ+EVJmAbKPhecRiGezZhM+TJ2qoN39zVWgm wQsAb40Nm6jMJL0SioWHpWHYug0erSmL1sNLbmziJfxOnx2eYDEJXHYqPOny4wKwiA4EnRnoQUEp ZQettOCnywbm8sBYp3nrb+YzZCij+Hw+2KIfhvlJl5E3UC/W2IqNEIouxE0rAnBq3kBki2FIbto/ n7iDXdIn5s3iT7/MWr2QzPQI8gqYaOVKt2GHP5DUvXe8awJJhAStScauNxDhkvD7GIGQYZW/y/GL 2IEuUCx/aHYCTEp99VF1g15m1TxqIJRRK2iJwMwBOUTzRKR69rVZzmYUHFXdNzgn+Y0YMcSQwkKW ROON5PRK0bmb6Q642IueEU9divZx1XBlcXOzABOdzzPD8CfkZl6FrTLBOqOSUuNr6iwMyGDFVxnw MdUfbxDxmqG/DYA26iW8WKBVL6QUVDyeJXt57tsf04DhTh5j/ZYVYmGOw8mP2pG01O4wTHrJbt/z Py/5evPYWCVm81MS8Zd1vMcXeElj8v/D08x6WBUcCqUzkhmNVgub44jKmspVktugR/4X0TsFyuRt oMhm02EKEt4cmaofDn7yGjzcGVfexy9mHPmPPK2Vs8cfK/jDrAernHLgTFdq3hVarMUy9OXC6Svo iwcO6C8/NZQMenX9AUbn9KIKRaI/ClSu84cvZa+LkmUaR7yyoSA11jgVfZt5MB0pHXJ/6sC0kGeR QUltxQVfZS4HdlmejDBZXMXU5vr+47yg2ncFaiCb/qTVtzy1/sPtceLaqx5BPVCc/rC0KdKBLXB2 LicM0ckZ86EN/2w+1uuq7F+4lt/v76WOM2GXfTwxJAOKPzoqmTXONkQk/Lc4sw+4+dqMwSdnD4Qh TXeEQ5BSxWPML5TNWct2v2a+KOylBuXVMt3ILYeNJfGMysnrO5CDsetQPECvYq4c24IQeaqxZauj MMh+kx3Qxy95SgGDvGaiGMROq2tGY7nSRQ+GPmTzu/rJyTxzUDmp4p1fB0JzFxCoMSphX7vLs3Zb TomCld1KCgdB3lcH9eUK5UjfV0n4aoc7kQiX6ILUR2JaW9IX8sNy4eNjSWmjSdLDbhXZPeM2PDVV T21AfPr8wBx5daPEaRRH1H2bzCBNBGI7uTBC1iFo4OQhl5etWE6dlT3/Tx92/BNE/mfx9HZ88hyF xfVELbkKxJx8ZUYvo29CWGddNdEdlk1RURskGldJ/4iNPoEVCZnfTBkq+TP7gDJXI/jf24vtM+8H I5Bv9FSizwdIUOnuru/SV6qMwM3npmPvM4QwXoQc8aL2nB22Kr47HqqAkbldomsQXwgzgrbOKHob m0TAOyvVpMmas82MvqEDvQrkJKTIEbf6SCX1zMOK2k/A/LEitB/fJOGSyBcdMVOGeWETSyTeoUOR ordfPxsQUvyiwvNnE1r3SvEPa65/MGVBSY3OSd1SZx06FAUZhBe6XFfCE+OXL7kYYhyD7MXWl2au eQrdfElZY/fksdqFK+IYU9w/mpZxbJN5opszpG8fnCkammu7UIO6Ue79dMh1XIp+CjphlTxgWjdp wCY5HP/n3XTylXl5aGbtW307qpqjUvE5hPR14Dtm9rzHs5QAvaxcVZYjfqw4d7jHMY99VRnReHBK /VMOeyV1qIcznvyIZPQVApLzOuPAEI3j66GCRlkXbvoYlG5VTS8dEP/2/ms6VwEcRNBoiSKo2FU4 v2QcX+a2XZSOoVNSKZr0uLLMgLSAft/ekJLahosR3evUZrPt4fclkNnvq2CcsdfC6krq0BbUpwVx 4pE03qfz/3anDosrecR6Z3+nZNJyMSRgW40gT0rKOPpVMrIboWZgyO+7ViWJqN4e92Ny7npf7yt8 rWWCzGT7+fyPY8Cg8VM2KMo2+qc+iZUQV8uD2L9r4YiUKPcxbTrk1tUQo4VpbR2RR+tjpArXv4nX UNFcFua1pNAJ3j/ZEB96Jf4JGTv2cms4xcEhG88It5lck4Q/FMfMe9YmuU352Bsgbtq8UxZpVmKb 8mxcbDdjL8gptMXWIO14tUc92g0E2DZO4PtWHsGSNl1V9PRhakOn4i2tNgz6BCTSiAuTfX5KLPo8 WxaT97ri4FumUzZsBUaoaj4RsDz920Do70JV+oc+AjrnTi4y4CfpTWvSSepbCnKN3XObBLyUUH1r 0MK3/Bgh62Nazira84Z+BMc2zooPibD6K3i+SnQ1eQ8DvdTwDuOwqo8tVEY32KAaJin3jWQ9ysP/ rtByNWB8ilvGPuMCWz42+a7yMsxWw6anRN2VfKCr05qaqF5dBebmrmlDNg7u94l5MZRQiOmWSX0j oJHzl0avEaO5Ycxdxlz7YR9LlSLyR+IhwBXyGIE3mAaBI9QJ0+ZpuWkeac/vML30QqeLYRBfgo/6 00nc6f9L2cAsMuj6tf2sycEabYF7jQsuZfqz9Yu6rX1+o6iSRlQLUEAktkj4hubJvxweejd8zqbU 69D75iESLW4RCnojH77+r7m0Kmb937QuVAb4+1rd05TQ5TPdsxe0jeRGZHMl8eXLJ6QYFn/qUUhx USDXS0yZ70eIuCo3W5r0KRKIn4aD37E41ONhC+tyiAhDwSomwM7BiRWnCCX4++Plw/hfNerq37Fb IIGQIEw6f8gmmbMtHHd5CRcbYD+qU8NGujmSrnhi9jJHhjkcyR4abDZPvfbEEeXycNkRphK9lWHs 6JXpFDZH8l4w8S/oJ74JjvgqKobzqDr54MveS63IJ6ubHif0slb88R6airY6RIt9N8WzjOV+5lfj oSFuk/M22C0PWkL3rHcyp1Zyy2ggtjk0Dlpt+YelEKaTPhwljaqtrU2AdYfpMZQGvIrxghmrNcKC 8CXoZFDspgWnMaO9IOE+lXE59GQpkT+MjMxAk4sbhOKJgbNEt+P7cBIcgMNHpZqfU3mEf28X/+Fw nj/S6foVfwKKGaCMS1BmEi3xxWMJ+k5vRF7B80K8VXkGfopMrxt8u2BsZLcGWWC5WwRZPeV3GNkw ZRZvLYmTlnwOUzUSWLyvP2S1zjYY0WoHKtR5SMkAdoM+iOSbHAtE0Px+fQYvK1jPVuSEz5+kQE62 awbSl3PNVL0HwAL4TK0n6OXtQqyX+SY7YYZhaW0moCh82LTJB4hYvAPzkuD1TEojzeW65N/rKUr/ emq1T+AOmAcZXkvLoVYH/iXKhIs5zqYRUx2D/nwn7qkEIEWhW4xPaVVDmxf3vLqr6BRF1mP6uJTm vfYuIvLOg67dkgdAO2GNEvoQiep5VHhz33LVUdhMHnJRBiw3yR466vf5C0qxGCp9pLLHSUEzjNSn ChE3b+WakwdwNzflnyaaj76uzSBl6Nex9uj/UVys7VBQfVT7AD77oWiGE6ZCMHPJF1jfFRy26q/I Kb2ezuexQmGhGGtgM1B18s/oeH2Zpx69HfBaKg17WGCINCj0yribyVzzJhV1pu5RhUrj59rJIaCK 6/3IcvLpVZ4VXIxEqHjzaGUlK0LC7RdhEhHWczaw9Mz94XJrrkvFQy+g79jfROjGu2VWcw5DS240 R9usSBcOeqwspASvgZ6U/JGj310HrXLj0GfMkSRqrMH9KJMyLVJI5SMGbMOFYpfLu7i30vt7NxY6 aZ4sOAZ2xP957kmEFu/Gnox9GrqUXfBmDurK2y2+HfXAUsp8nT6B0gl1tl2cwxxwcN9HipHPoKkc GEBJSwUKFZUv/dgakXXmvYwX2EWynYHvi053UY1bgL8u5G4zNuxXoP3f7SFl92oZzFVofXESget/ nYGBZ1kHc+SxWxtVXja0KwH7CuPFBUUHbyPHVDj3rGQMvSgdAkyYMKRhsPniz+LXP1ttrHj1FjMI +9We9aS87DlB0vb6Lgz+ipRjI2jGKJgSuoOXHhkn0yFOrk7suY0MbqfEAPF4qsgmJuXoxdFEeFIA L8X2cAYr7cqOEZXnK0XLvoTme6PjdILGEQqj6XKIIGavEpJXw54b5pGMMcDCdU6TI/LmgvzVbGok eVHi1hiA4T3eMJJUA97+J5zCiHupp+tmdbH+MAOtyYX/wiw5rGkmLn7LdJ2cO7HziFWCjlNJgTT9 UWbs7aRCFjPU9fyB0uCmTBNhkf03nvo0gfu7XzBqNy5e2nLA3Utj9qyyhl8Phcvj0c/8yOXq5yy0 bgR0sChOPE9th8ZyO4LGcVFh6mAo/pUtSdzHRE5VqQGfS/5WVqgipW+5HCDi7TC+FNrqfjBHTYhh nYone3djD1AawgevDWYkHkFjamXJMnK+zdGAFtkvF12CczASwnwubwjmN8RI7FLZXRZ6yVn2H3rr ta+IoMQigACccR22/GAz4wLC1gh0QEOfAauR5T4RSM0Dx+WMWghB3qBRV07NJ2SXSanxGgvpBL3b LchSNAbH1JrFUQgTVt3n9mbF6ZDKNCUTRxVi5ci2Suf5oiMQbQrO3d7utV+rutE1/EVIYMZ2aOve l+lI87bJiYaCxql/PIAJfJuSQmVZ2BxoN/2LmJwUyQQ0E/wMSMfKXbB8tq5sN7v7VJ7Co120O8Wv MdBS6qQKF1H50Rra32ZPmT18i79kZzv8LZ7ZjDFhr0aIjQUR6uJwBEzdDASs2CSelt4mgB7eXlBM 6o85jTZRYX0dp1obZnLANsRj6fDwurM6E2/ic8qb9ccr6m3Os1+fPKmNiVtyNvS8u54uUHrGiEdZ 3ZrnJVNLk/+GOWghQYk2ld34S1ZQd5g4wvgLWyIrNXlwudcZEazE7vOgJfbDLE22UlVIIx5bs1Rf YicZS3Aw0pKjVm1y8CPnj7VhfPZW7qn6kxSiwo1mplXiGYRa1TpCMx0jAKK0ubgMsLDoM/UcPUY+ Vx+97h4QLI3fTpDcLcQbnktdaNe2KzY0fg/W7uFMdUKJjCwInJUaYUBkl9D8b70W8/JwO6mFpG6b 2zAsKniILWhe76uaD52zezoZaAkejGO3cIOdvwTq+qy5Ip9IFeUpR0iTbJribMx4DANiyiYU7tpP IaTNkYqBfz7bqs7jPQp3uyS7ScVeFuGWDnAXk5tetv8mFudIeAGFtQLqm8B4Y34vQyi747NiF+qF bx5ZblF8ZoepbmiL0TtEIWci4eE8TcQx8lMr1UdoApmdUCaKHHxdp1onuj6DnQl3Xi446FLcvyyz QywS9LTQm3khdkkNHurfjf/8ctvtYXq5h82ECCGcOyMmDpKJHclmAuEk/tlBpZt2i5OAghtPjk9s aSUDR+VzZFexDahUKd6VgpTDJ+obN0aHss85OCjPXWBg7MWtFepjwkni1F4trmeEyA7KVC26FHcT oXnilK0vQCR3GXOoHRKJtlbXSI1bCNIZIuqCJ6wHvrsthNihNf8RBLqP+KM/urmSYNkM8VibBIJQ YlKtd8lRw4nYS9plmi5Io9/O1JDvGya8G3GvYQEr1PIOplSYf2gseMwNNK+anfYpSXVoDTJ1JXoK GFtZXesMvz6Kw4iFtDnrV10CM4bGLCps7Rr/HfF9WsxDQP3+hHLsxI3lCyIdf10hDzgJ4k4TRQKk BrEsR2BYd3TgJKMnz4eWcuUAVsTfyEv8YeJooeyqTWwT9Lcn/lHYaYgmGibA2ztl7Wi+y8JtE5zo Zt4+2Zsjx9E/AVUZeXMfllPZNkE5G4so8SCD36JpbC1ycGloNcUtN+ujIXcJ8iU1EFCkZxE8o7fN tadtuxTdMJng+Lwg16BHOx1lZ2IAEh5NYiSx2zJelD/GeoKXcLSmhwg2/geT9IoN2l4M+E3sZyrS 2SusqF5ySAQx8hX4LEXNVmPwRtFlup9Y/j2rwqqIzkXExkfE7ccWoiDPkRqz80dCJKtvg9A6lhar nsVPSzZYM7e20wlG+JqJgwCQxboJSL4xZwaoObYMp6H5a5+OpAbTgy5B87qngak+PRhXRV1rJM9C lv8Set8g7suSzkBAK1x/7woihq2WYlB/MV61qfXvWF7O8dkl7LLAjJ0/9EHxW8t5uVTxRTuo2O21 7SbxuW8wo/b2fkCHX2f0iM1PloNSCWoxrPbwlFrD7mx3HrrtczcfkejOJraNWlq+F0bSFEr8AX8q 1kYZ0vpGcdDKX8QwEK+NYoFqHBq2Rjzh8nGleo4MzC8wqMGpF83HRXEUzJmw0y6DQpW+I5IULqxo XGsBcdw3Ofxd0c+41iLH1L0fGf0a7MP9hWHyF3DZ+RriFW6vsCmZvv0KKC2Hfi9Y6Iv2QLn1CAeY TCQd1LoKH4RMgU6/aE2PXpTkrkVNJjgJDFHkuCYTvQVVLgI97gQEQeGV0L+YTDdjJMCriKDSeKfk AzmGvGdlK+YzwEIujYV8S2X2HyuUJ/xVnf0upwcRCTpDtiyurp0SzPeHYDFf7FpXqqIsrmORx0v2 +l5k5RA5Tjj97727nRDyc/4ban4xUC6VxKRauvKhVS+At7uHaKRy92Jg8CKqMcGov+AqhKQXo4je xaCgcyKPKlPQrq04njrDJBun/zlQgh3vN4wSJ7KjWx9GsOqOOLQD8dmIk39TwV3y1BcYiLbSFl2x wKowekbk8rBYxFgbQVLkBnhfE/mKrlxeJDSzn495r2j4tX2ehMDOCWXe6DBaRZZ+oSa1Vo4iv8xf 4RVsACrDPqbn2ZUWZrehTZb9HDFIYQ9YDFi/g+oTOhpMWG5okGtpI24mB0BQ8Z69i+oRqWvZRxHM b2bZBkgbPyjujO6kfMstwai8FV4g3ujCTXoyfXhgzfxJRQXvLHzLQqh83rs0tfvBSTx3L6b8m3mh qBwwrM4LCzUzkg4PjmDtUZbIE0RLBa1mZ4by8HK8BvOFcKjTkMmj+dmZQlROhIL6efouq0SmojsJ JiJ2OnJGAzwo5yeCMVmk5et3CrNAd9aKvjvGveCLDCAIZGfj4cUh5e2g/Ky6IXBeVRR7EqIoGk+6 3KY4GFco++N/BI2EVGAHRh/I55ly5OjhBiR6IIa+qJKt4arKpmcQltOVzOOxL6+AFeSOuexkA474 O2SsXFSCzBRaw1BD0BCdXsDYW0AnorGgPRCLKWDZWH98wYFJ1Rkq/go2rOQX4uuvqUInim62XSnj WumMUG2x2kfTXbpz1m4slI+ymikw5gTjZB4xcPzwftxNVkrJ0esu4rS86InhGWJNpRrayMWZmSEe KGmw9agZ0jTISxrzxxGSwxtnMido+InKluBDNxbqMAsFdnOpA3zgxbeU9XMiMNbnOm1m2serbPjJ 22nKvltuxk2Glwv2l7Jmw0f4myCnoLM7y/B1hDjvZAU8v/o5H6cMpsuLL9isdDT5Jh0FYJyRvTW+ dSH+FivZs8HN5tP6kPAD0ka38MAH4R++RS9w++Cyw518NvvOSHErLaHzjhkXVQXUA5lK346opkp5 V7Lsykoaa+ee/i6x82h78oMpnJv9SmaOjDKj2zpTWfaoCxdkNwxTuIPSUbvr84JZWOiOuzi1oPEG HlJQWGOx+HoJcr7HmfsdGu6Z2CIk+0g9FjMf1miw5LuBPU74fK2Gn27xBlP0Xij5ofYVpm8E4YUK yZE6XjCB4C6SAmzgMus2O8TRoA0HKAUdFFz5Wm5X460St0kHpexu9gF64HZJhjwoTwanPqdHiA7h 4OI5wzlfsbC36ZXjtqos45+SOrx9cQ2HVSzg4L9GVqNPt9gmmCeCpwjHp0xnLnSF4pHMstDnVGpr O4nJZfVF9h4NWxenp3M3zJdieqZ4KdZk2U1fD+NCLPVwUAMDjZzRie8YqZXAz+v0fLWQU+0rrWJQ B4AllFAK3N9oyHbRsKvBp9IFQOxsDiYi6BifRPQ/aXJjlBXZ7s2HGyV+1SrLW9MPbMdJfi9LKFL1 tQl5GA7qfhlHF+fKO5Hy2s3IPYgANplpem/Yo4ugCn7F0l0nlt9Z5vGnu0IOeuW2AxxlYYMK5Lka TOiuCvn4F79E9vLIY8UgpqZH/reApSbG38Zcxl95BWmZfkt5YwEr0W5A8UzjEBAacdhAiBhEjyP8 RT1agMyREvMHm9jx/cRA7YPmP97UZA/9n2MlRqM7QMgFw1FIxk1GgXSe4nUoGqYOL6qkudKYplWx n4u0Lsqq90c0V8pYvcOlXQuuTIMW7bEzF9sLCloTTaD2a2IIeR3ufHnqJhoEH1QoNFZFW/Anxzj8 c3lO0telEjzJ1r4ebtIrzN/usL1Np4QNjj359H+mAdXYsPys+CfHq49jpx401QMoIk9a159UmH7C kpii60c6VaOgEPrhjf1RZKab5mkgtIHS8IVtmmjpE1mh77ooedbJO79mvL/FJvQ9wvFQQIgrrZsi RZ1hYJqv3s3g7xDRyaVmXdwimMNaJqEIQzlxzIWosl2zAm7g/AGwi5KamWxYUmwVHIqGpSlAjhph XN2DLWRaHe6pd9IjXSJrTlvHVrexLPO+I6T+AIDS9TjL233tERdnZivQ2kA7Ntsvo00AMjvAycKJ IxpaAuN6DvrkyCSY+3XhMPrN0HWmN1qyIwJUXNJI9HLeRMcDLtBF1zmUS6RW7fwSpZvZaFAvvpF6 dbz5YOcOgs0f5ELND8ugM+i2w+g1cslx5ivKfs55J1TGK6bANmDGZ8wo2x6UMGCzSeuMZ0JGQMnc Q7BpdTTdLVty1kOIhnFj1+he7kmIZwrKCMl9Lbty5Jq94DUOmzJj5t+SjZ5oYMuu5fg49iO4fgqb 8a9AxASbGs4phAuy20WiY3gHtdSaeOwODZWcqfLysbM9vJLkBYEa/lEhkHNQrGIjkjPGlPXsRm4U rsEdwD+vrQW6jmzVYTRmLMektDERAR548S8SZ1aiKEts1/yCkoyM+dOMzwnlbzmtj2flCg/lZNly JuVjrFVyyj+8ngItTbTAVeYXDFeqQ5ai83gNMMmeVNvUCcbfcA/7WwNEv7S9qfeVhNSxzcbEf/fl A2jqjoUcpkSABceJ4Y1Rfq5eXMcFRED3/CZBosBPZmjN+OJIcApCBqarBNB6VBRUqmuzDani1pXD 9YRtEHq9moBj4CzJtWcdqb1zat3CuXPngJipKeDcDFxO8hyhG5TOuiHzQsS+FrfERN/2FyxIXa9U ZD56p/fO3PO52MIw2w7A9WANbj5+9IvmTi53nF938EblKRyCXeAIYTptbKKNnoygnQd3FUbRLqtN uw3Ca+VNGhaVsL6at4so1IKUaugienBahBd1TJ27zN3ms26d+Fw84U5Y1sDwxoU+r3h2/b91lMDt r9Xuni+xHCmrhLBBHcuYO/YgU8Jz5EzLGtNUlunh6eAaEujbqsUA9HWdjOQvALX9S51HjfT7dT6H EAe7jyjVlUL7ffPgpTcO0JB5HEqPM/ju+oLvqh+AVTFr+GrKYV8cukzuBZBHF/M2L0hjx+PWSkw9 OB42EhRD0lpYMc1SNc6dnBhbUnzK7OcQHYD05oMrKDcUoVTTXwuWXh9aXkOAoaGfL6F3f2o+/O9a H21rylp9fDniKpwjntucYDHoFv28L35sznb97e2Qj56KhSoZ32+Y2bGmVb3n45s7l8P1HG/k5tu7 e9zjQKWmSWnY9fpANmwUQO+pyqZlXXjL80ZGYxlFCZIJgzELNBV7AOmVzGdWkX6FoEp0obA85Ib+ b4t51LTDwhm4FPPD+mw1/Kd/2HpcabgIOUC3Jk8ywg2HZWwaBqiYhOKUnNxSvjIxP5U36kdKvTqs PpTZhc1mTAb9plhmFwZwftOIkMUjOgeNnXMGzanHmmfHqdOoT0hjRPFWmPNEzQ9Bhyr5hu+MX7Ly XtoxFCttsdq1lwbrQ1huHSOh3dtWhMrsr/95mi8sdzrr1Np7gk2c2ZEf7vBH53E6VuyObfydHTF3 b8zzJ2q4WN7KCeX4Y/A7M52xUSpkNKcFrZ4eTkV0HapyJb7N8pQY9a7t/8PZZVKgS2KTdCj8LAy6 8s6X4kLpJmoAyv6+wO8aveAs7ayetpHdUWpMv9/7o71Pp8Xqhb79RK4KRPbvhn2DGueYAkBIG/P1 chSa7tobRRicNLQv57zXQyfUmS+GKX17r8XMUwYE1VhsF6qKeUK9a/4Ffn5M3NueH0zV9mmCocW/ U4L/e6Ro94ufJk2UmGpuA3+KTataaw6hAUk6nnrc8C8/DLTvtCO7LTrsOYYvd++d `protect end_protected
gpl-2.0
e963deec65508b965ebd6723a0967400
0.937739
1.862894
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xbip_addsub_v3_0/hdl/xbip_addsub_v3_0_viv_comp.vhd
3
7,989
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block l70dmYNWXlkMGIWCvotRYr8m/vB8Va+EPBTtQKWwBCv+XPlN4pyt/LI9K8Cqc79JXvyc5s/TsavT IQhi6nMGQA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jwygAHNy51QACdh1TlU2sb30S/x1DqVUzv8X9UZeW/ABJkqnRYoqG6ebiDy8+iMEwxylI+qiSyGI LjnOJarxY8qgMBKWOiVViWqaDuxEGGDl/hWe/x5FnKUHfav+/k9BqV/BD3ytMOYYG1uCxWPh/lYa cr1Gw5t4moYpoAQ/a5Y= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q7TTQOGEfT12npTNxXdfI+K+TPPTwcWb4tQb2gNM444eHiF/IMtfAsM3VS1Al48dlj0cC+6fJO3J 7ED5RxWVXuw9YsE+2u52I2jcwKTbAbE6YmMaVFGV7Ha00noIV/+NcfGY4uOgzGwIFP1YuGSrOArZ LrfX0wJnSaKB+Iemny4CUlmp4uHLg0gTyx2iZ0FUTaue7f65zzA94dxFBgOxxqeIe83Zc4mz8WFp fvuQGClAqqEyPP/wLuO0J01hqBXgMFOUwpxgxhuLB6goncBxutJyKgpIcDtj7FdAHDRC12wTQIb8 MzBtn/b5pCdru9O9UT0HJK+1P9mlGsQUUirWkA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1GjGdioVWf1aSslSFyyEfsDHtnuwfwYxIYYpXvezgQh5pzYcVDP83XVjgFtWHK+G0h+1ZunVJZSS Bwo4LkoGL6QJJ0FKoFZpXvjfkNOMSj86+fsrQCxI2Pe7F07R0PCVmj/3exMkS49HJBs1YgjaFbp6 9PooDSNcb/uEmuwrdLY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eU03vZsNu7bpiykj8w0MAPm78NIaxH+cuD1P//+4NX54M/oT85ERaB7hLPv70jAke2kBsiw4cP62 NxX2CtnCXawYJ9u2RWHT+p+kIIfkvZSnQp7GVnyHM+eeBavDy/D/Az0JfSEQUMPBQ4VX67Xe1ZvC lePEFvqnMZhYpF5fPNTMwF/qKXFA4EJyyA+YFolhTOhnb9gitMqJ1kTgsUUup648qRCbLkvVDCVR U22SkMtcU++TQdJ4NJKl/sHpLzLeYp3mLWgvsPWtBs9ct/sr/cTClrjv/CUB2UOBfe7g8FUAVbO2 CIbNvAs2bjg0pEKZxOk5urMtHPadC8wl2w+jVw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4176) `protect data_block GtC+1GqTo5m0A5fgQQq+MxK5mENMqrp+3dsj+CaQ89fQmPyXjqbwmFk8eiNuRy73h7UyYdBOs2nD uLuA/ysVCxZXO3E77nIFltNXO7ZAPyXhSkifK2cYWCDK6DeCeKoqU8tAYQ54wlzJWVg+vgcifPjR EP/n62Rl+cHGDmiC+eraLJS2TM44JrfmldyroSzFDBxdsgLBIi6/Ckk9vEbRx8SB6kFXjIuJMU8C ECmYmVIG1KcUZD/UXTkNOvFmK/EsYk6RCTeVe2MaQPvYWapnzzT/grfHIzkD4QrrALjkMjRsfh0s yHcyFa3zimWv7RqmFO4sM2T2EXTQ43u/hlZHRM9l9urWOrCy1jdknAgiqwZs8HgcdT9fNX33k//N r48ALGCwIi+9DzwT2WvQ6QhUty4Vb9UkDX/KPurERazzsqGpLFQhNpPkKVJsvVRKqK8p3El3R+DU 2df0C129nJ7G5S1V9IalWwckgmWMebtqu9rzH79yWUb0MRyeZe6SoaJL36RpSHrIVvUiYSpDSf81 pxPIy1JrnS1mg6O1bKpaqkJIbm9q8K0r2PwsU5KYstMY4mQuBsQOglXbZ3O5C52NL8jO4sf8DNAU my+WrPsV9wdNQyMQ9TrV1/5F7+APu/8J7VyR96dYsQej65RfNhjVzmZ3TffAedGGlRDPqXYm7CE9 ka5KXC1jpxANENb6uZlfF996puHRmwWvDmJDtVcPm/iFfeM70QHK7T5x+YNQrccGbo7QG7Le8/xP ZH5RkNHhJEg/blmS95IVfYAiYwJvpUtpDsks868R3z/BMhGDhQy4l+/ydSjv9X7+groDjRlAD4AO nvReBQgLR3Zb+3lr/GVEn0gU6kNmVx9jRKkEtef1y792waoVVrOjlUqcO2PurRVLdDfaaWidY8by 87yXlLXTMbyFt2hwR6LyYiAljhA22ynskMtRsmBLhKlef7mBFs7uuj/qmkSQkzw3C9inU3Xj3Pfz 0JNMs0n8kfiBjzapjzk/3xjGAGR2NT/nIsGf9DkgBpk4xoGUWm7VZivY53SSnxESGc6wV7tjBwlO 3d4TZyKdGppRyuyMTf6I4tjbeABFZHTbUd0ohDpflGQ3x/jbSKofFLa5GHeaBL1f+UBhhEjSiJ/+ hpC/6XIXlqsK80Qz66k59Ae4h9PfNdr/1lLSxYNaVguwcjA66GZoBV0TXqcuFQQzNVBdSqM4pOhy 4h/zlAfVXhrryNWaM8Ju58D4kiUShCPuhT6ZhuzU3gPNWG0Av+/nn8jWMRSFvi+5CAcZiNaLSNvs dgV0hAR5Zh7MxKRGQvFQ5ywrbguk6B35QB8HsDON7s77yIsU6IL9KLmQPuQFRORtPPCuAn7WMMpD Wg9NU8apYu/pZvUNWJ0iKqVRmtcpBUh/3FJ5Jj5gaq/DZM0hCU7AkOFlLkOIOTeTEOuukgIvfXUk geeOTGatBRdJVD42zDBMywRek2xembiBEoqAQdLgHSG9VHFjfuTjO1DoDdy6clF+DYl2cWVhGLhK zRfLM4vYrNXLx2YL8Sta4+Xs/wHPFepL4rJ3taSl9VzgrUL651WsZkHiGfgOpkk/fGglurgR5ZQ2 aKV1foe+J0dr6MjaQBP25pDsASlP9IiJcvAxJifaSsb0B5x5wOC5fFdDbaT0mo/rNEevybdJEwyI gy4z4HJLwpwb0nubCjkslVcoyyI3ARDuirNOW95f6F34yY4X2M3S63s1vIve35npJ1maxJWQPyTK zEe6fsuF010h6uOr2nzISPLq+Qy1tKbghBcUVwz2VTn7MkBpvdkMAU+wSd9NFMQ0tMSktU9Zch9L F3CDK5u9YBLNS5Lx5dG7E9rxfJ5xoVCShTsAcHuOlSMR+d6Uip244JvSMeBffXIDXOJU9cwY/3wk iw6PlQoClel0HC19uGIfbnpIvvDO6IpH7RWpouGUhDCdHYxadOzySiMkACXCTYK0L3zbb1PEALEw MjIzoT12gxtJCx9DjjY30UmoQDtFuAW8aZAHDOcS7rImwjy5PsnN69yyTTs5kKRbg2KR8NQlBNul Y22y/k8ZipVzbxzfC+oMVmSI9+YhH7VNx7zlFtHk6v0lXajPgN3mAgDssky7SNTe4C/37YydqOao r34HyDSDxL2nCTTDOxKnF7B1AEReeRc6GjTiJh6IhavWE/zfYk1ZjWC8c9RZKoglB1E/flQlBrUs tF6uh/nlm9/5n9pmMKO+1u+6mun9hTrcArIZDdcgJq71K4gp3M28TTJI1R1mMQUR7sSQzPn9sSSf dsmSL8jYNVhzq9VFMHdSGnfP7kTBrKagZyYuXBjOG87zkdqW7Buqr1fSnkHpIIYccYkgEhgFl7QG 89XkzZGkNvaxD0oDJAfHITdoXEnqZN7158ppSA95LeCRHLfm/2W07Yp/Ic9sBQHbVQqZFmrRnn// zijYIdSuOP74a2KR/OujRQNpmPj+4E7BuJSZkHjM2Yww1vlDbvcEXqJrh05azw4QLfVJKTWH5QT1 0tOCIXWF2E9Ba2yjQp2E15Mg4gKWD5H4ICCJjD9BIS25BTVTop/Id1LCN/nfWFj+ZjmYm/XHk/fp DEuR63H18Y1bFDCszQgfrGCjSRDtBBglSeRGM4k3EqPEVwUgTqYSi+JvF8EzaLfHqiintLj8KSVa 7CuKOyOvPUmT3gKL+lwtuOS6vaXV7rTzTS8+Ic6kE+OzHe7EHrfTDdXSqMNGWc6k8kuefyyR9p88 yXhoGJCVVSyODlDTEcLsNS5ImwREvhFO5m+n/syuTy/FPKEu2M8cMhf4SirCVkITdnNR7Z+Ekc7W lhl5/Bau78OQ7dJg+p+ZN73u57U+mFt5m2HT5moMwkNwWf1MblySFVwPvm4SReoZ2Etsk3X8U5Xu +clWQG56KwgTTGrz/MaCJOuLCyn2elLOLppmhFCuWBhXUiUL+EtksXblrWcfsFxGp1MRo27m7ZI1 bNXnfl0ZDitpM8rRHSyO5qxI9NMnVdqS6/jNh52+kJ+D/xQ+mjezy1EV2U1PP+meUMSJaFCl742h kgTZ45GPEXvBA7etYK8rmLQatmf0mA+AQ9DMVLSKnedGCTgYsuTqR9txzO/hPxT3zChHND+RC6Hb e6alTttfNBNon221Dt+kj5RPEBTF/ZCu7ib4tz3Mr8ZOzCRH5lSsgX8BYNYwkw0nBO3APliopTUo t3wFSwyziosy/3S+1GUnREbFPLrrMBr7foj9a9m6Y3tWLf4SPEJNJsLJ/rA9sCKEv14ak7aLQ+v2 fRMOivhzBrgJxC+91gDBzl+mXV8iJ5H26jJIqnhbn1aeRzoKxTNYJ13zt+hOX6HBq0okNZv2cgsd nTB9YE3nOSvkFEbDksyro2hhs9sjjsblNJxsK8SoD5dq+hbL3jf0HhzWkrFp7mtWCVNHzTR7ZGH6 3BKbild2sco7jbR6rYj2n/XojFrsBzE+zwQoS84pqOdpxXhcLiJWnJq1jOhXMAIgZhvwxWqG4kG2 Olbdmnd2dhE/+lsBqxuPDsRtfA9kgaTWr7Txr/dau1lxFpe8mgZUZjn0ET5Kh/GGrLs2z9nHn2Ur Ae1qbOiqjZy0TjJqbZRAZVwrHYArIl4EiQuXlSjsib173yrnb3tE6u/b1kYrKZVYZz614pYtmIlg z5iDJw8gyhCH6n4QICKi1uBnWz1eRGuYxCPv+8I7bHi4gg2JIj6Bcpr9wt2tYkZDB62DA5ImqorQ iC+EHe9RzgtkToMqAucYkU5BtJ5TXB4d9zlkWeapLOzZXs5eSRYeE7AFTz+Xzb+ZgcZFVmj0u3kL yfble9/LqKx2G5Hr6MyQ8JsCpLy1ikfg1KJDSBePSRNvrbEUgZkzjy7GSW3HsX0BFjG4kpBBzZBj LoSR7JCcQiKjkWif7xvl/U6YdkJ5xYL7/4mrbZSlmMdysjUEPWdeI29G/V5b3bQywU75ZyB8GWAx TIF1gRyv4QcjS6aiUHcLIdgm+D8UJRZkUKVCuFydkIPrY7S8zBHmTBEDqfjg8qnyrtCLvFkb3Vgr qmV17mPrcAK9EYkG57UP2341fR3cwFB80vStjdtu5TjcyN5Ri0ZtN7VIlYBYgOtH957yIujQOOUL 99Lr1cDA4DAmzqatEny1m8Pvn/CGihpxhV42sHFoHflJRud/NOljy4+z8uj1XQoWsQCSmRIk+qrI 8jdMqnzzO+LefFTTsWhK8fIRd2qDsqtf1dqSdTskxf/vf5+qKESqSFzs5oVwQoWexPVAzQjETp4C M6osgiuL8Zku1KBNF1StE5vkBCe6UcebDCFnaGTDlY8UUOqcXwgz0BEYE13m6rki2xk2KsVyng/W S8lLqMOtfBrblGaYAZMw36J/IvPX6XldXU8hfjPL/NRR7SdlF+JF/RTDWBuplpo1gTDicVlfNlnD FSfxveB4hnBAkyybhrEH20l6xj0JUanpb6WuNpQB1r9IY53RjroKbZFylXEDzeqhW+RkpfsRwWm+ mHD44cNMX/CpsuluViBUyJ8OsutXQgN1Hl11M76dg6t1HaqCKZY0LD0/NwPUsg7JKdueDm/JAEhu 7f55XE7iYXa2uR3GhuK6oBaH54igD0E7zbcNOFDALiynCXRMorEE8XESVIlnKis8PEkqtaK+sE4X ILEd/BOvbRAyasn6ITrY+nvgA8yg21Joof9tay5tYyDXIJA5xJ3X1dHWsTDchBpvSH7DYuBFyTii YURxi+b1PjdyYRcSQu2FC259Sn1OwoDp7nChbChfN2PqvpBMEmvSYo/ICyEgm9dN1rk67Dq0Vtqg ZlYO2FAaLusipc64yb07DNZJIkbV+FDHbyxgVhGVEF9N7v6qpamKBN/oq9cyaPBF1iMkcaACJvFo dbSPjPCrsJ2Imjz5ubkHmlcEhuaA8CJtMAY0iEZxDMRRW37PFHxwQHpkCBUG1/XfojapI2tT3VpC BI/1r5ewdrKvCZFD1PPSFKHT+LxUONQcGgcyn+XuNHIPwksBowLSzqZdG4eBapNsK5ak0kfy4JcV DvkTEBB9zMKKor12kiAa3fcH+UaoiYD0Igb1DjWKMBzJmZKLwmsnWV2su222zWHikn60LPuIDGoO od1Kq5gvcCFPo4B4LSzOUi3jDjbd4DEuAk3Q3ObKjj8Vxt7zZ/gZoFK5FWIoXGRJBNWopXIVyGkH FvLU20Swt410obmRP+0sg6fzTv5EGAHe/FRaX1Uo+FZhRtLecP0dA4Q9rDSwFbyDUg8ZA0pNMjFf YDd5/8aH1y49Dl1SqorQMhZHZLQnZxsRMmAJmjCoFoQFcradPBzKQgWu4rV8Zb0U1JyBpZV6FksQ FdS8OVlh1qjvQwh6eENlSSa8g1hIWskjeRFaKEAUyF2WzfQS8Bb7rqJWSg0+KCnHJuh+sfAuuGhj kW0eyWOARv809ruKZ813eFbrc5P07aTDIXgCgJ8WoeJnT+eks4ZNBu6HI7l9KrjCD+85m7QowaNe KPLlQSlNZ67HKhwH7co7F9SYQPCymVQJPelX1CjovRlWQhR3uR+yX2RLeuNTXiaTiXkkZ7kH29AC f2tRfV2lhCCgwkkKBiMs `protect end_protected
gpl-2.0
e0fec39c91aa597d2b303e779dc03381
0.915384
1.940962
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/mult_gen_v12_0/hdl/dsp.vhd
12
181,273
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EQHbbfOVL6gqfpeCpoISbj+lHfKr4vlNCL18x6H0v0zQdPY/b8eADbNrvmvYjVcc0Tn1YlW6/oif 3vs3Nzg6iQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TWVAd3vZuakptUOe4SlR4HP/Qprg7tREK5KZyHcWZuI4prRJIyFeZ5KFTat8JfgFJYjNQZxQtMFi t43U35kto+eyadS8hUd1lp14BAHOO/DBasc9vph2b2xCcxsMbIBLtNXOsOlLVqylmByUyppDFqds sQ82BR+a4komiBeOV50= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block i3hdWV2Btkks5l8OpwnLTliwlr13EwxZaIAf1Y3tcSPZhDz6yEu7xxedJ5lV/LyBvH1uukK8zPLs Mvzj9izn0HqDESKEPRrajf2E3LHbg36g3K/SAL+uZLuYDo8Rjg4qPZr60qGzcDINBVBiaBBVYaJZ sinW9hN5toHGqKP3wZsxfdpWBzkFWOf+kDKWRV1ONKUusqD7q9a5/mIpC2pHr2Pn64xHKavTHN/y IFfznTRSXKoPv8gqv4yllwaZtBPAFZakeoeMwcrBd+xjmTk0tnsXJzsi2qtIvWjU7Xa6vS0b1A+d jq5ObM9OSnauk4yDCMPAepQm18CwRl+18wG39A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gZj9Iqx36t2MoKbloLaYjl9TNovjvifcJBpm50Bj49eEXTMMiSI47gg+XZa+IefOjgwy8N9yGSWs up8fFGQd2XZBecmqIdgel7DtmTzveHJd1eWih2agQJJ/CKKN2wAHWVkuxo1sN+dFg5l/gEyjlOzc xD4WVTcJCSefjXIZXh8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block d2ddZOSCnJp4objvLe64SilUiXTFDb90g73z8MBtePMbmoXnX5glCMa0KIQtm+CKbPk5DYnsMEkd pbn2YC8EcqcJL/knJy/CgD3l9RTZtMeyH3koJIHeZuccfhbpx1iaQTf7tMFx81NrKmtv/1tphcBk HM7xXoVr0wlE3XF51G96cxwGAvygKRbI97JtiWystSamKboZRyeAzZpl2Zo0rKOl+/tAIJCz+zp3 i90v3e9UQcn/BzNGJrJPt/Hfu3SdUtg/KjGA1q5Ud1nAOI8lq8jolI5fslaEEC37Qw2gUc2w36Wd z7d5uWrm5mb3oJWstXofS6QSqCJBQlGpl5mLeA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 132448) `protect data_block XlRL+K/fti49mU9lNLiZeENz+OaGHly0coE9VFvUFtmqJNzTKRFIudER4U2HEQOpennYZfzVDpFI rkVePTblK394JK4R6ypWt1jqqQYh3vtK70uPwL/JFkXU9iKznWkSFr0oEL9y6dG2LGm9/P7r1wtT DsA8Jnd6iw8OvCqK14x3SGGv3BtLknSfQweJM4EQP666JV5hERkYnjf8CydSGtvdplQE85uhkGLN wmYwQPLSFoti0toA/P5re3n7ifBIm2SoR4j96NmYbTDKIe0DhwmKpVjV7V8ld4p/4USJd8+aNL30 VVTRfocjHjXJjNh1AsboJ/3eojGzwsk+H0ya6rbV9jFTVwbvdjzIvFxjZ0D3Uh8YSKK0RVQ2RvgT eBNPFiGEV+XTRaWUD3fmhuPl5WHfkxeiOW0N0O/z0ohTrHph+VpO5ZrexovV4kcIRuT6r81w3ilL y0HIF0rZXEQKfvpiVejSHiK2QTvwgfVmFVfllpmDJSYk6511E+IVy3LzfvDwrK46ugKUV5lqJwSH /lYu9enj9yWYaCCksZIzcLCurncoHJU7EYXp3GeopyvuJv2d16DTb4wPkzn99QyqQHdg/MGS2KrW P37/sv7uh5kBoULaNgFGoaFJpLwtPkB2jvNls/K1SI3eaur20bOMwUmmGjUnH5wjdl+OKWy1z3BB 75GaZkyUgazeD2Y/I5nIaH8Aj2+ncJHpErPKYG3E/1QviBqE37DhqsRgACdFlDBkekpU1kE2AJMe Uohv2oVOGdzJL36HkUunDFYs7F0QUsEYCQMqNJHI1c+siVdyQzqW30fh1f6HdnwnNR4h1qw6W5vx re8wTfjv9/liqFltoSkusLlUIZp+R2SUjLd9TNmelXKWT6s1QFkfDQ1UU3asCH/u1inKUxE34ViV SRnWXWow0wGyGBSUZFS83kstsgMjFk4D6WHPQYOS6MWyv26Xzy6hrsF7zvtJUqepoXN9bwenSIRO mL3mi+/fxP72klseHaMmxZ0d97Xy+XEdlllD0WAaybH11XgqLkLRyemidSSnrdIfgoUrj4Q8L15q Mdb4WvJy5nZ4UxXZKbb3SXMsdaqwr/6L3JzuaIDKmrXKWIqkNqx44tZL9PcbOWkGEJ4V5iazsmMS D2SBAUWLSsymYBPZLoJQqtfsOZETETdikBOUWHsr4YkhxgEnMTtbHZPvtTDViwrG4sUvZQTpz38Y I1pbxWJgDGLYJXmRza57CrCi/XKtAuNWFIks5VlxyMYiKjUtnIN+7mh+BgZxAZR1nIjlnQt5aLcS dof/4JgyeXnzL+4JLpUyajmFvPN9KcK2TR20okxW++U1Cx4KyLgFYaVuka1ebpCzBpBjEq0aeUVa 8FIxOy3PDdrEkdweg1IlH6dQcDS7G2cL+Ng9K8resdZIHZhElCD0hITj84I1uN1CCrIx/ji3Ys98 lgLWt4VYMRVnvHEh74nVDnPDnI7Hb5TYTDEoQ+wtAXoS8cB7xREU0AwxRXkfTMnETzhofMFgusDl kyXx0kKfFZtlEd62U63ga/VYX/rABvhg4Xli6Sv+5l7mqFlDqOzNUDUQODqLit5EOe213PjGXdUf sFjEnANNgP1oIlmddWx1XiGUKDtkt6sfJUddNFlW4SIC/TZdEyD4doPTxA0IN2RSSQHOmpGPXbZn fa0ou4SP4MDkjX9zKh9ztf8Km6gE5n6G9Dy24Bj8CXl2VZQ3U/sGJeKNRm9MvzCkjtsqqGivhNkW vCtbtnFyRyt0nKa2GRRwQIEisaI7x43xl+Dj/tb80Y8P1V7f0F9hh1kyrp9CF+Yx0q4YvcL5CWeY 7tnyW+qbEnzjUhAhYiAPtK95n9OhNsZE0Xrwhb/IwkVDF2xy+wsOG+YIsU4oiDHSCUU/Us0Rjh3B 6F6iJ36h47AOu89nxagCF8rJWhYEwQb0LhKkK4/QwpVXDEzSz4OTl3zg8Swe6DJvMOLbRbf8fACn bHdJDS7d+2dmzmzS+qSl+BplL1EnRV2p2LUD4P3bdr/9b6SS3lyUTeMwxzME4EBtJ71Nkozbv69W fMpP72LJx3ouVCa60MtouJWK9ZtVB05vlFdJ5dFfSNEn/JACCx2gB7BjPzRi2XkNv+rsMMZFqsIq FVbFdkAe+EdXT2GKTaEgGY9a32M7xW/drg8BJ9sG81X1yaAr/JpgAUCyZJqSPC2p7sScbZikPUAw b+AWtRSsTub2kyHGeiyWmkPGUfR7RUSNI60r5jfG+LtrWuS/1ojESMhv4ePIpfKkLbzGO4M72xV0 BiHY7G4A41WE7XZYwt0x3uv/gRa1LEnTVwSgwtdJcqZPg0HVeqWv7G/KqsCO6ocplH5GQ1PEi0tx kVfsza4LzHC4CdzBVKAQYKMkK9l9U2evJN4X3AyNmAEG7TSugMcbsFymEhV6zW8edz5+BCak/Cuw qlzoLbcQgTeRcqSB8ZgROjNGzPGpyfgER6lollUjSyfCFJ1Ha8NvMMl+JrZUF6iJWDnhxQ1utvnf HMI77Y6TzNlK9qpTsOPJZ26z6edmObrPlKDC+o9eQ2avkhxvenLHUnPPzQb9i0PHyb1XVqC3/DoL xoSkClELrragkN58Nu/SOal+8d2MlX1tSGw3v7ubkdrZH+GaaRagu8TcruhFSeKw2B5Bo4K9zmOt 29awtj+woXEdpTzhYes2goM8O4tLBhTYcYEKo9UornoxEMRONThpyYF7vMR0g5wlMhchiZC8TG7/ UYm+6vmwEvqrSz4qKLz0f4iR7C5/aF1Dx50DRT5Cj+wnd+maTJOIrVPfM9sQgFjPG0Q0VsCUv3tG h/brNg19hbvIK3FWaND4DuD/8OBl3K1t+Rv8Ir3FaBPJxzam6WliwJRfW9OFIBK8mVnztD/KEaWz H1uUod21FmtsQv1FUfLHi+Dfh0bt1zDU/5TY2VBJ9cUcCDwY3W3S/L+crV6VCdRtA7WFj9F51JuW wyiK3/Ys2WG6CD3CxTa67OhPkrriJEtAjfkMpu+U5HouUbp0Ar11lo/BU0VR/E8ZbwDxizo5Pn/R O9MqV6CZ98U8AiPtGGfiYrwOckXu0nf/79rSw9K7lt2IprosqSCwQacSxgM2hndBDMu3GXrU7pVD 5q30l/4Lm3TMtoSZSwzWN+bf2pSw49nkeL2UgcD9o13aP8ZmoEX1Gnz/r0TVAg5KvReUI5hvTpjG djTQ0sl6VWSoJgSLc4zSv2oarC0XlVcYvztDIoNln640RCc5vegNxO5/6OzcjyPhY3/wj1zPdBRA BUK4lGzlI2ys0fdxjFBUHxIdZqID4ENoTmIOCJ1OU8CpWytqoMd8znreehIhkm6ktDHKiQilyVEg LFuaDMQCg+Kvfe0xXzJCGpH9XKE8rrI9icFxhZyGhclsANNdog5lSb52aCXUvm0IWuyS9/XRR8aV UGrAfXG8paHnh21XoWtHCWJm7nf2zQ2RWXPnPkO5CY6YZa7lu/Lk5DHsgowVbZ47jyttE/fI35Fo 9T2LfbdDtqH8c3P4baegky5o3M5j4jBhAOoQK2IvQGnb5llNWxhJXOBhhAFygWhq2c8jrRuTVKzA HjzTOvGJRQ0UyY+eb+USusRQKm0DnMMGWEEvokVX4QBUHYmlrZALFCn4+0JtC50HDWBzFrogZFF1 5biCSkjg/YeB4L2kF5JPUcxvNENzXokO7xvh9vTXXSSxz5FBhIQTmJ/RAHRVRivREcZ5PFA7x6z4 FWqticK+YqCKqg2CgP5h11kOVUMtYrf6sX5E77QsOY+QHh7j32TVJj+XPp85jWULTyECdrEz4Ok6 wtCjkKQqPJQrsIrV3tT5KKIc6ZaZHNMBFP4J+lUG+eg5kq74FyScidOg3dZFtpDYg/8APPpbVMPz n1eVMW0xXLKzFvjiJh/r1tVmGO6CnRk8zgfo/q+qDDsfaqKHaUYzmL97CRr2sMEL/aHHqQ85jFhu 8grft0g6d2rFplBFuuemAF4aK2syl3YnKFcSPMcMc+2KDb1ldmUL6hjuB9H7uTre/nD6qy7dvAVq er1TTTSyMU7avJScRoQ1OhocKacl+qAfAtqPW03jhysZc9rAKzz1HJN4G6veLl79HYta8U/iDeWp gzDhAKh+U+Y7IY78NEO//a2WXvTra2xJcB/K7P8WzrG7KEzA9Er4+p1KRHxY0H2xaN2OCgJq8atK MYHF4EP0VxR55sjBkqdO0/bDYy8XKguVsk+kvgQhZPmuaCldbDEaR6Y03wAvIEZ8w5wEE7jbcNDl NfLbTi0gdDYeFZlOucP4K5CcwheHcdo9vVahyNNpeS0SkMm90M3sTpjjenRd+zCzeCz+TKaLg1w2 jf0dqdw7tP+8RFv5QCR/QbZ6cwrnno6McDy11dqU+fzHJ4YMy9nl+BOhnyD22GKFNTxEbhl2QwZ2 pn94jhYzUly7Gz2IyTqWNXO/VmgQ7HC/JYVNIh+RGq9VFHvgjnf13l2LN/o5hwKBJwdwbj+w4GcM V8Fin8/zBD2F+Jpcs96LGlnwGEDuRoXfg5B9JkBj4UYaHsngWIgMqAoRmz+GLv1ORFiRvawvMKcT 6iUdbC4R/kctZ8TVWaBw0D6/A6aTt+mp2BFu2CMgtiz+9GjKMdTnA3mZEl6OFGDMFXrZRua6RoJm QNxpOUWgMqWLhrzNtoRPHlcmggSWJSLLr4jdS/v7apj49EPl/Yn9paTod2wbMzBv8XA7OskqcQbm Z5qX4o1JVCUkBBCiIj/44T+dDiejtKYNYzpG8GIRuLDirGlKwKY6WUIrq1SPW3KembOxFkpFV5g+ TRGx6PZ8GhEJrwxmMUv1iEVuHim97kmH6e86DISmzr3Pe/V+TmPTQFku5X3Z5ohDlPHjbI6P5+rV xoMuut4Sma+dAtr6ihT32HdSpwH2mqznKv94pAbbGke0vx/WYr6QcGRLwYRrBD82ZONTxVzy2DnP zj6J7HqctU3rz3n9q3eaSwzrroYsWE8T+eUXpV11uVj3klGz1NY7NKr7dIXS+0veNPt9OT20xzbl e3Dv3oZxKZcO7QZKFL7A7TvVMhYrnYubpwN/9lbD+TPKUklq0iKxcyj60Ul1DUintwomuPcR18Ch aXQhR706wW9uQD7nz5z7io+ooR8xVd/Xe6txeW7/9iANGQlin4IVe3+YNWgOoBJLUJRIGFMiWPFG zWbH2tW/PTZMb8GcRVTvOJg3iWp7xlx0sI024vmQnD3KFkCUU+ivadm7PUVGtL2B1EaESzylpf63 KTy9oVOuZDxfgEF3GdHBygJ0wp3iu3zJryhG9gOe3BdyWZtMX2sCWtaf+GCJwNPRUeEpD24u+zZC 463vsooNfLmyzRGreVwhqLcAAC17E5xQEV1aJHbxpY2ZLkqCDKA8mZ+IRDWBMrFPwvjn9CS0+jpq 0XbBRE77n8h61Ce8Sfi8d8HtZaipxi6rZfwB7ctayHw+U3ND1hIheiQOimjzJoABdLfxZUdYiozK 44LjqgxKd/1iiZ7/ZgPkqjSKDMWrP5Cg5xm182MOLth/6dsNrf18p2gKyqaIxxHr6tmeMF2qRI/m 22JB9zbaVCV+dM91mQJzp7wXyU5u9DOc9EK5RXzcIhv7o/yQUns6PI82NSzscCF/fofeJy15n+Zx WGcDpQtD+B3mCRrfKCxL5e8xW6hj+4O1K7prdCyvD6LBdVYR8tOJ7HDJ/LyZc6EPtmKTReSjCvDV Akir8PnO3PtBG7zxFPI3vm2r9zOkh8gEsDimoCwQNhFcQtcWqdQ20amxvu/kQzTPw+uwx3W7vmoq CsdXRvj0ruBuRv3Hj983DxdiJNI/AUi9CCYAPSFhGEdOhMlBnPw6+F/zWah+NldBhBQtRZCMK3WW l45mZI2vjVL/dTgo2BgC63IFaM9BulUExxCpXuMq8a1mswUFvcXSku0UerZAmzjBi9sd1aVsdMkw NmwCbE5UFtdvvRhVbeQKgD9h+5baQYBI2KXVPsoDdIjc4maNqQ5tg8SqPkexanLu+pKWmx2Z8bbN RzTpmAsnjEAyHeG9dxoCNZj5tIxN76v7OciCn+MqJ5De9STzpqjtBBXJAFQbZxQsedXpEr12P7Nq ai+YwgZzlGG1Sa7stlU3lyYffNbB7aa8YmmL6APkyCSqPbBBsvW88BViOzC4qquUS+UsB50BPpWi ugH9DVUnoRDT3Dt23YmNHVNl3WBT1HZFRDFd+4P3C0pMDcF/7DG2ulpusRfQi7bUSJsNRWYessq4 FyyCWSEDu1glhfx63PwuNZ3M9XBup4al/nkvNWNbl/kjQqPEFWMekfEyKmszufLse9BqKVKOPu9+ Dg4/dn53TxSjWtgn1m4Ujge6O5xnZJq2ibcoCEwpjLdZ4uub9kJYoV15TnIt7wllGvJon+4IEjMB qA12+HJD4erjIa6qj1jL8XcUL3Beg7Z6RW7xp61dv5NuUTzIhw9odQoWQmYQT9tnzGnOoyPjE6xz j6Si2R1d+lY503BwkzPzE8WXAOpqrCbaGGI9A5XucOOlW59nWJNbcSD1FLEXadIMTY7Tf23vn3jZ 4KfD/WMS+HUqb5uGsrzEUee0GE3zS8BeOZUzILKgtN3vZc9HNtMkq6uSGZUmch6D8kcEtzNj5bRP GyaTgVjs03Ufjjihd6CqJtj1YNJCb5EQgcV1CQyYwf7QpKPh7LGhIRN020cADAO66aMfaHLT/V/n Xn44H2X+qDeXAjWyv3xkhQKI2N+men/zGR0T9ubfZbvG9lymJNPhk3h+JWaYJU9ZbZKn5GmP0kxk 88whhmELlt+Oh/8pWqERf7cMvH0wx/E1XfL9sddWFMMYmqaRh0thcYZ7m1smyjF4YmvrV9GCplqi SM6zvK0e55TmTlpCiiKLEhuVDmRHp2B7R/xyhIbR81xlZ2Zhf2WSrYgJV34jkGj855wmf6nSW1Dq rmkWdNmo2wmrJlsFiuOkIc/f9aoIkKv9LOVq/v9Hp1Wfv6xjX5vRFmjpuSS+dGKkJsB34ICgx1Ii l8Lu2B/8tRtFzXhBLY6GHtHnIqvaj8XakqAiSv584wO9YtJBiRD4fKb8xOAHcx41D93N/zdw+mtv 4YkGkVxOzD1bmQwPiGbI1K7W5fk0pCaogZr9uS8HW3yJE87+ha7ch2DPv12/J3NUJY/VN31eCz7B j17Zc4gEhbIDSobIf+I3zNaOfhPTMEY2lVr1pXudNUvUeiWs3uU93PK+rkfsZiwDwEVY9FmfdJn/ d0IJR8IBy7F4Er3xUhMlTPX27clgEQks7tHIPM4Xuc3f79cwR8+xoydEu93QuFFxBNQKB48DLuVi 7dJmNvD+0vbMsDj/bUnk8dGoesCnvgN/fPbopyDm5+qn4kvgqE4isSOFOZI/dp2ScaizIMOIm686 M8zGffVWrnlqELB0AYOYDvqaCK3vj/8vdMRm0oA36QzP4Ng1/jvbXr0qpXR9mWoERRAvH4GcgaFQ gdJ7vfRXwmv1TMHBGyG/VuV7MN5mKTemOwHL1ckFer8e+PxKd6GRsUQQ94SCIhinZ8LHgxJ8NsxA jnvq3cm33d2alTVG1lWWjmcEI1sT3WAI0NpNw5GZkF/pXwlkfM1oCHFtkhiGNGSBJT5Tq3SBDcZP vaxrV9uzP/8RjFCSAjCQyBYN+EryHjiYpmEHrtkJ6w4MXgRel3UlQNQWJtt6blSXE/xryZh6NNa+ N2Tcj5PwzySwJorzIH1hKtwhXbPajRzdL5rwlHGnAwGxpaKMSxsqwPwamZC6x0ogqrrTpcZFHTii QhpMeQnGUf4QRf85YrHg3gsehsM2jU7kFQ2KqBeI0adHSP2T0QM65YQGXt/H4zR4ulHm/aFBE7vw xWCYhEcZTCOHwRU0J7ZtXt7h0SrzvntRxnFqtYExn3ntHtUtctUpwywn6zMuEX1PvbkWIlaFJ8YG rnuMEq66zyjlOqNqO4/0cru5poO57z8RTLWLGKmyMqyab6Y1UNRGNNBvZDub5mpELdreMveWnNo7 QjCYUT95bk+LwcI2sAnQVeaGH3pUU3QfYVtwOzuTQWLMLhNZtQqGU7t8W/ENjoZdR4UB1ixgp/wh zb8I9lv+Pv6zvtIkhRrnaTKeRPhecuOoxBZWKuvQLTX69fv4qU/Nvw5ehsMzfyT2x81u/4iOHHvx UykornGBLoWthBpFXCHzHm9t0I9AXP47SEP3wP8+YxYgiXYBNqUcNoUpkdmxktSE5dbt19ezTLZw Cgvh66gMXpqddWnsVZkNgS4MkkF+POEtvSCR/OIFKF0s/mnipBwbDtF0d+CAWb85dv4/cmwAyMKY XPVXCDUj3/SloiQIy/kQxTv85ID10pUFJHz82Twat+7y0bOf3azHTt04M5osd16vFVX690oxv5vH Wcme8lj8psQzPUzXDesAtmFQUaKAaQjDHloZqVwE+kO0kGOVhDvdJZ6qdwlHe7Mrgknx1uFyPTXc UiXTi/ROnRkTEj7YaIRYuJ35Rky2ZzgDZYvfWLoOYG8CaB48uxojBaNP+jrF1AWXaxzkMk9L/iHA GDfVOP5n+pzg4YD3edp+F1S2W/nubOThwit7rotuJ7j2YW1EUWLJ297YXH367C/xLficSA8i6nLU CqnOljutm1izSNuH8bUryAXDEv9PCMlJRMm58wqxnaNWQZLUYMXcP5DNGv/TD+FOq5YuVDXIic20 q7zjACVcYWKKeo53LiZaH+cxT7/L1kR1ZYgJ95Lz5Rt2fhROz22q8W720j15ru0zyplmx1/hSjqB aJUDWtlaOjFrF0HzgI3wbjofZsfbWpJBgFYRTCCBSq1NF1k1FunYbCzW2DPtuiBNM9dSeXX324u/ 1ScjMnXb+HNICdDHhWqJcrNO0GjxFGqJ2vjwQXLl5MNJvcbpvTI1jaJBvn0HtrWYehUHPtOv6fay jEUB+uHXnU2zO9P3NWRpI+qDUmoNR1DU+78msap2Dx6x50RKByffmbL3HLkXzR/AqEB8WazNQZxC EbH6ItZxh2U3Y0sHIq/aHvRFz0EADU7swolOsxb2eUOfFXG9oz8xkCAhSBNhmsF4nUnxB18e3y78 +yRZelG3Bg3wUu4HrVVsjsZn6xlzB+O9DQcvZs+XdSUygMgRQUjhdkGevn04q8yJXz+l/AjST52W O4tPT/u88Ivd4c8Gfd35WNttHzTBiEmTiT/L3YsaBuSWdPK7guWmCuWIuzDK22OyYgnDzaIrUdlP rOXLUcziN013opUue/C/AgA0a+GDJTZJMtrfQ9O6sS4CFWzLyjvekgfJacgD27NQnEP20xc2MAdU GbysMGzQYNl/YiIf8RwY9knxPp+rjjHPtKHy5Xhtk3ZurKUgc/SlTn1aeUnkdxmEJlo/fKXd2hXQ yalLijXl1m7wF4cKDvFegyGJJg+VdnieAcmTVYEQYbKXyfXzUfwCVtM99UiSHYH347AbwZXfHQi/ mvtiS9l2Au47NVSGojlKYRZxrPh98OY8IeCmENk0pKhvkBDMdvGfRLi98CO5Du5EyLfiFPlO/mrw 7C+dlQ3xr5y1oWU+VgrCIXQxoX9YgjkcGQMNSl9YhRg6QQsAPMHQGUMRy6tfOMD4MkYBc8TVTg9c VZCMp3GolURW5Gp6OQS72yqv1I7wOwNjPHL9aEGjMnf5luOuTDF/cioEUggckBkK2T93sY1LY0Mn n6KcffO0SJhuofX3JoXFJFcozYv7sEPCUI9lHaEhRgTQZOw3IMKXjo5x347KI1cjjNuLkQNkAE0c PEOMLSaBLWhssstqmNJubUrmQKu/N1xMuj7WyetSaMNpzYncD9jP6LfLtYErz35ba4LMatzxFSkO Im2lAq54RenbC71HvKsH/nc1p2cMy3BezZKzXRozVE6j0+/f28xJ0l9zjamoKLZtvotnZ6AGoDAn WgS1tvRNvmi/+RRbd9t/wOjRwe8vGR0oypkRkya2YK6N+63h9/UPVW/jwJ8UyPJ2mZRHe2oNrhnC GB0CVly6D5je7UPLCCxS0H2xdhmvp3XtG0LqRjgozwAlld6moQQXtWWKRK5Iw+p8q5/ET1iKHtr9 61DegXggVNiICt9jKBUGczMSuBiOVEOB8ZSJJDdIOOnHX929N3JJqirnifJ98hFGG5Uiagezp8cH uA7l2UYDNY6Ca7JEYNhkr65Frucabna+HmfBqcfXhCGEiEQdV/VisMm0c30O8Q7xCHLU75lPIe9f Duggz55Bkv9m5DiRwXUL4LUYp0eSokgclOF1mEeCSeNLf3PXgmxYmxBhwMBJo+GC2r9Trb0gVJgu kQaQ7V7FUZHtkVT3QTA2tDRyV7oce6gtv+Qk32LovjEj4wOlR8OSAp1QuROFbxPFbpKDESRH2VRI hh/PqDZkwPyzF2zvvYrnkCHDXW5OCJSXr3WO+vJ58gufNpmzxPETYfdX2KSFW1AvsFB1qd1gSrAG UlG/U8UkYREpY0eMTDtOmLXnQU2iB1KBCF/PzJEREjJzAmvLOlDRmX1IYzsI801+lc9A8cE0C3oY VEyX4hPhogoW4o1Hs90hl081Wn4lUqpu97suzTRqyNraFPGJjC0StVeThPoQVQZdXXfqAjLGi1pO QAyq9bbygBZcWxpF/XwERbKMF435p90If056zoXrJNDznaOiKw5ru9OAywv00HnCSYHpCFwU85uk rD/zoWiTDwdUMWVoYxszWFar8Z65i22WnMNZgD8im6y7JFC0zw8qLU9irM/cHbdxjJwqAcgFoFmV 7bt8F79WZLuAsTxoE9UZkOtRQ5Eae7PsMOL6rtvcEjkRGm/p8KSba41a9Yn+RdL1ER+WjPfPMVEU wrINt6jqHmsBNXTpxyiZiOC76crTPbd700UTzlMUosjBEXqlHkwhEPHU3benOrbc6gPCdyQFjrAw RoXAkXxVDouLEnCHmatvd1uCorLIjqCmj8q5P1NxhuNHauLUCkVLwmTSLdD9NDYM9Ez5lufs8z60 5UBCobCmLkOrkQ0byClIpWvKG3E2wI4evhqkq1wSELvZsZUjIL061Yx4MztZ1iLzs7AfsaXbntO6 v35rnmSflYJFYVthNXA6tjhjpZu+BUqN2mvmQeIdg0dRTRgSKMqMfg+onp8/maRF4K40FAILdiuN cL4D/Ivq+MWT3twE5VGJtBl+mVuiEOXnq4iZ1t+RdSqxGvA9SJgs+uNv65GhvfzF90lDwJeh9heo KZW7K5lP7Yd/apC6hT1205sPbtMcjQ8++FZW+veNxb098FRiDQyZtj1mMtkcpOAeFXq6fpw7cC4R KgkngT+0ZN75UdHd8CqnPoRYnk1f0pV2+gt3HD3zC8EYYXGPmnsZf5dUYshNyOeApMvAn92LL4kz PtmOJj25r3SiuYNDc+4Vfi3+i0rx60oQL3wmJUyt1flsfr7MEfLRWXQXezRlHm7upsjuSCWxC9tN Q9y3y/hcuKp2qSAmOWlfulUOeVMLaptsZWKUCM8qwzvmGgkodCHLKe4UV9p81yTtJxRFBwEWx0v3 InjRIUJfvrzVLU1jjXNwzRiQmS67sMs8rQiqTseZysuvQyzlpK0lY/D10rJqZxvx1DE9TkVqK0uW ATs/SWun88jFJ0kz5t2lmoe7uYZgnHA+BxEeBQPzWf07oFi84Yu+6dnvdP56tq8dayosNYIinqjd 2EOvxKdAXYyVL+cVuhB9iX9q2EL00U0vTOlJqKuuypOgaaGXC1ytRuwENRWaC7rYcTSyX2Ry3OmG iKEH5RNVKuiVHdTlw7fFMexzrmy8UtWXzGfvi0leaoEIxisc6x9k3/KQLCs/gglWyKPUTLqtNLWl nE5vni+PqWhJ6dBUJcbhswoUKfsAcs1EFE1Sac0pg8Glawy7wWrYLWRPUNT8OTj7VE9K4QsrK5hz aAE/94cW1qXbUB1vgjvJPrrj/2JiX7PhWJ7ZZRyzZaEUPzU6XJxpz07RAlJTrsYILTSfQqJAsIT6 GQdHZ3GTXsC2fDmbfeolwLZVRjNEq9aONUyBMy0iQGdJsXuaBsasQ610NtoAxYR5oDzeUbUcLXLM yxdVZCGUKGJW6aSKOo1OwzAP83ASZ75GBzrn3zvtTlxVDBCc6Gro06v8MocVExhJNJKcl5YvJx05 rzLTxvmA6UNqW9qpNF7TVbFGp/r3ow1672SH1kDQMMHT/kUc+FNGr0pQcwlnXqjbqebr/TyMQdrh JkVgQQ2WkboD03RofObZiDwaau5FzV/y/w7BT5P1jla795UApZmRFh5om9JEGhm3i/sRNyFeKJic zqLa/rz1OUjxO/TvbfcWj1c9nHFfmdxYbx4hWN35HnPLP9Rm1A/Q/tge9OfrOblAMr2S1wznbOcs nCDoJskZ6Xn/2m4hhuWdgQOjSJe2jcemryVPlSHxHceZK4sPQPWrIX5LKaIUx3GliaRagoTtPNyC +qUgEAwyyPIdlbzb6Lzn3Ud0OmANkdkoGCxSOx9lTelmPk+xe/YWB4s+Dr1IhNehIlQ3t6pyv41X Lwga6vZqWp7A76u4fIP08gvUAT57b70XrKdH9dIdj7e5ZQYNszRWEwQol1A/6Cz659fa+3lSQahm ewTpMqM+M/Kh6/Xwym+Lf3jCkdHhrb7LX3ecHtv7E23CPV+de1Lw15IelfHyXqy8B+V1OgA2tODf lGupDjhqcFaRGRDpEGe+8GadPf5E6ps8o288rJxoWWIboOsyWPjxmE0KmkuW60E7R+Fcx8QDAK91 XpHiyll7dD66rua4kpuqzbrF30Z8dAl126aYl2MXhZj5Y7tM6VYm5H9JZf3ki7kJmSfXh6UxzeqX NkoJoK74OKwz+Mo+qy+dDir98ZQ74RDs5KsjLLPXJ46L1UevpstJhFRxj5hxmkYv3f0hXU7TO8oN JpMKbNbPY9XKMp4RFJUtXDebTIlfvgImJy1llxDsW/qclw5kKyDtQZjRv/eDgoZ1+mAEzAJUKNcO 9O+/0JHc83bOqB1zHmWy6X+gXG6ceFrotOl46do4Fgh5QZXsTsr4sfKsPQCeiqArLkRyq4T5SJLq KZFUMACqFjaiFz+/fia6lZAWnEV2eeNe26IGB9NFGMNkHI7UKNeIWUSt8HK6AzmeqbFf2YMLMKyb dKmgFqmMD0wDG6ysd89CnNpfSs4Z5lFY1Aj07J4XogLTGfB+haJwNo0JcwwUmdSg+YeDcRGRLMAT 1d+zsk5MKmiZWCJmVZ62pUH3bvlEMacLEDseBGoN6bV8mVjD0VAy5VlIZi+OJFe2XMl0HfuZsoV3 5zFZroNCAedjiGVqm6gtU+rJZWqol/Mnz8bvlxoB4ylCWA+Ev5Xvc4THKZpywL1Y++j3Q1NOQiL4 gmmikyiK4O8CcOvebYAHzJpwQdqzbSSaJEpHhSmCiXu3exdVcPPYlSu0KBz913BlDMMukqPbLXIK as5n8k8ypFp36gj1wmq3XUhpSZb5N9tfllSS2Oro/kfuSw/LSeTys7Pb0UQr6ITZyXZX9+txYArh 06Nd7Hzy095c2M2ipsVvsuo+ZZ7oA9OD9QZcA4zL7NKcKfQEaYlS4iFo3D8pvBiw6yjuLVlgS105 6bHT+GGMeJH1ozv4qF30/n4fm/zYLeefsec2B0Leq9SWAunk0gWR+ABLBjX59mrCm9u9o191U9oy fXB9rv4UaYuTrqBRv5nxv/tWz437KCoSGv/dnhVPH09Ldli2pZwiQD8XuO3qDO+vD8o1aKgfnsl7 8Z9hbiOe7kTtkauPRaB8YLSiRN6m5sQYp9PLnVUczU1xVZ76bYBMGVt3Aah/1LKiM0xkYkNVW3gr 3YouJsm74bNbyGqYV2mEJq6p22e5fMriO3XU9p/sE7sSLej6DLAwPHQlXTT0Waq+WasfswBHwRDx uMNR+RoDYISEfevkG/JSJcCJFdX4CsImb9guDSK+A+PLVYwslIW91g6rfEcVF25S9+XBj8TNS6j1 2duPjBa/Uv2d6TFWeQJetiIw2LOwgW9t3oV3QXKJVWM+vNZCK4/pNv7+3pc08SBVbhBfC07mJGOr obOYUH2hVMHxC4LmP5xV8lPmC9dad6P/jx6h+VIIZRVTQjW7UVoWQyb0/2mZe3+pO79CX+gfz9yP V/fD/nQlXHRE+gkrnsznU8DNXenrsUuZLh82g2D7yLe5+Iw47yCvkzLxLkRjL0Jm2C0wFmb/W3Og +vND9K1ulkz71R4B9lJtt9g+YblQ9WEXD+aEUpR7eAOW5qI3UQxGV2e6/QIGWa8g6Rl184ldfs23 pHPr34x7BvTzPfqzPkdPvESaLIZoc44FkPER/qTyRzcd8/MOl/+GLajc5F8NQlwIvSTtMZl56Mfo FQOLv3Gzw/NNOZHcDRjUh8FiDn1NsuSNt/d8023bjM4yNq2OjWSwx21vzb7VEL2rMbg9TkIqa2k9 +/8XPxBAAl5r/gZHgE4mWWhVZWEZOOsqyedxuXvUlS8ouZY/+03gKhXL2YDd4BMr9yPDLQRiNHjN p12VFVVTTNk7Cv2udmeAiLNbJPvFeF4mc7gZASmRCLWbtbXIvTL70yqOsq62Fdo+A8B0Ir0ZsPIJ kE+HxGJFkmhiuPhV5XzlcFoggrUjnHBkMKrRYmvNo4BZQyfNjMfAAFFSkpTHe/5iznbOxzdRchGv BQkuhTsSrk8WVfiQBUcLuyCNn4r5ofpwzSkdz9oGZ94Bf0nExfeE4BMVjmFEuI3dm0y/J1anK7KH IykQWt8e/IF6s2mMT2eVhWxtCzXXmVC0k+1u4kq5yTW7ouQ5wvnNkRhORkJE0FnYy9loLZVGOcSL /MhfTimgxOCvy5LV9D6attq1BkQdquKDlnLu83ErTlckLgSUW6j0yCHqeqyQNqftdme7XpIl1Yvq ONViLtHjqpK7D19vymJiymIvjtBMf/iuT+v3OErcZxvdMVJda/CAyagdi2zv1+ArXAHCtgY5nPLG ko7sz+Xu5o5R2qPoU5ZB3I8T1Ng9uLDNepNtQNeFk1XzO4ymp3lNx1b9IZtqPMMm6xRWA/QlHVA2 WqGx7o301XsLJoxxVFXWOdibdgyqJDLUc7VIarzeSwHhpu6fev+c+yBSpPKVddD+Xf3cfW+IQ0Dl ag22WtujzS73xkJ6SRSw+EH3AO1SnDzRZ6Yt41Zt6hafSDzVaMnqgTWXLLHt8zGhWMqzbO6gDkAt sg1wUaRlTTDHLgbSN/67m93yyMogPMGgelrBFnYcRjOdYDQornDVKT+KHhJwuVcggqkWdTk5Sd6U xjICjL0z7mlDKalmGztZ8gupLv4yqOV8qS43914EuHjnvh+lAzNhW/9Cil0rdy+KlQvfjj9De6b9 ONPqymD3pJ5t6izgrjVrq5XAMmSwA76UIk6yoCI0JnqhF6XCXjyfXw9GiP6Vaz2eAzwtQtK5lT6t iRjPgMTia+9huI9pnmyvadnxxhV9rzQS/DEouro8+8lEDoRDHOB5ATs5isrtojGipjOYXH0dGq24 onrlpQl8XbUmUsSIObSCnmPWvlQ402u5MntetT54NJH5+nOrp+JmA0wrm4+qRzAfTL/TvJ+4Ot14 DP28xfGEjjUqaYPrtprrG3owMQefpjfaKZR9ZNlLAAZSSjREdIASFmunmRV89pzv4+6bFZWuGY4B W4sga8h1B31GcaW9QrtRcOqFHiEk6RYA9z/2wrImJfY5s0ZwAVE24qcf3F6Kfgo9J4XvJ750vJNg fCXNJ5WG6iKE0Af6NiBMAjPvgfzgC+j/XE4wvG25RzY8J5x1yMOSoZ+/7lhww/R38yis3OeE9Q03 BhwR0kcuRwxYWliIYxBH4dLp+Fux7xsN+fYhuaRE6wfp/UwJNlKS35+xzQrOnQVrmj21ybvQHNdg b/HGhG2RWSCjdnLq4WRJ50yXhxYn4O3Liwk9VhSkPDlekhXqhwneSCBKlvfugGE8/e7VwkV7t1ya mZXsiuOP1n90CnVvP+GRY76ipNJBpebe9AAZ/UVJTqetHyGyRkoBVcFIcN7nvN34sECRvJD0/I8F FRzxV7a0/k1pGH+YuyfovrZMJH6xkehPHU8E7p9SAjwpKBl+XGu9dSm8bVdtvXdl14X5wJe9+ZPl 3KOBSEgpAF3iaw4TkLR1l4s/NH7/Gw/5L3uTQ3+Kopemmqgx/JNZ2Ld3HVwCcy9SYejPc0fDWc59 6vrte5g9ybpFBJd6CRtwJO+D3SbIqe8O91ghUU1ukrks/6x45jioHvGkz3RzDbHpzRHvIKTdidGW FUnBT0eMo7EBS2DKuQ07RASSNnrzugq+1YdyGwfWTY9VJh3iSgMEcFNdrTuXE7WnDhEDNf6GalNB ENhK312dGyaFyoNJOaSKnZ0YB/1t6z6FlrtmxFXSE5ETNHnht32UBxFCQjpY1QGT6SquC6OkGP50 8vKLYvDEnC5qkSx9xOFYrZXFmBRpYQ8/bGNEvb0j143HQALDVdV1NE7bHiCIujuZmFHzwZw8G0gc /Pvncl/creyjMH1YJLIiW++oAtLVkeashFBUpEi6kqDECLCpmiAaq36EaorrgKY1sSVAtwd0gdui /g3KqklOzOxZJ+58qskG4Pqx0ZJWDyFlrsMIOP5lJwDfhB4hIDgli9gkr0MfAoQRwVBnjudkP3Pd nF8BqzJ6KbVLtSlvPDj8+bSPpYvNu+pahKxa49rlrIBX9kMYqVNgf95LB+VFK6f6nrFzTmTIhxE5 5BTXcomyGmvN2G6WJArlI0FVn1DhLhOb/JKWgXYc5nDPlrr5hXv/wPFPpeLORo58zR+pP80H387/ C1YnIzxOSYZDmWEXppjH3fxZlh7V2uprwTD32SurrxPtnbX/kCsmtX4VmqLxd+158buz+RJDnrIs DUM+rXweV/4P6vV+/qHoYMHfRwRC6QkRRDSFrWf+Nc6UyX3Pz7BakU2BnX+0GCED/hi6C1y/SZiT 5YwwPHrkG+QQatu6a6plnXV5OKAxWqxJGHNcLlGm8yZsro/9XIyf/gwNQinQvURXqqSsc+z7ftxA dJd/vNFeprcNpdjBg3a8V/5S3jCxm+84u4hzo7pZsuYW9Vta/5ta3lV4XzFNMfP6pSI/mifCNShk H2bUGcC0v8y816aZVDwJ6NPpVdPwdg+5L82i0HI6Wpm4La6msSDQXSy5ce0RXtA4T2ayX5J18YPq pyI3zARLkFvWn8nGM0GYEDFvI5ktY7/YinK2yYFKIh4YXz39fL0eSrNJ8+KI/pqTvTdEFLuwWhiV Cpo1bN+kJaiorvcK79t6ZivajE1p7EVdu/WTk99HX/sTBSzgrlDVT6FL8G5YwqHfEbJI+BJ8qGdP sQoIakWoVu7WKmRg/ca+ZSv1ZYU/ySP4BsL1AwujZfCfoSTNuhbWl6BhPcK6Cz91P4jdBsMEBd9t u5a//95OepdrCG6voYeWajmj2wWVzOuFUPBqvz4VwHNN9R2SPQKeh+xJPREU4NnM1vXZ0Le0LW5P 3OpWLTTHu3nhqi7hXvpN3uygjd45S/8M2yecN9gbi2KSofXBY2zdZJoMG096hN42q+zEOD9ktkb6 vUhUc/5kITVpbi3O03K71uvxBxMBhcOSaxX3ey/G1wqbMZ7+lYSDEDD9TVlMnSvPOvcMvKpzucGQ xW+L2x9X6INGdA9Qoxxizgv6GROzUbMkNTt4J6lVhjoXlnZwV7x7LFoweywyUL9asVk6oO9romD5 w7qaZlCeK9rTq8hQRZEOeaheJj+10Dc4d8BGbf9/Pbh8iArdgP5zyRJxRMJB7ZA+7uNNf8rqKmJN DOngU85QhlaNu3KZHZZzuVFTGb8x2pezL6e2WZ56o5rchY3IxiypZQ43aOX9AzJRzWrI9RHAy8yW uBknnGKQrJtjveFcCYIEXzqIi3d/xTsBnHnrrqnfSuf7YrF8zIZiqys63ZRUW/TS3U79TpOaNdG8 xqwizKcvrk9aUwp3MBqL2usWlTJZXiBVtQbd1gpUVXj78RBdycSJ8UKWElBqt0ihj57EuDf1dttu OIH1fI/o2oSNBnHzZl7Umu0N2FywUmBO+MjQPzy8HH7xoviHAaEWfd11O8+kiDOwPk7l4nTHl8xF 7lDjCJOt/mhd1Umn0BRwuPf7EcNmrclBFRkqqkx7bztyfKXnZeOBVRiPWP1EVKZgyEpwoZIXDOBb mokfOjPARqgIUueegQHFyELMVCN4KmQaXPPoXa5XxkfPk9Gpzh0YiJcXbxNSG0ze0NpeYJlYgVaY Cm4g8Cr0afIZWAGipjQOz+lgbNj07rERoOtzAIx/Z05IYB67Fu7mbwv2Hq9NbPsz34KDhrfpTsft WTx1fVHtTPbHXOtqto7Ql/vafMDfG0nEiHDnCxGUP4e4Xi8D34e5hiW9zPP2Y6Z79+tzv1891ihA FSkmRO1+tSG6UkFkNzRsVXBVj/tVNrTG2TSMgVV0sdJqDWKLu0/kBJ1A7rvk61kkbyp9h1RZ9cwh Q5pT/2xq8tmmmAZNIOg+aH4EbTYu+w2aJv+Ezyhw3+/u2gFZwQZYk+mo/IXqxxP6PuKdZJuarhXX Edm2D5l4K52qHlNyWWf3R7j6oGYd8IDbjTrw/0nV4v8lnEd0bn0Fxt5THI09BCOcfHisB5txpCCh 2uKOaPCVp+5no99uVR/we5mMNR7iRSyhS/0Ayc5SKnLkdw8Ha2FsZwAqn9rOqgXwuFfRTpqb2/TD j2EuiN9Qeci8AqddImNCMPZqY0oK/37I6g/snW9EDsH38P5Aj5q7HaZnEeAXhrys9I/tE5KVEZVG VEn5/x9bzenrWQf8NpeLtm0RXuQSrwcpcpfzClNidVbGFS53sWffnds2zvNRVXoqenpSvBEIb1ek V/c5yUfYqEH1oJ5fUz1JUUnifTG5HSg6PVFxotzghpPwRPACQGM4Ltqd2J1ZRnuRe1Gz9Qq9AP5s GmMZe2ejf9VUOfLy2yMY2+ExC0B/kMJE29ugDm3OWgDs+lHbIEfIlnsV47TYdPiXzNxYYVit3Qry pzeS8Ah7q6WFa6FThvdKhxWa26tDVsE8djCFI5k8UG0g0J5ZgJxuJDOw1mKh41B4VCJzjiVgWqYy nCzwxzo6huec2+RPshozKD54vyOHxftjIZJh0KnOoyjzog7cJPMMEKGgpAdHbw0vSLzP2jKeH/yq TJQuc55rb6+r8oNxduaBgatIT6Ym/vSObJz/DUL2+XAaGAw005+LxDS+K4YMA6lfnM4ECntSWzJp ArTMh3vVt09IlV93PEtRgsZVz9ACRhIqaDdi8nPNH3It8tpVw2r1YEDxNkmcHVd6xwAxuikCbeNm Ej95X8ZnBu+T57xZkxjxvr7FMm3WZGFO+Udl9IlmW79i6GSiSh1YmtwE8veS1gPq372cfkVLQZDK 1uNk2RaMr9SvyaMe+Bkf9iJN3f2Zcko/SHV+T0Tx1n3GxG1VjiJ1CmFmXo2Okhop4e0g2xamNz0l cT+JtFTVYYjZTApDhkxNTaTvKlhSqEXLDCZ5YaYm1WudpyAAD7M9zDzLzcL0X/YQfCo8fmoaosJs eeejbIWY7JrtgonGi6DhZfysKNgef76MUnMxkTQbFEXz7KUl2Py1ZFYnDl1cpjxnfzgOYOpstbFg hh2trs4k1uMJw4PblJgXClhV2z/ob+imzw1FegWWo5Bz7wB4ulfXWmzO9OVBTudC1AABEdrbQyXt ddY0Wudgb2FRrSrHSa1L4r9xhNrcsWB+sa3G83tJOpx+zw0M+YGPdh1cvvpt5aTG74flQ40H8U1n 0Tx9p27pUVuS5B3VPMugFyqQiTVo0MK6Vf8YEYcTfcZlYITr0TYQMMQImeDUYrxJdshXBdTGJfel XaT68fKoZYZ9CH8MG1l02qTxduFiBn1ZOSNRM63AejcSZBkzMrMa+rdLYDKE6V9q6CapBh3gbXlo 8aujfWoCvktQ0Nc/cqQqtW5rm7rdO/JMAoiNnpo7JIrP2rKrwtfPb514zvOO6vW3Parkx7MajLMZ ZVoHUl1FmYBeZC3z0ID76AaIKIyebTQsliXXKvgzQ6U2qzROP2BQZCKF3cewTXX+4X5sMOvOXTAV BuYknzJtSuoDc4AtnGaXDzJ6oto4geGA4QkBuPHUN0VPWY1DjjwhSooH1W3ZOQLqQmJOFWTa3z5j hXuDFuvYd2sAgvevYA5Tm/V0uHWsVAjRk9z8UOPmCELQqMCqW8o+84NYzT81KRfz/Nw8wpR6uyBi qNtMbzr7QpPMLRmETbvI+00gMbNJRJvA2Z0ADuv99e+6o8Iw53PypK8+fE40oDQJCZxxUjW23+S/ qaRqUUkba/9NvLHQ8StZA2804n6SrW147XO68GPhbo8USFHuLc04WcGSoJ24f2ZOVrm3GxrwTYDb kt+0JMYXOORZ6aAWyUhGjsxO6eFwC5dphIMG47MrZxXjdufRtBxIrYgnZjEuIlpsXwf6rNgLtyAt tX0rnAfuhGqf8nTj49r6OtHNFl5h9QhmWyODAq27sgUcizxL+aAHCvDMYKDTMiAM3+qvkEyNEqdT zx+SnT8vcAIxxjVauCw3QumosZ1FT2mIM/kDjam2Nc10vyegHTUgoeKOP80kGZl7UJH+VwAoS7RK Cm6x6ZcguGgJQ8o4O+VHaEOaplYUzYg7YHN2CKo5P5r1O74b9HjWkF375H51ckqqcDv0zl0xTJnW piBlyDPdopBVkMUfQ01l/Mu1roSFiwvqkisOEceb8cmrXPc8WUDSo3+9MXjX6RXaiENJC/R8itOz u60n3e2ep6gbBQqVQoOpBSgvLAt8x6tq+9rlCuOUoHj5K0/Gup2SwOw954002A5lPDgzXSfAEC4D sIJFjLjgjx9Y9PtKOgvYzBh7vYZ8WW7YjsfgP+VdiqdcLh7b3qssuDwdaDlnSCtU7X9h1lrGSO6U nqM5e5qH7aTkxwlgjXxxtTOxKZEFbB9JlXE+uKcaocFCK1GqN8JmndR9GmccKVfGWViPfVj2OLUw RUuGrH74eS4fA2xgrrIxrs/dS6TMs8a6LXyR5zTKEhzM6UE7JWlBolPOUwb69m+e0MgKQ1G3xA9+ EOuAvSguPr/EKW1BPg1OYzhEx5IS640vumzCWRI/qyExpknsFO7dylaeWX89LlCbqJ5uq3wAwvmx JTrXSldDA4vUO2sKwMIymY+J9GNNWXWeCwCZmugw9XpVFHNv1W+Ly7KBIkF2vhsr+svhBjpjwCxc Uj30C/Dgi/5QfA26IMhTLROyIDBT9eGgmFHo6ezzIrPTt3bYa52RRsFLv6c7VdEneQeoz65JNeAA E/Ork1z/ckYKGkkrN6D/o8paPCeDg0eyqyuedVgnhIdHl8pxlSiHVY8L8R8WVNcTTgy3eLsi48i9 r9AkMhKkSBKOJiudD8eaCtg5sCI6dA6zRyA5yFmOqtxaw8TOnd4wAhr+apjZetry8sGHFka6kj10 +WI2bCAf0Kb86NCMj5o95tmt+V+kSZaKuIYF7DYUxYXw+hhNV0i5NSs5Wf6WHjadpD9WaUMWSSpv AbIxga5OYLpAlh8VKq0MSUzBPf0UXKZnOCSzM2zyU3KXBBwo7GY3+rAtHnrytoL5MayDlAVzB609 ZfkZQSyuDBhce+eDLyPKle1IfNpXZyu0tJj/z25BBlSrfKFBHgVUysMKcz926AheWd0ttqE11lbg h72XT6ud0QyTpWO1qmH4TqE+zo/B7QMwIi4Out2kagXVW6pjuSHerI2yZRaGCwGtdYRmicpxyxqc RqlKXr+oh9OTEwiPEmLLXnG4etERZQhNTHiR2+4qOB14+4p9Y8/1hj8+TohsucOqzoZilTuB9a3m 8DhX6fIRnln2Xwpp0PSlLeFsXDsaFYNjnR+4OrvVrJd7mpu3jdPA1FOr5KGoMIqZVW8GNQ8m9F53 LOJiiseyZV5CSrqq0nLm0o0cilWpzIuPjVCu5O9nG8XyMbv3hfzYcF+k4d6J17cV+5z/9pnFFD7x dvTY6xPBEmfsvfSODW942nOoEPXHeBF0eRmUm495ljchyNCz1jTszX7z4Bo07B85/HAW5JCptd0x WWgpBdCvn89boTn9l7Uf4WvRaTENVCLM/SuM/KkdZH39o9nhqh84Goa07AaqCBqFzNKelYZPa0kr 5GpoLQjAuolfWyIUVQLsYYtnWoiVsGzjxReUdtVq682RaeovIz+pDWLYnMz+Z3kXGLz5z7CHWVWC pV5rI/b0cun5lZPxOL9oFQaqcZuBksaW1AVmt3hWi+w3CzGr5tLw3IJD04d2EfjBK6YgoGpLr625 oeHiYyWvafZubZF4jXga+F7/NfkCZzxcgBxCvhlIyBdnOSJwhNTeIkBnppaR2WydxwAOc/PqH1y/ dtToYAfcNR2+BWjp6NycLGJwBMdl+1ce2VWBXwfNS+MB2lZwXMzUBn3A7BfLi+g54PUD76ZX/503 YtFXFYST56lwN7i6SjpbFNIg1B3IbDw0pACEurjQKDiDBEP6n4vwZGunrZi/kTIx+nhO9PUzlN77 hhjreUKhbtycP+VkeJN4tQRCwtnmLQnK2vd668hrQ/NXUwF+NWSnYEqfK5qgrBrl0WTlL/wiYorv Uc1T8SwLgS5LIdjSuFuIXqpv2AechPNI1dn3i0tMymV8jEjc0Gk43vi584sZBGZR22qyVDuQQ7+D EOw7GvVSrXTPLiAPFffmc0o/2Rwda4WFd/KR4zsUQ9EggcxDDP6fE43xXOFxHmhKHSwBvvX+wHwn SeiUJ5Rw8d1vFuREQRthInzneHzuA+tqxE9EvzK90BXYvO3GBgD6SrF14mPDhGzhhzlUZqqA+qq6 xw9Ts6+gMsGobZ1WZ9SdRjYEyVkfpBJbe+BWZb0RXVaM3T51vf3Z1GuQ2U3B8EQ61L4GBcFJtYOv LxftK4rp4945RpJoFbc5EQmQdjiZnbOdN+/Fb9utLKkF104uRyDXxMRgMqjEygHuRoWTrkM8foaj j2IMyCL5Wpi5DBIH8WF0axVVQniSvD1AeobO9U7t5U4fmFpRRaMgbEtgv07m5IzGw1cGHgcXWCzQ +Knb0HYbu9L6sUy0xW96C9wY6vJllG5Ev93D/DncqzySgn1Ob1jY/BGhTfkzpKoxW3aBD/4rcbgz 7uiYKiIqs+v89S5R4+8SyFAaqg/6FDKaONzPqNFiM7sqREJgSMRfMsi6VkBLHyqzrcPTgOktMTwS 0UJ6VoOtfn34v1J0H6sePJzYyEm2mC4OzGNJqdXI/z0WkEFl92clqF2Wt+qyHSnRSekqgA/HD9aa xhOrtjHNHx6hjtoZfRA5y0I5CZiXJrjYNjN7+57bkTcXMV5iHG/TEx/KgKbwecc/TS0LQEHcQRNJ EiiD284m6hPiAH+kukxswW/gmC01jGIf/zg/P2toz3weH/5R4XEJQr/KX1K0DeOteyhDAHrLzUAk gc04ndUYje6VnuH4ZjJ44nsgspx16zq98kR4sN4ekqks5Z1INcX1dhznNMK0YXKrhFyUbt7D1oyO IFrqWoi9KcrRIEsb2sXo8Qm80Vyn0Y02G/TzTh8cdq5EVPNUiDkY7a8VG5bapvl/0jGhpXRH/100 L3GFxselacjAYWdGWXUcPq6QxSH3VHw7kmWoqKzI9o+GYEH6pSOoBKE7IavvGshYoio8LDT59rx9 A0v4zqEO0Kxx90Wb5rquEfYAYh4Qk+3i7UVj4mYuEI2D6j/qArFJPnrmRYPdeHlkbhfVYe2z03K4 FFO+bnyD1xf7hpi4shSjCVqzf9Ua3rMl9HYmKqytnQpAbabx+rkalQRU39zyaEFjEsw7PVQ36F89 OW/ob6jYM/Tb9VXt7uqlJ9wBWKrTxVO8GMNjDERV8KvBgPvOi6ao9WYr2PUOaLj689UThsqtDEDG 11DqdzWaEiTmSZMWin9INOQptdag13ilQN+l7OUv9O8Fbar4StifQKyJsS22HiAX1VZ5hZifdkbp lr6646odw10gx94HA4zDfTr/Xfawe3sg6myFg/JspI0+cTXcC5vKASKq5wdyXKcI8FOlAN7a3tO3 C0d5O/Jq3lVm17X9KWRk6ybzqa8XBbXPu/Qfezy51Mx1KWUOfquhmB9aLH8eQ6uIQJn8SSQP2PAE VgeXBfynSpUNJFp0F+x3gQO2VwdKIn3f74+N9OAcPsKWdISPNB2X3e+r/JBq+Flb+qqP3/JX5x80 lDi4jHNPfGRdTnU8V1mGd6V+wyjMvkE2qMy40hYmlvZbKSeHK+VSG1KCuhMzEF80NME4MLLr268U l7RDm93qy84c3+igfU9jhIR9VUPlyKj3T/OAGxj+cM65ZKGF48Yb1Wj8RtfzUfvc4oYt9B68+FTo A2l4pvwwtoZpq2p5/gP54981VyWI9HfwSwy6IR2pReZzNyN5LyFYTlbFJCyt5S05zmop5V9xcxrz sHV/oVeAtfTUzcEvjWoUCnpQyBGiDqVvXFxDgN5lzHCJnBvAx+wGDYH8SglbXp5ncNjkC3NKLlUt f4yLvok77+uySsYTjrVFo/zd9ycZVyxDPvcK2jtxuWKw0qBbdPorhEHx7sh5DyGVHpbNxTw0aKh3 68J13KTTlKrPXIfobnWvhfu6ZonXUl9v2Ds+Hj2Wr1Oz2eXHZcHmK4Y0hJdTBIA01Si0vwvDu/l0 2QmJ/jeefwZjvOns35+FmaHo3iA9f8otvCWUNX7g5fFLB4XXGH3goXvDJtZuI4l7Vdtu12FIy10h 5msFSEPuj0nzNpfus6B/JyiHXWtP+MQirGdy4OPN8t6OqErofzwfScUWRcKmLnhaH/Qnz3s0MqYs OWSH/5z/7lvPN+d06B750zPPorybxqiUCabcyptnb5ZBXruTQqwUd0LiVXc15XDQJu4FTmQOwVdU eDbG1ixZ1DeRFdlnAicsAYJ+ha1QhUiO4Fc21aE/KdRXNO62uiXYkfN7j1qQmdLj+DV+eRDl1Nw0 UzjdKEEVq+kcpsku00nXUxaB31Rumfodx26IWXBAEuT5mL4YkFW39rKP0NdkdTuskAAye2iHoc4z wcFcXrlebQz9GiNMTNQBuv/SmM/wIB3NffNb68cM/FBf1n3merrM+r+IenP3FqUsq8rHKD3tgltt 4BKeeRZammQYzN3r6DsNR75ZPR+YtzkiasnBw6gy2i5bGihPWlDzJybNA+asUHAZDKs1k8HwDAau 8zVJAgFjvM1ur0cyumA6WocpFT7UxOiM85E4lvTNgN5N5c7Fz0nKwktRA7K/LvJ9uylk/N8sw3gT n18otaDW+kGoW+xXBDGfG7Wkc/w/RP/hwCTS860gFNQYkxZ/96KtfDZRl6yvCVaLsNLq9UrpffPy cWPFskT5pf9i6j5s/bYFZFlYVuS8g5g1hOKi1DXxuQpON+OAOPVBK652XZWd++I2nD4jO5vTQci8 zVRxy6nKsF/0IF/ZSY+n1gVubjhYv3VG/QWqnOJ++6nmAFS12G2z4jic6RbTF440lvG4EDXqhbxD ET1suCBwHDFDag7kMvNBPULvgMRcv2NMyTNMM8ZU9ohdQfQhtVkf4xTsTD5K04vLFfxC/r3PnC8B XQwg2v+QlFyi7ceSj1MtnzM25/j5pHFbKcD4rQr2lmQW8HkCjxOEa10pp/eu/NG0h2GEOo8Vhc1T yFMMcRrTX9SaMLGn7oOLpHoHNUiri2cXmhVCtFn4StEKpKkyM2APNvQOsmqqiFEMDCr4vNg7rNDh UFxbTyoqwfFkfX5pXiXsyeMQvvjUpp3Z3hBPDuyIikme+h0Ig+V7VXVRh3u3x8h3ZX//R2WJXPdh m1ISp/NkbVWc8PRjeB0aN4y0zhzNRQ9X7LsZ6cDZ/9k35D/To32LklQH6yu+wgHkES3pLisHJ9AA V5jRr8s4+otLRKFRqwA6jrK56heg9cSX6Tie8AiwIXZwzVMzWAmakUVxbr0KAVMBMhC+QIEBc5H9 GTKXA0OW8qVlREe5xaBQ5EH9X18fmrpVQia/W1UOuMRJhUUq81nG/Zr+7xu7uFimoZ1NsD5zM7Z4 UVrDwri4PlP2J5T5oHZVkpdl3KL6R9xQoNK9a93mvs60oIrKm4bC+9uR5N362Mceu1o0UMcN3Gov lcrY2SA1J3svBLyMyKQ7VF895DG0iNbKCjGLwGArY1qfp5X3998hLiQ5PkB8ENxqfR2w2crG6G3V RZAlMEdzmizN1K4k2aixpAMGIMEVcl6zv9tGvO18P93S/H+YdnWv6h6UAcdNwCRp8Aa+ltkIltAG 5DGUBnEDguk17nqOAwb1nG0zpP04GWyF0LIVAiDMLcKcIxM8++qDvCimjablFMSOOz5yZ+NMAyBT 3jsA5LcCEtYUrkNMXc7UGurrH1CUSQ3PIoIqwJY5G1dhqP77jEatf5e+5f+yX5rfaA6as7DILgDk mGKVLcq6sj/VWF4Zmu0hAvZWZzlGvOfXEGJUSYmyI7xMQX4oaBXQCgPQFrgR8Sgtb17B6cZ0n1Lw ek7wFrhOfHNVfc9IPFbwSsjTS4zOcF3H397ziDIkQpgNqJ2hhjcdW6zMECnfRwlcCjw7FjUgmne/ MLQuzSHIXoBhYog7NbSkBPzeOYR3hWXddnxVgcawWcrSoLMf9LmetkBeY2PByG5pf2uymeiE0S3o 3UaSaP8ePTaA/ybud+mNaVHSMXT2bKj/7PMqsfepEd5w8elvn9z4C/86QClEL4DgOUG+ClupVaHy C8/C4+NftMdavVcp5HtYuTSAVgMBDS+oV83sia1G/Gk2vpYzAg/yoYQirkL3Ikq82hscFjwhEG1L 8XQ0GHQMV2POHVXGN7rtlIlbOkpRJUVTqs9LUHCnOxqm6kiRxXA2hFwI1BnvXrsq5r1MxpxxDdR2 ixo0zIk4aM8EgrL0XlC1RApFUke9n7PVm7u7g92LWCo+ttUkdRKjXdpfRQEe6FnfoItqFN46xySd dybw/xBCexWnaLbVROKW843qoDdGhKSaV/PmR+wEaEOK6WX+ujG6AXngai7QcS2axTx7hUQJSWYd FHTPiYXW+d5RcBUGPwTKlR1AzeIjNfm1O8xSOAoUNQZCvSk1aoV+ZRrwGw43d2xhmFRzOt9uVp8O be6/5bk+AbwmBlMQl4ZggEEkDdjaANQW9Fcrg/k8EXHicK7LcF2AcrYarE3eoBmALgVKPC8ExGes vu4uWhfwHyRAh785CB1ZHLcelU/jysMcSeeB83XHwZaNqlRZ6fk2rdl2PekHsFtA7Lh6VNcqoYxF dUcPhhk9rzhlJxsGGgZy7aPFJcIkRHSXfTc63cD0jSnZiSWPYeJwgg9phkEO4TBmSZlzi7Is6hFA 5UcnbYafdF8s2RUlwbXCfWgwWSezbvRNHVzXlnzofbUF6cLoP/fboQDvM/RBYka4N4RoXSbGwrLS PmLkmEAo5XIEy0Zrp+wxdkDxbN+gi8D4BCjZAIYzYWhldOWLYqRJvPVr4pLTrUoCiBaAwGBMHt5D ApNkq6gnzGrKOx+n+jc3WqELto+rK7Zs+jRiRmwyL8r4g/yZ8ooocvYoFZznwEpm/2M5UWR3Ru6A emxu28mJ1OpbDlUJVoC5MzzAVxpYo+aqHTe6lZWm3VE+2DNwYhgbbaVV4Lk6YgzxAM2PrAOEtpc3 VWktUB7txGzru8oylzT2dgyHTaRURe5s72FvcLJUPWc9IrwmcyTiFLiIb2BG5HspV9wwzfBgUnhn dGVq7FwKgP1GInBM6jJB7GKHk/GiOIHl+DQQFBjUr8LCKGaCmGJRkxwTG/JaXH9e8FSEa1oZxgB5 vJLKrsinYAHfjYQwOaOcXL7pw/bXisRFyosBVZ4SL8A6SYzUTxQs6LT8p6ZLpfo+6jYADGkpKIB3 JU7akUWPNmWuncEQViA3daFr+VxyE+IkR5DFH2Xvfi+KDnRGzNc3t/aTHfbdrhC7XPdX/o7N2fJ/ 9CRbes0Ong5zQumMB2b/idGkWihaRPT8BECWiss4+pOS8U5Uy3CI6wQgoPp7Hv00QjPdx+XER057 gWipoJ4eHE9sf0bSZl+lTQ+Q+BHuOKvM1qp3x+ugciCzrkB6JvLXV6Xcpa72mzmK3w5DLMZZWfQo l1Fv0iBaNMyD+XcJnapk3T9F/XF39CZeBmrZNe6Jn2P9Tvb/KMef+1x/33tkrdG4zIUT/RCdx9UH ych9HHO3AfIK8nIe8NXN5tVI07UDHcP+xHh6DdOLOQJ7jza5TLrxCoBrvryHMwUU1Ekyq1VzSuXq Ef1hzPKjHhNFDvPo/b1S0PHTVH48auT7GUTrbngGfGOZBpvTdfhZ/JtBohkR8BJvC/hC1fg/88qw Vv95kHU4pMLsZdpVV8diTIMJCmZyAzlEVg7gxTEa74MHyxLlIdHmanuYKszmN2+d9ukawC56V5qB 38e3O3KHP+rZi36k69JPU1Mtt2YN8FpOfRuaaG4PNuN6CgicLvm61LkJ4mSSxsTO+nIuVBH2/Q7S dUjFYTupzmrVTrFRw+dkYns3T2EWYRUNGsFR8FaPa1Rawb/UqB4sqN3maME6hThCKiUmjE/LDVhL w8TjeIb6BwVknEqsC4jhglj6di6cAa+fQ2xlghLupUO91bOMkGfdKbQPy/hFrs0rV/0k1R7ClTcE rI91a2WvT1T56EDR7+v/Mcnbhjc1qF/VfCEwlXk9TsBO+DOPUnjx+0Fzwrck1OKyuYhJAOZRzE7C cq7KXUmsLV2LYQ95H18aGOCXX2Dqh28HksxJxA7yA7IHdEQgdnfnAy8OsfFP2ID62gXKdM2WLE9C W2f6QRFGjNzS99iofyDairzW2/d+TjYzMnVxRN16fTM1/EJeSIxg+dYQC7G+285v3P2JJEtWgR4Y 278SEiLo5kx9VWAXpy/SIZHxDlbkWK89/6ECjXynd979zVxjonDkqz9AGIgA1wTVbxalYDfOQRjA c5CEukQw5q/gNbs7tQXnHgRYtexr12+SH+K6xNUU7pxt7NY5eES3UalwaQtghFq6VfqVqfqtVEH/ 4B+ELVVgkdEv/pAhugRfw65HbqTawxAlad3IDUz9RXKKWnCbEf0uhTGbMlZVDSkHMZ2rayalA46u YFE5AeP2/sDtxftrxf8mRFsta4Iq1tYuRAJh7JFcNrEd2pahTaQwcijz9tMdzLFTozG/V5NZUTiY jvV1UxrzMS8z6JmHz5Sz6cZYYTriXWunAlZRQfblJCiZrdgIH/3V+pvynQAA4ddYSZZebpEpfqdY bbADmcZdyEVq5nIp52dtMnTWtWTAQyAMexBIDsd1TMjQYmc5XFRm+C2PP02cX1lg6pFDhpZ+x80Y pb8I4GZQo07TP+umQc5ZR0Xdh3Ci8jsJ4rLkOvP5Mk/4Ab27bNzpFhHL6CU5JAifzZ7eX+3Za6SE a/jOXkeyB7+bRE9vrb1oEKx09RrkTm3mk2OS/Y1FIpn3DxOhHidtqD+kBJlzTY71IIC1PdBN0MZ0 bUoyDD7vfgrLIvIpu7PZp76+d9FROKm4T6PlKjsYhKArReVBXX+A3cidW4QofGLZCUl+Uiq7Fm0A LW7pq35RjxgyltzGmJUpZU8Jt3U/zMgYByqPbutBmZXprhl/Wttt+LBvjTZzxFmGna3EWefsVZic aMq56u4grVV3uUlSws/hapqtVTB9WxVTcDheQIxX3iP4P4ePKcwp6Q38xJcCMN7wJ8orjveHcOzw yZe5W3NdcnHO31126nUcZ7KOnOZjZzrOlsIyPMW/BNB0Qz0X32cFUvxb8A1LRlOcVy29xDZnFH5r 2sETc2kH6gXbIS3UC/NYjEo8LJwLzbZRf3VL+qWE2xxJNu89zO9GiAwU7aLZW006sjynIPGKZi38 8qFqvcUe5wtl+9udwQYCu/8M4or0PbssuJRg8OJ04z89W4SZ3E0bdRl9asWxDhE16nboVda+S22D Znr+JGn6JqCV45lUMZ/DA8vCatrzOAdaQP5B1668L/mzO/B1wEEGloId5d1R8v2MRNcFxdglvJrx jzyWQh4MKacNNn44moaEL60kKPjsnNJxmFS3RVb6VuQ6yOFCfiNIEXZREg86FnudbHuVHUKdlk9v OA6O1CTqYKQSEGdbOiiBJ51aVH3ikWtKCZUc5Mf8qxOExcxJcFWvI5yk93en1dHxSFQjV9/PQOjP Yqz/w47dWv5ynSEapYlZn2viDr+XrZvQ0Jv9yHufvCpTz/6liPuYMz2AEEJCaYZ+bOJm/cf0UCk/ srP7bGhB69neNcEPoUEZ/K6GGWvo3xDPR75V/pzIhl+jQhYoR1QEsMWew+M2MUT4VwDz3iU0d8P8 20/+oWPzbYHMgiYWSqw/Y/ZmLZyvfbNIHAR3n5jHd/tjH5liGv6j7r0CUGwnB6y++Jp6pkucIk+I xrfXXMLbU2q4OUxu9Ce9LcPdhfa45lzO8HjY0NCYsLR8qJU7U+HR7/ab1z+Bqtai3+D4ZzmVVBoy kARE5HPmJyjV9RmZpCdTMY/C+s9s1irAA8o2n4Q8oX21yoHeo4ECEPnAcgb4ii9QSts4rpEFCOid I0Q1EcThQtx2dNAmRKGuQ1SHZiR24xPyJvunxF0UY9Bha82fWKX7zYpp0BuR2rUe9C0WD++W/geV 86J0kSHyYzznvZMJ7Oc1G2p6dXSbDc+gCFq2KnqBTGTfFasP2ioci93bt6OY5XyiYxWJZKgH0MgL AftwISWJujmxlt23QuyeJvsaTIjl+gfV5SpVy8qDYDChSQb8IPPBa+mftFmXbsn/Y97AJYuUgRma HTANmWY4OQAEegF7ODkcxhHrSrOppcAyGlYXpiyng1h6/kzEb3KYVbvp6UOLLF0wfvUx4Si1B6sT rme4qO5gdys+Rm6qeFOudRKZ2pYqxo5j8fikL7mhTyBb8lyfWeRQ0gk2D53ERYwyTFgjgU2IqquJ /TmAvKmU+ztkOaRbZfK2bSfXbkrxGjof8lk5gOQSBcr7HyniilACErqdcjf6iLeeXoe95ZmSiS3n 5qHR1W5bkvDFE/bGJEZrOIu9xj93GZ/lahOJjViqJ+s6lOciYxXCpOTFekid6IdAqobKxyR6/9SC wiFcu/StosqclsBHEERDLnwDDYl9K10GlJslEnm5W8CF6XrfDvJtvadUtSD3UtHmDC5eBs26+myS 2M+Rvgb6/nLCdjYSUf8nPJmIPgMKw0gwSLc6ewH5vlCNaZAD+kNbKvaQ39lxyPjHlMK6yFce7Moe Ev9hbrokXCpkeZ3S02LQ2PA6odqNsrUrY+WYKeXFwbsGh9QNjh6bUjTZxtibvG1yuyx/c5GZ2pCc ccBpa3545TT41EXLa2ntPmBF/Aj5GH5xjCGHoRCOfiZByj1YO+vIVf4zlF3P38S8guEKFWb7+YB1 BYpWHrXUxxpQFRXtdMXj4cLesJgfUouupp0TFNbRbWskKegcKRkPrNoFQxwebxbdEmoKXQ/hOKS+ +eV00lYw1aMSjHWt2k5ecYNQKXqMQ6E4J77fQ7R5kQxctukXDYMtrH5uViNLKFAUmTq8Haq5boZJ INBD4CCvt9aFWvrSxF6QjAvoVw3K9rmQWUlkpBww5Oy4a3OY5Ae4T3A4jTrLFRIgXs9MmPK28f3k 3eKUYDR3MfLDppMDKGaNgmQvT2n/F0QCLazKZ+X6RXPIM5aD7J4s2/DIT+FcBA1KZO6ioWeo5LIE EDBER7zZkg+zpaLWh7b3vtoYQTdsRIKHdqac709EKh2xJlpPfnYXfv3LM/16wCF+50g3WcDlW0m4 yV75vG9KkpUvMnJuj+7KIv9/AC47ZvguAXehg11yJVby7MBjB/Q7SIU+KQwpqLL1Eyw4hKorfh03 3C6NBlFausTtKcJqL5guTHjHCR7O6Qou279zvtMQfaivT0HLF6nJLmlgVlAqAQWST8umJxiOKNtx LlQS9U0wKhBYmUPkcq/9SnW0ABXk42EOGwyHwfKea9R1FGqpwaONCV4FXzH9Cn41F5R+ohfGI0v1 omg+oeTwuBP5FaPdFE9oLzyb5WO5v3tw4h03tl5vOlevXZwhu3g6YOaU8Qy5eO2s5CNSb7UzassD ohW345aXnt71KZxSFH1VkPDsVyi4U5f4jJMp1+v1dmiaJOtr00CeR8a0riZfMWtzycpgkiEVy9nB 4xEHZw1srAp7oF2kC4rb+hPzVtCNAVv0U77jpsyh9x8RPvc9zz6RyMKNkqLCvjpy0OXNXxl0IMLu YMdEjfTSEpyC9Y2Y9/dpuXh6i3XM0Jll7wNHI4E181DOChE3mx+VAz0ESWrDsClz6iolNICZENXn DF/AOG1PV+SsyyQGfoxm9oqmb99wFhTn60c6wKZSA51y6xTekRNL5Ys6hVpTkyd03LIG0JbFt/BH Vl2cmso7BKmBA6oXwt4o72JMdshuDyvtKa+Juyoc0ZinA2sRoVa/jtf5qG5FFeT3SArFUpoSCQli LdYyfRHubRi+Cjl4FnUXE/ouD1AuznSK2iUuVKLOx4x9wL4Zai8SlEVynHQGdZNlNuYmXXQZNTtb 3Aa4mRP79L6KAdTNUIq6+xiLPPf1u3trUW6tcW6KHImuwQkYQZxl4q6tlN29Pfsqb/rBPlbVTBfy fsB0k3O7AldCqhBlITXVAIUYqfROww4xWoyQ3bABZdd+fZcS2DxpUggLbr4WlzjzoB9JrHRh9uOf Jvq16nQfICDmuwWM1VTpa9+ENOV9xzgsjtmYP4dB1o9x0XxuvHplCttP5n63MZDm1Z9beGZiWfMZ LzsphEyM0vuZCsbCWn39IeSFTaCRKm0kVTQrXACnaAr5uAhJ4rsQK5vx2m9vvR6OL+dxdqnuJzI/ sLO4S3mL2ODZxJvZ7CRCqXu2jDxSEzBqD62ZyNJLWCAFx4IROWRMZd0MMeKs51MTD1QYf6gQfS/W 6XbCtczlRkMGZ0cbJGM3EIWXRgft6iA3JyrSOC822tJbiIJLwV/xpx6AHn5tHxlBu5baAzOSsMhy PK1m1/f8DF1inpWDuosn56fpXtWV4j8vBU3F5Pcomi2T3eZkiQgfhaWq05JJxJOwbtOMF0pzaMyG MZ+qDE9yWpS06bpzv5ueYfmhAc3KQc5I4X1cHizumOWGxx8Hj7Hx2Ifl2wmCFEG3F2gTTIyZfyRf g8TeVTR6Ji6a3CcBKEz+efJe/AMHdWgjT2SwGUQHIjtCou+9U6QsDBQvVsd8851EX25Exf9tSggv xeplTwnbMgaIqzf53KZ9qQwhb1ixxzqgQNZ01jHQ0M7U3rLBP3kUsV1hsI61KuYOGW6X8cM5391c VFheZb/d31LhfQgu+Q+PPREid3vY1X6cY9UvPwALbrqIrRIBiX4f1eU/mAm5ntrZVEJ7Cg8ji1gS Z2rW8Hv8hDKrQLu1uodSfQr/w6pZ+oWhUR1UKbWopr9VR71QlCv5ESyZ4kAnHSv0fyYRB1ajfddf xkrgi2hByxHoZsABLX5rmvyjxg+yyEMKTdksoibdcAxTZoTzhjPK4n8WPMl1n2AYV4BaNJZ3vWB0 Hx45KRlMggAySNf2vV1g+zY34xDDsTMhMv8ObcBVDhIlFl1SyORJq5VRyYh7puLIqi1y9I1LhSCi y0tXQedm8H+gxvEHKk0qv17EwnpxO9YPkiMhIZZrUsHLiD43Yj6MEgHn1tflpNT6n8XBLGfvD1GD OZa4liIVRBvkMUDb2GYvnaWtdpWaN1b0BssEpyH8ZZqW6EePiOwmc4yTmMpHsUbutdWLTeCj8qaE 0norJJkJtnZ7Av7OUc7UWxG0l9HcVbuYbcdI9Pm4IRetr70mmS/P8U5qNjXFUZq9Yy9NBrDkCVzt j63Bffc0SVtfDCcunCGuV6T8WVPus93ZShG+TwqEbDs6alA0cvQKq0OcdtmbIxOvN2EicBA3bc8k bHZYcZUW1isLhSYUk53UoHB/CxsmdmNWJZt7AxMbW+ppuRWJeSg/YVrzDo/oUscW4rcFNU8QPPys WH2h7BESMqPlsbiIja6AbRho1ShEMBBZTlhDkJUMbLLCMuqtK/yupIpZ+S16lq+J/WJfYLpnbHUP eLEZC7u9+OdpAbMDlLr8t3t9XMFrD7J+hhrqKz11HtkHi7wuz1VcNHGZZQ1IRrHVJKRvSERXjosv ALPEiLcnVBnDfvac1/eP8yrQIBf4d72+6cO69nFh2YvIXP2oFeT0GyB/O69hpvGmRykyuUDNNwL5 sjlKAra6m4Y2JtxCxjcb/GXG1sr6ifrPOsvmOOEzwKY4G3unS2WXtN413ipC7D1z9Zu1mTFvkUe9 qtJAF+ozesDfR4TmqnbdCV4g0Bb0sopBfWZAruY0aX1ZpmadMxAtfEafK38jGYbK4npygFoCxHr0 10yDtRIBVOVFRvX2DhiWBTWYoN3SbbZIaSrgPpfW5SEgsGkctI8tEODI8qTuTaWBCUYEN5HscY/e Uf6nCBojSQxC5tno0NSCqecNe68ubB4nxfbMvyEUFwnLUKLVO2HX4Mc9DK+E2kYEAUMu4RudmdXo Om1juWA7HHKF54Ixnm5oSYk0Hi3oe4ipyt66WhiTPNySpdeshX5S9adMsT7TWxya5CKB6fy7P0w7 vUgFLmFqc6+hhslNVn4u7ZXp6X4stJFvunUzLZUKo4GmSS6w7NxZ4f/qJViOxV/+B6OMIMe/6UNi WTji+jKySwb6z4RRpNFHzQKBJrdJwKZtjHy8aeXolygTd0cOJkOZJxPaqzwsigv+aDqJyW250hgx kbWj+K9I0j9ohBAM0lu43usqicapC7mnDz3CfuiASO9R6IZCbpCJeIWBiTPG+Z30wZiSuWG2l/P6 zSXnwjpNVChe15tUfoPXzx2pI6sW59lLFd1u7lmu71zoGCN583Gy6L7ViOnDs/L7XBUqHGCuvC/U TVMTg+BeYGWRqy8EoS/TeF74xX6soxf+8TUHD4qKvsfKy8eQDGBX5n2l50S1xyegZ5iT+0Sfb0Au cgQkfg9a4lU4qkxzTTN9Bfc8U2ylEnFUojDzc1ydpnHNI48FhcnoSD4+8RyKZFtCM3rlfN6U5Qib mPmztt1P+Iteyj8TQQAWqV+KqwxgU5uY+GZpmXE3rxaQyLkfyVjxSYfGFAnyGQIcJXWLWr0Uoxyv R3pOoHt932UNlomRbC8/b27SSKmUtzZJ4YGF+4/4uFUIbc1BYXZ52XJQ2gU3hIqDkToEX+PbSD7M Sj21iI8CW4bmq/H9QWg+uTYqR0KZ++L7jY+qm/5VqM1xyTbXbBgYwc+XXeZTLcyyYGlOgduggS0s iFMUt7iZ629p5FqcemRT+aKm2yGrWWzXQoS/YpHZnznxzJBz/7qdlOaVQLiKTSrk2EJlJUghsDjz DJX72S0JJUSCs3tBoiMm+HCmLu2lnnjiBLJY6XpTCUWtmKmzOrCeQaRUNdByAMxH4PBbIGRtRiG8 m5yQhtfbVafNgiQgTC8xD7PF9ax7MAHaRzveVOg1v77GC/hfPBYhYS8SMCE4iwHkEUHPMc6Hw2ZN 2DwpN1Cc1XMV3dQgmQ+hugh4bY1RwT5CJMlcGC49+nmqpxxSdsnOl+/8IwJyGTmixI/A0WfvGXwt FUrvON6ugDeESL2VCPfj4024Mmlw9Z8sdlqw0Rkobb+9nmARGm6lGiNfiTYeI/jpdoSIVS9B+Rnc lylNc8xGyUvaCJbjyK6KUuvjAS3H1ftnGELuRSFwiJQy6ZbNS5eD28LDYYNA9K5kRecMfXS258Ph HvEKxcqFDow/wD9si//NBitf19GByTFEJZe4jomanLHMix4yrP2vausDSrg7qfyaX4Gsh1mjTKjh Ye/xrZdoXeO5pGWXS2oYqXZdBGVOQ8EPh4Z8JKfiZzSiPCwa/T+ttuidT7FkyDBbJdEg8DTajc9k akRLjDobOi8zs64KQECf22KlRNnnXoNaGryTdtK0VZdc98/KculixHp4gzMwqeCx5YCEs/suHfFY GgX7++TT9vkqq4Pasj99KccdbkWPijHsjeQhz0culwWGQc4wl5n85JO48RmAkPWCSi3MWEtmYRDb tjsDH/UPseKGKHuypVURbVPRx1bRwdv7R5/Df0tdgmai5sNDrF9ypIR33S82Wj4KZmc1TwfJzxMg DqLZK14rkawlvvCq/H5k0mC8Aac3YeFi1sgiaKpLQOOqCkcW0SNmplce8u6Cmr/thRvRlMwFOgL6 OutXRZij0bfPwFfRppykD+Rk16MKYl4guOChVmvGtprOsgJnRyESNxp/9B0BdS/GCVIRS9YMrX50 Nw59YpgGGCqdpY9dTftozjgQKJBmdxAvmyDs21MC/HgDGfRpoL9LpGu93/o/B7/ZCE24gefbTbWv slPLjtg/uquahA/Z+J2nQTdk5K95W+ag1S2J8ObtCNKYhhM9YQ+DLyljaJWNTWMH5oIkaiIrtdSb Er1TOjKOMQVeAr6tTjZTb8lTfcH7M4MwWr7yt8YvwZt7WR264pMyHu50OfrYB4Rjy3OdA1TpMjPK wgXkop70zc46gQu3ThH5XoS6t1SGTInqxh6stRxibwLWCp5/uXQvxkyGhSEuq4TspCHoU3mnz+TL Q98KADM4qubV2GIUk3/dJjnBioaXGZnFlJ2uEA903f2tdnr22C7TH9/POeD1a9+u06SEtKqfaGfv Lq2K9KUOU0Hi6vDojLcPZNAMKl43dcenyIlZ0diru3UcDCXBhEeoX2hi6FQWEQs4QfnJ+bLDlsWE m3+U+BY8VgnzLtrL+TULjwDnaUrrUUr5Dr3/Rvt0BFKfQsvYzGgCCRSFgvZb/gxaSa7jlWH92Rtx 2Syudx1orw1zLUD9S7zjkGsR75vkMalf1rMGy7MUIV2AXSll0IjDo4gtOVQlvVfY7UBxFMw+vito U0xhcZOwcyQyg/kH9FIAf6wsc1OWfccPTc+LsKHs+rhw89UNnRr0IS6P2KXaZV5BZOtmOGCMh6Jx WMydaDTCtonGF1RlTLtKVCPk9vdUTjqyzTVRQuTPLJ5bDLJi4IFyg8UNZ7IigbE11bS3IxDi8199 z4moENH4gysex1Knfls5GXjxMs8azH84pcpoqXjtQnfm+80ngw3jDubCiNkdXFKtxSdmTpY6w3zg X8gHQo4LvFhzmarl+IXe6/V0o806I+TXb3jW04/fYxsgpOTeDswwWmCYRx+l+3PUd99ZsaOSUIWv FX3FRaM2xZGIRVaa1koHu7qCu1bl0Plim0/Em6DEgcFYM9mcy2ZRT3hMcT23YGUjEAX0O0glaLqY qlDTZIT8GgaMq6NqooBqGRYahCu4VSY65ApUhIJqhZT8eHWuvR71bm9wby7v29P6C3nviQYf76Ka Rt5yIOm9PExdmU3ImdPrN8XO4k28Pp4QNUCpL9gdrHNVjQLuveqVUQ/m5PX26BKdbGuyCGk9GATI 9QKQ0K4CeXhqP53ODSyJedoFFcDvOdpZ1GGXkpPglo6i0rvWu5B8yzf4rjEeBlDcMrxLQThoPiSR YTVAw3HTDJmQMzuBhNGneXkK/fBoyzHp2KRF4H8RL0FUZUCWpGZZGSFWB1D1cku0H3x3mCarO5hX bX5Z68Te0p/Nq9FaYzlv6Pe4bXL4HJoY1LsZESRGQiEs9jHsS/+Uke4hNtMVTGBGJFu9KRzL6SL8 ccYvmycaWEKMgb3Xezac8iNjLuLmaGh/4PrSxwfqqY3IQXuNFcLrkS49c840drwEa0Q95NexaESr vvqApXFz4U1D2Hxp0mNN1gm3nQwz5PQPb5nXvZrHed2znjzIoow4zkL8vsbcm/GDYfkzFwW+dTXZ gAVjoOzLMZDI4SZ78lwNOWhVomSUxORz0c/1Fte8tQLDQIghRW61QSyqaOtJYtVu4zq2lMgGigh2 zZjy4mCWgITY4dBP8Fx0ucZVljaxRgpRMzC81B9gZO8/DhMlWWs0r3CXxjiUOQBeVIATNPDHFfiI plBG2WdicG4zYReX1LytOILFMEoxL0THqlh70AUxWtsT4jF3nlNVEQj0zRrCzaYX+S/gQInFjsU/ GOVawvW9gy53fnCaOzN9XKMdhHqdQ+iWHKZeSFglWH8xQL51i828UM6ISx1J+RqyrOWEjqZroGyN yTFDphR2enc3p8LdvNOl3WVDl30GMIl0Rs5gNOO2TMHps4XaqJjK2H8LAp5ORGoLJTEeRk8F6L0E 1p4T/cOhMkn5+hw7YKWWUqoPxYmPBND7xl08bn7qaBYd8EM9I5BFjHwOGdnv0/HDbT8/qDT5mENn TCX8vdEgW0oNLFWwrgJoaU6EEw8IpLc2rWMhGYXQYMmtjIQPhivP/zpiVglFBke8+Plkkxk7akfg 2IWa3vLNsC/MAM4qEE7aYJuMN8kOA981XFLmG2wvwxEny65k1LfAqj72luIjQgkaHOEyPQzly+Yj 038Aq7dfBimSUv7rfOyLE4cujdJZtn05pF5k/sIHX/KHs1iRfcY+dB7aS+g72IYeFcfN/XgfdBFQ flp38a/jz1Z6wPTHtZy88WZ51G8XMaM4f3AxWWqi5RZsOPuCJSOb+mQB+WiX757Cj1oiD2hl1x7m YNvuwJ1I0qNQpvAzso/KsqbalMBkbbYYw9a6TllbcvGJs6MT5JKtj6I5fScBjUF2UO2DTvGY9yhj Gd27e4wll5o+SIQfNerL7/hRYrLFYEJznCPEL+ZDnhzkgqXMGI8WpoLfk5H6HYyZ1rUiBEhvRrqE fdQCSVkrFnO/18azMVdSShDY8uov39Jf3tyiQJocgEe6ydIJpy/B8Hh4TD7CLXkpTUu96J1a+IAB wlJi1/LemV3EKcGAlGhPiO3eMLoJu2JyYvZ/wg+FS361RtRwG8a7/LTazkKiUNB4PRCTEiwhV2QI 3XpGUHrFK3yJcvmK247sMwF3vmNX6xbbjjVA+kayuTybJfj6Hh8GW3P6LG/MJf2u/ZX96kdM/06w GHW8RCHZhhAJoV19cRDPa5nAawlB1pi0z7j1NA6t7HsKmZAMofAq2mi95gib3U5AmqmEc4g7S/oR 1ruJv0nnIaAtGodkVE9bEcjZbH9EfUAO26VWK7JSiwllt6GVa8T2HFaKgUQmoZC8kmzMQ0RsNCoa 28ucMq3p61a5XVJUya2+sLqldlN31p/fhVreEsp417Mqt19VfMTZFTZvoT0Q5u0vj2HoRU/bSIlK K61m8YKNEmnqqhcIXC13e73ath5U4JWVHY75lUonpFVtxKcREG42hrGRo9VD7V2SCQmLvlkXi03I iNMT81W5pWDPp25XVeQ4wl0Y1A06KxmQRzwhT66+gBfLIjYen6tmwr7PPjExRExySBem/pPj/iQA oUa1Wrr6kqgmFSoNAdrOICuNVh18OhCq0+6hK38nIqYGGkX/6wKftp2hD/Jj+5y3nWP1R/CJkvYO BxPH7b/7N3oESzmqXKsTTZfMmuNz1kodWFqkGG9vgJJk3CFliF++Mt1VA0ctqOJRPoCM+o2HNSdg xRDstCT7anMSc9jsWh+zOY8/4WxUOKrlGjsE/XMMdQZqbBit+orfsi7/3fPsmrhYII8LalB0n8Fv YzcbSwiE+Ij6uLgkD02kBcQUkjlr0HmAXkVStlwJTApU9MdngEMr9YgT91K7RK7M7eUX4LgrXzM5 yDsvpv6gGh6N27mBlFBzu8s5iD3qeBleK7zQ20tkZPQncgrItRR809s3nlT3ZF4/yVsDj6r71fDI 9l4Zq+GYSg3blp5x7q46qZi8NJsXUsaoRbeSCjT1cJGW7DQVf+0tyS0kXCr9AlfIHZHzBRR+hlJJ ZePDHbsM0hGkCQwHxnLM2usnZ4e/PfQJptHAiDTg2w2N6rXEWcUKFnn5ovtd3amKq40l5rtGaKTA +qRLBmVCfhlsOBm2iMboldmMrpgMkw2Fr6R6P1DoCg0Lp0CAj2IdEeFw9jlCxDW3foRwAE6wC78f 7WKDIhtFChRtpYCaiotXuA4GhCzHCaxSs8ckRNXCg2HbwCWk6EoilSTHISeRDUMkSIAT0zsllxUx fpLAve63IEFU5+TKUbCstfGAd1dKJ8GqG6ahF2vLLBBm+oP2SPRXf3bCmgnVewrXGAmRhCTVJmpX LFg54GujR8XRTQZPu3uOXNWb+SahbtmxOOtc/3k7lHbEbkK2+gkRcxAcqB9Ayb5qdWG0ViS58IC+ vDUbBchLxgwhymoeaaOzG29fsHNRM0Lq9H/vWvmPEkbsIj1M4VlMEx4SNPl9lrkanyFDetKR1Wbo N/rDUavrUa1v4hvUE5USeYK9mNumim+b+44MvxjNzrdkZxKb64gYBIG1nemiBISFb1ZJIDY8Llja LuzVl/F+yFQfkEbG3JD7ucdIA6hfG6kAtFcDKYmeGMhf+t7ohcO0HK+MQK6Q/KXQjPTsY+JBEPxg JmBRKNKGeJD1q5ielK0jraVvl80IWXdc7UDQbZ1zZb8EwWztrFu5QB2EA4SWZZ8aTbqbRuDQtCOe mJfecd3kZMLkXU6rU94Z/y6UsLi4B4kEZ8mIHdJ37yrCGMJS3+le5l0jyC53T6sCTtDpWq70JcbW KP3uAwGpEABC1GGSezgsEbMwYlb0LgWgUAAIxaPsalgR7fs6fvGSoff+CX7UVwpTj8VwQQOHS1KF DtjkUZ0DPCse5fh/7Ey2qMGlPslYTguWWv1TCUesrgOkqDCzDzlL8XcRFwBMYD9Aa6U5yAn0/Pwv cbCON+6Yk+gaph57lmp53zFCbhHrS6SAqiYEoCZKjEzRePgKe2QDoUdGaHHWyv2lsNwgFGkijkPq o4VcV+YZ7DXjqurolVOTKyKKs55Zh/FWjsqA8RB6tlIGqeVfWGVROB0EhEhzSXjCZ98rapRvYnMU wgAIgp6WtfOtZwk4+D4k1Vu6ynuBFjFIKxGMvQPPWvlHdMzn61pTd1SFRyteBoc3/8bWFD81sI2X tTXuyLcfJDwgNIZXr0ikRjlrnSwnJALTtRWXQxjtE0FKSWQBWxQ5eydmK009qVcttrxlGRuVbpiQ AZxZY7iQoIV3vviEmKNR5twSn9qKTfC7cP4OWbmNbLab4LC8N8VKRnYRRkqwtgjxp37STPNi0RJZ 7JximjG6D7bxsHj5l2CRul5L1KjzYVlmRteyZfkHxJxdsaV1fT9gGrOPgJxj/ln0bRySMjWmnJsg ivZnQhUagsm2QXswYwaIZHd3Knqs06EJp1jT/jhnOMaB8+9djzs6Bx9we5M9rhKdQkD+FBkkitSm 2vWCPV6uJ0WOvdjBWq3JTr0GidOgMlD43E6fewu4RLIPyf35fZyJBs7ApzwsSKqzABu+D34OSPho KmbdddqClUOqlxlZ/Gk3bTPcPCy1cUefjOP+eeeYr8MrxoXk7mVDL3YOtBbml5cv+dpHXRcEZawB 33L51GPGgPfyqwt5PiuddlE9PZ0nccj1UzZmbLFWUz9a0sUNCa6fatG2yCjgFz261SL6R7MmHY0W YpeUKyRQeXmSjXpQCXh0x+W8UP1bEKm7kj2+eVvY84eJiGgVpWAnpflgRg2SMvNzLjOOyRpiZV3h XU0RNJUeUshZqnu4pdchBwg37ovlf4Vilh/dKJJ+04AmOpoC0rYCf2DWIpPgXaQmkQpB85mgi2yZ 1Ote4PHYg1VTIfobuoCHK/Fs37moAeLrRM0Nuguvk67hmLiwGdEoadBLJCKboF01vU95ga/sKwRx r0d3b+F5mDjoSCnV0iI4G246wZaIMtClmoSBK8HA4BlDkeet5Tna5SeTkyZxugHPNj4osbo9G5Xf 9PFqb7NkWHkKEXwjmEimhFI+MmNm/ZSN/CvSG/6jzkUQyI72HBp9HryIXXq8INpf1rbDO8Rv4vyn Sv45TsAF+e8PqWf7PKYBqBjGwVP9vJ7zEhYBVKiERG1irNCikiKXUy4iHTwiLS05omm2Nzkyk6gJ pKmDjmFCi7UuhtHCp/xsz/MOk63P2Ej4NhGsRmzyPFgn6WyF01vBjcjHpXJuZymg0ZLTA6cZiFMA Ol+8sQ30RAP3tKr2DKCx1psh0TO27j+iCqhoSWr3eqDgZNzRH+MngULv7APAECDqi+TnzPFIj2ZI M6V14QBFgf+mOwjYzLjHyxdUrvwKnan2js2yC4jIoV/WwLjPmF2p/+ZOtTKwkkczEeh2UVcaRphU kpR/ZaULqpfWx/cNvTenpd0CGTwUzrLPZ9+YwhQr7HD7xi/S5tqtQ/pQrWLWQZZ1E/uqPcX9UKGq 3yHWSu91H6yIsepistoG3BdJ01bwQY0X97DJxASzBGS60TLYaf9yWXvu0DLd10vVaOTZSIiBl8DC D6TIzXd8x/M5MM1qH1JLNEJ5k1+Qlws7Rn5zqTkyhXNHRLehtItkDH5NhXLt4ZcNl5jFq+qQI6oU 8K15bY6UjNGFXYSItqqgMVTVLQ9BMyOAfw53dHpwr8sG47xk2Kx1SlCqPWK6hfkCBKb8hCokf1RZ 38DHaHQaEMN+JxClou3CGNlWfGUxTl3SmyLZYgYzLRC1irvh+6SMpfuqnISOfSG0K7Rfv7bnHBj3 ylQTZjhuItOH+7X1OxiQ2zF9wA1c0yfNX7QH4qUcps+BEzWmBwkQ6+POViRqdvld1yEMBLwz3LfC /Hzpifk9fGJQDEKlm2L2nZVBuOux+jgzeV4iGo+c0+82f3W3vqaZjEpqg2UOPhgypiQgJm3R4KTm +yIXdKeufKAy7lcOKz2pKoejYdxzvtjWLNk/Gg3d63MOeooxBYDsa+rnD0ve8tx0h8FN8lUWJ5se MzH87T+1QfkluUW79y3DwI7897KvCc5Brr0qKx7O9+Hn0Vv0Pu9WafTOQz/7q9EnpfuQopFA3TR7 1uH9BVdt0NYCTNBBQ607ZKjmRNPmz9R/4K5wGf4ohhI08o1WFYKertscUhI9aIpU8zkMiXQz7ibh sldr6AVq1Bl+mcRt3XKBJNwXkVOGY9BFgs0anguF3hi+5xgnuWFJdNu4KMcpM7i2i4cfGdjeZvmn rxqp/i722H+v0C5el5q+b6VA2gGFwTKegkRlgPb87QX/1ozTtUknii5S1rCITbHOc714eVki+9VP 0+7jTiX+vdoGqkRMZkXvM1FRL4rhJvJKS3ue5Uu24pyOAkd+AD5/2IxbFG0r0y4Q3gd0Kw/JNQJ6 ik7l72HpxwisIrDp44Fle/PpBPeadtJ3odL5iqnCias4/TKpBR4joHqw1mCpINyj0du+ChThTPTz SZrEjkWjuarHDqZusPzOPk+GBexBeOHDUd8k5rz5ix3KPleR0EchE84LYbPlSgnOGEIMeVEEasZO tuvSpgovbkuiQ66pON8F4mvalHORL1aEI7kFyYIxbSAa2LswVlToOmJ/T2yZXyAKiZuOMZt40kw+ Zv4oAK8bH8ha8W8cYqWVM3XPYdiPfy5XT40GaqLYBOErv1RhkR8ipArZcStsn9k1vOaTvYFcz7jK LcSMTh44+uy63X92luDXYckrlrju0pXPHT0rcXLQS3rMsWqYa2XNrUzM/IIck6uGwkA7tZwxoe/H G5y2M1bco8pktkwvxGCNGG2DzH6KB8IhMwhpbeuekV2vLakJ7u/fFbDflKefFFY8XZVhr5upO+Im XnTmCMx7IHsfwDpwleZaM8VrJwh6cwHuAJcfYSJTvhB00aWvqxOt2KJeQIDZxUvsPk6vEwaig6KV Yg6jyjAERTij1Gfk12mCKxztC/QLiEm/6dB6RrF0Xo+sbeR/GcU3Ebopn0QPuSgxw0baiY5zfAMq njuJxXtdow3I1xwPZS3eYa38J5tU/sUU+SNcElZUB0G+LGJ8YJRS+mzVzdOvL1WElA9MlJOG2pn3 4q88TwTo2qzI2R2dTxepaWqTfWfzjfM8fFiRLHszV9H2CApi2Y8v3zcRcSAoHOeY2T4fRfZY3cFN 05d8CpK+zYBQE3dRRqGbxaa14HTNVXsqsPxvPHG6uA8R2XquolktsOUepLB6gEhxiaNkPZUD93en 04s8xI/iotqH9W1OJHfw46xE96VjT6nNslppRE91B33GyZr8ses0M89HSEuiaoynd26TvxYiy5l1 hA9xolQTtWa7w3ZyiRL7n0BXDVh+BuDbzaxuGwUU2yz1F25YxQyQpoFufWKX7jch5q6tgAPQJpUz fjq9/jQf32e8DQ4BfeJ1/3KvWfKaZhMO6S2kO58JpisUufimshwuPetp1lZmbOt6qsVzt1YH5ihG avnZHV5deQMPm5VetkRX2IrqloIHCnolWLOvj/MZ/oh8e/RFOX/i3+MM5TvLjfnhXF2X+LbUQvMu OzK4m68DmEUOQrmMAxZOvE9ueU26U8cL7ZLV8jvjlBriIGELBEaytm/CfVZ4Pu19d3ZWfIjSJZDU 5E+swV0sQSChWmDHzcGBultaL+1YNVVh2xj0IhqpUa1JG1KJhHzMTsT14tuBCBVfxsNxNuQnlWEb 5Ij2bSgYyrhWAphfthSDw8C588DMfAhtoj41p4jUKIe0bio7KRjhvJIu0Ceb+XmcnMklK3omYBDi YSAGGNPJwO7wA6AM0Uke1Fq5qthfdWih6FbNrFO9DIEk+lQ3mlAWCfWq7G43YAs+BI5/faaXst+/ +UVrbry+Z9p7gH0y5zqwCd8HfmMtbF5asiC6EJ+NpQpps13Tll++/1sDQqeFBwTOtxwyuBserfQV ty3cdM9zqgC4nL7yXqvcR7Cn0ti/ZRRe3cASKRENF1q8HTX+qhJVvpJfn5MSofskfIhQ+oWehPk1 drW0sfB6UUeTPLP36g2XPMTiUWSMrTQuWn8Z4E7t57PmYYHxSb7PvZfcYuT76Xkx27tR5HEu1SHO DMJ9DNqgYzZRWWV8M1huOySNLnNbLrvZBFt/9EffZsSddGeb8WJtP9agl0W3dGzHax+SjDnI2JmT J/3adK9YT0MBGJy3B66XSXonc2vSGFFKbmBhkP4iMv54oyecuyXb6zQtXkYX9E+azg7RithnGiHq j7NzIknOTz9dnb6er6wM4PBkpsnHwQt7EnaZEjpFRZQS6pYwG4xJk1LB1yoltfkRhKa/7cEblKyK ozRB2iluymj/LRpj0kerq3DNRxPz10SdEBgjxy+GGvL3foK+9jLGsBIPNgZr8jJdHMY9BM3qUKah IzH7joQmUhCIOV+QHOvmlfVxEUD2Vlhn8TgZSNVdIRuwaLT9drJ0yAglv79vpLSZqMp2Blgtbcsy 88Hd3bVJNFN6OHf2EbSpQa/NXIg3sMVrDHXRV18eiIwroUy48kJGN/NY9xO5wYsmjdqbkUtmBHIV HCVZE/D0tee9bGELCwzeA7+tDWLP4lF68Plm3ey/gyIHfjCpShIGQQ6bYf5qDPHs/SLdv35DJsNJ sumcJ9TAj+GX1bjcnPMyrUD+q4QKxFA/Kg43quPOhWZbiKrjXu7d348IwGDg0R9enC37o2Ifo6cX wxFbP/nIn9h0e923jgtG+8l5CEHTiQ4LYLGAMkYzQ+xPdasZKEbpWu0LIcG58tAyUgTaVNKeHAQl QTKCuy0MdNRSA2obc8ZUqwcBq2gEkrjShHbhbT4Ihvm9JPHt2UyiqjO4P+diBPjChOBccxcTQ1wY jTbNcjkOCqbUqZGBnO6NCD77AkjtaCt+ZquNP+zAlWs0CKDvMkzNf2DVeMDUmaZwZlJUcNJP0v+f xiU49afhNIA4h43WrY1WFxWB/hQtF6Q3uP4+gNzTZrBf3eDDnteDfGWlKFfZQ1RJzXPseEhV3Y5u N0H9jVFzdxjTGlk6FrPF1RP9GIvCNr8s+QGCW9RcmDuq8jGoN/AevgKVvXw5veJyAHnEctBP9A6a Z04NQDBeaK38qAdvoc8tjEpNXNxllqYhWr6qoRn6QYl2zSy86nuy5gmG7u+LI/z+sNL89fBhVywY GR95EuJLpERes2eKjlPLPLHO6k+wF9FBI5kPlWnagU5wyRS69bxGTRfyHcttCR5vWuLQ0RHYjFoA eOZla6wiGY4DDH6Z/+Rz2fdqvthTO2j/lHPYH/+ykcuyAgZfN7qXAkFpNMkBuqaLR41Za1Ti0pFm /Ab6AuIF5jWx5VaDBfKSOIp/OkMCcvQ4Xz+qZTQLXZDmMa7UZqL5nVYdMbNuDeS9ra0jKyoj6ikC 7ltjCvkMwozJ7jIlJ50ja07zFiOhMkvZ/FwbBeJ4xTlo3XvTx/ICVHdNB1qeIsW3eByhSVP3Pekv sDjBWXEaFk2A/43mfODUlMqzWiZaJJZaJ2O9UsghJbud0IrvBI5fcxdwtUq5YJ4T5HgHUeoJPTXc 3tzff0EQkfsazlSvW3q7hZBNH12ebX1qz4zkYKFmo33sYWzSvcXzkMriehtzsqvUb8bxB/tpgsA6 UIJGD+AgEad16OgstNFymRhup8wu2g2KdyhzboV7a0J/ESiv4ci9qKQM7V/2ngkpKThlEyHuB+8a y75QNAXPNzPeBXqYB7D0owA7c4s/Jzs3VGbv+6ATK9O1Ww1XSmskjhgTYAoYRlsBME0c/UM4ltjU cxlgPTzAH8aX1QtHTSemGE9PEP/s98fbF8lqJ18x0K960tnIYuK9gkVhcRPzwi+m15I+mAwLqknm Kkpa1pgg2aSOfe51wE1daEVMJG461tZFvl5JNnBaMsXy+bjCyGA7EpU71Qdq6cc3BDCFlbgDPpkU Q/kJnsNS7GOj0YV2wHQgxWMCR9LKwCgf4BT88tf6LDkWbxDo1/2lus6Q+Y5Jj3ylg6iUHao/C4u2 aL0F/CBJUUVpj2AqQBad3iPsMesfRVT9XNxDwCXGucW+TQgkrFoAt8/WjV2+KGMtnwrb39BeAadt YLIm6ZF1hsQ/313NQPT7xEBPMW5EJ+2tUKV05NYpv4sY1Qg0OPjE7o36plFbMx59/oXiXwlV+RbA hHw/i38ZJ/z9/CJ3NzUckOQ5N1wGvkajSUFLOAenPjnCb5iniTkqqel6zxZuDWy+tSsm+/hDQvYj NNp3bLzpmeCjlKeqS73elnfey5Du+C8PJvZyXEjJWSHKGLfS+TVEQV14KKXokbmDyR5HBVBGRnkZ FB/Kv2cdlYYiwHcmUN9Hu+rpv/oHsJbn2SmqqeiwwuU97/MkTor2ZSRcqWpHaiBCjcM24/ft4GM4 Rs6eQbHmrEDUL5WtFVAzn2yDGMatrEvpYDU4VF/wHfOczakwV5oAxQmaI3s9hCjeX8fS8Zk+/aho bvd1uZy473Ua6/M0T2uEP5Ecnl/CfbaDdnl/D86atKEtej6hZKsD4f+SdXf068QRXPkvMDvNxYZA uyXwthfcU/XzT4ci6ZMdZezVY3tt5HvKY/aJG8om+yv84lj9YgOoAIzkjasrf9G7JP06NysO98Lq +a9wCxeNU3lonwi+wtlTDA0U1WaOwl/EPfg4x/c5SDLFuzlG9Udr+E7cVXIXo+eUAmeDgulqlvfy uea745TErf2Q8pSw200qQhd4IIDt9dCU4Npv4KmSe19GsQKEgWCvbsnYuQfRUOUDiMqrCAyjGaou FXWoHAK8/ZQ3SJOE/5EDDnKH9yirF3QeH1uHg4V4U9OuZSin6RviT6cXwh/yAprS5bH1Xhn37imU bPfyHzDZcyC21XmG/N9FsIEwOildEyPnKGVUrvMa+zoaogADJtfhZMS9qnV5oVqLzmidpmGkTtyG LZqVHnoBwXZhAl2dTH2m2D62Mh9lEKoTQRZl1Q6w+KlWZrJWDwC5SmSByVKr+9vSht0jqjfe3gpS n4zJZ5IgwGWAFn52Q/I5zlfdrlv0gFgrboHNoBz56ezkd5LItW7RN5NJpUgIfvG3MLJ7BS2Ooobp IavYJOHwH30F6OMf6TRh+26+Zp6UKCgBvbHYn1YqKb/XxUK+VuXHxAHT7UiXIOS8ga4iTkdbNJvT xGTbh0895QTj1c3tc2zrHtpUhzI+Wemmve/oxcH8cmEl9i/wSnmAeB6fMf2R25CW0WkcNiRUrYdG TSDytFNlC6uL25ZXcgzMEuPVNxCGksyIUZMwMEYi1TbHrj68432rdIcnz8E0IHBJo0tn3JjDryBv CSRSdkC9dZ1wgNnQQAtiqEnGAURPFWCwUMIi/hTEtLwBwbxSQA4dAHS3Ppsjg8UyXzvEhitXp5Gr feVv41JkZ+Po+w5oSzxgchR9naHMS6BAxTuSTUXELRecNAD3b/9Wb7RTrD1QY0eInLWZa9obUQQu 45//Nxi/dmHPX2NUuGoEIEcU3YaUMHuQ2GNYcXUbgk9xnc7ofqlXZt4JZKhmHpp0OI4iFIaS0Jqx q6U5Eo1XS5hXfzp71IkDD3iGWFhR7kMkbDSVQrTHRXc+OgrSllTBonlkTE1sZiprPRv2mlp+VHTS xt8f3Ngl/Ws17Dee55OQ6vnZwOPqHJjadnhWepEk4TRBnJx/+Hs7COXioBUBszKFJ9sPIHJNy+bu R0RVKfgvRMO3IKGdYqfHIZlWumOHy6YGCUjcWM0dlfMgLP5Ihj9w7UCpHWoP7wzyzrArXu8cVF2b JFQ/ys+TBMdlNVgUAXxnQqZL1i9XQsd//2ZlsI++pkNqqkjzkECW5pANe5m8M5d/PB73aVU00p1y rYDnlRL2uRvjSxVm1l6/spLX+sP36sqXmvTfoUYx2C5TzWBSPXQ1K/mUOsVT988AE/PpaKFuBYVq dV3kBWbd08rRmp0OLGRCKHcgimv45Jzg3+lE86ES6MQZLzsELk+wUn0rBUIAirm9JA+wvSevUTrG /F1FrOf0ljoa1ebQtlz6EsOC1jUaqvYD2CQfFNBY/IoD29VK5RQqbowb1G03+hO3YC/Oh9rNqKYX 8XefWrHAlvlGfDqSRercMf4wo6GA4U2FhUeo5+PmhbspzEAYNvEnpr94WW9PILDu+4t676Z9rraY YjfMoZvpx2u8UjA5puXh502iR6GgK9NcCwyBpc1jXaUlBwSwCQpZEj9l4QlbNsVmpjhwROLH3mfH 6I3qlsIziPaMdwdFQtpRvFUc3WQoPOULFKpOsaEVIGL8Cf36ECl03A1ElGXG2lTft1JR6neJYdBs 9moEPiMBx5IhVhUNjL8c6mGcSqs+2kBEV0WvPvYjupC5DVvucGHlpjDsfQozwdAplBshGcOKOciz pmqJGP7KS8ddaPysQsjPIhfw4MJL0Y6ZcLs7Rn8ILOKMxQvtez8GXyFRyUlTOUvu/T3jODOmFhZA ftONGMquNPAEOsBwvicR5RoMeOk8AH095MBZNxqVWCeuUJr/iNZ7C56eJ5XaVPeW5AIMyL9grHYX XlnrvaW+pVjT8VJOYrw1jrSHXr3dWA2MV85uIBq9YkWpXoINW9fYP/k9gAU5rMuUot8m5uEO+2f7 S9mCPa+Tmw6gUkiJJCEXpfP4+Ll+HC6BF4/o0daEFik3cLWlQqUkOXtzQ+sSRZzKXYHLj/oc43xP so52U++uMoTG8vi+IuLzz3cBFCwRnzUFn5psebPkg/yx2Gvyv5CgmtuEnSGMlnKmnzYwM0Jd8aYo CCGUcyK/68fZrhIWvCwepBeI6cp/19p8sWBNo9cXqdt+xphC8nFSschsh8iYHAd1q7jhIfKMxXiH gBqHvJSTDitIG8M5r+we/+XMiBk26kL7/1Bl5C7nQ0TPqVcjzwmu/Lj1zTfDmCj2YIGhFZC1lT4T nSARQa3rg91dL9z2e7oIvI5LNAMF71dguuer7wchcTTtpRbE0oMXLf9pnxvTsc5xypM+H4lufq91 6AH9n50A28uHaKbqQ7DDPtm+8eUbPZ7UPSLx7MQSrjmvJdmQ06t+b75wy1VYVgBfS4K1Z4MfBOLv q2kMIfa70PrS4oa/B0/dMgXlgC3WV9v5bZpxNFmRCwrSi7MSIZGvXpPmLSqsz1M7xEZw1Sin2RwZ sM4yRj65nYqT6tWQoCFx7sYyo4m6keN8tiz342CmWcSytV6/JIXMakhPlGP4BGbcYPwUVUKrRrs+ vcaQcBZDNA6PC57xnh7hA2NMWBr3qnf2Akt33LgoWfHc52PJQ/cDqjNolURxQlI8TleaY7NddRN6 dxGwQByJgoLggrPaHuWUNlZhOll53GM/LqaqBZ6ana256+WocbdPQYMCayN8e4DgdPdkn/53pQ1a uEhpabKXuuE83QXbBKGh+1V3QPPxic1Q8RZvSvpiubhKoYgt7KyX8iK9XcjHhwXJmGaZNKd775Pc mXRD7IhcZota8K8is73Di52KYgASVtuYFZfF2RCGcLMlAXbYmP+TKpbju4lHNYVc7bayt/DSu0e8 6e3wOcOT9H6Cl3wVHE2I2FVvjQvoeD46+rguPtjoDwQmBb6p+KgswOLHB1J/lzoDoVpLQDxy0Stt oJnAUugu2TAOqqOfQ43tCgOYmY4oAKVaKsyWO6m9ziJgBCy8lu5n8sGjQ0pUHpc44gJufZg9Xhwk OPsqf/s4exbPIjboEkR3MVRFLnKkFjASJhudOtK0kaBXLzDVE3x7AYF+JmB92XYBYaiuY40fqQ4g /TZieKMF3Lbm94iCqvbAJkBrQItqqIq/ye9R787YD6rSkhtrBPXito4YMiX4o4/qwC++xoHbGup7 Lx4lLxMIJJncVnlBdzJkkeT+OzIyhDVHGwgERBrAMxiPGUXWRiwjX+LhS7Np+/gN8iPYxisK1i57 BLeCQ59a5NgDI7SCuI0lCoick2nRUEOobmFTZtZ51ovx4RnG+Vs1TKBFX7z8oTeVMy19AdNFjoH7 BpBLDv51Q9rMFNJ1Du1oI5NX2zT+/O9vO1V2CH8EEgmmbq3+LphaxzKlOAWiqdfAwCSGRmTxWqK2 73ASZi1rttsm7LmAXaLuKDIyDiicosG7oaRS9NzfqKIPBThlT1uQkwRBu4QI41x6OyY1MtiY2b2U WfnMm38NfpGyqh1z8Qr1d90dHXR2QSudJfqLEiqcYW5ZkgdDQlpLVC+ey+YG5y3sQh9dOx6cIDSk UkvMxUOlMMwlTGI5dZ51cCyLHEEAvjw40i9DohwWkir9wZyRxmAEszuSSxxY4yamc/uJaYC0MzqU +HSiAs4DF5Fx5SRjRgYsJ0A+J5yxo7vXjrcRlt2sFDKcozBiftwg9k4ZlX5nx5XvV2/QQ8oY8ltO VYkeiwpN7iXkFJ8UwNbL1E5upLvVpZyaGNMlhwDzYyayKwHx+A7wVq2xJM53tLvCSovijhNXo3o9 yJP3/ycUPRsaVT2iKto064jBVTALtA6NlSWHgk4bVNXSZ1XQwRRGb9D4Jr0EbyZ7bJI8VF0YnB6f Y4Y4l9BuqBC33mVxPu/w2OTsMpC2JsJVlgNXhJnD+WYdLOFWjEyUzmtEY/xg/6I6NFREeuISryGD gCujOrld4gM1J5dwdCDnM7KH3LxARqot2eT+aAGJXKn9k8Bmfni5ARo8QJrdrAcYoso32ZaXmAaz So1QQiDgQy4aIiWEeVSwRMnJKycW7SbIXvkFmNtheI7GNoQSm77h+ToxHYFgfZTLwu5v1vqg//5b YgOogP1ZaJ3uoSVgKDyMo/tvaWsgm8mhF6b+XsYhtc3uu6hSwczKeJ0CooKznwu2RTKepuiQEYtI OmRP0wVHoENZR7iP9WqX2MqKksRJeF/opGgP3xiHV+3/ZHSooIZYCW+IxXrQSiDDMUonzttJs3qi mGsmfemoSqZrZp7PJEYpmbIK1JvR8JMPn8ktau4I7adhFzabqBVgkVn85NLafvVmXntFIe8UmsyR zlKG+h57g7BoZmwQux0bAptaSZhxLIS4ypy0UT2cFsN+Dlokp8iPJFUSwDNuv6vvQYPd6yoZuHem dWecsIUkk7F+UfRCv3ku+tXH+s5E2l6KhtHNphV3cdZwg5Wdxx5Rsi6ou4AJuvySihoFIp6/v4rv LfTf5a6Ihc8xtgqeBJI+n2LDM2FywoeCFimHSSJf5eTcccEJrzhaDsv7rGkQslFyDkGR+gZp+Jj2 1gYp1+2tlEPkzm3daXvFqLdsUBG0nl0hVPz9KcueZRZGs8FvgE2WoMSGo6wqFZqqMfGlXJvNB7A+ sZj6T+YvR0PE3xVnGFVo3Fx2tMO3vrO3kTUJfeuuhlIMBw/+//KY3ekxM/+3BcMhrnHvj1kpXny8 iyRtqScSl7BlqkqErY5CPe6V8vzBKgqQZiJjOM4UAWxACoSnbkf1nS7JSXvI6VdJSqoInQqgJ5A0 LWo/DINNfCRHdl4uxOBt4Q88G6g6PX5/zzZgEN6379eGMZb/LgMOrU2T4Cl2QdpigtHLtOEUMiIN auw9IUoTAdQsePB1AABiVzRXv/m1fwCcDgmyAMSYKotUiDr5Z3+SXPMIxvXBFgB2FJkR1iA1503r 7irQcXDy3fe/rHAAFDlJFxp7C8KnbEeZdxP0huwi62m7enrfIH3+fwIIn0vC6m7/yvLbT8IxNWCt ESVLH511GSED+9ru493ynwdHiDOXrhYhCVbfgBqn6/cDn2T8G2yfeDQQeZ6akosuHHqCkZNeqGOP Vv+m9Wm7h5twyGZe/PoLGLUTSki5q7nNfoiaQaqQmiWLO/4cv2Ry0h3qnJ1F08URq4bKpfMUcvvk uCngWqXZCPRV40qlrx5DzVgk15lclHILqBKams8vBsz5I1FtPT+eDaqc5af7ZMDaRIu1wFAJPDkP nYgr5DDO25kqKNd5mGXnr00f5+L6rVP1f/jsSWOUByIayDoaLpR81kNz4/sAxqWvU/MxeJJkvKvc +Bwj0VQxBFi4dVFRw++KYP1YE0Yua434bqznTK5BEJnLCj9ASSLZ8GsvlcLuTYJ6DXxel6gd9DOX V0tYKrWWw18NOy5Wn+kLbH0noMpNpmYWux/raLBHMYMm6QXEYb2xwS6Kijtd3b0A+id4UJ97ydH5 OCgusLQuXgZXrJM+R070CE8scSeEz39k5p7dBSLKWQ395qP7MKwFo0UVVC5NVu0Ix+yjETDm1L+j ygg+2vQG5AUqc7QUTBV0LqELuaIGZcpT7dO0mAhNa6mMw5pIJGrO11dmATqDeGTx+9nCr06xDd2r OXSZPBc2XEgLR52VCQec87WKDmw/WcbGo7FKt3UbqhZC7wxmHROmCbzPYOS9vnMPSFxcl7yc+b+9 YVNeheKT6ion8SHEb0bi5WbI1gtC9kTywm6VPISGIXh2O1Fu41RITYlBIK9l895BeD5SKPCWEmnd tlaScc5WE8qRkpH1mjfbof1SyW0TWBJhavvbBiyD2f02wqaz6qIEqb0iqcoYdDhWj+iH3bJTHU3x oBAomN+i9bn/2uMWgnGz2eS2O7j5pwAyNwuuOHxuY1yIsEsXFfY5mQW/aUzQ/Ie1ZGOMnf2y984k PcLoJ5Qkseg6OlOG2Q59CqXf+T8suO2nnohdLXFDKQ0uIUCZC7sukdG7aHms+wSjwI+vvJqOpwVh nxYv8IIAsb6s15M+IkQUFyjNjRcC9kXRMoB/yJR2/EIIwSGgDoSv4nVEjtnnsLQl0XGxjyVsqOVh Y9/uLaq5zmEbjQPU06XFtEvJGcZll8Z2SxOv1uEG3TkoP55UKmcY7YyCtJSuvO1Ei/SCjuYw8SbX TKGBH3uGss0KfyW8FTEUaX+DgaobHYyhbUQ7QIsk35NGAa8ierQi1/T5u2SWGFYMZn1D/KEtFcaG ggL3Xtt3zcobFHmkC8sOz+CNZg1ywPesSC8jTqqjMLqJ9GRC1nRlGR4xHWj35YlVkkRElwalo1Ru r96/b0I+hz/e+Zwb51WQwYdNm0UO/ZI+rLtlkPPEPCT8BzmYbVQwUJsbrXDXN45hxQzQUdmSEGlT /LU1xRuxIedadP6UrLoD7h+mrlPxU4BhlI+rBquGuC2PJoqLb5iKxUtfqGSuWwg1xxFwnV9W/b5w D7lv7EQ2d8LIRfrLYFOQczCSYGriiw/LVhlN49HVp4IeLhROTqlIl7mqNDJu9c+YNkiL21zlmS72 ry4wU8vCXrZq3ACIB8UIVel56UhWbuoOWgg7qJVbn/SPLSteJzUuNNO4cmASEjE3XKqt3JtNKg+a RF/e216pjfXKofOVNmGagk2g99N9KlUJ++PZJy4nhKOOPzUps0iaJtSTKuJAAp7eZp6gOjYbrvLd vOL8kKbnqrB9rRFvaH0q39BZ3fwkg0MkzxZQQpsg4tedSGyo7rNENDPo0x1gRa3MmDZSUBHIF1GK A0nkB/sfobxxHpaLCvTp8IoktDC7N439JpmxOPKeNAIMf8tJkH2W/mYHAfpwqkOFAI2qeieoapDC 1nK4U5ESsbhtrin3PHLkQ54FPl4p1TgtMxt8ydTXVqBJi/ym5OOYAX886ixZTrhs8+3NssVmae1Z nrDyhmE0t2/rgOqCeEt2JtR0xFYQxBuxmgIH/Gx5QoxM/0s3bVUQ05vu2pi9klGLHT9zGN0oegiE bNGcXmACqd3ky9PBnRFAOAK8KozZfqT4o0BzjskZ/Ker/0gvYLGw8c6DLGC6+3mLrWdI+ujicU/1 Rt4byVh/+7DWCoNoxA3YGKBGw/LUAcuf+goQvYDd+sgJOVpLs4cU10BwDjUhs/zgVDQvWYqrlNxO nC6f7lnwYjgCkGXN5f85INNMJ7sSFEG4qnjnSIpu2XFkY+dCvm9mbcn5SKjdA8RxGkVvZ6PFPP1M sCVk5XdAQ9MO2yFGdaSr9mTMakPWLmagH0Jzr5rjYD1n1qQPfTufwxlMNpn/p3iVCGcMNqzP4IEq mj96FUYKgFO74U1TpANkh6n9XEw0nH0EzAEZJyyIp6Qt2fKCM0alcgHlbk+pYqEQedp1ExlPU7oC bKkz5Iz/QQ6kZGKPscXsh2fmseeJfDhCXXNrAY3szq91cPEjjxS+F8dKnEYIT0l9QpCvi6fH+oj+ TGWK6Ma8KQ/iwW3Xo6Pm3VMtDNvcYY897SQfX/ZUfHZbE3suqkKfjyl2iXhWmp9COi71DekBAmEx dr1Z9QTf4ESG9gAoOPAYxSkacGhBNCX88+LqEBIKphXyhBLEOPjxCghRvKjbm5uiZd3HUldMJKsc mvWhAfj5torghrMkMxurdOWH1SvFhBx90/UxYZuqbphuB7PH9VRS53elC7wsmPkzIAyaIuLHB0dz ELVuby5AiShq+4dUQp3vd4Vaj2oK+8VvWEWV/TW83Ib3xtyaajDfV1pgT4SPmhilEj+M1hlQ+dF4 CrOzI7+nHqrwkw6vhNysqlpsDZnoH0CMrHfT0sVM+csO5X+qSnGh8vYAFPQ2nCy0MqMR/unToVRW LAILobMZsDRk8quIBdOuZprfr5e8TEDm9sNfBzrtWKdMIh2Apm7L38bVV/hvg7sKDCabBBRBY2AT kAVxJnhHXU0kI0kBlzDuO8A/iM+JJIfoC6zf+bSlxUnOQyiJcyPh6tWonGHDc8iW0wxQhF4YcGcx aDa1fAa5xAcvrneH+GXKYlEGq0rO4B9E6OJTU4JKlNTiq+wcUuy0gTGEivEDD94qhYifRgxcCwiy WbA/Pwq9ACWwpNlzd+h0otdQD5krwNeARuVIdAhg6w+5OukmB73idZb1A2ZuH5NRm0BckH3uhWgx stDaCKjx28P/MhJ6Sl2BEHS3l4O9wjCrQBAap1HQlds/gdrdcjREhSLF5FQGe7cHkWUtjEMpNcXz MFg9/PjFXz3N/S1CeV1BLamFuxmESrYoWVRxojzo0SLvgeYuni8NRMbHIOQkTK31zQbUSe4LIcLx 9Er3tCTOYzoJ30a+oI0ankqujL1aCG3+KrDCzw5V5gwR2X2LZD3je2j2rTVrI+ubzChIja+lAadV rEnSf8LKTEAf4otXxA9yniOQqtCJzIrfzta4ina/hrDeYhJUaAvj4pC3jRS7GS9qw9jHeW/QZgQH iujRnYvRw/zD4z2QjPKAmZSfrIbAuQAnoKEeOYQ6M1p4tUxciSi3ASHvJCSQJnnJmt3Ek/BZdwFQ /DKOWs76L68NydNu+kPxUjcpbwAtzf4s6QvR+AxWGFj618Of2YajDzS2Hs0Orr/HOSZATLtkVTMA H4IJyCJFsFTjPM4J0pnvPyo7rm3MnmbkqWpyGeAtYuqE/9BI53aDfLEfh1wxEn3obx8ICAjniT0u hTPyW+zhXFbm5PaP7WUHnAreQVJ29tR+Jo59stsBOjWnrnMfN6rqUbPwgoPzcMlq1OKNl5qJJ/CW 5+WZizgGToYZVxDR8X2ubOTlMW0Iz1E3a5Ka0fpRWqc9znt9KrM0UII6vaIIi8z5s2DBrloXv+/M k7HgdHtyBuST3I1oTb+i6Y186lOdHxsO1vRK7fTCWw8mfyBRWD9lB6544TB1KMSGmHIPZY15sX73 iI2UYuMKOaZi/OMQlCmdA2bAB8jIw4LgmI8qfSIYSsqE0/1HnOLQuAtnWtdkWj4Hgf8/izZAo7rd 6+GLSnkqQ4YMvp1nWQ7stqWxozzsgDhLxkuishcPuRAStzspRyjMDJDEoZAwLxBz3MMIGD4qBkJi MELGQ17HPOSVYBszoFQmBRaxZ6uAlJ6pL5scNDkXW3/tEaX6GAumDm0laGiLSqBWK32ihr6OIZKr +husu88urokX/20rY88cAo7rFhgR35n5V3lfIujFW9au0LyDh9tRNoz/1ouwbRKBTPEKjzzBbnMT O/slBU+S3oCzOEGKS+qauPPO/RT+DlBZYoYjejYYoQdBrwLCD2xNxxEO20a9uCfAJosg7Fflz/2E tq3qrQHDfgIPCEtaQ/u7b+kDg69ewvzF0lNj0WgO0Azv0fnfw2D3RV9ISQhLrHgk3wwzRvpQ5I/p Ld5/B/fKivmLEdEpxJbMGnXaedf/KbTQkknpJCSe6wSE+qq6UiH9ghypxnbHJULgETlwUb68aCCL lhhP+mPETaZy6VUZwOjKL9fZa1M8Kbq6czkUJ9L1KiD/UFb9t0kpSuAJQAiRDfKiRj3wJn0SG8Wr 5/vk/QaHVO03g7tK/sjCzc+copLUBQqZhszlHDGjXsJQtywx/+l1bITAOyyquynCS96Gn/84+Qjo Mwttr7Fdpz2/H0A+QBGoEkpPNVkFrDontclWN8OkIylYviBWUhAeqG8zSd3igeTIP7+Os8UcZpUn UtPu8YAx4Vh+x8h9Kl8ZpXIRNWdJRoixuU0YXAp84FHWv15uT3i4YXCBaAiZQzzSqLQ9Pi6eyckb V+BPsxtNxwTfLVoEgzFS/mpObIzt6Hft0rJxAOgvUtySpP7wEa5ZbhSehGXtZs0iy6hDsiEzM7Sp TtwvM0L5T3eAWsI+bOWA9mAiWUOimlUFx7Qpk8Js/gDPSFCew+9yMpNAxlP5YKwWNeKi/cc0eqAp 3IAqERviuKmddJuKHwdmpydWQ4v8kVNn0KRVyvNZJTcVy5QFPiB8bsAQB2ZAwehKtQ3ZSWDSIfbq 6CIj9t+CWu2ZywEG5zqXLr9sCVRg8BknDaofd+A3SetWaoFnRFMpXkdMllehLWemjkqbFbK9AVcY 27UoHNzqltp8HoqyQt/z9FhAWwGh2fKALPnjDUmBCkoWpQIfGwQ0tVTvxAg+h93FdQdWxgSs0nUV Rr51qq+VRNky7tcbKQAaXBrqy/er76rAZD/psfqYuQIEKqVHzFPQCGP6pNVcI+s8+WRK2bOj18Vv URWv2VJN/0mF66pbaoP/1vSf725dVpPpsKJ716OFPKwS0ryWlzsTqIFtgQZFjF4gPfaoNBFN5Xz/ H63QDvMGAAxrDcpz75UR3ez76RQ+ccxWXvjtDE2HPCbDtvNHcRtphePJ/+g66qXF7A2pgiKzD6o4 vd4HgRDIR682ZD4b2urbJaNmTVPXdIDtx1RPrYzz5F/hQBKqjD8HbxhkTzxr6RuWddOzM8d3Z+fN 3NNeLbGdq46pXG/xx3d6DuDgLaJdChp/dp3vuXllNCy6FpKkWr0sE3T2ZScceMc/29QyjYvuAZB/ p5iuXx8KrKk3qsD1Y6+cOUNoHPOKgp3GfqyPtSAI16xccmoyIgG5Di8RMq5oi7RQ6Nf5rZtupEpP CJE6mN82wWG1/KAyeMle+oCnsdoIBKoXaXNVTTW22BbdLw0fQS5tlXDpxZAkbSpzVy0JmQNNHkaP TgIIbq5L48bevwigZ3CHY57sl+TlsMRauXAaaWm5G1tTKt/SYXp/HEbTwYBH+MZw4mDj3E9O/+mv oZyRNnGlqsC7nvO1sK/MjZWihlsMX8fdOpcexfcsrg05yEbB5ZR520lFhbzIJZsxBYu2ZPAeVm8q 80JL+Jj4PCJcRiR4EMfbk4wUsV+mKR8NfGcwu+VCsKgfJjOnFkRLmDft9jzKZM06oXBWX1SxlSPG Oybfbjs3dGyq4Bj1foXg+h76MVRUhDo+I+dwpAkCUlaFbpJjFph6kbvCEkwkxj1EdjubUEfKSEQQ 0ACBoiVPvCVFqw9MYR9agYLdGe6OugNCqyEWD0xzAAX/nENvJOCTmY3srdZkZ7B3i8n6QbtjhSli 68sWHvA2BCQ2NeU0uy4WwexPbf8EUNbWBAsxYJPTldtDyFdbNo4gx+9bAOeMzn2buz6Exu31gV42 f77YkBc0LX/4qLb+rAC5WUqy8CzffmQOESGHAJsW8LKHqm3gZh1kzgENFms4005nQvnhe26XiSXL Zy+qIT1DbqqlEkclcYXLu3QAEquFW7T0p9CqSzkIL8eU/tmqli7moqUK8DKUEenPECotFAgaegLD Bj6RIeI+DHMEs9U9Qb88znqjnpBgZTFWPVyIQSiPn7o8pSj2yXlzg1MihS2tBlAzG9uh9h+X8oTG RBZ/2IpgYvAIk3IGwjeYHxNHLr6flTgzUWhoLpkuc1hhF4UaTsaASwdFUEnIh+7r4BXyKEwJSqrx rECA1/yHrJO19H3WMSDiUYJ8h2ppaBR7+fLV3hcnLUNFeve8p+kMGxcx9JP+25j9nVnpOc16+WWq fqetbGZJVO7HlBfBL2AxbVG1bIffU4RonxuMA4FSvmGf/vcuohbs2KZlCWb2XbzELwoEwGzuM30O +76+twqnVxhHLDRIx+oltv7p/mbDjlbEM5YZxz1a/Ky0ZY0u1zuEzr0LqcnF63MZs+LbDFenWLGf t8aBH6Ds/THkUgG1q3Im5xZKUyXyEqaDUsOZOmxTaNTgxAjY72nu9m7996HiCWaqp/0mLlZSKLI4 io2FeVOxJbAcTY8Jtk4SEYS4s8tRFNTl88uh5zJT4wRKutXKD94CLNVatP4PSG9CZKz40WbWcEo0 Nk3C4/5DuL8I0FmO72WTx0GDET6Q7SG9MbM0ajMQEWYDfulVwFFVqITA/30jOV+PQ5Hjqsr9SgxK dalJdFrHL7SAu9YZf8kXFzH2xvfLF2uE9n6BZdc4Z1I2QoYDljXvNaQ3hHsWpBPvWZK4ZEQ0nnhj X0R5LVGRcfTj4bIsR7NbsTBcTmmUht2r34dNgfChsrO6je/iwckGqPNte11Vsr++41+Y54nOVAfX P3fl5Q/oRvkGW1WENPokiNfKgmkZheA5nDFUcZXGH3Ziu1uQwmjmnMqBF6O+0LH324rgkaV0AQCf h7lz3CuYkdKW+mVJuooXQO436K0rrTo4dKTY2EGijd/EG0+4S34Y1ObA9fG7JQHx7eEhDSGq71o4 mD11duE4ZgMtXCYUfL80PbhZMj9EQIv3bBLTM4u2CvG2GPg6UsUM5c4WE2Ix/FQcM14XcL26wINh RitVO7J3SIv3AXQqb0u2oZ/7CmCH+vJYcwwHZlL4bo82fTTHplRQhrW6+lzkyDwROPhX8ba5UIHI RqxqEOS2lO1R8xmjnmPnHWX3eMPlLAPGa8ywH/v6vjOvXYue/K6JkRHGFQPyWriSo7Hah2Il4Rbe yT2fb2Mnkxcov7Rdn50oKYh54vYnb+FalJmKd39eDSdE0NqChoDMm2oVCUIG1nDue5UC9y1mGd93 7uM52zWFqKM/kGdl/QUetgWPtP/Yf9TGIWyT9rKLwibt1IJo3Shj3vQQCmBYENoOMwud7JxCcTJs lbloE5IETRs53L7SPhRO9CAkya6fTwOwz9pJeVdmjldzTYB7SQgS8qCdEEVM+D/PuFQyPmRmWJr0 3Jq4NoJZCvTq6uh/H7lIo8KE2o+N5atdFX2ApL+XNy3TNL2oXSglKxEbz+sZucljbIrmzcjNEXYC e62VeZg1ggS8EIY0OiMztvLinyi35FRc5BzeYcmR8Vtm9Qpdi0TXEDRI4/uWltZEvG1Q8JYKfCdi deyjrTdc7hVfVQ+HpZdJAJWEixc7i9VSb/VZpRcF1+XhwyuTwpU6Iqr2ajpoBDu+aP4Y5C4/0zZh 7KjJgdU3mzQnNXXU6wfu6YeJt+pX44RWLUycyjcyf+luIPS4IfbnCps3FswVOKBgpkPtT+WuP2Co DccqqT2UgSbzTFilO26lTlKE5FZdDEalqVE3uTNnFwhw8TArGa9WCQDwdVC3dgkVqv0wXL8HieTz 9Of9MDte6Kq25VjO6VHCNbofofdTe4dwWewQJ1r5WetyOHBuxmU8i45hJYlQ/H60bEEqwsYHhuUa TI3D9LSuJEbbBVgTJ5Uql+EqwJHr6G2xj/aC5NVNwTo7loXVMvfNuQrIF/mtlyeS3vbWYEXYTbHH n47iKveSZgSYj37KDCDb2opzL9xsFvPYw0fPzJyffW1gPTU6Rv8UQulRslsoR3NEhvCABdZ8etTe XJMzJFTfR7c7VGul58/tTiUc9or19gmGQK9Zl9RcEntK3UygalCTjX/plCwA6tYpq6MNFF4msZ7D c7+H5TYMHf+mVTPPSPRWaHD1un9ZAQj964gjIlta+5lrH0eJAM0hp9Xo6FAZeRzW6ZZAsTj3COq6 GlD36SPnfGcz7qPzhFq+aWPirv9Hdiv+nXk+KCjs3t93xKEuDJhn16frtbuOxed+H6bFfnc0GFEM n74vSVGpUfZqwP6YJ1s3jl5hVS95CE7YkvW7CCKya9Izu5+lHtYCRN6uxDy2c7a4+H04Ajjm1/RI iiZQKnmMJgcnz46vzvub5wBuymeyh7pO0iR17XjaXTkWMrj2YUhmAROcnQ9pXvIe6qTapAekg3P2 jZrEpGdoF/CoG+L5v9jhwJqeVlcuVDVYz41y3jjZii0vS8ElpoPLMl370XO5owBZyfgoKq7BsrUD YjVnAsPRewQJzhGDLmINYfYbCQGM+NIzbRjQlKr7ABHWFrg9bjfpsllCpPAMtZj6T4w9wuNRjVgX uefK/DreOOUjzT6gyuFRKzpBko8slLaO4fYzMNQnZ7Ont9BLyAL1o2ypUZ0S+uT4NwweG5gNWDgR VvMoAK+f4Wa8xvxMpQPndLzPB0RvlIU1W2BDz6kZoeBTZAg8+a+KNgWucumCaGYS/vfhX4VpO3we sRzKUBn3l+qGw+NrCV7EJ2nNhq7UU8d7JR3XhVwtJtS5laIhUYkbQWByVx0A+W59a5pHygMDbOIt zpdUib/TyP1cAvbjYC02d51xPFjBigQiBpzUsPfYIOdILczlULjmkKA/1Oz+TxFdX9Hk1y3tP/BU AD2xEFPATVmcv39nIGsxD9WEGnWiryWsYMiHAgji8ED0euc71Ip8F0S67kd3sve7rBTlDF0Mypju 3K4nrnOXJSZ1QDLOWQKOxvZbGuUg854SWwz4MEQMUsBU7fDsyznPpN/nVnmT/j2kWfxhA3jW2Ash Zx+hlMSTqyMay1l8c69WsOPjJDVoLXrunh8oN3IsKK7v1EVIJk5jJK/WMk41po4K84w6qkJNrKD0 7bQB6Ex6k8nBZRleZ1Q4TwLW9mg0M2IYA/0uA3Qyx9PibtHvk2+AjlMqkOhCt8KPc2oENRntkQz6 gVt8Yzg59illAmGhlKriTzecyq0NgWfkmPNQMiHjuFPyU+Fb0pp+sArDIuGQ/4ni+1PqQOnPHz48 Yl/bNspwr30FURrimb4AgKwcQlHF8Lmg80Z+3jpkCTjk6jD0ZAJ7e1d9Aie7YTHDFyg9NrAvxoy4 pMZMicVbdwWwINt/Cxb4SJj0xribOf05+DRASniVc2D/LR51w81wM6aLyQ3NltDvcPJO5Z/CFZK/ ArKkaMXnnmIpQAyAIP5AJWEvhhXGnthbWc6tRrGoyLZUMBrclWXWX7h3kAOrAtaPlH22HTcIVHsf Jmk0WIxP2JoI0ACROar8daRxwtLpvq28xB1CbGK8MGCaWiwx5aJm7gkMsigfxF04DAWpw72SemDs BeAEsLEBrR2IUSwUsHWeQ8MBmCZHiCu14V0qUlprO/QEUvHidqPxZGH0tXgTO2WQ5F9OV4U+Y9dM n16gB6Wr17KpWxvsMiqWbRq+sb3jwDs84IpDArclp62nv2iQsVFwSUfsns7r77uxDHOa3QgI3gH2 vTp+xxHV/opOYJaZCe9ILM63tGdEdPXv7BT1p42TiUqxdMb0kmpB/JVGBneV63lBJSDtR38K55CY Fs2vQs2aPH1/LgqpasVEl3ZsoJqF21c32TlodlJrQg4Bp2Ibs7WJzPWgZakO9IZZALivD8tafd+R RAf29j+vc8OJkNOJN30aRWfDqWPIBRJX/BIPQVcyu+tHu1AiwDq7cdiz1Fn1JlIBcFDa+AWGTBqL vqgmvVDIpHAI2xvT4tnKfsDcydUuSv2hUXlb4pOg4mnfOSPUp/nUgBBWGgZamyPOKpAZKrVt7at4 9Q9LTxWvn+Rz+hmtj9tupQX6IgHQa8wdiPhCScggbWbrEZRGzfdapRIJAMIvPHK43lkoXvAEgu87 AgQOkqOTBoDZ4SyF8QKdWOkEex3yCizK61r5yvhdJNmxHpN6QNvtauXfZhWj6+HtAeW7LOMbF/d4 MYs4MXl6D3QhreWEW2I/z0jST0U6xHeLxhkK44fArJbDP1gsPd4FqjNRzPy2aobKe3dO16lONR8H 8H/bQkaDppNXyDQTSC/t0I3W6owpcRoiytubnNfIus4CxTrtBL5IWnFpxmqsgRgC7oF/7GzJSNTd cYZRYGRwvsD92ZX5650Dt4DAGdbCPS3JeMe2NGYIAiUrfCSL+xKzmUVPUWjS5Qw4pUKfitwdA4/K 6OWIHSzLWzHBb+FbMx0LCnf/85tr4JuT15wOXGbWQpxT8HMTeljSP6V5jEv1t0rtweLsh3MjCSCj IAFfXyaldz/HEepZGfIUNkf1H4tIGk3JGk7Sr5B6JofPzs1llhn8BqRyR30EDmLXS0Qd1741NzE3 d2e6cn+zrnkNT7sW4kh8lZo/KK/WvuFGeZQrUB6SoPDue0YgVhlWLXwyVUmZLVCbAu+vornXh/ZS mA9vR3LCUTAnuxX2MGYHyTluOFdkv81X4B4TxaVDfIiBnuiTPHzy/WhEbj6hmnISA53rMZiLsTpY aXosuIl6fUEAAgFws/3U+ghhac2bLqEErOXQZBTmSovHvRpYzprby20BaybIN+ONfjeMyebp29Ms FvuPchL+9eGeGrvx19rfTmmUUyJkXJtanW5v0z7MWf7nDrWZEhN78+q5A37tGLmLEZ6liBkBHDVK Le0aVimaGhvNdc8a2ouD8gsGGQHAyYHeF/hyDC7/64n3X3wdjYVe1R+u//fZIRHY79wyKAlxmz0o 1gqmGpP6BwmbpsWkFfoMmOmkKDfFvHAE/AUFDBLFgZPkawUX+4EjIpog+3EU/rzfr3yLcFFKg+CX PpCbpKjdk/xRg6CioOMGj+JcHU4yLSL/btUrMx9xdQ7/+9STZapDeEsbnqbBRCBs26ii/zMiUkTr PQCHYB5HwwNjVcZjlw614/as8qIruxmE6MXL/kO2Sc7ZWJCg/N99l8d6dHp4ojzTLruP5v0Go88H cLmGsLJ9fHQcecYC2mtEjfCLxlvXFGi5RF/Ejxdf3R4DvxvwqXRNXZv11jumO1dRb/mUtshw1QAD iUXbUXmH6VP7bLOt5fyAg9emzjcCR4r1CbN4FdIakMqeCBqOtAx0adMAUeYeNLS265nmU3AimDu5 i9woFfMEZ90ja22OQgunDef9ADILdwCjnGcTeTQ9u0ZmvVhUzrYKGNnKBXM6j+cBqezXL9qxoVXn ZuhMtlBA0cpY4y5PWsOrrmLCwjB/cHBryIue7QDywXzQ05zlRhIuqQLiQMwMPnN493jFjtVllsH5 VeeDQnAo2pG0IER9YAqPLZl92i4+4ZfLVt9yI63p7Dl1TONANUvih5QTqsb/fe4XiweRMHcSO0g7 ChnDqBAZAzH+ztDlGJHJqEp6NDQ0Q7qGEyaA75ZeIogVfZPUp1RL5sC3eLF8RSN4B1aWwCCbvJ2+ 6ZLi7LsDVYzvZcC4DqUhJkinoUyFqHz5vYIVNNO/Q/lDkEdOROxrWWMjWYzQSwY4ROhcO/jfCev/ /Dll4jv7hapvQhz6HMKHCoCedE8fAkU0/pH3HJ1w2av65D+cUhPeICHixbhdOnat1y5U/EQcha/w BL/6WZGvrUe/bDsneeFFhEv78n6QFmA/vGBvtPZyigSjQeL0zFmpwIu4i4yAdBfBY9LrEoGR1e8k e4PYBVquX5mbkm75Sd24wwXVCIZaE93Vd3X2MvTcn/fGcU+2lmMCPAl/ZfmvxhY2C9DyBi9AEvfK TmDJPbGXK7kFHzwXEw4TSudmXWzyoj73sKkaKp5FY/NkFiJJpbT+vsgkMOOQSfFVIS8qQqC1RPEr 20X61iFBmmVP4QBXlSvh2aA5bHhcV9kiyAgCstpHfDmIfILZObP3eZrQsESZcz81e4ZXWVGxjBRh 7FY4gFhCwxCYBOBKKZ3RkBERHi0wtpO/IK6Mciulx4ZHVq9DEJwPUAsJefEffKoQ0OIP2G/J+tyt qCGKM+wY6fJVE2MENbf4Crx+6+usVBNyKYME5+LPpnJwWQn/yMaQIOtSU6yj/mbX5JCCpJFUStW+ /Kh2CN84K4YtnRNCFuUALUf9BUHBxXVmkgY7xhAwx6tMHD7fVA66kx9kzPbSaF/uXVt6bOn0lkNV 3yIlnDGWlKqPxwbK/H1GePkrR3e1Bn+PqAHko3Klb3BLzeNON0YfZ1KwtLYiRbf6/4P3MB3OcwNi 549fF2NcKKVqmqQ+teWxBGB/6Jon0AlAxD5nIOrvBfc8G9DseHxsKw/nII8xle9zHVkmEKmb+zcQ K7RYybm75RXdyS6wXWRWH6MaA+/L35zeI878begRvX8IRrNY0PCZBul+QUmnAKa0xn41IBSXgN4q 4NrptsE2gGE0c+76OQ883AYSyLYFoUlRMSFRLf+DoEHV7MBnNG/iKWKT2Ea5B7Vi6RKddiPxth0y /nzOW7F/HsP/iUO7HLM4MHIRDs8mWAYbpADdHyFi3BMvY+IGXbx+13yLQog4PyBuMO72d6K+kStC aaMUtNuSvS51Uzhr8PDQPt3pSj8et/y4Lv0qw8d8hrEfLefsNQkUjGN7UsSMHrSEDXDWnquI5Sjn GKqJSPGDiQXzCsgHZe0pUEqlPzB0+k5zTuDt7E5AI1MH1dmNkpgHsLLBDnI2T5kidhVAkZNR2JNn 1JGN6hgp1BB10qYJFJ4qN147YXo/oZUQzxqQ2A3OheKcBvAeDHC5I1FInf5bb6+aKw/iLrjritZU qpZikG6vFJfDoLD23vSAHI0kFKP/KYooBQImExdpH0N5r62zUYXl+7aMWWzTmy+CifwnFOdk7J7l YzA5nLQ1JjfgOIFn5HWFejaiiJaDKDhgANq6ROjX7PVvE2IhMCd55yyqTSM34fELfLSDijY+kzTm 2N7OGkyfcgBagbjWldrcEej2/CBI5PVajllcWAUEoFlO4meXVNmPeRI3uRukkIBz+OrOk17wKEdd PfzEGdJ3NIQnmwrtKMH54USzg96HpDIU9mo4k2P99dhwDmBTASw8LvWSBr3qiDduOR0wJjCj3Q8k UF+7ctzWUNdEpVdOFVo1uiKiKV1XQu1QHoz3IxAyEOyzVQQJBc4fZ7/EQ/qWNpgrLVRcmj8uea7q yHumeC2/ytO/BTRNFN4jyLTeCOwI0fAHC+m7n70ygwCdBoWh3mVDjffSAJfF0g55Rq2VzLEv9FfI 6thdAonSAP3gyFcxYP6XUktmL2EkwTuuzLLUDdIkglrj2ad6yU0w04cXZoQ9586xLhGwqmX6I+Ww ogYUt4Li2Gs2cYyJ3HG2A15HPvwoiGWTvjtvtnEA/ZPRO0pgayyVJtXt7Eblji4iRkNaPrKBG7aG yQniEpnv6969BOfyvoTjAuB6TtHH8ti2OiaXCd7YIlDI+5Zlx2rmvnwmBgHxkMcwAYGleevw4qZm 9h//8mNYnZzdSZ/8+dwRXw8x5Cr3JlFvnFGcnbAzpByG/Bw0vWfCRnO9OmMhB3GZ6WcRkmEAE4vm K2wUG78/XpdDVjZhkIaD4rE2Kk7mDWxt3F/9lzLFbcF+D4Vmfa2kasF3OPUg55vz2219FTgIAgMA uk//quNVX/sM4z4CbxNAZfYgpda8ucKM1euurZF+wDxfxWqRz8XPxM+Uq1WFFRBmBTI6ZCF9S2/I uV9V8t706AUotBPVcVAZ3iFheoLDSQnt/XeOGZKT8mE71XhyXcIjuxQ1909UzHfBe4EUcnP5C+pF sUd3T4n6nE3M024dXJMlcvuUhMAeEzg8tLdB03bPinJpee1C4+0ooUTJmttF6MYKgV/vpylwOkum qEsnSBeFx/EMIU84KxMZ4qa0Zqzxpe8ZQ1bjOONRtbQfsCWQPEGYpQNpZ1R3c58fNKq/3n0GtjLr ikgmUJxdXmDFnsOKe5ksiSS/Yd8QW+PusLbVg+4wZqUdC+Ec4nJmnMgN+HScEQ87v51Ri0Oq5hLi xtzPwBVlGljA2M8ycDUtWVLLoG/ATCd8Cz5ctf3fsT7nBKbe/R8dh4fz8gLAWCbizqDGOHvQGxik v0ZycU5Cl6NiS8o4pk2hfWtRAH7kO7p5ehPVookuMUYxqi5pUplTK+xMXTAszRRsi1F++2E8sMlO f/nYuasfYY5+0CBtFUbSV8ww1tgs3EzEhKstB86lJ9Rd9UolpVp5r743hylt0gGRPeNrTXas2FxF yYU6yGfFyHMLf81tbPBTDuh0BffrLsL3Ehj03DfYwbAUz7I8WDVKI70l3KIYlvMubaaET5Dj+/uM NRomU8rxaPDH7IL7ZW/3f2NmKoqXjAwOsWUMbfADVRWTp2UtqJ17hgNv2uoySed4c09LSM01wd1O nLymQaLr9GJqPK86wVc/ZJn2vxISuSDhfW8nFVzED5G76yTdmsLjsswW5A3tT775nXYndRArM9ol SkstbwM+5Sa7xdE1Nv9Vw3EpYdBaiQg3dU7TMaUM5wN7e2XiGJZeRzT8DcPDqjOExOTjDYPpUuU+ dmKcuxBBp4MfTFuH3Y8cRBxQCyc82dTv6qAaZxJJVrvZ4XP9qN9pbMarv4tOnCoaMMCpfVJmgJ7W 3vum6SE+nwM5LBNF8ZO5q7luaXhaY4iJKpWX/SHBbg3Dj8Isj1Wc98uCEevUTKTKL2xjZfVmF2KF TKHpxfVlzdlvrVy0jT8mkcWvYcIN/w1vEkRtcyXsajXgzAsWZ4RdWlENWIELFSAKJ5DwYQQa1uqz L4IC98l+P3OMEtPjL6Ky2g+jPjOFYWkjvIPTUkiJ1M4XS3trQMiDmm64/8+R//gpgXJlY0xRkRAd CZaSFjGeGLRmBsd6nMckUOJ2Kwxjeyz1ioFMspr2TAOYbyuTx9fMyh5KYpNQGgaivuaN4xritOVk cQQbN85zQgi8L9tzd/GxVleXqWU1LxUWcM69i7G+UiR/04kCxEZPi6p2qN9Trb6GYtpIA6tcakqx pQIDqvsZvpAnQsJDD6pgsQDG6D0yhc3fNAG42TQq8nFOz9V7HMIKeBrqJcG6/V4Xv3MiN4UXWqJj 8acTBWRiaBwivNNpSEY7zsfIWJr2lqoMyBTJaay9vKwSymfolkRobmSVGu+nNkQc/kEfPwE6Z4ek a5t1J6Q9VNeriK28VCyKaQTgtMcPQtXtB1Cf4SuygF0DMV9nPEcxddWb/owlqs+BIG+JhkauDVj3 mrTHZTUMx0eCNsHvbolgb2lIM4vhX90CFX6EdBebwa8XSc5dYKQccv+Z65gmO/cHJF028RSR0+Il tGH/BFKMFTyPInD+w4ehFH8eT+IgjdCSXWozI5dLVoIOaVssJaBms2tetlhZJ2E+EQ+aYwfgDZ3A gpxZlmJfPiWjyKOj4UiTxqVpNMeIE8idKWuHuggiQSJpREMwXy18FacsPT7PAmlaA8BYj+GFh2EM 5c/KozB26GE/GpLnSxusgONSmGbTSLhBdI5fHbPONBDqcYY8KeNvWQ/u8METYaoICOVBP6cdO1Uh r+uXh4tar+Vn/CJNyJ51tb2VgohR/ecN3bgt242XZ2y6Urs7cCB5/pVFNFPdSy+6Drl5j5qzCeh6 bHIkx3B6XljuDVaHdOqKEZLUDY/tfq0HXO4g0suLO1F01e8Y9SaGiIYQb8VIk6AAx3S5fqYwJxba kHZbmBRnFQd1StaWFttK+R/D81kp3vMcnSj4bc7xNl3gPRr5NuP1WbdWU24qvt/+KCUlwd26c9Bc JBUV+wpKcPkDIcOSU2MinxPPKY/Qt8ZaJ5FcV6j0WCq/lAmHd27jYdHvpRR+mG2vtvkzqk8IVeyu FiLeHsdTNptD860J1dgK+Bikwe/PK273ixLbBV3HrnWIwQ8FWzF0H0egZ/Bw6tPyWVxcKx/NDJPi oL+Z901LbQzc32oIZ5dNcEvz/qAjFsGx8H8mcG/6twTVzX6ZelmMp8gXFA6jrMom5ncj6z65Bghy WhSTmDEBMXIE0tbX4ZUTtdPUb9buahhV5hoRNBHdrdNtLoEsJmvikFcq0xHHgUJPTuw3fcnBmKhh qhgoQvMgOJAKhXVSX+i6EbYlkcd8CIzYj6+TesLeSKb4dRhCVnDD8eQX1Q2Qroj+F3ylmi+lQd+c 7+6fwEp21o8/MOyN97Pby/A0fznfQTYPbfnVpY1UXjr4bXc2YH23uWDC+kT3v6Wmj62wa7tgtjjE fLizG+Azjs11ya9q5osifwtTtgX19XCGk7PqT4uVMRvT7Z90bQf7/b7+v6eeHrqzqy8wbpKh4S6I 6X4Qc5e/lCMkcN1GCt+/lRqVAlzWnkyP3PHGQlrA9P6nF2eKE629EObgyc5MbZUfNi+OXnCZY+mK YkqfM+IgswrWjRPjEHhYnQpMXHKQsfHbG+jlVsij71lBDn0LmOIzkAHeRXHpMZGDMfH+NVlWrbaX oFNPmYBMNrHlOK4BE1/L7FxThxsQz/EgVIG3OGXsJgYMZVVV3UCtBjbjNbxyrQ/LN5Ut/5m3aaXA b2f3YMN3jCdjvZhJC7S19NM3kzqd5xpuwZf4pRdGvUKwwkLqqm9PgqgUhmr00G200UcFfncLrkbp C/v9K0VJlrEo/amjuiDedIgM7mZ+58IgeJZucq8BbGYNG8bzKnkOW4hyYW0T1dRJKzIWNn3TYFzB qp7szKbwfHZrSQu1gbmrJ0u03UzElC3IQClzI2vWui0s9vtUUswp2nhUUhc2al+WcvluOyRrwcvY 4VnZu2HU9HlI08yxnLZs1O4mh+X7I/G4YLKcvyJvjT6tv4EsngNuYGHUsgiSQ4LFBh7VknDuoI+y w59FLMDoRDj6QdViBROyuycNl6wp5jaeEYRxT9TsosXxzsu1In0EhM5CWk2o0EFV38e6oOE7VQX6 wiKjFUvB/xr5j+nzXOZriq9KnaWgDYx45XXmwBhPX8q12KRPaI60nGTyai2yFbXN3PXssVTIn3oj pM1csbXhTXkW+ZobIAeI5f7gqtBH9ADsZqkFhL9rrQn9QaELuYHQbrS5iwIM2mSzvr+XxOvgCrlI T6ZVlayBWS+BC3jzaOim4WmLnw2o8J5BW2qStF7XU6cw00LKAW9nj1Kx6J626tYjoz8NkZ344JQO i2VpdxLHGgmSda61YgIJVpwQ8TdtacZO9GR8VZxBO5jduN4TnSlgYXg5J7itYJ7RpW+YAGCfVFby bKHV+y0a37JCiShUAEW/LmpZkC1KaPN3FY9a2KNiGwm+kIN+dhDkqxWjGkvMuhOxU/O4SrONkmAG /9PM296gCSqlf420RbXZ9JUmROutvMbkz358IOrpN1pu13tts/oRIsuVhvNQlIrKHOjaIlCWrfHd w7hnH8JYPspK7f0k79PVjFWCYEq+b1DbKS72YlmfBNeHBWdXov9Rncza8AMLv0vH/iVg/j6NgPBM qTGbgYPYxqhYrln9FOBBevM0fmKveLQ54VjrUduZDjxW8abtrKOgt2UW6RacDuTO8y5FtfN466Rg YUSxMsWKGoDvP25GXpdVcmTgTCc4XnhQJ8CvOiQ4ykgFy8U7Ncs0u5m2p0SHsfg95jItVSXLjQNL fGlx+bHVE6kbz98VIGRbs0QbRsH2b96QyHTqJPzzvq63DLUqTvD+7y/Y/h5hA4CJF6JurU7Zx2Xw yzYUP3hGa7yx4uXfcUHu9pwxi5jfW0fAf9FA8emSjrV/uYwrqXuPk0dxlitQ/Hs021hveHE3drsr q4dSQTvJT+vDspPdiQ1vRcJ8zHj5a7ysfGhDRjLpIoI6RcfvTWCgNKI/gzy5xRm3qVO0kcoB6koX Ce74n8jJjqf6Dt1BF0+incR4/DAjQZoNB9WkgolZo5wgf26m0dxDThZH0XxrxlrYRfzDtB//iq6x 1F9yO63az/sgxFq8ZgCelBt9bUw+g0rnlsigBPmpMvLs1azs8i2tuXLP5pXoI0xUZ4CsT0+117pk kwglDf3jWgkbq+VRZryotUUQ+Y2SBqXKfv2NbDjfZwLas7GGiFAvZOO5Onl4HzYv8DrbDoYiQbBH gHHF884Lm3fHajmoFnUkcrSR8edfg8swHu6pQKU6u+BLAq0YqO3g+Dh6+AlTTIhGCRe2Qmqd8F95 Fb8BfmTWzl98GpGqKEV0RABBzD9pKUPK+iyNT5y/rrg8jBaDxg/CjjImzCDFb7RltloPu7GOSNEq WP2PyP9VzjJ/5Ju3SKtGyzuQyAPOVN5n0dBDaPb149bUfymp+S9LMJz5B4xr0F8u8ZaA0v6f1+hj 2VpjbQNZ9garwB9sGWe+uVescDfEGYQkQHW2DWGxHHWV4/VIxT89i3AdsL+IJygSGBWKbeVimVUJ BYrt+W9AMN4kzXHmdA6Ccc0umyG9DTN9iKxHGUThS7Qh3WnUGGE24TKVYyWYar7iB0TYOGAcY0qz LEOO8wez9rlBEtL8vSkPTyL+tu6kosmKnFkbe1afTJhFsLBvyThSPNxfRUXYBLvZB9biQpOSRKZK tPLaktppeTHqTrEA1w47aQnkqUqjrLQAnB2CTgkd51OZq8ZmTmtD3cSs6QGKIFbzxixeY5Z8csWn hxaGc7A3iQw1xJCyCxU3ubeh7fCYiEeHKctCy4e97hJcxNNq6iNQa1pbpSxNj1YoWOI9J/WaAb0i xcTL5Y3DCKUOXRKpOTYB40kEEsSkx3SHlMt/92uMMirup2XpLt+Zee0vs8hyBJ3W0wU3JS8j+HB1 BCs2Yg8vGAZd8E1U5q6kuiRrhTisYfY2GEitOv0zIVHQQB67Ywee7f8FGUmG1hMpc6x+upvFhd3s Ps8kW74kf8dlK9ZbLE8rYJ5u+V2upGZrGlLSa3GtnLxch6F/hDfh+Q3r4oP8C6JhgFI2KAFzfP+6 1Okaw9OCxmNt3cvEjcjuPpAp4DxmtrnBL1PjZchbmUpwxcz1t4ufvryEAi2MNf2gpcDQHe0Ip+7S +JQ58WZjDDmBtbNCj/THDk1cxwVe76aJPMQ2Q0EXZkeKKCegJgf+fayR3O5eRT70CaMHpB19B5CS 5GBFjj/mbANHO5Q+bUKOKPMVX4RENgy+oQbLzCU/vMhdsyMrc55pamXx7UqEcIDao5u20vvWklv9 /wbFOCFrViuQyhU178Ra95qhyU5CUamxed038EfZYE3dPVVIpUwHMXzLxjWPWarm/HJmOUZVjwAF R5FIAKFyg/Nee6XURLmH2sS6pwHxK5I0237zNvTPWWOLBTz1mzvj3/uvfGAwksvu14J1hspcLLYX fQd8KBu+79eNj5d6dG0LOD94iuIjm+WOEf6oZPOAFnmqZ53cmi3RRe0LDIJckvRLFjDHDIAcPrWr W+JMBMTWZSQYwr167Qc+YYS1UNt/dbZ7UhqjQEqB6rw3/wiSpm/bdiI5wBvXTkeIgoRPM6dnBLBl ApQ5d0jx6wk8pu0zRjIrFGO7kgrSQ15im+NKBh19DdjTws2MN2EqHUh2vWbxN2Hu8bpSqAi6LTr/ clSGKorwdkolunmo9G5U21gCgel2LRjT7lGtIxNHT5azfG+XdC5g54jyhuMrJJ6BGNpi1h0dtAry tsT5s+BjTX8zfDzE6nlJPjEdMUxjhtHpZJLhuDnDhviGEVOJNMghYCGT4nInTt23QaXPTTLzp4u4 ON+fKTIaRf//NpL0R71lij0rKWybzMXspmDO4rQxQm3+8VG4ermEilx1uwXDJpkCu60SXoeoK+a9 w21SR4Au7MTzL3Nz2s3vIr//bdZbvroCwovj7nFWtN8WZWUIBFQs6x5ixhjkrbRTtOF1lEki003X xOro7+/Iy2wXYLUHIf1QhMvm0QsXSQdN4IlVucqdru2ddEFjYnDorSoUJ/HrRNySBWnzWnfvI48M 0DJnjLQQ6LxU55PC0WJSTItAP+GbYFX07vEWvUgKQj8wH9UYCjD4cA8HRNIvq0jnCeLkItaombGF w7GyG79Q8/GaqOmrs4jcQQlGwEo8CgMmtdGzIS+etbxo1rhrgO5XQbWEhv/6mpBsTluUpyQQrBgj YwxGZKwHIYJr/3nCiI/hVTXXJdmTqQ8zSWix31RurMo9vBlFgEdMPzHGaFKSzAu9BUFtQ/gWuqPr CaXJfImmPBg7X0Bf2ayOhr43QRRbaDU5RDOQ8U/AJk4eKbSu/zN2jOFSo0rRWaWw/cnskoUWxpU+ 7f/Kpbulhk736xw5bIl/xCJB5cK9OjPiPlfEqdRtx939KmgNFCuCUOn84qU7R3kahVUmoer+eSsM SQuZAVM+8fOhsQJ2mf+v5SzWDQbvpff1W3oiu6KJ6qHFPzamMlxBUYxtf7p6dI0DvGVbkPnx1U0e KWQcAPTDSM9sHUCzQHr6M37YRIJMIxgZ/eG49x81FTFun0p5Qx0j9YFx6S34e/bZYx4C7g332C/V bWjA4WVD+Zi5Mxm1JkwDWAVSrt9z9uRymAZ0I2nI8GhFZ4kNR5tN4paLDb+F3WsCQiVfg/pMwWc2 HqWCsF1Ee1oRvnkv5OHfIIh1QusiNAoOKgMV+fvbbNWII8C2vEmfv7bFmD4szdu38RpWKfzt+hVV yO2dQxrxnYXGDndoz7jE9dadm8LQkA8MJlKBe8bZk+iBKlQtfXf2N+oYIKWZj63sl+ohd7NWFnP7 nIzVq6r2bzvGlADzEU2wSRb8xTiHlTxAJjYNuKt1a55a/SKEtfmPEtc3Ic/U+FOzSds6XcmRGEKJ onUUUsStiVCyrhTLmu9oauWsqn/DA5g7Jq+2PIrlQD1sDdege79ss4ImsFqx5utJ7h0nODseGEWK /LlV9QPWpUtf+/s1NcYlHi1ga60POI6DvWAdU0LzDRj6+ibD8cELs461X9J2fSJks0lndnbC0J3q cfyWz0vuBAZewx2AAdGHDT5RdSZGBEZS7zou6w92ZQRE+hKre/1gDDl5OSnV1deBN5TA5hdwxGJ0 aRUVs7NMQ6g45euAE8nnqW6PkmNMMhpGDUAlXH7ibRZDC94HEnIpnqD6rFop/Xy786NQpubcOrNj prxB2ni6hE8GaPo5yqykGusBwPm6+KulY5lcrs4vXcMR5Q0GHHAiQ1LkZWVjK2RYucYUtODGV30l fvFPW9jGUoVz+DXeWFW+UYe7FhfzSXRpmf1qGCpwWev0aSbpHh6agLoklccrXadl5h/w1Vaa2Ce7 faBGHay2h4vggGTXEd6oblURsvaXT4OxfhOsY8u1vtRdv93LbKet2fMQ2SSMtJeML4zI8CBMGgLz EsoJf4lzO0KtXDFJOjMS47O+bm7Sw6Gl90omZ76Vu1bHHPE1eDVuBz+wtdjNOV43OCyWJ//X0J6Z JUd0bP2Qp8T7nzWRQkecxs4mfZjQFDh6/d6zMVJo82XUoAf49w5/osiACt2LTY61KP+T5nlsgWna rrIyeQqcbHUaZm7egmcBYsOev+dcLcgMydL4K4+F8CRWNf2vuqGe4nXZJQPKB2+1Fm6iAIg+w7Gn y2aj7eBWa7fo6M/wS25ieI+BAIJv1F41GneNZTrvGoE1ubpPkXEIl+F8jwAITMPI0GqX6HtFIArU UE8HLYvDzzTb1z2liAKgoFExadPsrJElhoRWr/IIEZroFv9x2eF+xklvGYXnKq/IMe5pVjpB2UOb XeqdSeq2RcaOkx3/riO7qt8yaOsXgDLpyndNQQMSukKHFp7IDC/lZ+V9gy/57iCPYy9DCw/j6LVI MJult046BxbEBdsc8mj5wnYHxBjUbHbD438Z7LKqvwbSxn1trXIqXoAar4bTr6gsqiCy+mJfZvBX D7U6xG4WOxzsQs7adt4cyR9JQ1EIUcjyG5IlWEYH2kCmFW9ZBLCU0K67J+g5cksWvTjFGwkkyEnZ Q8I8svetpmcJ+vaq5VaueR3aaACv/1KBy7XgMlY/GBpBTiyaN/SSVh6aYiZ3IJyUAR82yOZfCoMK luB/UrPC0fT5/PxBE1hZxbzrgyDZ+BLtfkhXrAyHsrp5blOufJhvthJGRe70ixWOO15oGgMM0ZGq f0Zn4L3tM1l+BtmKC0xV5BDFBQgCcGU9GAATdWGOyrZ9yJnnn6yUYoa3FCO1TNwyb64mz8J10MHG O2CbBy3MhdrsdkEPB28kWHV3UdsrE9i7S5qSbhFjfTCSkG0q6G08i6EuGyIpjCvcqXzgo9PM1B1h nUxfSQ3FAvUk/YZTA5qAwQGcpquEiKfSLsnchhxZoC0UW4+neCE2jGKm/TD2PSuJLXui6T7M/kVg 7r+3T8RIvuYXbQ8JLaHuYBvzAaE/PuCZcK2zrQHHhMmE2X5pe0mSnPJ9ay+f8kAGYg0Vb7SWpYh7 TIwTXIYW+E7YoTYwia3DrLwFBoBvzgQFFoZabE0T5Vj1YlRqjNPkYVLVSvfps8YxufgqMtKMjnGH uSakLwGjCk/rhWbjb7pZ1ynGYI2EAyY9FDIv1l1wV1x9xjT28H674r3FRkRApgTaCXoXJMEP1bIR Nri97CumzWKqQPVa9zB8bMX54qbvCrGDsp5FwIWf5mGTe25AyKbyaZRLnhBk0tzu7He6YlVvEU0J AU6C22/TBgD/3DU5U3y7u6f3QnMi81vaH+ESL3P9aXHbgvA/UpgCDGP+61ntmHVoh04NFOYu5ANN 4BjdCKoJIhv7fvO1sT6uU5aBRU1T8jfyQYb1UEllqsOx4rD09fYX3cbh85jY6QClFMnrhUX+VnMB 60vBifYFLwtMzZUEj11sd/Dd8RxToVgn9g0c6nyiHBFKa9VQ2m/hIIGgsNKBFMbMY4CPt4t5WQJ4 qMXxBs43OtyT4qBt7hkoIivxidjxqCHnJ2k9+exgCdVfyOpAXLt9bG//I1KatP0TMP2IrjxLTCxt O/mEXM4IXYXgPiQVgK3KwYTVRdV7I094jS1jsS6tpTTlYKIRfWywjmaQcJMunghNAyW0QQ4v8MzB tOSRDt13U8XydpjI+urwZcH9c7avO07sqrmAk0D+tdloMZkhCTk4sdGkMXXc89r++sYc3Bagderl TFpfxyxVU5ZV7rGFc0Y4PPY53tWIcWbUw+ARKN4nTq/Q/cMmTokc2UQR6lWBHP9s6K6Wogb1UIi/ na19IOT3XAZROCz9vbKStXiCbvfvJvzXvZgJBKWnWdzJwjqHLpkfO3unjBSfNeTLApYo+VVDIinD papjfxaoNTTKUth60YHAlJS+ifXHINfCsyUfU7bKF0XMPe3TA4Qpi0slPt1hFGPibylpuHfufCxk LaTPmEDaw2bajHuWhrIHYWjmB6b2rac/bS6Zeg3gPTUNOOX5j9OlK7ie+HUKRYq7U3jnb4FU7fzM l0Y4NoBFF3OkNQRHHHQcOpa8T9TGluZVHJV4OqzicJZNzSJhpbzVYa1xUOqGzICyS7iS/Rm6mXiE 9g5RYRne92pMLhga6zCnSZwLVikOh5iZWixCO1tpByl0VoWrXedeZtYMkBogxpXzTkboKXlZvHbw li8fpxD+K4J9gVdJdnf4+LxU4vDXW6uOudhyKyOV07F+jVRNf7ND9BkiQBtb9IANslL6svYLk9OQ IxzRqjFbCQR6f+E1N3FQSMlC3+mSncCSSYF/916KN/jIJttZdrmSb49AsDHgnBQKPtCb3VXxtpVF wbZhaLYWIo08n5d4BwieAQnoyDvh3ue85Nt+9EMQh7S2nIIVcHcrnW0xTSQn7fA4dDX5Q34i8R8n gtmxvCRgXS9Ygw4ARsHEs5BfGdjlLdwtNlsWjWK4NqZ8uMSdJLKwxBLwJ7M96YGGH0g5GIldZr40 /GU9hf63jFL1I6VA6oEUAbK5DkCAzbOx2v8BHrGBfvbRudwzBMCoVw5nnsmB7lyHv9Q7AGcbSmYI 6WIyTZfK8D/udTsQG9P9qCJhS7E2vZ3hYE/7iuuvTvGBd+ES4mKdfdVSLRUv+9waTtG/gqEY8Vwm GZ00qEXOW+I9bplli0JC7OvEoI3ABnZeJLJ2Z/8UGL4ixWzeCq30iFV/UNGARKlcr9/nxV2S8nD8 582fV/oLqRcDwqpgKpnsyH5HYS8vHQek9RkD/G3C0cTS4YZjeOTWOvizq71uOYbgIo/rnQZx2kR7 iII4CNr6ePKbBeFbMymyHlFQTCdvkNeQHeli+52VWahQk7cx3mkjOlEvJ8iXLcQVALXHjheUG8LY tOK5fXcsBUv6wHsicFbIMko+xCSLYQEHtUkXVZcTUBK40RcYrNTzmh/NmEQbq8/Xruoij47ZgC3A Pb1COxMyr2cZDSZ3++ppKF2K4sTYzEWoNtmDDpEUL/sx+hayqO8cfqoXI+s5hQS4K6M8IG2/mBLw KyezOTSmZrzt3dpVvaAGsV4VhahPhkBb2VJR0KkQqCYgiZFP6/QQEnLkMc3JaGFDZXKC5KpH4mgA PD8auFs2kaCy0JkSemxkh83Qj+oI+ZUjDTeNdItm0zhSXxc5nIRI0bayabEp5R+NXa8vFoIAWrmy uDhC5cIZYca8wUXPaKxA2DizkoFtUU9auOdHwywu8NcT+UO7XQMKaeAk28WO2xOp8gbCwi/Bmld4 qBTaf0SMURDlBhK8ipOYsz5kGXMXraAXjNniCW8NS919p2MYvF8/zdaUf+qb98dLXoxDaJi/nIJs 7k8aHHooj4O3IoKw0rZRLlwqVOkLxu2nnqy4SxGBZb7texQhNVrAEAWH/v68tZAtB6IUgQDjeboo 7fBtQayLbXb4SCaAkZ87cM+xeN96XWfgvGGPMmACu/xyR4Aq4feI3bDKKQS+AGzaUWGO6WrN426I 7GDxH2EV03KFY07j8XjM5yZSlCjuVhOZqK0l8xCTWWsqi70q6QjdL++rDpDw6Zy+TpUUzb1Wz45t PtSAXa+2P1dCQTDYcsvQtn/Qw/qKUB4E+kQntLMTIInnr9HJJUOcvGFpScjpZ+oFZgYyI2NR3VqG 8bADaaT6d+2kvlhJInvNlh09S28OQ8/nfoXOnDW2MPF7tiHATxFmALSs8jwYc9KZAgDTYnw3eJQ1 J8M1GmwRAilsiQnWOlolarIIYOUvQKB4Lsy1rVrMr7RWKq8Rs/DUewa86cAGccxmQvp6jBIiX4ti wrgF42fAFiL2MkR9k75WSgK1vj+/b2Hu4I0vp7jxoZ3e1dAJ2Nyiknwb9PoXZ5Idh6igwlkEHDrx 4GPfcAz7v0tDEPvwv6IS0qE/599vZZnKBqUv3N+a5q6tJWrzQTHGSvm6pHbOUzheLQi/VKnAOfEF d6fdQ2uLnmmL0EY2ek277FMtr1ErfYi3fRockgn8OqHfWoDaA7RxyJfJirWfX98HGRT1aMQPesxy 4Uvhzuu5szBxvdnn25REzUcu/Rs3lmjgU+tiDcjsZQA84hYu3f4TBu3dY1KAKoNcxekXgKGsR467 Tz/+WV8h0vRGnbp1vBs4V4AqBuuACGQvSNW3pq5+xsCwhhbn9OuYkdPBjs7qnrhZ0FvJnxwZBEO4 McahamqiIISkvsJe4r6V9jQTDWZy5RIMfG2YjMH6wKlqZU0DYXAPoAWHZ/rcgZN8WLCg25xo3DQW 0IQQR/vEdPotSBbL71FijQGHW8JcIEvTOmS/2Fj+oKxY9HWbEpJ70WkfqIvI7wRf1QqRZCS8aiRK cbRp6W4XnBEc3DHp+3b6IeFiDLJH38sfl07K64QZh4pKL7VwvUtYJAr+aktPzNEPRLwKGVwngvZ3 TJUOJN7jC7X9Htp1shmB7c7EgaA6Jw/Nkxv44EUjFlx7rdfF6uxd8D7jj1C6UN9olEMXt/qya5Tg LBGSaSTQWbpYX3Udz4/jLfSFl75+XvBQqvtZPCWOgJy0vSOOBv1C17/QENuw1ZfaVedPnU5Y2HHd RRR81piC7mdgnYwrpjZy1uBSNxDWU6R8SSAKyN/zH8CAFJosel5JVguHov0eoiKzDc4egcJXd6+Q 5u/oOV6OJkpipmHQtyC9ZXj3/a08NApJVAdlfyhU8zxebENMIPV/v7XyJVdG4IfkCZhoJU3qMH8Q 8Q2tfVOrLAxL9cfCoph8hfxU0qfvYS4CqtRpc7L0shWa3NAx+MEmMZYW0qk9bR2jO9g2uX/JpKbL 0qYOBd4v8rqA5/SY3NRANRDyzjbGoBtvX3EJHdR6ttIfvHGyJOdQa2/80zKG4i6tFOlsHB6szs4N 7mG077KloIcOBD4ndpWhEhaywHaoKOHhVYOW8ogo3OsTPm+0NeXZ8nFWj3dN0uLBYzJfEK5HHxVU FDLRmYoJZAXIsMKb1JE+VT19cbJ2oz29Ag2Lyc2POVxqlH4O1bXzbSB8mANXvoqbKZHzRWqgZqZh E5Ch2lDcaRk5YVUX9b/dINHPJ6kVzB20hCyAlfUdplfGv4f97/+EGljw3jArX3tTaQfa+xwxTRQ1 n/g7ig4zmL098qAhbVIM0pYQ7zgVC/PzPS//s2PRes5hukfPtGFhAhlCxaqKbexqrDc6qIbbEaJ1 XahgHbMAix1wpxVstolsgV/xgS5YTSKrC0RsK3WS2NZxeb0pYK0qYdvQVSqW0d+v03U0TYbq5G6c MU/nLApef8Z96uzi18J2/2sPr5bjGj30ATLsoR9apHgZw4PZhFCRFHJXC7FrVZqlXZO6CkYy+XDR kCHxqBl4qQ8FIvei2h87plkYsTiUJ2xJ+GKnk2mwwcZcLpvN+jjAYOHb9c/NF9xJkim1tfUomMFn OQGqP+LqT2ivsjdwHYkakBS1IMe/Sf9rAJA4RUbHoyJZgxdInT0u3EausjV4mHPFUy75UaUOwH9b 7El/2le3SVXOTvxhoy7MU4YCQGQhorLaKH0Rl7u4cI8B9sLbSriSbxwNN9CE447T55FRZG6bPOWI +wL3mt/c2z7TkDOiB1NUhTiuf/oZtrFjVX3+iFWTJ/1ZU7lMOPfYS/c3cpkfihtUlgtHZ5/rSqy2 bUN8kIGQqCiuCiLVDoaJUAdauZAwyNY6rvc22mJL2EUxtd0VLiQIekqMaqOPzH3SHjlNu8VPzP0u NeTdpOytIkxqrtiMXPNdLyV0WUB8P09O5n3auVA7hHxeHPz82hy0R9DYfU1TlSsAnJ1SPY8vpi+v 1ndXl8C0+vNIKmbLxuKImwgdyejTpYo8RmkD0oFrDrdNZ0AUVfptdDwNxHTgHLxRKdUm0DTiBKxG ai2iWoRaWCgWAlRqnwTRqv3mortJuWRqzpllQ2d7GEcwQRyt8wsVMvRFsRlml42urklUi/vvunFO q7YIwkaALzh4dnDj8JxjrBlrKaGjC7AocL9KhaUwpjmtxfuzECzmOHDmzEsB/2V5pigtLXRRLjVA 2DasqZQPAfImDXcjR/HWAe8RHfwhNZl3jFb0GAgRWb2mXOgjbmi3SB1qFfJYMU0CVU+RGRYw/Sfo af4k6zMstUm+G+HNPMoJdYhBO3YQTSM6Xqpm9HJxAI1hvsuHMgVggkcfcEXyFyDhVTus4VuOlwXJ ntt2E9HmcetJrL825XlBScma/u7tVcbbukqgGykFIStiGisRll5+xPdKH7jTYALOwUoyyqKBV4YG 6+F5s3M7hKhTSfftgP24KdZtaSgMJegMXcvx0+8jfXGd5aLl7rxImq9Gwfp+An+HKJUcn7eZ3D3G KYXl7347eg28LJEjZQN9rSRg40jVCDHNnw2bTFnySsa4wZVuuFxpL9MBSG+ckx7Qmk70z8HHUCAG MDwIjVTmQ3vkPaEhVnFhEuRE/ntQwoXHhg8yRjz6ktJORUG+lE7aV6OeGUsISeaTV1xN67YxH/Bh j+aG1WJb6uofrHpSxoQU5PWTxosRMpYmaXCzuts49lZa0DcMnAv7/aFCt5HLHWqXuJLLsVi3Eyoz PKK35+383pD76zs1HcwZbj/Cv75S5nlrf+NvcaERkEcJDRgCJl47Cjd31VPeHypKLZtRcKFFblDY ZheEXAjla+si7AluDRSn84+U+x/fgzhnxu0MD/O5i2edyfbUrFuw3b2ZSVS1jcFTvw2E1MY2Gu1/ rhWlJCT1IH95zImxilf1Ud8Szjg4YYCZWJrxAS1XyPjCJ8gzxMFlnyJGGMElxYVbKSNV4Gse3c6u q7hqfRynqTtP2TDpX1Vsb6xka58t+cf5AbTUQltwec0Gu1G9o/7kCQc72vOn1gsVgNfihdNQY4Ww Islphxu87oInCntQODi854VwrBlG929h+speeLHcdgzt75ZBTYNFXB8mPfQJ58iwzhSXqutGQWTi xc7kX9pTU8SATykm71kYFI8Ut6jQw1V3BTMRETwATAMRhQGH2PyYCwkrIsk58ZnLRX4huyl0V424 EmF2U8T8Jhkqv71cne3HccBR6ehhZnWI9mLSo/U1i1YCBug+S6AeiG23gzIq5f1Bgy2eUCVkTjNH 75wSfxDUyJArE/oxa9v+aYkBRXSrHw/oP0+j6Syf6V3QxHS1nTTj7eAEM+fRf/o9X7M+B2/wUB1r LJtnnuqRj9N3S8smxDREvFE4W0SvTB2Rl1cc+dM5CDUdm9i3lh6CIKKWmNQbkUFUjdNGRF2jnnC+ lIZDXZywGPhk50WVwdXG0NuMZpQW1lhsftS40qNmajKmZKSZMgBkXO3h3laquELb+UEK8/Ic2PzL YQb2jxDSXONFPkoqMhvpmy9F3a5kvTEnjnrM3ZyB5aCjBT37pq62cVKlikYYVfUbe2BdY1/km0BM Q4qaGf075/yvJA7/Ko37YZY/DLYI2WrbnHu9XGI/MmTbJn7num5yepimJprCQjjFscJ3YrU7GEnf QtBTpYBmTEIar5ofZik4bAIGNFyWx6dAGoO621p5nooOAh+eUKnifzerqbQw/Y2baogSoZNE3o3G RlcvmyJsiZjbnLq3YBFEquImROsPSIhdOJzkneDFU9TgnYQMLmfm7dydcJ6x2WMrYxZch85ioRnm 2MCVdrBh/MvxCRmfUaKhQZK7kF4JeUBABJou2FiO1JVq9SnriiJwLMYDJYnspU/t4+70oxm4aygN fTWauP+4lDJ7VovYAUyE9hTtmKY96L3xiq2KQH9iB7QPMJbXK7zkkIV7zRWeD3T06OIBe68X71h+ 6DB/nFy3PFY80NNwnA0Nk4bAujnOC9MfntQLqhaZIY2F9wtnWHoeGWYk+DpWUySFdW/Oe9Y1TiKq iixzg/Er7dyCv9+98hnQxRuynw6E7YIJ6FX5v6QXWUS/bBqEdx+hPxtljCY/t/tspFioIhp7klVJ VdP2UpE6WYmytAyscsaiR0ESGjbkvbWoTzZ8D3MLTZoQAEey23NNo9g5vVPwyKSDwVZfIeKbqhSw l2NSNbe+ZmM+YeWxLkP8qFaTjxvVuX5BOkLbtIzAa3uBTvRhCZtinfN3gWVJYzpcN6e4rw38ef39 gSCCZk9aIAFLm2RPujh5YUq7mjFXxUrJhrjmauxHq5Z+sVIeEHbesek0VbDMCGPhsWx/WgFTWERq 1O4n/feJOE5edkqiK/VAflTFR0nhzEPAWgOCGw8leID+q7AIhIamXJRosfYd86Um87t+vNOfonOg ltsmrgdgOWKIxESrTy0DA7YX5/GRspS3jwx1AibDP7MH5Yqj/CPlsNCbepREBG1yjDKGE62hsaCp lWhgSYQmGew0mi06sCJpt0WfzBsAVQ3uwA2f9j3SxJyyV9y64TTHJhNWl2dJB9EzHL+OUQuqpo2R p9C7WPTxET9U1CoYmRQcyaOuFbk8oPQZ6CI4fe+QintCes3yz3D51ZE2ZppN0qaGNqzERM2Unznh uj2pbolny429G0OcDI/4ktKdFn0jorePu1ACWAMoFqn1Cq1QHY8eADbEO2dbxrM99Y5r6ZwMWgnR 5kjxhBR/QKa2VdWwyo1YDtxoIWEfQef7ip2VcebHp1vMlFIozqPCB+jhGx9+jj8b7IUBCZPCDfVE 78SvcQV0CJphb/nlFTY9CFFDSq/dihRduRc6nC4N5R9mQRt10frKu5kn+tccF3HOK5RpOEbLYDX1 lDjicxw4BGo9SjuCE21cARxTFK6X1L2+ejo57tLu9rai6iiamlv101znRv1NyLCRAllWcsqbaXUQ DG9OKDinftw0hrpa/VWf3nev5MPYozOPWucnhliNBzmcYMSQT6qhfgfkam2m0gewohDf7CxPBQY5 i6sArKOVXO90qrRJx0UienxZ0npBHVV0/WdigvoYkrmEj7Ya4CHBSH/R/MC9wDjL/qwccj978qrM Vk/QANjxlNuXE6g6+yc/yAhHYYScW87Qw9fq6ZYPD6JxMayHITrZy7KHvPjH5Leorjij02bJZlmx r/Rd3bEkKaxljtR7i+iEgeBBZFdvaudWJp5m5hROQ9x6peJyuHo8B8akM4X9w3wxMChGTkOgqXXV BDi4zIWFlNC4tChYzJ+4FwJHx24/AVOx8o63YMs5EzhDxyklJ8FEcZ5t2LvsYUGIkZdC9axk6dXJ +2PUdNENVE0elMZIzVLkdM64fsyqw2dsak9Gk2ElsDADQO3XMS2Kg8jmtWRz/Yz/DgCKQqmg32ZO RddmTexvHCYQpG3s74DdNFvRTryYOSrHYI4XhGogWy6u5gEI+8u5ZiHX3GaybdqupCVK36Gajvd2 LCj4i57cjPLYDF6Hq/ordQe16JJdB3PesXTOpaYo0R9oG43CPxBQ8vdCVTI9IG+mh+awaqTb9nVe DeI9B7lnTH0nP0twS43WQm6Ww1CotGABonKNEWd0Xo8YZx90mDMj/GdrHX6H6oz0i2rQdROvU7C6 D+2uWaS134y4LVJ4ybAjKXf5TXCLfUJNElSvN6ugVKrkpAb4Sjc7Wz0QIOTdDYHN6MdT+ob7h4LR a7q2Z/M+ulmHWCSilyG89+gjhAV8nTd4c85YnHdQoTBLlVv5VtOEJF9m95dXOkLLa3sklbdWpHeh haBiighPHd4GA6C2+D5CRv5ruaD0qby1wQNsVjif7B2IAZUxSTkhPnEUTnv1ZsAgm/cR18DB7lHu fudjCNqEskOuzObL0l0RyMUnZBhTd4NDGObSa+FkA/ozBUhBJq0M2jdymAfTgnACoJVz0gPpwsPS gQvq+wyj/oqDE9U0pFp4VKpcWIysKR9uxxVQlY0Q7uk4d09jlRK9FdLvvI7dLFIPYTPgm2QK7QXw 1+YfQ9kCo7nvxEqKhgos081Gk4H31bNKxKYGLyQnmCYotzihIq/rmLgD0+/J/tybPj9hiLcdl5pn uIjl/Eg8Qk/FPjuco2wbXCDI+yiZbE/UJ1tXq49zVJutR+KktprXrizMLm+bFiFHqGHLOkbGlixI 11LU1iBtxtzFYGBmjmht4pdrnStFWYH9xuX2twmIPb3BDATV54HNfOgkpiSkwl3mb+qzpGO/d56S XCOk5feImj8VWLOvGxFRmyFrt3Wz8kLtKudvTAG9vi+MHZSCek8ViY9ztGPug0H87AXX7NYHzgqq 2VJyufzzUvCQMY4Fql8ZePz/ayp1Lz+y1U8EM2MKNpo4tPGLhU+eV79Yj56Z4h3iAozrKiuwue5t eEV+DBSmnxNpXk1NDa7MtU8sjfix9Q3cCZoGa88JE0DeQVjRBaQFvAGnjf45uj4wDbWkTkkKIgmL H+WfpHlDV7bL5xanGKrXu7FA//JlRZqeIvYjh8nFynSwLvEzfO4NU5p6Oe7g5aOgeK+97PcgaLqg UUqAF3euxX818GEjoz9Owv/JHOpQ+5x0kkaXrBZcIEoQis9GrDz2BR62DHIzBT527pjn4uU1bc2z V2JrSF/zWGov6PMyC0KSb/3hU5Rio7k+kpGahYproCOBiuwWbhZPadHYR7B2gn/ml95CnROU09WG F2UqjvhaOzA5cLvKUHOFPpSkJ1f/fAjb23MrO5N4zx4Ym/5RVcyJzkWAsoKpWVrmpRlHDC66CimT hr3fLb8ZUdyg+0SyqDvLRqEhN8VORaP0jAIH+SLMr79mLVu8xsr+1L39RrI9jmBJbWphsAgu17GD XsXsNerpKxz23LGB6MHUHudcTPDT0sbf1znLOOpny/qgol2en1xISspL+ElnleAf+TI+c3AAYbqn L8u2VZ+xhc1C8J8INkj7DbitjXGu7vsLM+qf8Sdi4tRZqBbqobltHehcPj8RrRLnF81K6yBhTL1S JXIZZuBCGSpwAGHziECojYsb/hvuKkkX0QK8pzVbdyasPOeluQWzzvbrkKEhjxklghWurLIh0oMa DNsoZNvU5KOwrvGvji+y0Nmp6ERKxmYXq1qH/YYW1l4zgWJ2o0lSYVbs+/r01Z8i5VBkfW8bYrhM RpQQi0ip8yphKnNWjQDanHlBFmUKrPFmLqy2HfNwdOgB32372vVxSHddCOqkq7pW30aW9XNuYb4S rf4jgD1fOXU/2ZRbHCnMs5ksGEZvkLz4RGCTXdODlcHQHWux7qadOVKKOW+OozbqfSovrABIS28N HjZBKj3M92EICkF7WzHvF6EZnuyFqbPe9mGl66SHFYT0nvHG2bG53j8KvdlobwNph3nJU9qyVU/D qcNVXFhYsiCkxr3Aaei5EnWfNikVzGbYomZKJBS9jKvw6s5XRujTxk16UIOv1N84v9FPL0IWq25h 4ITQ+K69X4xyjrnHw55fl3nIHJnfYXikc8+xs/U5E1Pa8hfjEWe+wNTOeLaUt9aFIqV214jiR9nm NTzZsQnACDadmDNHxvhPNPBaKIaDCufjKkgVyyhtPdnRKwRF7lUSEkNBcbUhGnDfDuL88Bx5o0Vl js7dWJH8P1pQf97VX4igZLgbIfJDgHGWotrJC9VL+HdLIJUAAiBL930JtXzyB2RPMiPvxmRKtG4A d8qY4/DgqdjjuNDY1+P56s00HsYH2XdSzbrKPiWo1G5EdeVxASCLe1frCewVxQw7E4S8T4TQrNzy I29HujPBOqvgEbuJ4EbJeUllEFpm86AUfsRS/POdM+JImS8uZObzBaPfmYMlE5PVng1hnkz7tVmK nF5oaGblB+w7dusKuF4Mh++mQUxVW4WpHd9P3IQjYN0jCAEOD3QyJpy5m1LQRRyYNcWBWxrA3w+S 73sSvyBM/RlTg5KB5ShZcQ6BniUOeIrEkDj9/y8swZcu6OdESE8Kml6fFDqez3cGIsRiSs0gRUMk 61fAGT2NWxG50oSc6pD4kJcQlksAupPLn07mNDmibpWDPMOsTWpDVEP/i4JmR6MhS3jLgw1PheBJ +iZqGxwKyBEtvKicrtg4kLEG/YNpRcisA6I7m+30U38DZg+tIomTvWmaPceZh8Fn6Hd44lwVx+gi 7vKlTvza5T2YYqZNd4coDrygmeMQFVH5TDc/toCpzafOwzYfjfUgwSDgpJd5xBjxhf8dgqkkIn/q 8Ej2hacmeiPdr27qykQilv1lWGIVYFd2V7uOvU1ibFMhEcZIAcVwjE9PhSpzq99jGT7KkGyq6qHl jnv7w2SsX1sGklOovQiEmMOcpFXD8VNi74FbTBtWheK97SqrCQFwjpZ8wxucw01MIEygy2xiihVE UA6lGSAub+mJBVCYJlkg9R+z2vel+9xmsCVhApBLzG5i8Qngur8GEUvF0gQrZkLL4/R5yX2UEuCb MmK+FOPky1rT4jugQAUMTXXSAVp5BsSmyeuWCzymApbq0e1r+oGQTZvZMPGqN44gsUi0x8F8Iru5 R5VCrPzVswiRY4kc6TSPdGQ8mtsnaED02Tg1dKbpPWct5j/jQ71crO2K2fcIdiWDVCwZmimTdWw8 NJunwbn+KGdhVt8vhXOp6m+kv1tHlnO8Z4WAph+uoB8EAsm/PrYoutWWazobv0s1/louisTz4uJs TZKSrFTCSljLEcLXHFUA3wfibwqxXfnePCEiG3f/6S/KRdaSqR5+82FrGf8MOyBi+2Bq/0joXWy+ 5++6IZaFKx5RmSfibyT+eZ2EN21yL9O8xnSLVjIV3fcXSzxoAoSkDnBhKQa8YvObp5FmgCnYevpL X4RdCNQ73TWjcIamlvimw57htHh+wPVAS1gWUsZNmxTwzBCowiRoCIrqv/oHYu4fVzGC4w5UOfRS fy2zXPHrS5EbtwkshhiGqJ1MxmWiL1G42xxz/DlNSDpXjTPBANKgOtJ491go8tR6RoybaqLTW8EX QFtO0JF4eS0ACFtAlL8ntxBDigqPiFV58esC+Tdjy3zzv4t+kbwulaW+L4hLQVk4ybqBSWdPoiel 9ZdisDeHBFThy8VXQIDee9V6WJTjfB4ievywxT83OTF7LgoTDf9rPHSBbphunrMJU6uRO8y3aw16 +2qrYJAkYL8ISGrGIg8OzebesV8dEMz5TLVBq9L28qTvUyYZBbe9xawQpf8R+JEgSAvC8SsL+cSz y/0yE2FzEwNICmDoWUh0oQ4+lTbSL0E4ltuPAt+ybW5peNDkio9Yc6LrcioG0MsQ2E1fgDl2VGTq 307c89IBpuySMwY3pO1e1KH07x2/Wvjuv9FVtGMb2mgZgEwzCEXEUJJdJP9b3Vhnac7sPWpvQqfk YA6IfMrJe5ZFZMeSgv8d8dZ/x4FU/1EN7i8lMxg1JVQQQkkuptYZR+xRKL3A+wlCwPLWgj+NxqRk Ed1v4jX+8T+fJcQzTZtEjAOTBw+Xlcbowc/Rgv2ohAmIQ9vfzorIw1F7eMPlJm4qZqDnF6nVlmuY ylbUapJyN5vS5HDpM9F+YkOWkTMBs5ZSpKsutP0nSAHEn7svjUqEAEBS0r/qU8SYFIPehJr0zKAd UYNVKolc3n39lw776Pp8Mqafvf474Yxgx8Hk4KPITliIlpUHQah+/uMrg405QzrLve+sFLshOKey bMKDzt1TADSG8EF35isOrR56gbTtumvfj6Wam2JxSlKibngJIe3s5sSFwKhJgGEY24Inzsnhzw3a UeX31p1KtjOvAQjl6VGGzbih2L+8iiCKXzt3iiIxQf66TGQ+aG9FvXzK0kOQ5l41GKRTo30yPezt hdHqEgqRloelh8YNFqhZ6B4BsLGbChlwamTiPrrkwaFVH9qtGDUMwhIEaWQNmkfml/6dVyuMVwhb /9jcP6Ta1AIeEZwUNHwjNe5NCpiO23/Z8nUZZXiGXI4vfbSCpPsDL97eKcIiELFANVfCg0eOuS9K FtRMlsUU0H5b101bgry5LXHLh6hRz8NoQXBOzy/i55NWyi9aEU/sREBM2kzWkvkoxcNRXaMnBUlC QG6oJQ/jvXWO2I1LlTvg3wm10s2qmD4U/ipE5rdaPUFDd49KWN+lTsQog+0fzPBiHVSTRrpQfhj/ L4t4wRcBvqqTwdTIEOjVf0tJ1zQ1RNQbbwFJGVrm5SvqoanicPU+91w04gSLl0XgJcfDr67/AF5b u2QwxbcvkXSp1p142PzngkXWZEZogTf2eHyr0zc/bqckix2h3T3T1dBfZx/zZWnsRmhdINo+1LB1 8l+XYUsQrJOiLN3Y2vbCgTOEUZLWtVNSX+RDxHRbuwlKUTRXeYrVZFSCqkbz5dUL1CHmoBHb8laB Dg82CqQ5GByuhpjJZFHkZnDk32cnSZ3G1odV+cJVx/v9HZOSCLag5nIbdxRCyzPSyh1JbNFmt5yK bMERnWqN75PwjRX6Ym/eMcFrmhijYUNkdLVvZOIr832cz9EKILbbKshrhgI6rdsE22EpdlOr4onR 3qjWP5gtoUyD4dfaHe/xLbbtZLFY3kiPtbY2odK+uTy5aALVdgA8TF9IqCNMMdCTFafCEKoujTqb 7zvaX4agh8IsYMkXU72S4UqPDZBH9S6B+fmbYnLvdX7dc5+Jk8z4ey8++B+LH38A9PWhlY8CMYA3 cufa7Q9eAJEly0+FuxPvTIMF2WIyIU8weyUO1+OZ5GzuGkqoG2xe9ZAqEvLJjzlpqlZpqgC3ppEr lObAsDvIZ7hP595S31f8e4vXWKtLkhZ+SwJ5MeS4dLHi2+BA5GmsvS2AwRxZJQgq6+qxD+GQFi93 oSVkEihpU9lvenkk/uieeqlM7KuG2UTWSCBDAjSdkLqqOQ+BrO5ZO6cXWqFsPGHeH+X8/kZ90W6R xCgkKbnzmk00Xe2DOO21pcnv6y81d8K/g+wuYiYo6ZfkNuuCQ/T6sAKNdY9R2BKSyc+3xBsYc0Ul +JOsFM3IJKNUHkQBfQxbXtKkFk8oH127tfVqrRUk/QCrcXuZ2WuKK0qDhE6auzX3qV3IWAdULdBW hR4fCh5ExqO1/qV2tpDnywPL943Ap73sddLCn28r/+KIu840bv3Y3SlBmid9n06XKkMAkYiVw2ul 6XiC+eTZpN4z58vRyKjXjvowBMLy1wmPijCVWypYStow3nHAeJViQvqlai+rQoHZaWlqwZwwyvfj BfoMx/bunBf1DcmeEFHWPWGlSjcuEJn8uwHwK7boXS4BgZFLc5hwp4bLVBsbo4bL4piTJKP58InM HARBWcDVt/r/bEnITgXlD69ttGEwbTsKJ1SlneTi2teP8yNm6Kp6HP8zO7s2b19i2WE1BkMa0DgX w8GhJolcFN0N7hm131DTxzhEyyWAbbt8DXN4VeiS3Zhs50pojR3I8xwYPZhgYS4LKPCa5bqAR4Hf lAPAFQLQOgnomHEeKdsLZNLK7/TjEVVH5fGZGAzpLbBz0wgRCTNTYoFuK0MC7mJdcXw4kQYunTqs yE4iaxTZH4zK7hIHBpZsI+GUvlmRZVa4N1Dlzh8/5QPvnmuRKv4/2xsYPSAME5s99/LqHm6T16zu GSDOKw6cnT1Lbz/Z6wcQoZqslPeGJgfL3+NwirHADhzCmtbptVXlw4Jod7Nw/4clyz81tksu7hhW W8K7vFNKOb4T9eDu+HEwCnJiJzj2y71N8oSrLKRdsCoRkLBFCOrV4Vkc79KSVFbuuRgZ4KgaJhTY LO3Yp+380X2cUePYyLfrmMXVm7V1S5oADezKRZ4G4PPTM9FbnyGfx7BS14WsQa6dwXrEpWmWO4/A REYiu0Q11qQgFMsh+fDRgQ/rfURZQwPIgvP13LC9WQOuYE22NgLZ+ra6b+KZQtwTKmuphL4DNERn LwEb4FJnLWgc29jayPPHer9iiSLiINBlYsYn8Djz4TL1xEMbHN1TEim/DiVsxxuJ5T6xeE0tBWuy Cd+EbIifyeTLySM37ziz+FxbWE1TmE8KAqsm1Y+75PEzMJx1sZjyHOtXWtv9Z0+5kLUpLzWv6jtY dEpwfbrwjr7SwK8qWDTawstQ6UZd61loOrSwlKgyw+p7DzSFVulPJGzp4gmjG+g9NuNthxW6OHK5 8L72PDHtZXfzPBkzBY7AVOIDKIXF3TdjVih4x1SRDgw90X6aIo4YR/28TYawgNbqKAt4/zJzfNek YfXnM/55W4HozxUdzooy/FmgsvZNBKQHoUhkKYia+s4XuhBwozdJuT+OPpUa9lEPPpnr46HS55/+ CrkbJ2zzDZVl+k7DYGJE/fg/WeWOq1RbiL3hZMUBF6qvzedS/R/r8xIUnTKbA6HYw22e81NliTvK vhZfISZ2h0CRfXStP5g/lWzyMLGyyfYvFI8tDFqtUM3AjgknuchL786EAgpUASpKnAcE6+9YKKl+ QLpZkb6JiGB4UwTSQWfUcCDc6zz1VTMj3h5Tkb9ULHS0q0NwSHkTQ7OOhPXEuwqtCwcgr0/TIL1Z Wx7XZ6L7Rm6XPtVxZ3dsFzMHU/70FqTi+cVG+mdsf63H/PNZAzsG2zLq/LGrOR76jOkE//ulS8al KBoC2jcD8g5Ctwxdf63DDR3XZ2vFHQGh4LZ66wgCz42W3o4eo9vhRFookJdGvcFtWAYenvcltBut h7NR8lQFqbH1ogkWCCzPlqcp2o+fqenuYmk1015MrJagJurdOe5onF6idKDPWjoTG2FWf+KxoUeI 1WAP10kTKm5sXCCsL3VJDp7sDaYFFnjumA+gzweNV0n1mJRcHPMXA5wBulsbfQQIJRrJ6g9syKca Qh3emOW5mdQ0rN5AQhCqWj/6+ejLgNXx3RaaLWjGNydVla6jlXlV5FQa05O3QbscMXNlQGPVn0g4 1Z1SS910RHYJPOCP32Pi94GHF8QUF0+6xNhbQkAMw6wX49exEsPXr8fZRqUCjZxkzYPNMdFFbUfn KFg4JisLgRBlnK1LmJAZXmA6K1+Kea3Jgf9nhTVyep5f2LVdu+NTkDxvYExfHjjo3I5J/voyXZUn dDWxM1zvYjnrf+lfBLycmNUMtOlrk1KcmfywRXbMtLZ7egVN/GoGh/Mz4/nTNOS8TRoV1813PK6l I4jntgATUJGc8kWiwQ4gO6LEexm5wgzyatwgO03DD74wCf0/3RSMXX/U0uAgq4UiD0c/PysLHHjN h9E8QbTBqOrg1A3d8XOAHwsZgrvqb+8gFyJ5BZz440ervkbtTmkHfpM8I6OtBmAVUK6HLXokpE/x 3/62VlOfX3dOZumGb9hkiPh8XavGxT/cuEGAVTSp8Gv94YH2MIRDWbQDTfjRalaNuLPnojn8SB/z VnqmxPwtkD6wVpsyxtN8z5hA5bPz4XJF+dQZAtjgAZaqFhENF99S7hpgVsSkFuXOKqxHWsPORytk 1gD+u9RBSMPRRVzqkQZ1mAl1r/4MtPUuBfDHPWWGi2JlBE2OavdtVYDC2J4wAQUmMz3grElPBv+u YZL4agzcvF+z+W/G4eH1jeINFn1+Ya8ot67tiMYx2fIPQsF5aXMaeK6UlBjTTGhheBckHKHbQZ4f HzUnIt/05DHOjcIbiEtheMrfMazIh+83u+bj+pq0xTTXxWn5nsMo9+fuLxiFuZv2bt8HJvtI4zap C554ZhlMgZ3OZMf9ZWAoOqzkPbmRns2V5+IbGB7oTFgcMj4QW3YuFPscTpbIWItRo41Vz5eLUVTE y+Zed05m4c1YOpYblgnbJKHBv2oLIhbUtNI2CvhAEpq16293BuLyF8gQbMGaWekBlF6pRanJGUf2 7fvIg5UwH/eZ71+fz8RbQXcJ1+wsOwy5rmnvA/1FqLBKzxA26DdauyPABpS9m06NNc+Urkgx4JNA 7KduyFH0O6MRvBjRL5kcMBMOOO0FcJUTydUYHw8JwG62om1t9IrMrPowWmsKjSUrNdxDdQJWofVx oKNM6lQROAj7PYvku/AJO/UKbZSyh2MpEdSv3lnvcz9d5eMxyNMRTeUTiIN4ZWrhf/XDczsUta9x f/aJmOXFQ/S4AqU9J15yOSpC6bSxjZBhE9Rw2LJoXUkh8OafS9pU5vtsrFts+gKxaIoB2NbIm5Ir 5jgqlN+pscsrTVIWfLlb1nFqprD2UVa4TbcT3NGvnksNSHz2iiitIZGCHDqnhH4qWjQEDxVlCNTW KWQ7X+aUxxes2kFfUPnuqj5ynthdQStMkRRMx9OXWp+X9/LYvPWIOOR+AnY035G2el94n34rFcfX +g+vGkppo3bpgVGX0p2QTFudNY631A9WQq3U1sgAtMaoPyvu+VJc2ZEPcXzgR6T9mjI+XVsMpqsr w/TSRskctgTsS8lC6YL/QUVQcRJPNDadDwo38jARmzt9wZa/VOavnzj1znRXcx3fqEmn/4OszAsx UgInULfbQrisuYX8hozPXQ5SiCoz3g6jMTJn9IWhig7V/864vOVaPgICb7ToOyLvyBhBl/aisBCa Ub/hheN/s/VsK0LN0+K17mvKLm4AraDAGr8Dy9mRCnYrTnt5rA7Qz619lbB9h9ZFsnUEmyeZzVbz EZ2Yf+W4kkw/Vke/W+wE6R8QO8c2Pfaax7wdzOtBk4cXZRWRwYTtkoC3ei4ca2Cfl3TDn0qaC2qn aH25e2c/5qetcdM+dEvKZejajPetn8e/UEHlGCf4boY7uy450vi4pZYDfVgWb1CBqsM3H3Ixf3VL zoxkoB/dL2cTQU48yO9JG0GZ3LGh+zvrp2J02ARckCAOaORCBDWhpHZsAlv+ke7Kc6W2f0XHtjW9 afQXebRepE8iaRPxXeUCNzO1cyVGuSYUhJkN1e29YCg1ioItmc8liuYsRsn5YAyJ9H5jZJB10nK4 n4cDdFXpRvPmCEDjS6fGirUlocFuprabTti92rTTpFutjh1yx/SAWb18iR8qDUxHCNoknWb+AW8D xaSJZE7aNnf+nQ5PfXQReBruJ7vE28KbQL5qQ0/To+x7o3T813iWOzMClpYlobrgQxLOO6N5FUBp 0ZlUwr3063wO5dCWRgVannde740GN4rBTSUUc4Q6uKMyRIPNGzo9mv3mGgR9H/fEKTMGmXGisNsn J9YMiVneJEBXxixrxZ7ELvPb1X814x+a4LdQP6uWnnpl/qc6OMpBBAc58ihMBNOiL5o7O54tbByU 5GmOzd3uPoUWkHHPCXWnvvBBYrIqNfRwMdJZj5KbiNvTcNBeADifgWskMHZmpwVOdR2Apr42bSVu LM/5DLwc6UFEGugv1YLB/9/oDFCcxP8bExd4pWFLhJlyVSFhtUOeP55PSnuXx+YA7dgqqVAmHu2/ L9XI3L2zOX3cuSnFPTmJI8m1DnGIPn2o252EPxZIIpDggswQFaKB8B9pmRAdA42CIqVsyYM4zzQL 4Jo2vlV0Dsk5motC4FKmZTyGh9bIOPkzHshaddmfCQK+8i6RAW9OW3gXPld8HXLmnWQOsT2R7Pa5 JZlg5gdNQMIRLE+gVjCGUtQTZwc9fdJfg1XsEFnKW54dr7y/xmAzNZSXayzhCFhTrN9VcnWuCmKE n6psGU7MuA5iWF4gGSX0hcGkOWxKRvD5TbmiVTAdFui+qQAMenciD5Z4XS6FfI7+mh7rjzBny7gH CUyP9drehbq56nE1VYrqVqRCf7cZCmdJgoqDyXW9s4fA9QMMLjuDBfX8VdMEuSQw7+nl30HpYZLQ yTK7zNR57lfvbgVBUc/so6uz94HAJB3SDBmHGo5oWS1cWL0bn0uJ6Dq2ACoanGOphqQVhtsCDa+v rqNH6Trh7vTyW+nE0rOSCwO76+4lBo5+DqO+xp460sKgGPILqDghqrDuwEqLn5DJJGkGOxn1gK7h 7uGmuIRl2HqxCe8HdlRrIh3X6eIItnFZIdecTglGhUhYIK305jMQjWOABCLmmMDUqDOvlYUta3Bs JF5w5DzWM4fZURzTUXVmBnmNvb95loh2d4uevqdUnDMAX2B5jAqboAK+bEMu4uS/wdoPD60H9+Nd czEl4qSOWNTu1x/ejBWL4MOdo79ozGLkbuWqw6BdFAdyos46QFDr03x2WGnmxv4cgwZCstGvY12G AU5GlfKlV2I0I6Qh2ARfzYfZ5QtNkt7xfYlePAy7ECNhUx6bP8JjjUItc7c0MpI0H8DMCIWsUAs7 mkPrnQhHlF/QcfuKcXrC9CUCNw3l98QL9twJEuTrUGhc0hj2fKSil2NmQQGjKikWRYYf9xkD8nEe K77bpNMrIHzaVN6IrhhanozXKmz5mV5i80ZZp+t0nuftihvGQLt3LlVE02KC7OVUoDVTBW8MElW0 7hHNQmZ4vBeNYt0Yukqhjr3ubX0/QAPZEzRa03TU8e835guM3y3WmKD3NeULmU7UAqmyn1Ldozjw syOd/cxBuMio1eJd/YW5Uggty9M6XesPWcMQnrRqCu22nUpUsGYwXXsEqVu/vCH8n7wT9alq6m1E QuD/scBLzKgAObdZk7OyUoTSsllNHDxY9wUojx5UWQuade3L12apS7hGpXjOjCqD9fY+/cIvBjnf pTphJJsCdcXJVoBNnP5K8moX3tkVpJKTJ94tdtQBzp6CvEYWA+IZiU5TgHPpqGzg5qv4HFgS+kl8 Bu8o1tObjLjnxQ5GJorQbi4naA51D+keZicfXeFQho6mZYqeUK5kg6Ie9596yDgixKOUfAfW3Fkj 6jlSzTQIMVWH9ARneo26urtD/DP8FBBUuIXYUK2aylSGG9YN6blbQqic18NANpKYadR3IXUJPFAB WTJb7qysrgW5bGuDzhU5SvVzhksneFI+E/SW4kd09vYsbwVRLE5jmPdXw3ahe455O7SZDHFduviJ UX7ZB7etr8rxUg0ulmsz55rMmph+78pCUC7TJHwtLGxKmN1LyQsn1gHoH6+LXQ87u2l1SLuSTLSZ KN5e+9isMv3fe6oxxG6rELxc/lT3hO2pudFrR/ILinp6U4q88mZnW6SJxncJ65xF6tVPEp+ebGfA lOJXhdxB/i28AnDmsszR34ZsW3nxtB623uFvK2rp9eyNR0a8ZmmFpEQKlV6IcQf+4qrquxVbHIKo p8ASZAMiCCsYGyvLbBUdyvVratBoXaUYcP10UB3DbGW45Fm6R0dJauLJX1UdLsmbHQneSnHzwGke Gs5Ta0VxdEaQBx1vaac6t2O828Flpni6n+qaOrQA6AfuYH/ncJ82FsiSU3wF/WYwoc5Wuy4qIhXS enCnbMFzZj+uuBsxCVj0FCBbDxoFUdOkmhB65qH2iQI2FZnsYaOCAsR/OQVDKrJkW9ZfLk8ckivA xiqXGIxZcckhAoxAPaoe/+XGuX3h1de/rf3ZEJuGQa774XLFPKykeM+u76XEbe7cT9EtSx57NCjc 13TZ44tVBGblx7cBFjBBMZEeY1ZabkcdFRM+UmQcKk7GynSwVvkGJ1YSRpWZNtHH+tXh77FQfAoS ahJOBdIsdxcr0vsp23FsO2hyn0Gxfilv6UcUtfeZwtivnXBvKp5A6NZ4E/m21/M3spH/eWFXRQUz ITR4sw//jHUvyrpRFpHAK569iTn6y2hFhrsdBExi6P/MVKGRZsixbet7X+It86V4ntHvphHqPk4y 4qqKWYzl4FKO3CY5WbnyNLetW8+ZDm7bxmcm3YHMdKpsqq17reI3DjoUPLffbVKKCWRxWtIMRlSo E8VQbD+uH0h0knVZWryvQYw7rDTFMr4/MfeFb2bV/HmSH+JM0+yAk8bF4atXFcAM4eOJBoY5LWRw Z3aYhRwRIGHr7N7KG1Jx+DnYjRy/LvgZsx5AnuzSK30l8hPzHksloVDyuWmGC+gnl42zakRR72Mz /kz0yxw0e2uChi/7vhbHj4iO5rY5UXMus1YXmtfL/03UQNqjZkbgpxvCv6zck+IHi5yUnmQpO5BP OsawoaMi2RTZPOcbdebk4hUqJhZGYPAEg2xuxApdDyNGkH51CPKtRgJYgn33lbnBAPGUuPgQzi+b aeKr3mvnX5Lu4MIgY0h2qrFFhW1ZUj+RN2tpiuQg6sBdNUkT+2YkNNyi3zzo0RF2zH3g1rWbvRSL cw7Kh1mYVUUpTMYatVubbrthiiVQBL7YVjKvpv9zsfCuBbzd7tPonqBN6Du08rx4VLp1xZeQ/1rN BUw9gvlSseaHrZTXKSBJ7jGFkio7bTIrpGaCnMEjGhq2J1/xkqZo8qF/qobCQw9V6CqxgGUW9Lx9 a8wbtiMU1Q+D3b7t5je9ggMPIqSCz8ju7cmsX3hG8twn//hwRQrnl4iyF02tUOAOXr9L2gv0RA4R rY9g8nGhQ86wzYYk59yvXiYJdCekDN3J0+qLTW8GJg4e1UNiSMoodTYOtiDDMfJZfehBdK5mf0Dk FYofNlfKGEwVedxTpYIeAKc6GHgVLRpyIiCXu/wR7eniG2ML/yS6xhLd+aqFXawmP8qQnbLAMjvw ELuhbsqrf2uCq+FgmM76dstDvujWhKmQ9ed1Uvr7ruKZeJ6BhBBO384y9pjqGlMjOVB6tpSKgml2 1IlqWa5fo2HL+mKjDwLtPKaeQLdQfD+Y8wf2FAFqzack68TViAvFPovnfPU/Jm6UhfYQngBBrr6K aE5D+1LSwtzgUvjFQZZi1hlkMwjtlmEnlmM7pnxuBcq+DBYN9rb/mZyYzW6z+0mdlw3utMSqG0Uf Hlj0HhULcma1VIFvGIR1VRgL+jQnsoaxY4Uicp7k+3N4fBaFiafS/b13I30oMAPRP8wFTV/hm8cq 2arMrwd0fS2KgPQDdQjOwPTcB1CsJUATidtXM5MF5bN6o2jf4fbiavtr5NDFdwdWXAMOBOCzpAHQ FQqpra8x6/tpxA74rm2Fs0HPViZne8CG6bVeapSo8TZV8ZxvuYOFs201hSBvsQliE1h7dyRp9Zjw REuxrS1mdjEiKP8v0TKHYZ4z4DuOq5YN06rNkh2P0gppiM9eifw0a0pEfOSwYI9MDYkeSB+0zhTF 5434BSh3JQvkr+obCQ8aQnGCdZOc2Y/y3Ku5nW8n9x+AVP/nmlkBoHK3mZtcYNjCZjmskSqOwto6 5F3XVLjQKQ1V4UD4Hzx/ewMSfr/Oj/PcqUETffEl3QT/2yeunIue+syxIK7lWEiTnMYY127Y2S0I l+SME+oGtFXVfXo3ZTW5Xg16YmVoSdVRPCM8YVbsT/49uG8K92swd9H4iY2HSztcj9DGNFUPOJQr +rK0XpGcmV/gUXryoN9uTt1bg7eAng+QnWb5n5YeAFat5NItY97++y7HqJsBX2W3mmzgOMaG4DuD 7Ilqg9gHdwbmzRibn6nlGFyPa1QtfGKpf1lJir8+C8vc8uHdFl8XDrh3HQohqbaRe6YWZHBc9iZi YtgJ2HDY6ncCxg0UVKRhb0H3Qa7Ww3zwzrgdSoYxrjvxfl2K7oEarUckbPhp2dC01fi1iDXemLeW a6/sznmHdEswgZ/m9sPtVEpdLdIaALUwqBL5fXRSn8J2MkkqVvku2e91/aUUt+9HxwhoGtHDlmen 14qRxAp6NNLnZrS3Eg9Lz+liXjkzp+lfAXxQ3wfAGvZI8cMFh0hGV4d7r6lZk9JyusIa2tOa7+VU 4orCNgqZxxLGj8XWkEIU1NcT7gFjJ3+C2DdTSfLyywslSP+wrM51TIiYYc8Ug7cezgNRnjrIEo8/ 5n4OhHu12GNqRJdy2Id7O/PfkqOCXFhFo1/khioak9kag7R+re1A1fDEjyua5egle23x6mBsUtg5 4qAGYg57VFP/SUiCKvCe1xZdtP6Ee/v04x+PmL/uXvtae6NmJtds0YvB+TIpmk5lng0rMGJC6tKI 3vUxgHMgEuuJ65LS6e/SOLTaO5OikattYpaMFRpX0w8EIwkBjNagmF6em4rS8VqR6af8DBRcIWeW WoWjqba8lyPAYESgB41NA6ND5f3aSSw6xD4aWFn1GCVKeASnIj2xL6EI7ZjQ2IZRV2vVwCOyDOF2 qFkbdZtKg42YTS+ES1tMMRuEw21Wm4F0XTNIy7/ay4uOx35NGEcohOhc2xB+aKfGitXoUf7UD6W0 7FhCap0DOI4eTrfp2ZkiuHaVY9G6vFctjZGFcBM6CWMSLgvRkuzGm1sgv5MsqNVE6NSBYGBIpLTO bqSoEh2mOdBCKrhUf7/Bd3p8Ge/lnKpZPPm8WXr8a66npmJs1C6HlEnx1doLD2yDimnceBIYo0b/ CzR5FsoJ7myucbKOeVKgTslMAuAPYY31Tacd1uiL/6wdPbWfP9prARdUsR6RZN3fMyne3syVSqBc jxFXvbGOohyT3VDRncYUpG93jNn19yMzLNI6gzSi7OL+8dXLrEJviO4ebFDKIJnRE4IqA/OxVydU tkt0U+yuYMGg3Jqc+JL0TftprVnfmsiV6Qz45QdkJqX9dH/hC535oq6wUvJSmOEav4OJC6rivI+D mXJypUw+sMbOMTEPoW18VAOzPw1hvwQe7Oujosv4fxAI2AchtR3a5haB3HA8zeyP22+4T0APwx3d s93tYHolRmfKdHc/gx1HBstVavTmJrqDDC+PR57NQmf3Qp0oJXqwM0KPD9noSTnyA2KH+/2xILaT pUMnYC6TWQYRNNt5h/40ZWQ9AZ1u0jDsYTpc5/qzuzFGW/wahEPk0aufDwZzp/jpC6irlbIjbt9N QWXfvVc0YVA7cueYV6C12isQfFfmCvkeACNM3MZ8aEcC59k2Dgvu/dflj/wVpHahSWTwQMkXdZkl nj10oFfdiQ2OrDUxWwVWIftFOmM25EJjLUN4WTXVJzDJdB4fWbCSekWeqGOmoFV7YhX20b82pbJX Dt3IOrq2YWkAMj7ZfP2rj5gIG9ocg/m1HY9h/ifqgsX0zNE69d8XGGTLtNfbB5j3oegb0+fKEUzO xl4eLIrgFMeqEMRZ/efE6TvIKb7hU02P6BM6/IdEI6Y6Bnn57Qu9JfF77BwTen+3l6DcsMoMlAJC h+Fj9EtXNLrE5YZcW6TchKcO2jVCwW0oQC2gtgeZMg7JfxHKq7aSu5isCRRc/k7K+IlrYfUl60LQ z3G1CfKwajN8T/MQxwWHacf8htgGe+WIr+f3Myt+0mobGyxj9ULWs5jm0IycCzepuLZXXUJRIgWX K70DOakOn2oJ8m9dT5zsTCRmvtFM56KEX9sR48PZFo2E/0A+CTVG39GukLkMUpRkwesKpscaKt19 KT2jsUn+lcct5SlgCFzHeZ+yWsLapYq4CmNS1zBi4cVGUH+XRYry/NpCa6T/UpYyr4kLzEgUpvR6 Sd1xWtfVoSm+x3GATYLwRxmjyaWbwJhpSdpzba4K2Yft5Fxy2IaadXZxlc6G1QgZRUHwe8e51TiY T0QYfpbA+rrGJj8FldjsJW/+ZPPAR0hQkM0el+McTdVkgk515a6Pupqumk1iqLe7I9jJdg6IQx7U e9BzPboIlVnNIkxn6TYLSS5712KsBg3/Ao0GUCZFTYmHpPPiLZNncVdeacEjqbJ+8yJtbZGOFwrH TwPJLag2USEZddeifxdZWE8NsQuo3wt6kwfz+2VIGSzopzhZNZdq+PBK/PI7ta4EWFTmCQ1+T98L TRhCtrSpE5vv21CM4ZgcrMy497EHERMnfZpUjX8JkTRBymlN2elzo6K8bJPL+6Va+j6sFZZsCVa0 M4ZB2Df5Lqh5Qh7x5K4kiN6E6GWAh+YN34kavuFImi12RJ04ND/H/ZZKmYkjjtyw9uit0YchGmJD Spdk04KzNWf+RpV53D0MvfDEDUOAjvUbGqwhcwssXyhPQNb9UNpKTAIlLa+nQkSOvsd4vyZU/byo VH2/jqwtak7V9PDqRFKuyn9bRfLp/0bmWmenhOlwiBUUufoWnHkE9jb860HCRurya7+PvseJijJ8 Q2/4vIJuKbAYZUQbvGQEc+75aQBDJ0tSOvLhhi4MqDKiX7tlSdkTsfEC6SdTTnTJ+r2Ayj13Cj82 BUulqZChP0KSVFpnGra+DtUSFPP8XFQeGlW9QG/A0XUVx9/pqmMV278aAiVoyNQV+2k4Qx6a1833 YDGbWYRv9Tp5q6lQhlE2FBmJJrNSzItAuifDj529YYrQpJnuLg2EM6SKouWWIgo1MAa4sE4IbEPs fggzXM2QBlKCFW6oizgZOv6FdKi2X9Qhps9YyLY3w2KWv7D4Eomb7e6/DSGFqn3V23UecI8wShq+ 0TtQmviA0HVwRUDXHSnS4dg6b3/dGXZ9pYrNCYcJKlvGqmxLtWPL4FVCBxdi7/FBul3a95Uf1/GG 85Nu1N3l+TIFG50mSHu5JqGJMoD/r2xu79lXEdzd9LEmwlkzKAIFp8jjrWWPx/Jh49S7VHnksnPu zMMikCnNboOOXWKBsYulWYKA5z1lB13ZgUtazTDBtv0kKmzcxda7SfKIlxjzNhnmnL7Jo+yvxYj0 kI94DghjKR3PujdzWnCRVjuVLwYVCY5VMHmcoskL8d7SGmcyYzp2jxFyEAWYZL9ZyUVyc+1Yf4MS oelcYWwQYleG5jExvwFKI24how24r3VdPHtkqFsKGhG5MaYgl4KcoDTfTyITqXP71wEqBDk5eWEt 3WFMuqwCLpJWXS2GIN6gvDLvCM+qplz0BhWmKv2dAFp+39tFpEceo304B57x7CeS3l9H9eTfSKs5 ZoNs5otiLTnXuUxlrnEP+oBWjhX9aRTz1m5LEeyHHn/gZjKBfapSYelniB/2rwfc/Xg3bCThUQ1F Hu9aoUWZn0eUBoXhD2m6s64YAsNU8wXKRTlzk61uz4fyb0LYGW40Vb3viyiUM2uavyacHiPGmnSE tWCkVB60KruHahgHRuffV1nFkEFSgT4lY4uk6CkDulFkQcNoC6ZKNeXs1LtBdItVZfkaWDcjdG8P mrFqlszxCFfA4HPQV0cazb1Oe/g9hwsu2qaXtTy+dFvFFX0MehLVkRyEANk6boyHSotMXSZIyMa/ Cg5VMcdqiEcIDw4Zgic7ufXcWVYT0vvVO2gtZvSo6aFSXWwFYuj4wKejhs1VW/eBDdn5Qn9aVBV5 YaNZ1XGy454NNIP4NzVXC5scXphh106aHtHbDGSRUF+L51TE+0H3/tXYRMzx7MywZ96CoLtqEYut RGX+PRwyyFheUWaZLmx/MQVrKPCLQ4kiKTbYoTlqM9Rz6lUHO1YPY17XoaTP6RnUe3NbJU96zB2z HmZqPTkNtsfQgdsMqrApTSzLGs9en4qvitkwpHu7A5vja5U8ojpO8LR9zSPwfIMHWsI6v8FHtZaK 0VWepwvPgF1nT6HLyH7Ax0IRToa2YWTsZ/bm3mmMBAgBmBo58t466YXSobuxxE52og/NZwLYlMtM tB+6gZlUMFW7UPKXE+x/8u3VFagjZtuauOr0ABBPn7lNBJShKnOG+6fF+axw3Fw1PdaMlSZk8PR2 tVBwKPccxy4AKGwfqEh12G8dnzVuVwcqZczblu0TyyN6fyVG6zJNlHS/vJsbMXdNv3EAJSqjpgAY SyOS+ibDTE/dMDWzKX5BMXoLyb5oE0iwuqGrcnTM4bhVS1vWU5IXrZzyjKjUV165EIYTsY0I6x8U 8SK5NzdzgspTTPVMdS5EBZpx7zRPVG6MnoRMs4r7uEilzCIlroy8gPK6yvAPHL725jUl1R3gVZkM 8b/5fU5SMgVdHZ3ulPrTqCGgkEwxOwgJnI+ecQow5tbEV2z3hqvlarzvekEtM6Nv8ubWNfAh0v8Z MwzX3KmT6As78KJAd2PgJh5VJslkeN+ffJCafUQgLsMhR8X3YZZ5dd0mglEzB2qhkWQFlb6iBm1S baWpndAl4ypPZAxB/VntwrMDDT3RUEXfHxwlF4GmqyYAvMmBMTyaV7ozDY7MmbVfrFApL+yJNRgy vdirZUsAj80Pl6j8iT3JAw4ZaiynzJfhgs9KeiItMDJnQdCEQicVLPIHB8rPa5hJ1DcqOmlffQvc VJzaT0mwWINOhV8vtFfoHFACbSIwcMntQS/R/Amw5V4RxcefrfA68GnrlCyNYLo7i12LpABiZM5l WJLoPPR+EDnYiNR7np6IsnJs8Cleb/pIq/RA/ebXuY6hsO4ZGmGZozJu70LpiHt9eHO/lemi4y34 7GOX4YaSK9x8+0KoX6crGI63rKR0pAAP4U24/MyVEj0DEOf5VFk0JBOq+3Mok01e1YgMFSbWBLZG 86wP3ZyJ6lD0iE7hXQDouLvT5XE6jDncEA+Yry2JDuJr9DbGAMKnCS1UJCiPfGoGTYRTYFRL1w7E fIHT2nDPTDpVWg0yG3ehQ9IH+NVJAMt9HK2B9qZweAS8rHnhc2WEb2277kSfz/oG9i8aA0iT1LOk C59vfsG64dmn//QPU7y893QBiVgFxMq8KmkUVg0wLYbmnQuUO2ZMx1l2BVCR8HnQE7150mq+/gZH fwgfV7buFEv+kpuaGtyPtv7lTrxtM7xvcMOyWV+9/kJWEf/sONEwTt9qCk4wgk5Wi+FJF/TQUb5W 2xk+P6pn2I8J8rpikT9i/l+8mzFEeO0mofF48lWwmGlP063VzEfTaRQLE5EHg7Nwnv7puNFzLO4/ HKmLJtKWMv6bwHvOnJxIDotP8TAD50KcPsyyfsRwE0nv7YEtLE3rBTE23xVyQSQGHcKonGldTauu nQa0YdrNo6caecdTjNDzamGRn4egOVDlQQvyAfOiU0khiWjLanWuHO6SoUfFHtlCjreZTwztnXRq Idz4jVogWpY9R1o/QsbVBTNLcCPlaOpFBh3h3uakk5FcMDDZuU68txg1MxVJxwjh0AD+ria94Ffd 43SldvPvWvJpTKkRucbmXs+60m6EYX1xjzeVklsZn22RCnwIeJ6gCDi/KXp2Siz16C7uhnxSx66X ZnTx7LMcA82WS0iNlFuiIi3cDI7MnFrMAfstLriiLcWA9jKN67vNvuPh6+C9+vAw1gXWMJdOlOOL ET/+yPQEGG0EarcDJnWSCoPsxL5ZIQniM5IBF+Q9UcDPP64ATzCDZvkAsikgtIF2jXlRYwMdca7q SiXkcOhPsIuqEkSx5Y2xhQa1k0yEwlzmg6qGAZk2Dny5ROMMjye4iCQu4gJ01s46Zk8QS9lYfAyE wxCIVFnZ1F/3GpQ7RduFrP0UKJW8pjDXBi6wNqS7E3e9sipm/mAvB0hS50kuaOZQxToEDDuMwKHq Sx8IbyTPQ0u+KDT9jy2jHHvfzFYKEOi1kHYfucz+eP+s4OyAtxEhvitijwW5DYbQW+liYdoWITdr aGLnCDi1KvgxHDa8rxXI8TAS93OoXFdrCrNw2E/4/KxYOS1mjp3yU1utY7XOZqyRjWmjiIreAJ9f NeHYYoj1LrAmbCfqBBILNu/UqiQDaS0JMKUBf9xTucZ2CkXksB4BTey3C41Qs48ri+0a1r8oh9xK KGmkdLsxDWUEZO+JK9oJLotp8cWRZYRGGbd6GCPidCSKxXqL/WsV6o9mA6END2t7PB3K496Not/Q fVyfqJ5G/8qhzdko2SOpgMIo6gvUFFoXnJaOJF7EWIH4t5w7OMHkf9HQCZcToXz9oG/jAQVmbXO8 QknD5ksKnuVEdwT9nLczf4NrTO9fIpe6/zBT2kzcSTFLtOOXsjldUsGfGeRbo9EjM0qK7RulMoka f9lf5u7ulsDtOVSEfXa/+IJ1rG6tTeC+EjokXC4vxQwv+aDZnE6m6Mr6IAbgyrMfPqyqHrDNGpuM RHGahxbQobgqb9du1sXZWdbosmX7iijNhCUHsHNWiClqmroK3VE7cAw1DaaqUPxPX9JI6PQsk3Jj 2RL3OtwIKo2EjqGFomPxsChia3Ubzo/I5a9ncwTLpRWKPanBwdAfPhfrXoBm3hUoWcZZtNW5gzWz Ql8yG2u+EE8SMdIqBv1Xt6raEs9XnMXO4LT1O10yJsieIbXaVascLXpIQLXh1HwFcs6lQRYHLhsp iGysuIvC2m5Ot0P+n/EZ7KQq6+TnUU1uwjyihsF7jzaAn2GYGWcI4HMj3fYk+V7K3TwZbMrQIAJy 4El+zY+M2AweP5+1/8ZcPUxSN1e68r69Zzd4tusTYR+BzuSaMeautIuCfmTcMPAZjdVJUnXKcqKL C+ReG/kHysikE/gZ7a8y7eZcjc0BINv75t1sbiC/RPEgthBOdjF3X5qP+DlEN2lcgWu+oGDY38k8 5RiuPoA+WPfEoEuYhwh6SFdy7T5fWP5gPKkE+O2Oq0WhEZ6sic3bTBJ2w7/iXcR5h80mAhpDVjot kCVE8dcSjEF1IOgw6riMzVh1AaV4sp1UdijwKcA3WsOepU1bFjB+iAZwUNiU9GY4m6pdIXrYeJVy zZ0iYQJWMDnPjQLC29O4cZtg5ytvmt2KB6a6F2HrmOXtkoRzoBzwGUh0OgHWi0ul0nbC2Cg+JmNM M3QTwx6wrS4AU2OuHeCvaoO3P3PIR8TBFwln30NCseOTAjaA+J93ehh4EtYhtcYWfuDJJLoQiVAm e42J2hURq9yHtQ9jurFoN2v86/moFvk5BiifyllmVwj4T3TS86pB5jpaBKzxDN83uVvbPyP91mP/ 0+LsM3Aid/v9FU+lqGanyWrajZoLVKxKO4bGvr/hNNtODpm7alsK94uDeI5YAsQ/Qu65gkh/3m1/ KWAdiNKNuyLLmBPdLOnnuLAJxfpaVNPo9GmbO9yr417aKXkhrSK3a8O6RskSdFGYrPoeBBd3sWTU s2vmVvWn9BoXT/TCDaAkguzatLspij733uuLsX3iTwwL3za9qDBdQZSY9XTRPdDw2xZv9GpxQbFd IT0adWM9HrscKAb7RGFAIT2YtwSdP+MJKDPj2H269Z5nNRM7f14YQ80Lu6pEKAzvhcG7qinEejJH km7yeZaZ3eqmqZPvX2jK+6KfE2Y1g01s9JhNBwYxYmFCJnXsKzem9IAC4Ja27rSvI8NhGNiEgCZj V6cEX1UF93X6BUMRgfxSXSaFn4I74B4ao6/ExZETEC+98OkKMMyJXjWhwsjUlNL44oiBdfDoR10h lKquQfI8YDOQ3wKWEcj/aAAROCjNzdZ4QfcjTfQU/tggxxiYSJuytundEtG2+Y7pvL753VnW4RuJ xTtNXtrsMgvvf+bduPnZiBA7EBZChDI7pMfyjbfw2BZymt+kdVYN5umYJnZw3fZ39prcihHhNxbz RvlnWnAa8YvpV+Y3ckNM5B9E5CiQCggx7y2xNpR7YSSYS3C6KoK8nBQvMAVuDM9InWO7gKlZLZPX fLuxXDjLkVZqgObeY9p5kg/6c4WudkGXzbJL4dml49EEjQF37ud91f+aYYKH2hmEUJ4YqmqxDUUc THp19x5p/A4tXIKyrTAIt49TV8Nu2BPkWAhx3xzvO5Iov0gBGa8T7fZ6J0T8b2A+zvJiu/i/LeK1 2bm/0HwV1xZbzMoycz46VipO0upRu8MIZwLLeXHS0fYttwto6Jv9ofBBvUHraPHqR+5L7ILOB5SH kAiLjwsKwClHF0CpHQXWGRfq5xYueeFcv8uVdR1Wz61zsOVag2KDM1gtNtdVKwgP6wqtCquHy1Bi iJuX53hzXLX54kvP9H61XljeUKStHlXiZPA8NwjosQf3mf2hBufBIS13YKO0fAFDXDAkIW4FlrqP pTiaquAiNyNkWt8mhk8Ln+I+vSHGiOfXy+RzgmiU+aZhuniicHz0KiXPzqBdsTGcAS4dgrqFoUEc uDZy169KQQxwAq0WDE+NvlunaR0p/HVx7MuUKYALnCRU2vZsQeKphhXUtP4POhH1HEGJnb5nfsYr D58wFA+c8dzmdkdOkSB7HpGFngW21RbnIKJhnQyopd0bHLLcK2g56g5FL5iV5y25FOqF4XgtGT2Q K2t50jg6jsip46PDss1ANKEOIBth274qCFl29xGEYTHgA2RGczHOqWncg3D3y3LA3WYvxeA1TPHi WGLhPrsNSL+fQn363UcVyljseGpwlxSfQNWNABSNgq9ToyDl/GrDGLZ8CHbq96PxiKAmZtl+n1eg cy8mXgnSAONQffuaWV6J4ruE7EkALzMEXhSwgPZNSzG5vYTtRZFHyEG5FumpZJ2fDn6l/6U12t7Z Kd/uB5Q/Vs2KTk15YVA733g5bJlPigBMwfftkElYO6S7CoAK25crFt9Ub4tc4iVGofAzQnzF3+fg NOx9Xazh6CcP67Kzmx1gAZG94x3XZKQqTFpi/m0Vq9Zxv32uFjIeZvCQLucI2dxODXhqiP4LVTAD qPXgZJmD5XQpcpiLCo8oSZRQTSPITiBtFmbjSLLuCnSLdXGVSx+NN/OhrRWF1i3LM9rKPHMAYxz5 pPH3IaSUoH6FKUbHYTyNrJklhqJx4t93BHA+87DQw3pbcZGotUPwc6yMr2KbXnAkEO17Aa/HhXbG 7Wz9h+C6lp/dmgpV/cO9x/JeBiP4ZWSyhOfOPCtT05ikaKMTtzCGVkrjLQk4N3/7h/z7Wh5VuyLW 9IbxFpu0EvUds0ahWluNSM0a+6UWsIH59LLfthtshG8KS+a+/AF4eL6xah6JkcPWwrD8TyndW2Wr aw/DKKbbJx9RS3gYGAJ23DuTlbqsGgadDaf2Ive1nD0DgPY+h5vaL1NX7xvzpDgmcTz/NAm9XKQc oWyje8TGXXbY7T8UFMAdcEpzXPI01dojholFZCD8A7L19seVkZFzLCacLCPVTHGp7cViUWYqtVoq PFzx78t+Kvyh+mklwC2Qfg3MIXkMyzg+TKsFE87a385PgocnmwUsqn1Qz9Ui4NRz/TH/YnjzyzJ+ hrhj5DJURQttc9GccdHWahxi1jKWcZ5AEFZjMjIE/agz+ToEzCoVZR7XLpRS+CNV1aU85kvs6fNz LaaGbABSEqknLFu63A473GNJQrVumAz4qyCTDwQEqFoeOYdDioRXRZTHxtt3s29ylxuM46T6sk11 JaM50sNcIEMQieoMHD1Ple83RHTqL0g7t8YXVIhAJFlEuhjagz5Wdd7I75ctuCFqF41+9C/WY+1Q oY16nwzmaBAwh5/Q2YQwsQ7ojM+E5WzWbbBPggnS1CDSEQHu4swla4eQW1laqDavoII/MgtHsCB6 x+KQYljd40uDBTGioiiqQ4MXUsG752C4hhiwMZ2taWfdc/3MqbGI+6CVbQJG5NinMMsR7bj+w6xV Ig2/1QtlTAsrY1iUJoxZLPivg+pDPlCRkZx+MHvjx9vbeoT+p/MI75oqghZhYEHSGmuFkjitkPSx DSkAk45FVO5O71Ctwc20LxKYiuu6PBqqqg3xZc7COk99vpZfa84/ZRQ+9FjyZpQyuSEUenQn9NBs wEWPXPtRwc67datN5GJ6H+2hIefvjP2aqAAQzWqQ4X5k7kQlWtJXGQCQ6KQlRuXs+Z/4f8nV72P0 TIaDotLL3mt9Tigp9TIvREg5kIvB8rMi5vMZg7P8n9kvD/CVy/pfirp4qHsHXfGpXJc/aBomfYx0 Dd+0LOnSCyBnNm9HJZN84x9/8OW0Z3LzH4NIKSJvfaaVhf+o2xtarGbOOFhh6CN1+lf4ZlagM0cf /unxL6KNAPDXbIYSDSmO3Cme2D/sgDaFuAEIxR8pw3NyWe/otz90dKx7N9tZeUsok45z4fwavrnI KRst6oNqhEi2eqxaqIBW0LRJ9ApZmvsYMiRL71wa2QsfG+JBawxJZ0F46MwKLqvXMZ+k63kAG0i5 k/QBTqcdvkZyZV/ZlMxJI1qZtP7FfkdxoGu5J2FVIA7nOxZLuWfV6UGzWVTIeMjAmYYmD73CGg61 4bro1GkFsN0lFoJim6h1lW5gNM2aGz7hP1wSg+ESr6RLRt4dUinSCklJu0lK9PNahEN+5//0A6B5 if8/jvLc5jwKcBPE/G3xnN8WZQKkTiw7PBakCXElAxwRBjrto8pjWfQfzhbLzrNvP7RfMMeuQoF6 yPT07264CSYYcLnOcl1Kar3mKoi3o3eYapbvosf8UQDyoN5HAHYlx9oO8iqdR/Fe1u/js+WSEkZV NJWpXxunhClQCJstUwGq740zJ+nPZUGwfin8KayXwPl757ZPz8AFQ4s/as2DVjs7F3Rjx6dxXLsi pM4j+xryOP+5KNZrLlFkaeqgo5QL8CoTjtg5mVT6hxqKRYaEeyjSyX3IcFMOaUL9F3vSjnKWzvZZ CPLIk00Aa+ZqHZr0M2Ph9kzQkFiM1bM5k6CfGuN9NQkaBMHLzSyeiIjTCvJUuBeR8tK85g1Ybfz/ /y7OjqSkfJ0gQTD0hgOfAa/Cc1a48kXeBkrWd8Ta1+efLy4qwWqv1ewrtxh6A6Eh/5/Tpkj3PDiJ IEAAw6rfNhjHs6JBz7Kbu2Q50p1EWtA5IkMTXlV+g9VIraq+GGv3o9OR3QL3fsaC/0vIRp6CYK3i kPtyXqQVHsGLJVSVc7NuQdw3oLXOCZ20c+qAaU3fJ6BRln3G6E6yVE02Q8sS5Ui2frOPkHpFAsqf qUOZZcwMkjYQP6NZa2EpI3E+hZZOaQGsg5aI13NswzPHBKZHdh047vOH6b2i9iAtNWQzT38BU5yI rFhIFmUCWn/GazF2/gCPnyuiWPdtORe2JMFUBBOBjb9Tp+taM24yiZUqvXGqsRZzx9u6XQL2YxcC karDN46h5mNA1HtMAQ8RprIRRTkYIgepAuKkjq8mOEPtQJOzd1tmNg79wYyIXx24A9OHaoFeWI3F Ua4an20ni/WEha57aNo47UhGvICTz8dWVpkMW7X2hQi/TjVv7yF8IBuqKP4anlbspOKFF0PiVRhe erGtldGPt/3MB6Yapj2/0WRTp0Nt8qbPqz40ZOV+pB0sADVN2X2WNHNpjzTxs8sXA6iRPASsiTSK rOeVBl+ClRZUcnmyScrCylCRgnS5xTaxeIRf5NrR1K/Sdi4+I7z/KouZJS3kVJ52gQ0JyfwVBz2G kieB4X+PQiGZ47lRccBokAUhPKOTVO6Q3FpztGLo6RBYpbSkFmUXe2zwXE0XFfho4/tCsmE4me8O Ue3E6vnBA8TlesUlqMGj1JmTZUH0PMrq77YsothAAcGj52GHE2euQ9HhskU2bz7aQ3lGH7rajddV kmrkStYfm1TkwjkIcSkmbN2Yinvtzald89jFrzUzocpNCoQQnNcPam6Bb/FBQnhSZqXyN4n9cz5l wjO+WOoN6bn+e+zFW9gyDY8Bir1lG3KdSf+kyKq+MouC+i5rzUh3Ww4ld9fi/0nKjFh9OJDEdYQt Ug+RMXrBtDOPvKeI9kpNTrQzXfuRL6FHnRL/QiZRSb2uR435Jz5lfz/iMfCuo1vqN8usywRQr5eK lKPmSer83RNbu8TYOjTrbodqlwFUNQlp0OWMj+QLsUxlONcsgnUkfovwLEIev8+jLGAubChOcBb4 GArhvAI2dwan988TLS/rYuiaDIT9TtIGgSTNvxpiG9ROaylsXO6S73iWUT+ZnGmx77nm3W4JniCT CM149mRZ1amYf5lUoFazoFM/2rYZhIfgNnDc/eXZlET8X1atvEjd/PNJpMvXf4i2en3KCLQFFOr/ SBfYjH01zyAm9hJrZAih09eOGyW0xcrQSj376JpKcCAxwFtc4byfxV9k4ceDAZ2Jo0pcZjnN9Icl rO2MrxpLrcT+zMHBS5zQ4xTHfJwKiBL5EJHo5vjmXvhny285++vABg5DC/yoBb9VXFR/fcaPMK/l S3sNc6n2n3UJZv/8PrC4UhHZZewYq3oALqBWu81JlAoyKIegVo4c2yoD+5Dgt/RH9YUM6mDerXdR UNvQW4yuO6vMjYMpkKq0K1FC7rvNXkNAZbjo3okwDgSZ/EV9cQ0Fw7xLh7OfDcsrrfd3OMeakGyu vDsDn5FDh5a5v/IMxJuOJ95QUVVVLkX7FhS22gPtW7bca/8kuE6ljJtd6R52P0Cuv+CI4T32+hsz Iwpi89pi0e0s3zuT+OZZihL4PgLPTSP5yPKCtW6AMNxxXmDnZw2PgJGhwoqWNmXn+ukiY/00uxW9 tukXVwK+9QdSYzUoii3bbYBbJc9j1hDDyU3MM6VUFnZsytIcRTm6pNpS8eK6hKTiIRGyan5Yw/hw cxnUBHOtuJnFHLhOpCLPBtwWaRWCa7yYItp2BhzwCp41ctWZ7ZfIROPbAoMt/Z1AhNHn1BurIFr5 EvKKgCuIQuLj4q15RjKWAQFp9cqEpD9XHNNUBZjLtcRz3Ku3u4LkTsB9+OkKsb2RHLFPu4anyw6k ZLjRZtQCo3ITMQH7mxqnmvuNQB6r0qvMxZ7VUfNsmmX3svKG+bsShtlQo4rmgRv2Y60jEUoOtk/R yRgyipbmcfnmlET/LT7dDZx0q+foQUPXkl4iaaY9DoBiUZzIcA6NfSnJzlHLcRCAEWMAK6vfcbJl OasY2iiy058gTIIehnRPohRf4XSNJ2QTBzmsbXNFLNxkAiYR+CCG6CBONaycQp0cv3HzfdyeuqGs aKGwIpX6nDSqNPiw1DRydQz0rFhYNxSOKhQDEFqZbj3Rs70is3WwDbb9g+11Edx14NMhy+VQo7uL uOPfqhCwkKf6p1fcSJvaXp7L3jK5dXHZjfEWlGNpXXwoL8lfBbyywq/lH+cgcGYg8i+OriJjsMiv l36WIAHfDc167lIa3LH7mcCdtRZW0E4410jtP7AhA3oufwjFCvTYg+HHYX5Nx4zLoZ1UnAWS5G5G bWh9zHYvoYv226tjYozsJW8Nu0KHnvXr5PMNNK92aB9sqK4ApNszCmoNma4KYCwyJliwZKPIL8/6 QY0kVbKHfFRw4Tgt7H95sjSVf4dVvMff//VAlh40rjQVk2pmyOWoaCpevX2Shf93JADdduCwgRG+ lslNne0qdMjvJpl5WMWj2ifXGV1cbt3kKjJlFES3Q0sez/TUazD/xfxzI8bozzsAcX1XmSmkpmE7 OeE5OywxGZ88ckAQ1LjQ2VVzEW2zxKsTb9zqF5J1kq/ZNG4NVodgvagpVvSdejjQ9jtjFvk4Qwj8 rbb6KxjLm+mtPNZ1NdjEYTpSVfusiErwQswCKKa5zgOUfjsbrbh5rO4IIMNlq803J+8s3MxynoHR KkxCR/SVzjOiQSw1XjE0CD7EBWpZjZh7X58Ng6NIWXYQ/1wgmIeAL5wcLU+IUUjBXFXP/vJH6QNU p8EpNEXcaOudWEFYaI343yhjuCp+k9shyu4MU4zUwboYpDDuAHcq8guDDx/zXPSOA/u5m5t0oxgB OOnhNj5crJO0WViGuif1rlDglmqqPGdcClVjrSiSEKNnzArxpEZAEJ4mm4VF2S+huiUpboeolw78 1doJLAufH8TvVgFP2VAHStoC3gJ9A73IO+asRj0AKXqWSEAOL0aCZcTaXVa/fiPRNu2VKnfJvghr rnBvts1NnNxisZzgCKhQXNfOONK0Jk5GniE116RRX2ZP8M6CLxOffx1U0ar3VVZ6xxYXilUv5i6C LrC6dntGvHMVY3zL/I/Lh9JuUPIsAU9i+g9kDlz9IXFfI+gFYVSYo29hk6dV5GSkvJZsoin061VN CC+bD5CRQDG8+oPEiKHcDwsGcz2SUerfv9lfqNElhgxzvHg5yt/mLIlKxFu8466u8F8XASK/SruB k89pHAHjBrKql4Er23+DWlymbdQxF+EhMCYaJ2dvOnertuwGDjBuJt28zJG2pXcrqJtVyMRgXcMY oU4zqZReCOfyvXKZlPcWGDi32t0GNI7hwN6LXsxp9wEyXGEKZW5eZYr1/ziQcmb6q040TX7Benqv cJ3MOyHoRDIVx7hJvQyEjR9clOg/d9GyJa888x0bUGoFxlJO9KHJOHnjUOw5fvnmJo92VCN/HOdP a0FT9gOakM7DupWPAKCaldFVCHBlb3UG59LJTZdlB7I42YG12V5cn91dBPAJoIiMC4mfn7sKTdim dnCySYWHqLbz1uaRSSsfEaWCDCsfRxaZ0dMfTtiBGdpjb8G1/CGVwmdJmC3Hd6BwoYFrVsXyBgRT KkQWtL40wqu04bRo8BOlZEG+PtPzqUOyAZ+YCGc4BWaGrA98tOsemOIKtHd/a/zfoOUifulSkLt+ txrc9bgx0QckPUo86fXac5wQAfLLrkSeuXgyhpYWQHSYhnf+yFlF8F00EgENXYZp0nwrL+TEle7W cPeNKe5MfMGwWKKRKeM+9Aj9T6m+6rY3w08sWBUQavJr4lNU0vutzhZRp6n77UEdWKSIuS0xK1UX iwfHns0iGvMWZlraw5fwM4NQahcrovDHH720vIue1mpkCxo1J0n8sQPf+RPB4r9bkQELioAsPzGb pODijRTLfyQeeBR1sZ7csqjgYO/cBTVYWhFc5fi+s+7ZJPV5H8UWSkINMMml643eJwzSBGrSziDU NbYLzPma1CmhvpS3wCbz0pIBPhDJp7+Bd5sbCK/8k8358ai8w1e4fL0XSeQgDEQi/YUW/lAb5vuf BcvILppmVJdiOXftAXA1XDVAIm3AukNO7+z/e5f1x1fHLlAESoj+uPc4Q9gyzn4xZ6KLXOKxAfF4 4FvKF+w1Vtdb6kpm1HXLRHCdXmP+zTSF+CsRZDx49toRDs92qbL7JKsHCXMh8Td1y6Sbn0q/PLYH xH7KqBPwvzdrvHhqqkH1ipqQahFuAvOZhvcvv6iXwr6LedRAQGXi0FkKtd6rHl5kezlZq6ztYHrB llXcwCmMTLn3SsoV1aYDampV5ssb3bGq9Wzw3YOW9apmdtX5U/Y4EUrDHUBR8nJ6TNnJ89w+C2Tr 1mxMAxd6oU+5z9snNjlc2ZID5lNzFkshvBzklOnCKk8e2WWT1xnwQScsbTf9xTh3ZDPFyf/zMKCb dy/p/9F/PQ62s0rUOnveAZFS0zvtzL2Jf3R+rW810VUU4+faFWEgraTaM/XRA3i84BiLyP3fEhTo NvzfG6u60GCnCdaiGWnXILlkW/FhJ45ssg/gV+czPaXIkNqp3ULFPkf3WSs1yEGo1PvSIRN9n//I d9HvUrccT6xgEX0SN8H2GSRdY0TKALksulSOJyjjF7UqvezkZhVSiBekzWLnk8lTNCryOyGemOKN KZhsp3O0xtcb2ijm2hbf8u9E3PafnvsYw7wIRAPn5WunBA2a+TTqiDI5mBj5+1d6t7GXWeRVNSN9 u+8Dk9qHNb+ddDH33RzemNOwT7Q9HyDMEPk4sAtdANLAvdovfdhy/6mM+7CJ22KXYSJ9nLbVBL5A ojlZlkt1aF3A2ZHRC0hY/6uVZYpn3NNDZIShCP5/J+YVnyhBA1g/gr+UySm8uT9ezWYxhRIKjVCg 0VxQE3wJGw4Y6/8S27vclcE9IpVjmmPptbXWZW1u8FrkPQgNbI2TO/l6ts1uUqOsPY2txkL0kO+i Eq138ArY5OGGpbvDYnxPiGYU8/5iPAUo0XuFC9EChT4XnOe/3JdQFpphL5OTujuT8exrddJDuoM6 JwxbqXPnm46UbYzrl66moa697DvXp2yvhENn5flO68ovXzMkW1FyBBHmW6qckfKWerf27a4XqVTR YQLErA4aW9K/1RXoSaxDhDr8BTOb6loo5kLSrm6b3eFTqAOT0iQSFsb11WAg+hia2U5N0xxjku32 BVLPX2HBJ8CUpNzCZPYkIGnSuJLV1vEQkHJL3GHb1Wn3mfN9AVfRYGqAHWyo4u5UGq/6KgmIW4mb hPVowVBmopWO2dT4c47+gKMKJr3YRfzHRS38oTtChOA0PjTAGfUnU3Y5rqK9FC97rB5vYFncd+cC Ay17wdk6pa/JgwsNK/OscN1aV8Le4XcmgeBp44/c97vnX6UXZAi5vxLDCf7wzZjOi3Jp3pDTrrg6 b2b9l6jhY1WaQGzKlIHrOxibuRNzOoPsJt9iS8904IkteZdZTaJqTA3r7Wywg/H9kvTlhlI53nGP HMRCM4qhyzSrrD1J6qH/Pa3lpxAhagvAtDz5Wzfo9N8817bwfCVdTWJ48OmT6p/xN1TeNEd39M2T FJQaLDcsGtrYnBWgRp8nMdwITfaHqA70VwP5VpOl4lIpAisJe/0OJ/InL2lpgYx56VEk+e15I2Mf DQ8dX4JfJE6lhdwfLeCrco2Hlr+0ZyMjxi2hLHQrYrZdp0YEDyPCNACgiE4zP9rotsAkoDNXI+pe pkM+3dOsZ1SejmJSyWsPiTRujD7Bu/F85fLzpu/MDfuXpcnAqCEKeCmfCEoragoTdIMiuFGiOZbq GGlE9zfN/g9HWJJrCKrDoxrjSsVjIEpTTNFgY1N7PpYT93SPVCHIvGf/scRcNHdrbIzia1Ywlyw8 LjwD+J1uWn0SjLV2ljlwCI54++A6pb2JqE4548O6W/Jc5EtE8Q2pno2z0UmGWQrNWLBJwfmXSjBm Qoho2rSF3Z/XsWoUUnnEXX0/or2cAH/0MSaGDX5qpsEQCfJ/kKsd4i7wuE9yhXjvAljRBZgsYigt rTkPcJYz2H0GTwwaDOHrY1HOcmJumW2tG72AWKX1JjoQWQwWcljjC9AjJReI7bhXXbTnkT1BxdqC YgxVSk/2I0W737yLh7qGdwE8xzRAdmyf3fY+/XQ3euPb/x7UnIV2uFVZMp5YLNOxxuZZHJvSc8gB KaDDWlGHE1hH1vIhE5MOi0Q/lcdwQ93GxMxq5P8nP8UeGD/KbeEWWVFVRtMwhieMk5P/otUB7S5Z 9WzRGdYE6CJjVuXbJlwv2nwKjRKcyFYXwbOM3OR7MwoYmj6HdPbw9IhrS19Y+RWUqVSdVjUjjlpF rdff5qRxZLHKscWCNKDeHywJoiFJrHKaZZEpUCqMlsLH/XrYNnsXDfpqrs1EPRaHJgxg/EoW0Nn5 heocaVVqvTIcSuq9OoZaBzA14D3c1m6wBM//EPhHmLiK7Tdc5XcD7xKt40smAox4qIPihr4lkJyA xmq/jHlXiJohNDERwzxkzPNk2/gnas76JuLnh6pNGPPun8Owlw5tL8fC1nHKZahf6jOJ4Wey3rgF qOYpUF2QdIjmS6WDE0tvbKztgjJF4vU3S+AcxpSKb1kbnxiPglEAirFjIwhKjEtoh3nvapfT15so Uapoxs7iehUbE7w1gf/F26KkcTfxrDHHcnJODYxc051y2vqeSldguaF/m7/i74kS4mtqeUIVSj3h 4CE5EqtEEbybg9bUcTgd7dmn94cB9DnfMo5xWkLZJc0GaYYREIq5WxNnoFfTSmSs+52vdEdFQK7x eXDnGAOBdp2VJ5WXQotb8JXiNnNPaLI9AhCJf597VuH/aGZCBNcVjbImcx5CV4kKkTvVukA9v7N9 XiM5FJ1BAVvHMYlc4VCUz75ZMCmb13jCtNsbWrroERANbRGELMMDnFT/uDM/t+t1+HBsL6DjE1RH vk+XMrs0fmqczOip6Y2r5zjGWY5+Tf09SpAu5Xh31B+dX1Koj+6Z1mCclFGDboe4fKYWvsM5jB1y 9anscS5VirJu4a6maGIk41tMrucGPeBZV7kAA5N71Yu7ZSfiheWEKA+jARThmQ2ONE3Obsr8KW3I APtgZ/jaqRjlv0aAmple59DxMfAkHLPrfWXJ1hnqe7ZfBJOgeTSc+kPRY0Nr0gGvd619EZssTfM6 WhfWURs+7ckat0r/HMEQ2MVzFdNwCU9MsooZCa0baOfBtnp0ZfGLQD7eQNqnvVfoyfsWE7b+pCBi DBFiR+WK89gx5Jy0rCqmQ5a1+wgOLzZPgCF5COioRW8QM/9RTiyu429JIy9svdyddJOw63Xhw5a+ wFs2jUWQ+lMlk04qQPjlSsDI2zIuydnlcVLpR29oN11xQepzIAli0oHQqQhEqeov7Avgd0loYF/8 Xp5LeEr7sVH3vFENc3xDyOMXJiQ0730NCZ5FtP8RRZWYNzlpOyfvMPTXtLsqdiAc2rK77Oi5Xnjp /BM2HRRJW+6Zx0jhTT+swRsvTxgD5KSFa5jRTIWeu9m9UfPdNFE5g1vbT70ExKp5btbsyLb4ZfD8 JLE+4DhQ3r6ea6ON3ZgOg6hlkaiZgtbMU/CXQ3S/LG+sqnis7Y8OTqHlh7+odyG1Xddih8jAc0ye bBFJuNvwz7TwcPMYCrasvgB+Y+rkA6WHEWOKsejRSZx3m3NVNoxSYRx2YybUU0eCi5FHMyPTbB+L uV+1dopC/GoI7dNwpUNjbrLzXhxyPm8yULnWFdg1wvwHunQpyUBs4Hvd+1nwBcUSmaBFldg2M+js Fq7lt900/Rvw5ILmC5YuTgda4lJNd+CvYYbCBNYU1GkJGQn/r2XAn/iMd4co8gv66272j0PgdmN1 qKcsoEhW++k1L+08gOGdiCAtBwIz7u6uWpM9duKnmLYIPk+k5aDHxIYB3ruZ20tLdlEB1yF7QuGX +dj+1v2rp/3Z6kcMTuVuO53haEZXJEMoKG8ifRDm/Et6cSAGOtaMqK0V+ldvR6X2GAkSDE4ksR3x /8txVt+NpmuoIDuZSxt1LXPPps8fzr8Rm6yVP+6eLncTXwwTMfNrblWrUnPfriPaVh9mMPA9pBn7 r/1Up3ER0DYA5Dml5ooBBrfHk+B72/dzE71Q3ddpGJgbPSpZSr3zEJ6x2+QNNc/wVnrdzKLLI5+x Q8RDQLIvJ51Vpszn0iZ41WJ/BRLI9VIwpvPt8mNMce5u9++bI7caztCk0oghSEtnBmKnlah7aB+2 iKI9a/uiri+4Lh3PO1rQRDzh8aQ5DxBYvJPUsXyjb6JLXFp0P1Jst9Nz+DnWNWDmrisLQUEm53A+ ijXaL1stQKU7ADMHB7YKPdfyUq2oYJye8i1m7negvrnP+ltu6U+2gnWEMa51BzF8V/ctMkn0whjk 1+fFTqiSJxWlJTcYL0Z+fDaK0Mvcv0XuDOIA/hVsLvIGB/+5zAm5OVGOgfRoGE4p035Uep5S95rA h5NBLGYmFsoiIqenTUhdSPtHKR4J7LLVX8NvcVsONpynSNY6kX4A1/9fgA7gfi5KEB0aoelhxU36 F9MCJYx4tXVK0NlyB01IA3AmXSKoxZFLRYhzJzameBZta8iVHn/gFOn0CnvHIuWyAN1DOBmzjt4j AKMQdiG5wI74S4eVWDqgy4j+QwZFrBWE6+J9Sb0Yc2nS+VTfFT1ZUb7fcMsbjVDT6NS07nZSM9Rw Rr+JSOfP5AWcoq/7TsdU/2OiiK2P5tBUixDBkaLjT54EaB6lC6BYyjA+0iTAY1BRnvInIHQuRT5G lSEdi0pIVv4TDmjUMc8BTxrHQxkcxu39nbQjCshLijqb4ELOUTd5lwa7z+wuNS4o3G/1Tv9Xz6Sv y9Xtubk8cSPoTmbRmZBmrOzkhE5lQqaOtEOy+bDQdQP6qMmYNbeN3JEoytroDMMnNSWuSFiAecW5 5Eo5BxzzOy2Egfr6mR3v6fEN8PH9ceYKZhH+HMDZcZ3HEz3CKixIlqPOH9nqRA2VYzymvjSJMdzI +j5U3iLtKol+a/c9uniLcGrrntU/Vgo/XiF/3feo2oivYleEBynVzUmX4LAeuqfCNd/t9/V6zHi5 9jQllRfMy8wqE0FbOwCQ9ALht0V/qCinCK+PNcgeStIYmambG7aidzcKJNCqChcSw3b/JcK+mJNP WcnY7tNrfYp3M/BvG7No+/LG7gm3fjxyr8VEpARGFElNIyd24r1I3Eb2gJPM/8l1H/l1i8k701ly 3qoHkPlayFqQDyNER7Ta2jci0xCZfrTXZyTOne5U3NfmD5nKB4qgEwTbSJEOAoCphueM8q4xAzmA Jk26B8UUg62JfO1vDm5LS6ykt4pflBPJGYD40A5lBnAh+7jkTmdV8HPNUndp2CZIVwRflDPRBhGE tqRxfcxJZBqxY42xu01D6x3k5PAgIs+ER766D8H/tQGbZqLiibw5gqynsjQ6fycp+dHHl0dSbXjy iuNRGGCIm4LovyqwU5gz6TW1ExIRX5m6fo9f1VhR0P7LMv/+QiDoVS45f/EEThOv5t8yF+cnwHPP yCYA85eL7Z/D+K0hwLP+7OAUEpFSQt/PF+dqr4lsAo0vP9kRD37Xn7PHEYVyoGOK/KpE2b9Y7zu9 P7x1cVRDkufgCoccgdRFcx2om1u9B0xVZIK1F+Z3A+zwUqwQ/V43hkArFzibehvXBQsqGabat3rw VCjhtey+1mpcFcYTGBO3260izlXXfMZM4VdFB7VHGSqlZbA+e+NlMAuuOr+Y1kmMjinWuudHbOVC aEXX4i6v1YiczvvhFYz/c/wCbQHA9gKliCfMWZ3w5XUl6GD5OU7q19Cvh9MA1+QTZCehSZjiYA/p bXBdNwGPttLFdJbN0k7vVwdiLquuLBgo8oZh67/wDc5f5sI2AoR1yFmSKwxaf1zDhyyGO8oyJUgG KQrs84iCjB4a/v5EtbqE3446GXHp12noauYc5zFRvEIRNnJPnBBuM3Mnv91Ezz/xTdxCHzEkiLvd 7+ApbHt3VM1hvsH24ApcG8J6bl1WMLikKyqUzRNaAjiSNn0eUUxcuuSvDO9+Z+6hY6bE9b2tiUMx ksJ5WaUMsvXpFuQUfVV1FVthmb5ER3kdKSuFid+v/Nrx5dYGYF2VCihgRlDhcCEwgK9uG2qwMm1o B6EviqLL2FbRfeskG24dBLvNOSDkDr6fiY7rRyMQ8hv2AFm36A5DDL9p5jzdDCS63RSs8RhgyQbd Fs4Kvyf7awfao/+sS6+WNSPfizGdQu+BMIs//H85Si2UfcmF4MiH2m0OAPwV2mOJp37ZA10iK7fn kZlbHZn6zbw2P39CHqw25eXF34ynwAp/c3hKX8ChVv3qLDO02uvi9mU3lKUPegbi/893LInwRLjo cOjn1NVHG30pBetf8BAhZ61sK3cQMwNmlr22GfkKU2XAzfNAAlvnhr1fo5v3lKXqJo0GtlgBpBO/ uHAGrdxS+cSXYpgqpr8X0BxN3q4TzCefEE6yJ+7fVDB0sE4B1f27uqD+yONRLEJlF5OgAA5ki51+ CIeNBL7PtVvqYRJjI10b77ng+AOoD1ROl1zQcR/a+pqJbYYuO8e+Ha1thvHdskOImS7JiBFxnorc QyiCmZASAvEaZ5tZGlNg+HM/xleq/mwHk5ddmkdgfSaMa6eWq5arxbdMTNATIwgR6E+0EQAaaA5s t2yvIcemYtgn7W5U27orMsGJFCn6HwZYxXyXk0Bn92EPH497SsIQSvCcw9yJ8cqshiYp1snU0pvU vtYl14NFAE00ZhuUnqaCm5JG11sLqGMO8QexnTMyNryPt2MevHYH2AxHciSnU7agIqE7zFaP0kHg kENKUy2e56luiMxG46SJqEQPjjtco4iTNj54W5meLnwPXgMdwREH4kQ/77S9fh6Te2xnhvVrnzk9 vGoueX0ZjWlFv8oZdOFA53NtcUhNs37FduHu4+WRigLISwci5V3KCGDnxAgY/UVFGJo5/r0XiK3c piP7DNfsF4Fuxh3k32fBlTrsJHGkqtZ2tD1TmEphVsLv3vTeSiGcfaoU0UkRkdMxbTpv73nQPmHP c79s088NiNMinpsc5QHMC/+AHiHoA8wPOU8R8YBokmXQNx7flzwfVA3h9M62hMdHwSdTAbh1R4n4 zsfoxGlar3GJakr5kCD3bhY+jsa8aBxp8fVAzVD+VFPxh+o8nguoEvn/RLLFZDmJBNG+0tHDKZ4H YITBsSgK38T1McsviBMe7vPX0qPwcpGUEGxzvq05bn7Lgicc8quffk+xEQIj7q1x/t9Lrt/6l4B1 O4F34H+OKol9gHPqApzmkMAuW3p0VSExbXuunucznDfI2yYr6d8/QyVhyCa4JdGCfu21VhD3f+kP BnfytlWQL28wKokypomJJFJyEnuADfTlqaJAjd6V/1dm2Vucw4AnnfzYmHuKWLfxLjEH+9SIEYst AKIILLTQjttVtpSQmCxV4F+KS+RI8LzJEQ4fmPveUjGQPWcHRNcbqcaCL2Lso/dBQB0QvhOan1nK 3tKkAlocZEPZWTNydtwSmAgG2O/PTxxRx5scgC7YmdLayfPpKmIX+mtZuHJOcLYEYC1D4cvG3UFQ Fuc9YYLNS21GHyr8OB9WhQQ0SLz7T/kjotUKvoqytsNql1YcJ44vwkxn67IYGiFsNT1oC1ery0KR 7PFomyIW+iGFT6p2I1kXmPsegOW5EzQV2PBk10KA2pGr6hlM1Y2Gobp/yF8BK2nmbLmCmHp4TdV/ 2tTXmKkh6W8FEUSajXPqG7X/dGc5MtDM2gxdDg890s/JikQrK3LR08TmQYG1A5YbZ+IPk0h+sABP OiVAKP50pXtb3Eu4O1ifuDpuEfIa58KxW8xjGaTB1EP+bu2qSTxm8bEivEoZV/kPQws9xYU+nU46 1Pvb9j8kLBf03YJIuHI0NeiuyFsiAxU2ZZaLoMLz0gvL+9VHq/rRLBMqqusSqwh24tAnFe3/bPNB DjEWRfwgwtj9VGD6MxgC7cTLbmSgPxKzHbyHk3Zy3iCg6vcAJIDjBF2D0Onq8iIaZ6TL/R7HuR1D 2mDD9boK4Dgkgbb16pq6ijojLBtKvHP03STrmPFPLKok62VN0+eAijuznCnb/WfSXaESo3saOqx+ D+07lWpTPN+wzRpnNK9tIKJ3p2Lcu3LRSijkMxgDtIxUgMO+V3WXrkbJpEgdlCerSqmOOl9gqnnU NxQ3QDAc/ZjJcGg6f02q+JpCKYWWwycQn8kH+61u8cDpF3f9YQSd/4hGgluszvX4gqP6tBDVa+28 GSwW+hc8pySn0C8sFjq+y/d0bbPQugIFrwW7lTRU/iDaZcWDkxP0B6+tZKcU7h3zkA0aUo03dgz9 7NEh7VauPkmSaUYxhJ+MuTD56FS4yfFBTxCPvJjMbanGyj+iAVQ6GEllFBojurmY6tzoaU9YmCJv yMlhZnblAblo2aD8eJbCU5jwbBNGLQCdZer1Kom/GJ9i532sS1RIvd8eJPM2grrNGg5qD6G38YpQ Aifg2DjbDr+P//+aXiX/IHni2vUofas/daz7hCVC1dtgPMQxCbi3XcAPscFpvIMwKaZ9CP8Wrnle /Zb6p/KKhRksToJVpLUCKBAO7I8y112lkCw41zIBvc7/mgleO16rFRhPXUq/nwXX0HLZXB4CvVq1 wb+3kBXZDH/SUy8RKnxpLMI2p8eyTeWRcPPVmzO4YODrG/odieFCqI3MTcZQA1ZjRVS2kI9sVc39 oA16EdLwEHSeBtI9PVONZfkiMCs7/sH2Mqz0yQeNr9Yw7vmwOGkdTTKX+ahiuvFzG8h4kNetz85h 2hATh//vL5WLPMiiJQnTCx9u98VWil7gF0MOha/DstKstomPwsM/aYq6FX8lhSwQL0qp62ldPQq6 I+Sug5FFTTKZRR/YEJu9jdSChSs2HxKrUsAuve9dAVgfFli2fwI6ZA1iws1R3ptPr3M2qo5RLjRP XyH00FwCJMfKYa7n35xFOCBlXeUP2fXnQoWR/Dxqh97I8nwTfl0Ule1KnsZVy9YWgDWdeAvgJ5mE SpERj1CQu/eiYxH2Hgw5DROzHq65afIA/avkAO7jvxUmwkHUZ3jgOKZAw/K1Mv3KsyL1enp8Tv0j GLkDX5kLgKLE4ifP++TbRcw5iiA/yNRxFTrdj4+6Ve1RidM/uNj3ATgK8/hOQ6dnW6XM4FhvRkB3 GWiAGHNObJWBc5tqEWdcJxv3m5jX633vd76PS5/R4DNA41G+lMvNHYlEdq1MRJtUPSK/2lHi6NWt 6RQN4U+B5jxXLi0pPAdRPrU+F5SOvxKqm5ROfTvlls6HgvUiMf0hjJu9pV4oVlnmQXcDdDZIVfj3 opCrf3W1i9bw8OyV1+IhSY0IhunlpMJaJPhI6xaz9CLrMDSO5RXj2vnAy+mc69njPeQXpDcpX5Kw ZZGx0KWbWWWuwfBqG7ngb5lZRnKaj8E08N94fxvQrAU3fxpxsww96FWPCpAOoEd3ecfpGGffMU5J Q0Q6Fs6i8CRs/t7l0yTqxpp/Hgsvjub3hH3Nf1QafbNjwzSUWQUQBlvzSSVte7TkL2wq2ubxbavH TKvXLJd3vMGOF5HKpYyN1hN4sAZl/v7PZ5kjtuwhx4R9pO3gtrg1Fs38UrD7jkT6mpq8eIZsGOQ/ EUp7mPS54C87bVCGA+6QMkjLU3KM72udbCrpexabBzBhEZ5p4ru9vd7l7MP6FG4LxyPc7VS7vrxj 9AJa6qQX84khOOGulvgC/DdoXTMzFZ3ZgZphjLdGHO0ycZtIMpHt3FegwJ24WHVm3Y3lAHuviufk zUP7EIZzhuxh9pYPAHqnXPA41RJ93qr2YxIpdORIv4LqgQxYXo4dTqKBl2C9zfBSo10g6IPisd4N dSTb56CeKKK5DMCuPf9zVBHh/dguo6D51JRc65W2k4FrD8/Y7ZQCKxUxwRF2FEwQgBTX6iKAzHvy keHqxtCTDIo2MDYvb3OnGxhuTiy446dW19/JkYuWzYn1IAcGMp6plR3lF/0JGeOwbU7C6sqQgcKs oZh1dySd7MB8lHaEPXKBknFc0liphV9cHThfolYr7KYTzPECiFEteTkUB5wABIeBIhhuPzGWfcEW PD+w7tdzaHNLYdeUMgs5CfekdHdBv39uE9gDR2jrRQSFjH2VRJbPrIs3iXZpme8JIQsYrCmtIYKp Ej4rYmSglIa2HNCSCeI2FCFUYXbLg6y/Ei5X8OPrfjDm6iW9J6OraW47bsPoCFrplAuOe4xY36yc MM0RP3JRj2jImdZS5Ob81XFlaIYKQywjx/pkmB7m7BWN157lNSGKrjPyJEBFfpGiRqAIEMravB4Z 3JA3uYuP12xIAIQmAIkvyDH0no+eZ2Nf00ZlD3ZoroM6+8AwMVhwJ1wAzaELGUXFTu0rHvG3/Xbg 5w37bb4nBwzFhT9KY3Q6BAJT3RzCxKHgk9ZMBIvVRXMmW34zoBLO2bZr50XsWV8z645MT9XCmHDP lpzvM963QrdFeEW6PJvKXbV1nfOx6d9FHYqTJSRvH7OBPsXLP7+qvLzK/urnFrIk/z/oRkKiWAwJ nS6Kpm7W/g38Ky5kgoM86bJmNEyT5J6XnsljskootAmiXbzIpeJmM5VSMt+s8oK98SQ5NUdT5K9d +TCC+6VlakjzDViAwFaJNYRZh7ZhF2Yxif2QQFPs/VZtqirV6r3j/mWhJcSY7ElW4Vf/YlNLWE9R 3yvtlrChXL+TF7HKIs3Y+Ttth7eOA3yDDwWxiCfbzKQf4om8typ2W08HQJX8Iv0avMv7QGnLkC7f ffQ3/Qzsu9+XbXbMACkLz4WDzoqLiwfiPD9ymYLz1iPgYQfEZ1CpF5A8j9N0a9SLQWbkk+rX0PS6 TdkcAWdXPdRomM0KBF0oHoT0NIKJ6LcsGov3bnSquatbDqOfzbJngDjQLAJ6NvV72pRVTpEGyM5B mc4gT4p8E5SssRDjaUQMphwnXRI2IfiQeu3UZ+5cUj2JigqgCqEMd3+HLDAt9UVSELloegsmnnqw gFid266yvlw7898CfC04k7p611dPD7wJTMshHRwjrS4MTz5CWvwmoK1vJPjP3BKGGMmz9lD52iJc IYeRqurvgqruUAb4ljC6knTvgQEbyCOmkb5v3LvgObRPhQeB0h9u7Tx2UY2TFb3wrBq93od1/vCB lfS9F7ammYxX1hHNTZBj1YjiODh+V83z/qAohbjeiCxvcfiyEeY+kAbES26eKpLpHuBvPF39guoy XgGUaHpu+HnqVlNf+FF/79NCJCu/P6dLyyI6yQfzY7z7JBg3JwPo0UtYfcKT9vg/LRrBDTwUFVgr 6qP6S/jxoVp+jcn/eml7TiWNDNn9sd0oqfGppvvKKyycNMyn4VwfrcaaydgvLJ22eijFEJ86P90I gGr1X4G5OtvwXvbXDunN+JHadEStDkVLpEQjtv1jQ0ZWIk0gXAVUBMeXM3UpUZ/j6YP6BAj5BPJd rJZLGJijwRD271se/NE47MbBjqauz8moRMzzVhtS2g0tMWAYBNiRD6PUOnDkL8IvNFYxqRbVoy3v NpUNoqZPeFVY6Xifwnno5OKp/5awdCEN1iGyjB4L+svarWaO+Ji3pAb7rtLJ5fn4aMFpLqFb3Fjp ihHA1a6xcoXqz05wZhi3SHF7trS0e1+yVo+BkELV6ZZ67QvF0/yoYAu81UOl2oCHHUsaAZ5BAqVP D8pvRPPoGVdxh10tg2NRGNnVq9HbWY0dYBuRdJOtuNNiWCVD4M/Ennk6/ZjOmcokVQ9BSCv4juOI 6g4HMhxyBqV9pMOu/x03G0v00pG//WH0MoXDOAWWqXE7iix/rS6ghLpCAh7aSvdGhKbr6JjCiQKZ Ek7gTYYFBU73tNejwybpO3HMyJ+5V+kRl3p/5/noVyEv9BwtM1qCV1DvvRPy24Ng3DOcL7Cni4af 5WdFZ4MVDRQGoUwPHE1Eq/UKmrZcFqsdP6sCcZ5qOw4XQD6sy+saJGwNCdL7Bn8DvVKj27uEQUsP JprkVybBpUDoavViZivsY7fu55e5UT7tF8G+vhK5tG7yle08LOr9eWJ5GL49o55WXNMb72eRKnL/ meOPEnTaH/NLCTp8A66soMQKYsWZnJIeHjxuDyPhvEf9ssAyi+tsGcbexdGzgTFC9teaGhwZXQFJ a9S+ziDtIJdKRv7FGc2ZmqWuHs0rLHuYT5wlfC7Z/QYYR3M1YrFdIhTxAPnxV0Sy2rtvnIyeKvns O3QQ+0HzWG1I9I4hv5ng9ErSSZ+ML06z8MgTg7oyXo8BOOwRGbWY06Q65BbrVZauXXAzlO4YlNAg jdZ8L8LVDYbwsyyWoIFWjHkRazKGfS0wBBHMCwz3bovgA5db3A4/Beo5Yf9MoIzdDMwlzbxBpNSy hOguSASuBFmKFCkScV44HSV8I4SpHE6pn4Qdg2PAGu/TyP/WNObNd6shPM3MbfAGG+n3y4kthbhf F/kc6dB3pQEsUiaHgi3oGGQJ+adyEK3TOt9eUH+slDWRM9rKAko0YvJfpJEjEdR/2BccJhL1XT4U ojCOPwJSHZxnF55wNke0gJb7DbgwPrL7gxGtjlGcqCU/QAV0x+f8lAKHEuEQm9F0pxXFMYOUansL /Zmt4zaW6cdUNmumjAvNB0RlWo8LF7zUANTO9Buq7zSRyXk8t1oh20pa22oUvADnfgNrNaabaak+ AK+zRCu0Kv0WK42JYW5cohXw8JAIFG3x1RfKX6RVfKbQFxRzr+VJG0dzQ+LbUZKPvAKcMau3qs/A 2WRIEl+6+eRUKcinp9dMyuoprQetousTdW5t3m9mQPcAyTIECk2growF3KFENNb7L7s61LNan8YR NMXD8OBKvHEcTkw3IPS8bkg49T3+wjPy2haMmpfCp/CX0qxt6a6WZoFbD12dntqUC+cY+MtV0ZRc 7MnBd0TWTLAsM5EH8FJS8q81WGkKSj94ij6qnLANn1M0r96K/GMMJit+cOUYYnhFMoK0TNyYLlmJ tvLv4gI/oc2BdgEpZ63NE81FwGXfYuKFxtO77m1Cn7miNUWqk/QX1lThhB6+ez5uKKd65xF6EhaX Rtbj2E9F75nbJIt3wt8W5Otu+1Tjc1KIT+OlCrNtIg7GTqwAK94wNz5vttBDxCBmIH60gQZgwggA US26D/hBrkXuHvMsqsvGs1KYDRjP1jpE87Nt2IIJeRLx65UYOOMq8g3Vxo6hwj8LJpEozz1mqQ0t 7sE57WTMDW75hyon+GYFUvyFIte2h+XExUbbJC4AafAPSII+HdojwoMcj8KcECQFj+war+SMWlLP TZhEoUzHusTGCpjrz6E+9Gg5HG1s9Ce/CyTyAWmLqA3FS2E/b9BRRTrjb9QkXW2/GorPVq3cs2pJ fgcW3RnxbMh5mtyECkD0cNYnE1wDWWeuCJnq60nqNnWBuW5YkLETd/xug3d30mthnl6DHc9AmJha FIhcilmp1/6BjzrodHSlK6hwnTW77sQuSI1LhvgXIHNKWanFSoOxOxO70hEOPac1AtrynxgwjYqC cNqxzwlYzOnWLQ/BUqwYIUNwX7h5ips7CjHh1BP/QbiENDzDe/x3qCDmoAihGQH2ZZBAIb7N0AkP Wh+N1HcKmmhLGHqzzN4EboyMpNSkenTdRl7nV5hmBGyc0kmPlIghqnm7gU1CBZJ2Vpj6w8TxXhDC 9UkZRpVZh5Fstf/uZQc5uuSUTE5/tT+DraQGme4B7PjXzShlbJ7OLbcXQDzH7fnJR69NyHIGgA5a z3acmL6u/bum2tyy711tTqovNkMBRL/vbtJqpgqjFQkDpbDOLK1BglxixGvkBlP4G84sgoybGqx5 w9kuUazjNCPNHYIG5GSqSATfhFeorAeOy6bL1AvuBkbFS7PssBVzN6E1oXR4A6a1smSgfgDTfn3f QyIa2Uci2/QcLbzOtwRsreKtu67fLSsAkXiWuF/DTih7FJanIs3Jr7+UF1MxB9508Hg1NBoTyQOQ WBMPaFCNIFmc/OWgEy/NpE7J5DqGn29/T9qetcjQcpdZJRvYiwW7h3o4FGjVzUq34NeZfY4RbHrQ 6yEF0mYY+DaXNJL4uN87j254MCknQ0sTKoDIZTAFWCOCeL+5qJ36muPRgRLAB1pb/EINdENQ+C28 trX5hEQFHGbkTYdpob0PVpasxdWgMPoPi5wv6OSp33VDtuIq9UybAj8BPj+csJSxuEOvyb6LF/kK 38fMWR8GfHeG620hNTR7EDWRSEdLCJ2IVqKBIQf1dXRMwVv3w+q4G5DufRwcQCER8T9Kx+aRA7a8 RH1U6+a3GQnmCszFX6VvcuMN5gR+/c+GdPboy36kabQOAbl8eimLFvv1Vy2TkdmX0jg3sdg7cELX xTa6cOmsze9L7EtrrUWxUWXT3vdj5pUGw4mInoJ2gR9AT2nAKMiwUdX7Ps+i7zYg8f+xT8xgQGZQ 20U7MQUBNyhS1humqDJdDk9I5IcBAWeBSBT0kETQRnn9In4iPth/ru1qUBjB5miY6keHfbGiGACO vK68imEqbd5gwPXBY7QCQ93YF0iBoVEhFyms7v1GGjGe8Lcr5VrB22ORuTow/zen1SJjIV+8FVMk hll9R2ifWA/D08MR8uA+2P9pNFafiizLY8ap3eg46PfRHbrKuqDc5V1Z4+xvi2a2sJC7DV4Aav/9 UwHavhKTJRgP7RBf8zik/uYSXu8RDT7moa30X1aU8JjUJ8B67K38UuQzopj4SkSx9wJ/iX9TT3oC xyQssrGxrJ/X4h2TSZV+lJFHYQAWBol/cSKJhN47jRJTKrXU0cb7x7qJugjw0sGb6ZDIskkqJ0Lx ssFnOItgx44lyPIlpanq1AhQlYAqSN/pUbEKFwOtpVJ3Bl4upzNf1El0nFgebnk36/Y1jEwhThHa 4tGz2L2tgxMqz1MhnbfSS80l6BWGvxROmkfSiEsSeTg/undL889acaQZJOt4dc2Vm+oSroZgxtA2 +zK2ZLmScCGR2ASJZ6sDriPuW6Is0ZQPTV2dNxOiRcGZWFmg/vi6c+3E1grn7AEKPboI1bTxeN0F V//LBrlVpG/RAlrgeJz54Qlx9cnfLAgcekLqGdZnaUb/YcUuIeJIQUWJuEHadWJC0taoCOPyoSoZ womfh0Y551zL9f0zbQkUV/CambXo/EYWUJa9ylEpu0c2+AMH/uZwoxhr94aff5hTGRicxRM3zQpb ZIB7BlcrLZofeBgyeK/vIKII1zJakhK2VirVDsExRflCq+T37h7+1yPbMdIgiHNV0m4aK2Sq2RSs u7US0/G+JiFBuXvCoFMokxyf1RDMtF1a3rvn/KTvPPjBZWHYwrgGIlOcyprOTuZUWLoBPqxsyu4k v4QFgEw8LYFYRahAbcpopQvqoM5+3kW3to6oM0EkD+t7GLjen/d8JamkON+I9bF34oFuR5UuaOEb Y1TsF1nONIcZ1vzeNUpTmiCaclF4eRGqHPxc7z28oGF2nNKZ1ZqYBvxEvV/3JiAmQEMunsVZC8ds LcrXXY32Azou/x5HVb1AE2c9KX857vaBjCRE8lewnwx4wuev7W05m/gw32pJca5Ov8Zt9b5oRB6D 7PLIzpJS5xxEARLtPS24knOAtSOrEfYZRdiHMwjWqLBv+OaTqboy1y4j4rWPNALoS/1tQ3REYs/C CKJw8CGPn8hD78zNCwxTAbt5ILS9+wCRwQunsZgy/AbJjB9vEiwmElJazeNXzpOndp/YTKF1CHQ1 xYpA/V1H14XrwkVFAkufHnxZsqQSzTJ5borlrQhxcDNzaeBIquK/6V1AGGHRgwO4h93+0vuOWqBD vqdUFGDPrtGZvQwX3IoZ662Ntktmr/KoiZZ6xr0+LnqkFEXFXJDqGqTAMETy2PtcE/nwmn2LBxcX GZ3Hg6UtgpUMWreI9h7zEgAyCa3obkpfS+5dJi5H/DAZQr6waNrgva7dy5NwEHzy5nhCqEpokF6b m/M/t+KAcyTkIF1S5ylRkgEozY7nhE8znkiQOamYOEjWO+4yKwcGjklIqajEuQWS128PflXsfjSZ h7JW7p2YW3KG6zySzABK+WW4EmrBYN052ypy2cF9uh3chyhB60n2FNU+KaDK+8afnzB8Fq0no6kQ e3UVSRV2+K6fsThvMRvDGKB8zU50Mcm4mT6Tde6KB7H3nrcml5yZB7ENwjOpUsdiCnXNZdOOX3P9 KKl+zeUPTZRzxixviuXpQkzwUPR5sGJT3Rv153yN6Gjx7kTLUfA1/XUsJB7GDfR0609RKailG+0A AdrFnt/r5+BI4rdAhWf5k1zKJqLU+BOgP9HoLogONAsJwzG3AEbl/v+y4CXmTqL4r0NIVes/GF5q hpqQG7xaXUn3hGLnyehIzHdBaWgp/ZlMvsMiS8G7qT5R8EYvZxIN/A9U1aERS/5ds8XJHHu4YViy zGoBdDQPafqPmPvlrpnoyqnYYhooEWvBpEhVZAok3vIMg3EN64crtM+g7XfJznp2I/eXtz71MVmU Yt1Ig/OMvH0PFYvT2PSRQDUSjS4G9l3D6IOpMcLdwCs2OJsXeWWiR2CtA7vdZ8SIPDlDM/5EFNg1 w4kFZVyagrbR0o/IUpDk69F9oyGmaoibOQav8C/0ICpbvwt+UI3PW2llVTj4voFiqAaGJ5xFjK6c +YGzS6YfibL4Ww2Vd2yU0PZ/faS26mZJKlxcRY9T3Rit/jmhNfJWrLwOu3noiN31oe+ZI6u0k6+I /HUvIIMCNV+cmwTiZ2YwdJgFcxSeMN72526DU1RMoNP6QFe8i+lmqb7JIReaVBugyjKqYPidcLIM mDDX8ece5FQbIMdBClJvj2UvgPHoALt4pHKNm9M7IJdtnjcUade81AprH2L80vY3lElEm5gZ+4as n3OWEm2Khl8znPaZYaKMJkYQ0X8M4OIdxb2qUi8Zmo2VPN1pr+tu2OAgio8wdWhCLTFxygu7GlWx smWswJ38QhusrykODGAl3CZdkF298GiDd4lDjAdqGx54DbkUDuz+pZEbkT8xV2u/8AvhprjaU4p2 Y+00D0j3i6Dg7wnkrJgUw0bUKdBNprGZK2FUQJhXAs6JZIZYIrJ+Girs3/WYV97q0GNnupCfcsgH jQLKrke6hylBJ+RciqjOHG1QeTmCDfBPWuyPPJjxOwxNoJmg9bXsx5G+uwo9kbUE/FmYAC4P5bs2 VPKWNq/ZPGPWgJ2Gc86x3xTWiMT0PQ5vKxgJ8Nwo91BZEtiBQzjlxaXFzfzSIV5aB/Enam73Xc7O R5KRANHpl7q7ZQPlGUNa+qILqENGeA7k4LLw9C1H2ruGZcKJCmFq22C9zDV1MyVfJ3YD/4JgloPE 6owuL3UxeeWvxKxDWfde+bXPj29urzv3E8Ez7ombETGCGuhzFPycWp0w9231fkSjW5ZVE7E/E8Pr +raoLELYr30+2gGfbCaTOM2LA6QNZSNUM6B2CRQh6aYrEEeRCpXodiK7cQmF8MVsQaTSKqWD6eNA S+1OHpS7SQ/oh6CvOOxL9FV+pTqR1vGKc7NSyCtR1DcHOflJelqKZ9YH2I6jYB9qYyltno8R1wc8 20h97Y622uiIcxWIXfyxXUfbl2sSws8x5lUPwZug10FKZuDqu3U0aM9Nb0EHotvlvUkIebbm2kta Dc88xBVgFXXkDdWPClPCjuliuJXec/UwPrwV6oqQ7vNx9SAq1ZfTYRKy/OgqQtTx4LwTfaS463Cp Xpzluo/c17kzsaqyoNYF+dllGhY6FOKlZmMfL7H3d33wWYH47fvg+FQFx/Pl8/LgCkEJCTsru+JJ x72d+IytIUt1AZkFdcQYJwygK4WuN8ZoLnkoncsVmQeFWP3lYdCHRwLXS2Gu2+1B7WUxu/A1jfaj KFcOKLsym8BN+YFDsqPnRFrare3P9LLEb/xc0gyI+Cqg3aWFrF9B9UbZ2sUTjQNj60uAkzwz3Ye4 pMv3NZjvbHlD4SXukFLkTvOqVeRlybS3+OoCGh5zxAgaEODFrBIYztRa+uNuBb1+LXWZlI9zABw2 1+h7f4ofFTlFC1/VBHw1jTHHDNHqeFOj1hnN7uVmW0NCgYBjSyunIONZ8wf2Y6NL1Cb+A9nBMzsi SCt/TyZpaH8tq+pYTEnUzERCO+k07rLTH+C4KYErbn1VFoFr8O/FtrZOtQ2g9E5KyEZHxiaX1bwx UUY6QBR5dk+0xxBsJoUur2yImwBHdbNfqYn2Sm4kwE6uiaab4ojqRJoSAv3M3iEXYkxC49tGV5kl aKhGgrQEJMC0sNmNm3AMv84TQLkWvB+pjeaErTJFFLLBGpMHoqUD+I03b6ypJfHaE8aBWj99EZdc tyjU0NARCR7hMhIF57+tAGjvDZev90JJQmEX3FGZ9BVmM4ZGYr9UZzASraA8Ss9pxz7o0wlBfxqS /1Xm3amsPFIwkCD4mZJ2UKvuTZ8CK59RLbvzFeIan+eBt5NrTqOLm22vJ6+7a36nX+InDVVw8PgE lZKI3ZUcQzFciiBUj4TXW+lAzRCnlt1Y6ABeS0JR7NibX9ASX5dBEUHYnWBtXcF2dl6BOPhR6QZe is/5pzlLKzhhY7l6a9lkmZDYL/BoATSzVMODuu2zzs7si9S8EJqL0Fas07uu6v5ZExKqfeFtSpRS E46uodPAcmLt/Ue62TgAFcojeBFR1sHXSxsYzn+0mUhNyPZ158sIbhXY5KZltLBdiBiZfacCKG6n mjnlutlfCmZDZA7lVDsI87etlLYtD1+nJneP5sm40IEnZEq/6Dc/EQqjAgXjh8GEpemv4pdX301n bwePADqlUofqTsWeiJmS8kVdV6gJp1IKtRjjOEq8ysUpHCPIcFJYM5AHLtI585jzukwm8LoirxT8 2m9ueJK5rL/ZAWhF536MMHbIAhqf4cnUOKW1JfopFHCdWIQ/kBDh6AX3gXvIN290Tf7pewTq/bXD fWRqYCOmsQLa0YzmmAU7XN7n8rwrr3x15DNwZeCqIhdfbBIkamAIqzfD4Iys8ixTAzOmonqGYJe8 3ZVQa4xaLdYJJ121afySq4luEQTz58GqgARkcjw+sN9T6RvYNih823ue5k5Rne5vJaouPYYitIt8 FEz1RCSVn2JHlR9eZifkW25h6F3v8V06tztz5Ox56nuGbD27IPS8QqNgWOE0mW9ez2D4Ukg1bV8I i+Daecadb84erP9C9Wc9HlTGR9bOOWhBh7CV2lvOE7BbsbMGzNSOnRETx1T3QuvPyYKXpHGjaJhU 9HGQBBjhMxSYiWe2+OgAgYjFUUVg6Jad0onjfBs8NDQmIz59euB5fRGUr4ozoM/lNTHbMaCeelKV Qq3h28I08coInazO/FsJbb+3ksqUqkVuBTWGy1CZJwNz8yKobMP8bLiIJ1f5UhMBfMnjFZc+lQ+u oEwfIy1WPj0IqVPs/q7u0HSTB05GoUSEzkqLZ9fjHh7dJDdTjF350gIDHckt6xuDCneWGWdSoqyH 3hGgG5D7j0aQr6+jZFAL5WeXxVYZXxKJgA9P/B//9dVlR6phnEpytUrib3Pk+ZSlEuNNVRD6lTBV BRY0QrToAuRBqXKeeRWQ4ZPb/FHSJiyG7JRFZQuV1UilTRka4U2wgI1sGFnWzS5QJmiMhEhZqZf6 TXkYn1PeS9A4bjgynhIXQwnSqlYmALmDcnzjx1uaafaZsTUu1xJz8G8/NqgjuLyOBrw5uIaQaxo+ mX1oU36dtMQjBVV3BgkgnZuAXhGpwZl08tMemf/4OjGsuiiExO3KdPIn/c08olNbp63uCwSfhlnm 9TV4x/UsacSfmXM/fnsFElRoMpwr246UcChUcNpEusFSuKKUayYC0M+rgecwX4ecpIDdPvjPy7cV P1MKv+C+htcBvBHYa9433r9Au4ZTDpIXev0GnSQGDmsXG8V6/JVOWZyPJXu5f7dm3ofGrtVWwaH1 x6C0k27ijbfxnu7rR6SWuSkhsB6NJbAZ+4YqD/sLPrYDSHHQYyeeQy+jdUs/X/nZF6LYyu+b5cP6 8VGy7DidchntFvIilieLJGB2Ab+iacgYIlm5SOnUTjjOJJeBDmdKEtOf23FrGhvPyIHEHlhPqeZo vUjPsak3kQzguxvco49bPv0V3IHqAqGrGPfxgWmKy7NI6fgmwlrMcpYboYngLtZFSKiPSiteKen+ gHYEciG+LkL+XfsZHc/dsIyRabamE8SzPd5vD1Zy7NI8y8EEzHFc0dOvvmS+Y0zmL/DPvNIengPy +xmPEajhZh/QHN8bb/gRawozk9xoyOIvi7GUFpV8zJgVlSvvdCSNcV+RN4WzgcsNmpNvaUDypZeg 3Y6zpiKcx+pLJd/2o64MoC4NVk50/iYLSQ0zoG0syJwNFjbeZIAfhmevvag/+PhX9zTjYAQS8L2z 5jgNWhR3P6Sw/DwsaetSeOgDHLISydhgXMovjBzATtGQshLjYpQYsXCojXzKlMrobODfKWI7wpQF iyxhj9iBi8DxxUEf3U9nAZin6+Yv0KC6q2mzHDzVfL1kQLHueSE2EmDNRpya8wx0tyBNQohD2EBu UohASJhw6aZhpShbtme05MDW5amdoFQ/Djrc2SvYCBELJ2mQFO4dwNDaxKU2ByQIW5OgZdMNjksR 1i24uL5nAPUhFLbIs64FMlu7ejt+48ycn1RXWs64XMM5ou3x9nDf4CURZ3tOEYP8Ypmqs2Z0MdOT Sa3tfqqnbaqZUANuW7fn4yK6x0UlwWXJzCzjU++KdisprIDYQm165wechrkhURgmyoMeoAFCFmYO 8hekqYN2U+9lRjcl7XHojX9zVh8dmKiF7nqI5BAfmRbvnnK2LMnEY/1qDKT+cowspBcqysJ2x5Zr n3axd6YsgKRSM15PAt2sONMKYvf35074niS0GBbnp5JqsyVhFi+h+eDNk2g85Fuc6k1WzV3VgJsM 17e0CK2ortteO4i11b5InBDZeFa8lE99FsLfWAQ52Rd0jkhIMAG2zWGbedBlDvjfmqxWGIO53V9l FaEf4VGDy0pC8vKVbUknHNpz4qOS7LOAwmXM7rasm2yReummE+JXvPGfCpDhNpD0Z0bJ+FR5JBf4 EGZqKeDflvl1V5ksQQToi/dc171xaDzoj6Nm1g0/N2ZI4XLMYES4bugOBDm3PRSLdKqKUOGRgAj9 AvkpwYZq+AqjTh6r1tii2FUmWdQqSqGoqglP88CFD4zafleTL7j0tKqG7YQGTosGOAgE8NpKVQ70 Wk6fZeBgar2P0nMMvd8H1Nmw7mbvLLgOkwHhSjARXMWxNuT2CZd1RGKudoP7NB23dfKe+7+lzgOj QKRH9+eC+Bca3IJ8VvSUZQK/bluBQoNQHJeBDhDtOs02OeQs31cIZ4A5PYROoenlvpczjSCOnm96 Hn+A+CoRAwg76u8R+enkm0e5vak3cvl+q3T+EhdRy1C/ZkN4RGYPLfl5Xt/ILUPK28X6b9X0vl47 WAsmWCdMq2f+XIEHBIdRzAD+ibyZoGrN0eTcz3KoejWNjmRR6bWkte9gmndkU4V4hkA1cw4t7ipA PpRYTLcs1k0dVxkJ7ZreR6l9zd6ihOEhIiIxD+IMl/oMgvRxbfb2j20b3TxsdxyptjTVd0Xu226R VqHpB5zZPVsI8pOFDAW3qBJxh3hUzDayfIxz6aUk8YFsJcMkQx7Lc0yulNN5wCHsDWmvNmpJj1R0 pLVR8kxLdQk5YKMjZ8oiXEuqTkcDa9+eK9a9SjwEi3thC8gwq/+rcBd5jNG3JY94vBTvB/66aLce cxuuNqmKIr1TPFGWw1dYgXnEIymf6p/NwDpYRCa9y6C4MiguNQzRG0FE6i8bRnnyYQaQomAdgkoU sVVNBcCN8EKl1E42mVsjKxR2OFt6SLe/IuBRcJeBdGSQL/eBcUewcqOWKI+nqSo06yWfthkNNPcA qb23+4CRz4BiNR6N2p/SpbBb55Qg8cH08ROna/FD2HswbESvkyN43CUAqo60rYRq+DjFc7T4qo9t GU+sPfR5SgUi8P5a/x9mwRoeU170tSKIC3jgOIIcRJpIgNP2iNmUrbH06NhrlPkXbIBik4O8ZYJt et42EaY6nyFRK+G82D4JUDXvTM1GC3GXn837rX1S1FZNlVkasfNBGs3phPrODcfMZsW+V79hXzYl Ryd41Wn38rLaUkXYvNaIjPdfr/Hafw0QxUeJM8EnaRAmMq45GWOkvys9rZ19tftZNaEXAFrmxgfF FlksA7zfxGW0ZqrEqK5vhycgopQUnagK9vKkyMXzIYXxT3+/UvGoNZKq1oVS+tcNlWlzA09WK9Mn myUSGtovdIZM4RXFvBU/Y0jdaBhkYIAEItHLhA8PFSSpFENwXOMQuL5ncNR8imnlfB5aPGZJL4CS oGn4pBpnkECUQHS/ovtRIY/fWBB3kxcP9IwAHem1THZD+o7xMMmCrdaCnuMr+yhSTjDh0/YIC7Fe oZRZFvfa0Jvikm+Z4Om6UxuXdBYvpQRxQeUbvOYSpR/NHgarhASJ7Lwgq5d0/9zZAk2QJj2AqO54 4RbGlJKs0mT31j2e1cHIGByQkaij4u+9gjeaxW/hGVmuTRc9AhSLLJWz7GQRIw+K6nZ+wpMj6hMY Exk3BtPrsLHg/dYe7inwsicK8CeEyWpoTQ28OSy/EqKBlUmv7BPj6y2eaT2OaLvkk07hJNBCJQyo ponpC4KwU4EOQrpE+66DwesVnD014Hj78V2QyXr4La4l3RnJ/Ju3F7OumjesSoeAWdVFGKocuAY6 WoAi/BTXQz8CvSYuILoLEnm2zE3jZ2t2mtoN7HpV42GLJrt47uc/nOgiaUQWaBTSO4tva5LXHtXt 8XCo/xwWnFKe46jy410vr+wz0Z/3OKv85SB6EiG2rYcWjk6tBEV+2mckL6aMd1tQsIx+EFLbpDfG ydRYajZ8kqMklEfB4lpXFkkv/A5cQOS0++QE5QBxbXf3QJZBzQmJtxepXQCWi//+jiSUAuUaYrXZ Gcb1ByD7jM8ewU3/53HbVZGhAOAsujwkIQCVv8rJwBccy+fOkQim2aOYcpj7CLNtLFiTI+F37P+1 ULul/j4tKVveotHy1vSRqHMr2rUcSMe06zEE5jd4Rbbgagatn8fNsvm678mXDu5wteLUy5p+JGXI mNpJXUyCd3RtwZ+P7vOhjpPuBPO0HUcNbgITfx+OMKeuSa+avjhg0gMXeKlzweZk9/oq5LDKVR7B 95C1JrpZNRaxWNoqP2PdQHhruD21Ugqbz829na5Kzsu8xp8QI/LSFeE68Z59evD3zanlYDKv+KUX ciAej3tvAXLWAjvDdR00Pl/oFMH47q43N3ka4GgWM6xnaBAFVMlkjQ+kYUtkWN7836zSjT5N+CsD 83WgUnaeWcaq7O12opXNO1FQPphUWtWo8C43yLN+2ws0T26kHPO5ZQXzS0Eb9U8DMHaKatXxpEVH CldQnF+E0GAimPcuZzAYKXLM1jRD7jBkCAFMAtkShjLDL/WDsjQ6ED6Z3DF8IaY1zO4i93+qYUQV Tebc5bJAdnFdYAvhY1h25yMDlpVaIJB5Yp5UHscu/LsSDq0ktUhaO/nQbIHij18Te8h6n3H2134Y XrQS6CTNSz11KStZHyjPooFfrmnHPkBrJXUNNSXYDVf6g7Uc4z4o36vZylWDs7DLF7HmiRmIvbZH pqOeOf/jzCTG60gHMWBll08Vit7LyzsAFyJVuv0RURMzrKIKqAmYTG26NyIra28dQw7D2wDqsjbs 5uHWxFS0gAaQ+5zHIu8y9G4QlAYrEZjQ6ZdvGxY64jhRn+lvY0VCY4qFkRBTwjAqGdxZBdLaQ1NI rWtNowI7gAB4TYylUfcGsDjld/BuLn2uu0yboqKVmd3H6Xol6drWtkRuqVhL+WoPGqfB7YD6y9Hs YtF42cQNk0/2Tkn3WOBoS6v5arUDK5dCta40/2WMfUtJVLEwUleBCsTxUo8qRUCYOyOImDkbxmuY OOsLClhobCw6GyEFNKMTRbnAlvXmiUrINFd+vPX/4puKTXhioMcrfkPavnww9xnWiLjL34EvJcxi pNIuQiNPkcEGDZ3gHMCQsDWfISS9yrMD8t0FAVVRpjshdngppksxxUDbnjGWCpZNTLya7o9bqlAK 17Pl8QRL4ejOMGeMxRxnZ+FTjvaudPoFZbeF3cfnUt411OctCnPa3zN6vUkm9IM/S+3PNZzHwePi g0wyVajzjKYHoW9xYlkvT4eTb6WT+FOYAqInyyaluiLFr6P2NnH5tl+c49NE/Yky41tm+QND3pe6 d8pidD68HpVzJsk4tKcyq3NTydlLdKzdPU6VVJT35enx9VMz/U9LIsbDXsk+GdoHkUNO2bxmMmnW OfXGYzf/kRO+FJeErdvNQEJvcO5Ohqzm3L/9VxaMe4YEkBxRPGxE/6lOtYIwrj48RqdHLbVW3bg4 QOOI/r1af/IuBfNv+sZXp0eRGYpcb+VnZctWHnmxuIvj0Mr/9sFJpL9YEQ/YGBguFmN8msZT5OAj S2HoulEdIexsdG149kVwID4x7X21prDm3wFP4Evu4OkR79LAj1sus2s1cGB0+KvZFhUsteA9uSMA xfXkafsnt4VUxDX+109mdbwFu55a2H8gpzkza9Yj2cmU5nsc50FN6V9q12dpSi1TB6JcZGVcgj00 ZGTYfH3qZq4XKAmeQhe4nHkR09Y8nINjsQfZka3fqf+o/je/w0EDuYbgu/meqS6gdQbGZJkoErXR dwCsF/EB24MRGuT3+hcqIdLOrh5q1qkDicXS29T232J2WzGGM/BaP6BiV6HVHLF+bpbIsf1b24H6 wK3LOwgDCINKkpg7E92Ovn/zc+aoucon15QlSVFSjgvxL0Ip0Sfga4EftnGdu4rrSUWoRM5Hzho5 /sYJd4al/yGxiFqOPfF1d1RmJTCETqwxgHa4a8dCCLp4Un7xEbLSMqZfp/Y3mv6GPL07SZiNfJ8o /Xb4VuTLemXQoxh2cps/1qVFgG7gqZZWh2Es19pE6+gNwirpANv0Rwa/ML9yIbEsL0zkikSAuPDv qhuTa/PzFLZcBA02bAVq2fD4MeY8v28ce/kHh/z6hHA0Gkg2b9L753OtnIwocAeAI9auEKvHChAK iyxMd56ZEoCGmCKIX58KkHBVYXcVm0t/BqaaNoItfS3fIRegBAud8cSHZW67tAZb+8+2WlGeS2RW 1hKIvptvJ6AsDWaTM61GHA7RbkUlwk1NDJtUOnMrKhTJhSl/LNl7dKH0RPjCZfHE+KiWtQyVtGwP SQX4SYGoGkoYcUd8M/GmgEiJQ8CMESl00NLBKOXeHL5SvuGgJj+frenOhjo9c/TY8KfYSlze3vXm mZfYAIxRIVy3u7iWFzbdZMQPnutZbIeXOLvHsiZPI62H+yuoCv0qLDgQdQKn2BZ52MmS50mrNLgv X/3KBZ6kSJj6R29l+yXpa7X8gsi7k1AkAeC03Pp9anBgeQ2FvebfjQl9TbIKsRwN/8z3XTrteLIM uA5BPowOmD89tfVpji82upI3Ybgww1QYFD62Rw5SZmy7xewtzuwrfOK7ePyXgnQ4dGcJeIg4o82o fBYnTcuK/nouwk3wJ617gdXJ144v+XQp6zvua2yy7uoxExPunQYBOllKWbCMDBoMsojDXiDjcD7S hw/8wlodqdFn0R4yzYdH8Rp7wfUEIopZFCOqYH4PqblrlPp13JcRQXNB/3nxzQmXMW/2AMTgnz7f tCi9yaysh6ZKgLkGePoc8xy89lQCLijna/u9mmmk4Ruyx9Cj7qWmkJc9f393XAmd/lbYm9tZST7/ mrGDx/pJcnnwOtKly4fr0dUCcsJrMhXiWznZLrwernnmSaWtF7YONRCLHG6YZxc4tScxYTzYCBOr pk931WIcum3QanIzI0HNthDpq9VUJ8sxXBrxTFAMhsaPyNGUirSVvi6qiuYPpT1No++jhfUbGsxI AEyz0W/5vwvixBRevmqVxOFrTdDcGXyg5z9sYSfcKWCd9y353HTMhnLDSie5Q2WKmIRJoYi/Xyo/ 763Wrn3FMYoTnlgTXYlQEBLdn5d7cFMxbkOfVqOv0qqlx6DIl/u4S2Xchrqqf3z5wmhLvcoMBBYp xV/Ttg1CiD554FgG4SOdNfeVm5dnPI5ftix44f/3VYKJJUQD8BsYz6rwnvipJ7q3VKjeS4MOWFPa eLD3fl06T9Fgw1De14vNcREnpsGlBWhRhyjqq6buAl4Wbme7R78Ur7GSdYd04jxFpp4LAHJ/02Ay i+JIrkQq87eDldORK5He3thonXU/ZpeJmn+KVjR94iDbsnVbR6j0vxEnCZDhUOtM+sz4pHnmPPs8 ozVLAW7flGGklpq7HZ3v2gMm5I532JEbrfi8kRTGktB41r9nVheWPHjRF/A8HVQzKeIGRfseji4k z+N0ibxt07rWmEqSz5bPlvgBTOW0MF4Bh0TyDA3S7YA1Ha920p0WfK2tCd3V039tUSmQG5dfOuFM TSqIlTZda+JSg4nmXhfgiUVpNrxleO9QAt5WiKFha0Y2toIL9TS2G1JiaDZFERzJLIwJmRR5nvtS jJrnuTxyT2xd3hfS5BTpbuFXehuFMqKnR5JOWBDpGS+ddqpL26VAiGbIMfcjJ4JgSI8JAwBKMppj X09CN6h1nGHCpHJ2hZTsRvel1m9nTN1lzfvf+eoRs696YEXyoYpajOw1WZqmlOfztkdR91zaWtpa FhTgn3cdO8xxhjoW/ifktyrCf1kj+rcsZa/kvRhlDrXk6/IHjtPvqEgA7uxCm5rxBnYsS+CivxRW MlnMfxK90mQ5MbIbJl1dwo6SMI1ATz/3Y2xHtXA9PlpOkvNlNxVGZq5ancCtcXU60hYF3IjbON0t zGY7HU87UoQI4ID1MYXWgfFcTl2/z/xdgXecPodn+sEU47fMQPGpmiDZ1D5QKhK92ICv10H89iih 2X0GkV1eaKMcr9V9BbZu5wM69R77lf7gYZs/hDrBPofId/CopmuhErVrDbLnR7xf7G3jMrxGXmu0 r5pPKZxuT6dnkKDgFTVCqi1IkiYfFNd9Fwpid4GJXst1DztFIA9oMleievC9oJAJmkv0t9n1Pz/o aEvFnCcKUEkwc4D8WvDuQ3LIZMM3Nvojrs5NSpLBYanepFY92UFMFIGcSZK0/QjwFG9iY20EKW7+ OZjV30koncV3EQuC2hWo4ZwZRMq5Pv5GKI94183YYsJy26ZCXAITal6A6W4A4F/KK3rBJbpcC+NX lI31j+UlnIaBsctcoUA3FIr5wBUv8C7Ke7HgUEazQL/A7WGtKijOAcDqJcT+C9RQVdMnxmLCG9Va Nna+lMFthyDMTf55eTJtOek1ATfojJzguFWhEhBJDaq/Y/oJ7cwhNA+92cR5HSkUILzBhPrgkC4h eEHuHJ7DWQZ/TKN7MzMBUr+kcLjxVIc4EcxBIbSDR4gNbdt8Dr/qLs/Sp9J/sv8A7Hy5HmLx9TgO DqYIG0N1S5KsqPuVnkN1ZQBGHS/xh+RAdFYR3pe3vIw5LwYv2sSYozEi/+ipFKIGKjNmoYu/+cvy /9GOjTEPZdPgDjG/VkFme7m3lMSZ11d8lTMrT+KBcZTv1sIxO5GJuxK81lhA6YEG93p79s9DMfCT JS74+JoGPkcRzzyqp4+4Z2AurkIknWP0K2eUV5j/eCsHfzs9TK0qbYmLrgYBnVDA8qpix9N0nI9P uY7dPmIXgL5HukAyox97oSC+rDbFyPUxREyeNNw4gmAnrIT2OnkL07aZFBgG9rDMD8tusCRQVuZ+ 1OtD6OnWKb54mdOCOBah6MCXG3rjBO88ZNJe5CTSu08WHyYeegaAiSByX24e98Us7mTauMx+aTO7 m8aufUJ7NuhVioWbVZlxMqjIH2ZA9Ew/sYm4udNvOr0yQNwczsC8yUQL0/Cj9uDowAdiTJZ59kS4 IrqR+iybvHnzST5quNe04sCXPMQm1tfLdqAlVzelM20+8K3Hg5jIulEeTp09htl7ass+rcPnDo1n 0i5sl15/Wzr3YBT9i7wzLOcTZGdKVQEUwI8ETob2DbZh3svwVyLqskeTEPwlODf+o02fmxmJNrGJ aqpJLkh63zMZ6LGPgrb69CqaQUMvio45WGoM5ArkgEwWxRlJeP42zC6Y3mckKdXZuGWXCF6jodwT XaKdY4a4wEygq9hA7qugD1W7GhZkPNMtAvi3aam5XYrrOgz0mO91lDZAk3RDdD0z9gDYMDQgSjCs fU+uK0vy71hcbFDV+eVhbaGaiyubqyfj+9g2pwECt+IAiea+wHbvVX3nS/GEX75z2c/WM+kqy+UH paav2eSleWB6kAsTA1zdD9w72YFYarWNYKAn08icp4xlHNWpXCrUfV9ps8t3ByF4jRz6ol75HQA3 6+4dxfuSu+z3COBLcn1aj5tE8OxaCqck4lQ7Cqbaenzy99AyeLvPAN/HczLmn3ZWPqGlT62Acu/v UWi11TG1l3hwLgsekmD+nuapivMC/HpGRb3d7Kw+mVlTut27LAR1FJpc8r5Q3zaJZJGhVUl9q0sB 7ZeWsV7qlWeth+FKbdWwhN3v8WpOfYNmaFgaIKfj7tDyg89by/AeYXTFt5Ge0yuKxk6sLwOs2zoV INNWh0H1NF3Sg11GWqHq7obhD1gjLWsL7BGktf8ziZsWST0cZ6F+EI+IprhX8hnOSgORf0S8z52q nj+k4jMFmUJjjzvnfzzDHlyydV+sHzOgO6XkNTEh0PgdwFaqmGielQoj8MQKbsS7cGbAUNYu8CCH ASU+zzJwCb3fLacBwrPNkoX8EE/IRmLNNJqe8hCXiJRWv47BXFQWQo0hpODhtfna19b81s04DZUL VwqFEmnNp4S1jxWDPFgUs6hBym7ND1bmqNUBrSftmnrhgVL+XVJtMwtxPg50+laVC0RVkvtp/MBF VkwGSoOEVM1qAE6iAIXfOsV0dnayKl4GKFKWHwnPpPHEdxZ1OO6WbHjmTg+eO1F0+UvWqN8mss4U yVtivXFEUGrpK/X0byD7d9Ju8zyl/SsM0Pz0o8sMkbg9ChW5z48Q/0kdSvsbeboKl0rx6wrtDkfl xw54TZNcoHjAHIBDbJecr6g9uEL9P+pTk1xDVX9PhD5qfYBu8pGjFfRYzeo0WciHoas94RrJXKID FT6E/AISgyCyvyvBui/4/mn5nUOwI66JSpUArJHYJhpu6rt06RmPhxxCtbvMpPxXwTw4VLecrcOJ MgY71t7H5HLOoc/+nLQaul8eNfWMtM0cGBbwJowAk0Z42UYbbLJU+9oTAM7pl38tZbhIqmsRSKlp NGke+L3DE21JUGbmdv/H0S5GuUKN+frhAyCTKmcPVHnULES1b9MB1CMde9s6K8iCxfNJem4a69nw LTru8meEQ4oGZ6vmEMmOlN11peKKSoIjpGjuegmbXNC/lmRYxS0AC9dBrtiAmeLeMrQu9+cgE2Ln NZ+oFUNFx8+XaaStj5pawlc75TEAra4BuOHVZE7rEFuY7MD2A5AmQFpeXLdgXsooFcpwGrwVhetQ MslU4PHI8ZzIsWUcancqzZQX7V/07+2OyafgDiFV9F4l8aUC83W/SakhYYyt/mIM2hUMjWwhOf89 FS2UOo5UgS0q3bHRdEzKF2Vsl/oMoydU4BWKCWfI01DOzm5nxIunMoB1X6J77Rwu4orcRCx1sLu7 FTWuJOuEq+DgJ1NViG/+oFg6IdM0AqT/nJpSYdUxJVHteByDgCc/0GjPrO7MDUEljl1thnj6W6xR PefD9PIJHLmSBB9mFa/tALJrKgZYthq04DAeB3+KDleDKtyPedeymiKs0t0ACt4MU2TXgVtzAI+R ZWBBjmduDo8mEQNrZzMdUTL28Gcyk1ZVnpQEO3AAjDb9mfCPyQfTosIcVTX8hSnR7J12o60u7AU0 fVlTsz9/IhlkVF2bkpL9kWsSKM875VVEF7Jxg/PEq01eSwBiuR24H3ZTs0oWT3JB7UHv2rdObr1C bZy+6BC1abymCw31HuY5+FUBtfVm8TCCbMrHs4BbqyfMuczIiG7MocsBEEC/hta2Kc0ueR/yUZ8N AGrGYMf9eDYUJGtJpKLrburxMPaBGajCY31yNzSxrOMC9dbCwoucQXzGqvYZSK2DWiql065CSePt /z2N64/ww26gwaCdZIhbie4ZhhxLUsTwRQvoqWtKFGM1T8Ved80pGIBHUwSI2KM8LYWRvAF1Iujl FyhV+IL5bA8NDi4yTLQn1G4uuNHDxrZwUMUQ53G9slKD6nw8SrSt5VgllQyJp4MaRfbbb0aOxZ8R hkbVhVVMLfaYPiolOgVNNN9NqNadsNyRp3LKxsXzE7xdVmapW3lWhljc3Y2/h0DH2MtneOmlu/dm 9UAQQp1XsfBqNzlg3p9+whKvRzja+8OnXGAaX+GIxlYCMqQooMHk0Qc+6c4Cl7fOXwHQn0zRMXdz KvCZKP9e2FJPAywmYRA5vzjc2pqGX/X+rY01OMrOdqqxKnrlv6U7ynfHLOHU92GjLwxgc8Sucanj Z7ST77/spf7Dga2ughkigN5iDyfPilrj6KomHbxGU01WkrNwzTiL1X7W4PYHuvRujVXWntKXsQdY tXC6TuRqtNqYeaChJQAooMFhcZVkoFk9lqSZQkewccv9RLm+IgYpEgJW/+n8y6jzEKdUOrwvmeC0 6YXcaBTQxI9jMzTjCvv54QJ/dTSJY7fTPwtP6S1TMIqO+GGMYQMgzNoYaDe9+bWNBK2gCGSzaLjq ZHYoLBsOYkeFrgC+G63Jkdo5NRaCtDs6p/K9TMpbnpODvHHDskBKnZHw4692U09vmv7QBloSBU38 va8uziwocarCHxdtLtMZTrGzsetRj6BsHt/AnXBSIJaMLO0AYrZDrvn5dz5OSkcPBeke9PN7xQes JDhxe7LRkA3WZerq9f7QVRWj2UF6CeA9KJM6DME2ZlixQEraMvEPl7jLxvY8P99abri98y+6p3YT tSNyED8jwgdPu/+GI8AwM3qOu5othlIICFnNSsM0H1VrgU3V/iFdiXKrE73Gw/on7wCnTXgwyoRP Jp+qHZKieWtEklLIqfsWq4jpEYToDteyK5+qntbOE3JTr5tgdl8daynsN6Uf5hK1C60fDPje7v4n /yuIWsVVMqyq+4BdBQZLY9EHeKkIOxlvWIN6emkVUXx0kThEIbVAnUD7vfwKHd7WBYprsBCBlrOv nWwDDNaIeXl1XEP8L+MgMFtSRDXwhbnu9xScgYJBKqihgJa45fnBunrBpgW39Iqa6RmBC/9dI/e9 OWIfr6sJNRn5T+1+nJshGxluOEXvUdDOnKeD+0nORoqQEsiqYOUIexk/72UUKXEIcQOG8xaHjEcv yxahIA7VnOcb5/yGRePvVHWI9AYxAq9q4ShqLYJUZkeFhdLOwCUHpGbYgoRnM1SCtP46r1HMmXTl +4hYVJmbhJYdnRJmSNqp7ubYfpy8fJnF2wkGiZNeJRATDjjM81L3AIOd0ciD8n0EBwFI+2jkBeLZ 8lQuUbvTnHDwArVZpa/afYMKpE/l080bpE+dEtR2Y3CZInIlfV4K0wDRQTq9wW38ym7puTTQo3VL 25mMzjWN8KLWjr0nElJz9EeQPSR7zkQshMxuPDtbDBTqBf9bcyCSDdxff6rsuO2RRUydy4PVMZvI q6p4bVLQvVyXjdWC4LV6HSoW1qxy0uLqVSREXWIk/5mS5HTsIteMH/j5CrACD74gviFN0bZ2fKZm 50VIKw5K9xy2czxJB9s9211I3MCoG/XE4+dg0mexJxH5LC77TfT3xQ8+FxWi6WZVe6FSc9M8Iypt kDd/PtETwH7FSln0wHHjBYgqXnulw+Jvegm7VsgyqX7LjEmTGxFqMxxI+n24NA2m4q5cX8gGWhbe FD4eixhfV9Jr9qRu5zwPWv9PDK+xtDV8Fp9lEAV+LKHR9CyznTTQtyf8nkFrbibMJwbODZLREb0y pb7w1MBjoX+gag0ClJsmJRmL2oG6rEFb5BWSUv5emKZqAJ7UAvj3V20gVIsfSP/9qY6OK/+U+2z9 ymZkj+2twZflyPDxEIPldaeD3PD224YmXO9Nmz0mFcLVAF6c1Yro1GDT8jJ5N6mdRGBB96TNxZ7J +/fKDvTPrGVPhzT9lGrs8Wk+tLdXuBBCQKcAEq2QUl4ej+WVFyNxXTD4JYN83kFHc3//ipkuEG/k Q+q0wl0ABKDhuiscbxVmMitGPkmIi7l7srDP7csfZOM9Z0qOdx2dFiZy5CeXTOfRL9Ne7U1s9t+h eVamz+5j9WcyFTnZPo0GLEIbRF99JtAl8riF61Tnyev9qsTpxH2gzTMbUznHbTInYEeOFCmpHHDY qxnngeH7JuGbdlUsSOVgsbn318Pw3O0aQ8IALIIliaAemWR2r8tFb+ozPknb+4RwoDqubdpLiRK0 Jqgdto4/+2Ko9rOCG7tupETTR5YZQvlOOogPROZ/CJXghK7Mk5DMA8/b3Re8F+Q+H+CnP3SK+LrY aYAeatUPhjJ68mF6VpNHSJoTYFCJ5LBB71XN7fX9c9BqQHH9VizRXbv4xtrw7ESIdoIlQaZbLsIa /HwT8eBZY3/V4Ia+j/xOpXHFY771e3rpkaDzqCt+3NAX//S14loWJRQ28fAtGFXOKWxAEZKFR3bv +2+GEWUgdsG6fdc+/KcWPdetB91Ve9Z9z9MgqJYEbMPcQceCFCePxA6ugxl4wDiMlZMilyMuV1uE wAyUqWlxzsnz+AZ31qsvgL9FwJxbfeUF9bQwH0NFlSxzKGob/yfUwVKnaiKDtGdyEE0Z4RGJzWkB E7YzckmOGwPzN2EvGTK90rBJywb6pcOrFCFkjBLurwOkEu/WKjRfTabLJiUQ/Six75j7MBGP2IH9 WNssmed41IYGu+II38Qrcb2YiEcO7xgIkZluRdFZD05ZrSGlhZBSY5jqyjfUIJZXDsocz/CJ+RpO 747e+aWDsFJSjiF2colsdWxMhv0rufOj4VKPIBqS9CzmspwDqX4Y+eg1eWyRhrnT+6Haohio7nLg SkeorGc4xJnDC1T+xhtxbPOIIrMQkhmMITqcI4u/mlbgSIg3N1hvBx/pi6PTH0jE7YNbKvik/sMc EL8LW7FvX71cqqdIrO6Gb+uxeFGICoPWGBg7FyXQf0Hz3L7o+WFNj0SLog+1bwuIupGmCcyNY4uN HJWlIXJNwgDqc3ZwPjIDOGRBKn+MYRL/Ph6gBLTG1ojF/dhrYIaMD8KVAGnhGCe7bgfzy3JtqIwH aoQPzIS0P6NRLARJpFptwC0kroyfEeon1dmgsyEesg4hgst6o4cxhyv6JYibjUmY6tmBN8ISAyYE FPCZglcVil8eco9z9QEsIPpX+hS2Xy08kbN3DSKOZ5krwO51SV/9uTgJp/Itc4JfVfvI+ilGG6uH 3ZaU5JiNW86+M2PJT/OVSfHdZyh/V194xMhBJy1dEqyLWv1939xTJZ1Z3oajqzNWYCwAu2dnyi3+ TuCGDeLzbWfNUCQgl5G4bYnwoDlRwDKCqfTmJyLXo0d0NAchTvcuDpSggebFIJGhHIlRtUh4I+8Q dit394buHXi/BByIvhLvVxl/SEvCXKMjoYw1jDN7fOqnsTnUKot4zRlYz0DWpferG7mlcl0i2X3k 8yDfXlzFreyw4Sh/AQEguU79L/2Oi3xI1LEzwB7HkR8Czdhml8Pgr3PkKRU7km1yx3A7aZbCZsT7 +oPrqayZKzhk6h3N0Fz2d3Pz48MxhTxtVZXHdsiQH+It/16hj9OMuOHFYLJGvCHeF4Ht2KZ6nsZR WmyiqlEAu3+B5z2VHo4ur1dU53L+bv5kWEBXCe0z21J1BmTExn/GpRcatdxPSy5RXUvJYFoZuZ3V ndvSl02hEV+tgkvL0+JKctCtDPAFmsabP0sBHXQgS03QxJoD0kh4xr5FMXSJqBjRbqkxzGYYhKHX s6ATj+uMMabamjYYww86hvU+guhUMAJAC/RrD4heLjb+SQ0kXX7j4+2LTX9POijIKHbOU0mC2ULH 67Sq81ZK8EUOej4vA+ra5ySa0N4TRgPAJWs4s/Gkji6Wl1ZREqAA6yit61OTg1BTdb6V1hk9ziGY Rw5YekqfQ4fQMhdNTBoFccFyfhwcfJYapeLAodwAFhFlD/H8TZRkle1g1UgMTxCJJL0cPrgf9q7i QmX3axSGn+dANgchWS4Fd1t/+qyN4DHU/Cq1UBx5Xe/l2XUtqVwPZsrVSqLrJAyEhREYxEBEub4L s/GVqjoerzlZjM1c+88ez61cQeDOtnNiYi58SQwDVw2/Eje9K/oO9IXZv1qAva+ghBE2KlprMcRb Zl3V0xtSdhpHQH4gBEkMJHNYHPIrS/3e0Y+Dcn8G0cwtmUDv2OS49ZMwKioo+TTveN7BSAEzBVzz 1m9Vj4wH564tqZcw7kVhWfxrX5AMliplyhFY3Pt4rzsZXLLo0E2vv6R70LjcaY26+t9ukXsXL4Hw ks25nGGSRh4WrVJ6q/U+LPTp+ikc5WbxzY+eDoXvkkSA8f938cZxwhAUOlGc7BdQEZzewtp6/RxT /yyZ9Y2yPlv+GdikPvIhWiRc5rLwfJ6DzozHhDroqlJUVUtH54mSAQm9CEsiY583fTpmWKBkUkK6 wRkH9Vih4QxJy7upp7VZ8gOPMO+GllvDA9f8LkvSbRZVF3v2Gjgii42eumqzftr5D1IrI8m8avY+ kmYruceOL3B7rGwl2omTNyow7hoRjyaByueP0wJcSwj6WbmRQFUxOGLtn3HhbPK92ltBCuA58Stf Ua1ozLtCYDv/Yma5cLTjTLQcc6Ggxo3AMmf+Mc6NQzIBwa0kOhuueu7S3szlTo8YKGPaH7RtZywK 4QcnKrZXtB7mdsyOx7ffCZjttuZ1h/wOjP4Ov5MgzRpyG9WQftRJz7JA/2ey+zRj9PQnAXY1Jyfl jNRctOkG9Pzpq3tyDEwWNhlrfpQRU+c+Ua/4I4kt8JW9nuMgpgwBG7PlCh4eFQf+p4eVs/bzIhYD zoJk6gJNzfD6Db2kdjc8iDI1eHqEz10+1OSfEQYxAsMriWk7O27oL0q4hW2eBXD8M79O0LJuuR5K yLhYX9QYJJTdMYhcnR+GdrTeIFRnA11q6ZI9TufKt7g3HrbsQdp2yGObjG3gtctUj8x2Ywa61jpm ekNsElpLtuW9Y7ExiR7ANQcSPUDooHEOjV5ZQSlrqrYqC3qfKuI4fwZslHwOi1AOlWhFP52ZAS2Z RJWS2/AY/oAgbf1W5jflTs94cPNRi5Wgk+aAFAlmp38KFThb7eKF40HdntBu00wEeLSrtI7WBzqF I0SdNg9u27DtK1YqAh6qehCX4IljKdkqNU4UoMAxRzRqPGpCzGXOPg9DANClb70pZoxel8BRt9m2 uvkhSFuznC/RjRyKMRIVMEL0IcyOmJOsmqfNlWN6Ub00mlfAJy4PNsRGHrCw9ySjDPZFQDbQnJfW /6qtY24czVjLAEqGiVYUR30iPKP6u1HQq4e29m5zrN+SQhWzQMtzNsfSNRwQJn2gcVeX6YYaGq9G oU1ICPw7DkvHkDos/3iCmcgK1MYWLpPTeEYcsEBKMiOIY07s9FHeyhBvztvJVPblitn5TYNSautb 3pVO124/QRJHFncP2LlLM0YF2/WiAX4rPLbJGEZTVheNh/BAJlLUOk81ZPk+rVQnyQa2a/Bcu3BE +7shvvrUTkuXnDCixzFhoF2L50sQTxEy7Hnvw28mHtw7oywEW3hUvdjVtUI+jQx+1ln2LEfaSZam T2HuK6IEnX3xNLQHoNF9pK6TI5froKMX5vStz5gL6a80jQb6ThHtcJufZBLHQ5sffftmi0NQ4sXo 0azG+Nq8OCRQmmGxNws7M1S3dbiBbR85LBPUZCd2HZGIhZU3BU8GT3ABgAqLaZVcyab8Ic7rkQ9N 859YtTzfCdJYdd9cFsIYndNSYg+D56vACirBbhHzs8OvS9y1N+6L5Dj68JobFxDHyYOrETyGJvSj oTwwQQZbH4ZSuFR20rBcY7IjFXpsq819BD/I9Vgq5rQxYDlZtgjTuPQegvwyqbtZwdIURcyUZiRC sOTJ+Q8OyuE6i06UwletO2TaIQFkdmnvtjIlhWyHNvfhgoc+z+FXj21szZ0pFMlzs2oxRG6+ZdxI D2DZoRAmjRNNokBiAfXTNEMRyHpInbV230Oh+1krlzsSfbeyey9bb44tQzC79u/l6H75ONuk4Cxk A6jLHFPWSv7QKBhPgJB9ikKrlZ/wsr81HaJ+mYtzZfZWN2aHFl5jMIWGzxeDJ/nTKCZL80wBcGMJ ETwkfd72UXyLDiSzhhEapKCa5ANd/qFO70RXz+g23u0sctcibPlFXDmNyMEd4XfZVbpeWuzTqnQh vMIcC0LxQuGdhQd2wHus8th86+VBju3wgZiTbLQxRj6b4NOt3OSxaU9NcMtZJDpS1IJFRrUZ2+WA WUcy0KNaowk2fe9oQTioT0DNaksNdzhyKufP8l48rQ4lg5usjL/a4LIZ8QWNetd/nvQQx5MnkUD8 SV9rjbpIprCAZDdzOVh++igWkNPpcb71REcS60j0TXhkeqdkgdDFy7OxbGNE4mnKHI6BdXdg4S8x A2JxVzhgDQpQlBrwPYpXdQH/jKfE/hT1xeaSCI7IYh2a05ZAfv9/iOKhaBa7jdSwaH103PdXFMYy kYliknqmF6vXlgBDvTlj95WePnqrzWkdteCq+bBh8x1X0xlZrEUaE5J6g3dA+1t6Ews0RXDNmkSL +QvwSexNmhxxfirI5Pgn4PscXY1ByYUPeV7yjryxUdHFJPcID3vp8vxWQsnwbJY8mo3eScMgBQdW ou7XScyEF34LvbQLgimrS2L7vz46LrHvzHJQTrk6x7FHwvdVSBYAAIq1zxK3GAXnzj5EtDGmWvay AtXbRcWIRUUrMDkT2Abr9bQmtVXQiYaQ19GwE1oBny0ht2TY/Qr+VhhXZTjIPDDGwwZKkov1t8Dq KhwsKf0/QZ0S/0hVHKNDGrpi2efEjTi9b9Yh/Ipf9R+3Lyt1pyaWhV1GHliymOdEyqu9o+p6T5tL L/GJbI/rOWZHsPLoMQsDDnQTnkJrHanhgFFaZ/K9CJovDpKtjhz7fkajbAvH34sT+k3dgUnNfbe+ 078ygRp8gAp5scYpvMWcEwUlR1vgDhgDUgYguxslqJ1FKJ9VJ0cU0ob0Fgov7Z33Pf3Z0PRT0krR zB6hRFHgswwvKBCoAKHS4m5/wjD4hjlEoFmSea9E6OQ5MfuF3rCrMdGkF+wt+OoowjaQONzBK8k4 eFM+avz4APOicgjFrsXNLBpRYk7OQGO4Bjh+efL69gh0suujcc8pvZFF4yip2vsOmpEENONYs0yK SGJR2WmKQE8hu8IbQAGLydiUBH/SWcy17868ph0WbkO3JYICGtFlOLshjrT6DVdbWdr0vk3w1QOO 25a5TGUHSJdz1ePIR1JiI+LcNfgPzlwJ9nm4u+1nwOwNGsV6McoeqW5/LkbT9S4GUn9xIppYAgby RP9ENLMl0Hmnpmm3afR0+oM2Jmxpmk7RF/mymsS4SPmOUijmXCpDrcnH9IbzqYjZdZ4smFRlEMVZ ZBi4Xk+Ecod14D1WbhZFS7PuQsSvB0x0Yd4hubpIF7FbC8mCNv6lx6F/9p843I/kmX2e47SE/hlx aDo/yoCGA8MwFB9VXi16cmenxuS5nKClkBhbOUi2KBV4OU7ZlAufb1/Ba5Slcef8CK6vKgpuHltp TpQZ3QVyYthz6Khy8xdTZurMcwnZiXTCe+yMBM7LSvwabPAUUlgAf4U0CO+hM3xhrtOvIbVqTGpF HXXjlCTAmxyo0xzMrDzfN5T1KSRFC3+0vWcCVoLF8Qsccp1pV8geor5XMnyhR7g0PjO6wfz7oOG6 UEbqgts6n8H4Em3UZbCtKxlGFZLQQ1Bu+tvECBlxL/uOlkrNQlDo8laOG/uT2le4F7i8jXdqHn/Y PdxRXyxzjBZiCdbtNDK8NQIkrRz4ax7lFVzZ6jYrj7wvkhqowPQJNdEVjU1tzLfUy9KsbnZ9WEFH X0DOSVaOo8CuZ4VEiqKQTs4/pHS5f1SmFcrLi/zvMYr5DcmIGNCYtxy/Lxm/OhQQE4P/pKfBNgzd B27vhFX4uoS08r6526GeMM3N63KAYe35VS+H5Y/ONo3QHiBUatA5hnvNSx0/JJPzF7dB5AQ6KCOf 2QQ3PFSn1WYKXcI4/99xdd2x2IjxR8t40RnD2VVfDg8ncUpICIkI2pS0RVp7ga1LoCGEKg1NBVBh cL824Yx+pFsrd8Ch/NW0t1dwcmYGvvzoPN67VbOXI8YM21tJB1rPYa2s9+6s+SrkFQwMIxlqMAGG UcRrZaIfXz126wRJIBhA2BFLUqI/+hDwhmrrTmACkJbJQMCE7v/Dumlc/pPgbH7qYDN89Q/kiPtB ObEkXjwLEJo6y4lQheZ73TfxSzaRS0wddNNt4yvXxcp1XgpMTx2aD+68HoTHlogcocuo3D+X+iui 06Yi2TCds7r68L7kK4aWKmFT7X2u/Z7Ll/h3AtZZAfpZaBbbumXTH4hvqzH3UyBaHx5IVOkCqBb5 24E75s6LU50AnBVxDb5fLvEsfI3QpsgBez/HMPf6zkT+InFTWTCkTDJEgeWKO8hTcKWYAIQFuers LVjWMe8w5LiZV0afaFWPR/5V+GD8OKdNFrcD2dDzSXXl6VoBn23C+O0OpgEOWlayaNtwyWGn1muD naRh+544qwAlSzIVwxkMd7jdA2qtRlgfevxrbtXzlqpz34/ADhvE8pTwC0S+ZI2+6QpbxJdkg/yH MSNJEjem25uGLFFYUoTK3e867cLSMDq9sFH7k321EPYGf1lxMkiwUIQLgQgDxNsFQ8CFxUaocrNG gzBav7SKjfozgjNxqI9l2H97Qw9WQ4qHBsueIhju19pcoIlfaFCpEgMSSxvbCwXRDEnlYVK6Yxgr 42rpB5MEIQi4gpH4m3jit3DtgSR9Y2VkbBYBIrJ9MvJsEcoELlWbW9JBO3w1hIvevK2yY+NxEKMM hFW60RG3lvZFJWQVilqq+OH+HrVTpp1hTHS8oRrpYPONrpBWlyDH4v1bX2SZ2X1vOcbbv++cF3W2 un8pBlDvZI0ToYDxra/Irc+EgSTwU7HQ2wlHAWZTji/D3Dm4/yPQpaKpqDYBf3ETlPu/6P5LoH3U 3wmcMridKm4X/Cg3IaKvl47jLTsLcRwj64xSPhr0UWBeDrQxEQ3gxbtzs6Tnw70wdJm54tuei7JG J/YVCmqeQoRzzyEOIga/o0aT3IBcFS56AVutvemumy9sryHOGXK2NNw2FinkuS/WwRC9HSB50kcg l6IiLBwo5shs4SI6FYeglHJlhja59A75s9HAIzCJqfEJ1wWWtueO39ZT1vLkWYfGuwmsCYhftilt ptShXsqXHHByTyY02Epg52NGnU9pRFojBKfDdiqXsUvoNcyymDqYjDATRWhJlZzpzhY9TsrSwZPR pWklWmaf9fyekEHcYxzKw7XxNksi55BwtPUQkdxQOnOx8UnPHhNLa+EQpqbw/yd55OsV8fDXR4f7 ZZpkd1Wf82+OUqjB+/gGdhQPCoc8aYahUWFhdbFwZ85jeXE2DF70kH6xAVEXG/pAk1CWBk9tvcuY wfzszcUbnQF31l48qnBpyLRTgyPrIdPJ961rNgwtc0IeB1HLFVw+h/htv20h6dgO4EySiueg7YTh CZP2lxQftLpITFPWClQ3OUvjc5nlsyeqWGhSSqx81UCaj7qC9H8CVV6PUrmMSBSr7Q2WQzXtP28G Q1825hHdFatKfJtNc8jfaSYVl8FfWzjBAO5mEQmIy2zTkDKes8a7yiMP18xw0Yv83fjEzSt5vkth Vfm6+ri709CAoREoWyr1EdVxn91spdDqfM3NosnNnmxGEZICnZfBAp/u2b2LNJIqfLVe7Wh9URdR Iql7szg3P0tsbLzzcaYrdOrAeBpL+Df2CrO4xmcxfO7B86h7kT7bItSruzictX+PKf9WTXIDifj5 xtSNd/JZlUwCwQvEGwlHUGwOmvGyDYNxDn0Esu7MMR3lHKpENFp3vadHQSSFkVZdX3Y4WCIjBaDq eOO13XT5Vs+WugAow2mj6hJ5K6MwKSp4D3kG85IRjq4ZqxsyMrizoVIud2OUKkiWpytGbpklOCtr Mn3pCPivREzzEnK2e7jwo2El4hmsbnm2ZTiTsGerSicdehs2hMhQ5cEaX5+ydr8viMsyIxTX8Sin znRBjqB1MD0S5+OjG0R2FPyRKIVHlDhuEvkCTWwUsCONXS5FjCEDntax90Ftq5rX5Hqjfby7OpB5 4BEL2JXzQtfBz/H2clFrW/h3PmU5n5G77UNa0uIYAwcGfceZNRw3k+sKUF2uAtMXGq0VBac5r1OV kvan6x2e19zIeNsm2r+zogPhZ8H54+KIpcexvmhF08jwUBv8nt4bgj5S7Jyqj1D+QDtoM+U6jZD/ e1EZsYUo/3Co+PhoJOEXtJPP4iBbXrujsIWE9FoVoxVNkPHGr9UB12K7zkpioDCqh5i2KgHY/Eyb UMZjTCMkOx2qP/QKlANRxqtZrREdtJWF6bvwkhDP9/XF8mF6guNxH2LVzudWJVvKF2CR4DpDGQXi 4Ea0wDq5kirAaeoLWTbGD/j22Ae1CwlujRMoXFQxGeihuA3pVVNBH5ZyGVTleQcrmKZ2kmAZU5AO E3LPdCwRyE8gm69w6/3mxsPPT1/AaZcG7JDSbz/+XcKoUo3FKQi76mT+yBAzTw0b0UzfkA/jO8HF Ht/FQ7ColbJt5Ebdmr3qirmwhDj3qgR4Ymvw8COw5nLTbUJtvEJYSV6L7ZPBLuYoyYzzR5f7EwQO EaYhwUx8mNyeSKQsTdIgoc9cn3O+7bGhqodzy7jax8uRRuzGApkMZW3saGi67GutzGB4TfR7Jh10 6La8+T8hTZFksmlegKFB3IbcJM7aWLS+c17EHwRJSh4fsRCAHoDRtjhcfrpB21/yBBsI38Kl4t58 SpdSEiUALHCemEkaDc2mp+WVp+BZxqfMkHZ4X6fce7WbDIbxxs08MKkfwJ5jZoxWEaJXWdMM8AOS e4HYRWls4ely4lKOKGIGY4HXBPYkUQAGXc9BiAc6WeAt/tyIxd8uYj9uyDEAzZ2PL/YpnhVtCblI zctCCjJiAuBOVtjZjj/I1qKFm9W4WADiAi9gOeFT3VauIWMoYsybblY7PaTrZiOV7uZkwhyTTjvA MgnbdLvi+0MRNN5XWz2b44n7k+ogkpG1hkPAL6NYkmJXioRQX6OfQ4kqtEdtC2rjR0u0f6a9ce31 5A3tFesTap4wOmr6+Lw8M3j/64hZshwTB/gQ8vWiDw+QdmGOoOGHoGZaPu2fUMseWWTP/YtWMnrR kkyFAX8b7yin6R2GHx7HP5sUAyV9VPM0qarc1rzZ3TkcsgN9dzXNCOpl/XwRzXJ8FPwzWwuPtTyp 1w5+RSl4Rp463iQ4eLnwymwnp9sR3EfbJ9p06I3VWC/OUd0huScLa8KA6LYEeahwL9NcV/dAp09P xkeINeCjbcR5UVC1uWBwheAcknJkKd9xHsUfIVqYAz1JCVvzdka9dcCVcQ4q5Kc4/Jet1KYR8fZk NH5jmuqtG6SLVUpYlisvfIuY8nHApyRnS2Q4U556GWYq4pJucDD2m0/QnaUJCqfiK0YtAICufGIq Ktq22CNfZraJz/5mMjJE8jv44jwdAKaL7bOCZ76mrzk2d4Y3SwpdnjKIFDabsoQCiYUj/zQ3n04M I6ZF30cawlpEc0NQ7zAyF13G9IGBGdcuQ3uzbVq4WUeYINA5wc/Yp7/5q1euUA6un1uP07wOR86o SpXEzZQvcyMDuGw4bY5yZxviS7hMrv4tQK+vxm+rMG5Fj9DgJBez2TBe4saj4HvIFWbsLktEvCtR VFLgq0DFbZ1ZutdjB2+5mw8iIrjm8dh/sQ4GhaLHw5uXogJQlImUg5X1NG2BxUQwhTEGpOFHJyGi cf2AJ+e/Co/Y/px/0rDjo1zcK0ctdmo0vGHe9DmX9k5qpNibB0bR1B/CE7hqS+jFEQaMFQ8bl38x 2bA4rf70Z7gmgP+2sT/C5F8qMdPeQficlMfTnsUZSAHV3MjAoxtlXu5aT+OeMc/ZtiJ6Oo9E0J7p Bb19JcW72sLiTN6Q8pPt+qJ5BztALcp3jxEgcytAX83mhs9mLUcS9mQg9dTF8zW2QqPPf/StB4rU +tgis1vbK3Svc828SfaHuOR66EZ5gyhqyPqcPnov4qkIooUiuBW3722F7nMWn2sKM7ojiiy2fD/5 NLmc7Q8BhO0p2+WoatBzxGv+NU3lw+TAJSQE0hN54WTNMwJxlfuBpEKxMAMMmnVy3RVKExckvQer OdPUEOeKE5Lj2hbaGmukN9ADrbnwUgbems4T8/IbuUj5u2SF8VVKdCxOdvh22tfNt6ykhy2vMTSv KUlBgJVxCECoTkyV3CWBpVSWhXgWibHQ5EVBDdAJaliGW7NNJb0eXlALUgfkQQAATTyFLsJ7Fp5J DEXB+rBpSFOSJ6vm4ma0FoXk85F+kK3jblbigjy9JfftJZEw+t2YAZXJw+XO0zVEt4yE32DFUXc3 Cs1QnMs0qz9ZeHdeXIq930/eFX2D3voRCc346LAQCHnIKndOb89S2RCk5iBMoN3ACEUE8rTFn4Nc 0pkXRst/WBHH7aKX4c0Tdo1uIIqPsDbS8B97OjGTFSbQaA9kMlFYl8LH6lOk5UIiK003KRHDCBjD /1bD1J7wadaiF92bAs3V0wl85jRPmmCAvugKxDNsbcUsx8V0j7SY1l6vIiEB9nWdjZnnaytNd57Q Jo53MOH8vss758luOXeBJ9chbAZ1ov0yD/8/tLKTwrxls/bap9h4oK96GtmkLLC+ysiwyq+g5qC2 XjSedjl/IYddZPcZLP2O+eAIAq72b6lw70qh93M6eVkPVGA/LMWXgNbu1iWy0FSOmrUkj9BgjYW7 3sCTUx/rViRppSy9Qj6mJbLYd70rBnRf0w88NSeElUCIdAP5Po0p3b5d6unjOg15zTUdZ9lNno5P z9VM4NrJ+VMZ2mlr/5nBrRjbJVq8Wmqi3lsH4LhCZOySSrRAQijAPxwbCprBxJIbEJcGC6OVsUZD qRAM9GLK1huKb55JUkewnD0j1aDlDGGK9YYhz1Jd/JcFPRH2UP5bqzUVdcxiVmfp5LU7VSJJs1EU YqFSKdPYJEyPcXf+ZdnN+J3FH+Orj0rQQVin/RUkRx4rH9Mgmnt4WZeSmqksd4QTacMbmthrsrbN y2ccAzMqlHhopMCv73sXDCmzzVb1Pnmpdk+oSuRUbAK1edPKdXWwzGU51gLZLWZ4MJ0RASA0nxcY 7nTESlH6ozTHIg0Z+xDL6TB3F2YJrYdOybT14JcgfMqGkefaHv96txlZiH17Vu4tXqkBWs0xjtOD KDYqEmqybtuicuZkdE+dTpNnNIQDB9MlaAqs/QLpGPW25WKHW4aSBAtcFFvRmt6chAsCCACY1Xt+ Dd+Hbrtv7UuOj7m4Gwfg3CFvFKL7acAKbTvuOA6hpIFlgpIgynQh60Rp5xKChPnyZVf3SP1QiHpY ytGWT1eh/2oeP+cxSagOutix6uvMh9+tymJVCxS8GOST3l7w1kUcQNemnZTAwsZPGj1dx0JDMpMT bWoAO0a7iNUYlA9jEhJbp6OvzenLk62sj5ej2tM36+Jq1qoNLbRaOjWMpHCU7P8be/jw8AL19BsU 5XTkqfS9pmV3j5oDXrq1PfM04NByp0pKFjT2tNG8FHQpc+u/R1aYo8gXQuvCovT6zSjBQ6edQ/WD RbPElAbSVTOPEpYWHEz8JAkmtN1wQIPxsq7Z3NmXQMTE9OBhE+oR972NG0sEk7FfZsO3aSXOqIvh ZrMCJOLU0XQvDwirACaifntz9ZX9VX0bWBfA5iQEiEbyRomhPhDQiox7fUVb5I00lKxs3KqJm6Ap nr/5sUgHJXJYm0qtXnL6ZpkGRp3UTzb522aAu5z2yl6SPTQHDSJwpftFc/v6yAVcAx0/6cKlUvwp tRTdLcPQ8MxQqmYiUk40B8OlpjoLVUiKWrKu8ekZpMprO622Hn8boK9zPmNftZHjmYQ8talhlxkG WTm1UvMQ6aaCba2qyjCS/q1tvVcTBHYw66HAdbGHGJyxAQqlQJraaIzLg8HvrOLmsI1HqO+7t+0e 9WYm78FIN9py59vt880golsfl5ktGe7zmN3zznGAraxtsqdyZTpX/WhpQ0hcjkOzvNcCcsxmTRGV mD9cF+fH+hTH8Ak+VU+xPpxLdNMYYUWKrh3OWaC/C3P4WwkzaKYcAKKT+9cnlOW+2oTUPGt5glhE 47taZCOcxE0XIaTxarvSK7wUgoiczhcVKRC/foKQtepPozz8+EPlaCMUGr3+QJu6dN18DFosGRB9 Ay/EADuOiVPGzR7diQ0r6OubGZrVWUNwRJQgZmPWYYBIXQVsCKh4ZApw6tEgImqpdLCS5RSzhBMw qgLHv3n7DTMBL3X/ckIRSEVWMzBXL9kpH/SOZCfhpJusKol2ovMlBLcjDOhbRJe4lIJ1zyIyUzRx Ot+yOs99gh2KgStfVm7DO+FkuCKcR0G+oUg3zHgn75RTHHvqOych+q6jWx9lmZKPYYI9JpJ/jrqo AzzdXmnxNvzEZymcTsqsEI0gKygB+0CzHSa5/sz4iiH18EuPTq+BQunoLl7rtvdh8FY6KSYEQiDi Lf0lNW9smiRpw+LXQs7TDX4GvtVJYniyVD/5D12Qj0h2n2LAeSRyInwdOf5+ZjNKs043wNmUr8cc R1gzXXvqrWBWd6pO/74ne4jc51HJHfBHwi8dQBDrQdo6vRG/AJz7juPwqY4tI/pz0P+gJK/aTxxS GvsuL1p8jbEgZDOXtUzd2rhBY3ZQTNxo0EKu7jL5rTBOc1gl1E5OrrT9npReC28d0roCyCccDZIl YQ9jF0kDPBy3FvGZktqwRuRiAQAMx2KPCWJVyZlj2ZiEWEbwXRCzgeqZrxHU6OUxbwK3VyC2ihMZ ajCW8O9EHjTQ3/cGK59i4fcR3CXuqNANGq5vnmX4vrynFvDJ2TVutyUqwokIJGEzQN6xwI1b+lP5 amIESBeJBRRYxunHVCK4nFmW+SMkX9sAnBgYG5V6AQFJQSs27+a2GzYSDK2t+2pgOqxihtsvEsVr nCXUe72vXFmK7fiwwzsheb3PL8nThCfvyXEsWXXWxmgaMRa5IM4ixO+31E+2sC62oxnY6q3mkhZm TGWebEIGL9Z0WSgih3emkvhSdIWRgfryQVGnzJRpRYM0zpHLQwoihe5QopSqOAfuSeT4rrGbeGv7 Ndh2BlhU0eO7GXz/tTqF/UGoHFoYhPxq8pclgcar+yEshDcZKNnItp5QQeGLNUKJv7ABvIPfTFwC eYl557DoRhp2U8LWdo1PH43qHjQiccTGGUF9+m2qGqIJo4FM1MPQskTnP9bBC9nxYGDG6dtK/fsV SlllSgCW2R6gwCt5yd8K8U58GLoN4zWY3KkDnt3JP084VDCYQmecN6h+Qi4w2SvBqjLY3/Nydst2 WOiZvcbL47vdwZlCYy2UoYetHorvqazKYPYHcPBn/zIEZ6FN3Huh8fByXDhjgvNP6o3pQBHa7SFM wzqojXk9Unc4Dt/nm3dW5nyLpJmXiooNSdDtcPq091foKkQ/3R246UrwjhUQURgtDA66x8IGIIMo SWWCavyf8ScKVcyHewqdF+xt3eg7jQwMOsS0GzcFms0n/tE2b/1u8Q/dA6mqPLkUPBGvF3mUWtQk kUtvjZCcfRiBQA2COgfykpkFOuyJYJFqW1H4eEUsrXzoiJzb2HXa4uYsPy0oaXnm/oQOCg6YKyWg U8iSV76TILIfkFKSDQ5YRdZoCdIqg5BBXcj0D/yKS8aDnZyjm1LhGyZ5K+r3HepwHotwBlZY889W wEYW11QFC9Dy6aMfiZ952te6Gy5I5SGAIz4sRX9bInqsPcv+jq5hBqFyYv2yt4E+ul1I3AgaINkz KOGK3ELNBRk5BUulozNm+DAk9AOz02PqdGh1G3UmcdWSD2Szx9c6MmiAmlLV7GSLrwTGMVN1JugS eT9ANYcpZmpXvFH4/XK6jVNemhiRdECYEp7HThIPmZohdwlMj5bJOlfTb9RL/ozvHPEgQ0XOXwJW lUOwaZl20tTHZyEc8e9ouBALWugu6uylZ4OuHGCh7wmNy3HE9QJWCRJ8WnzXbAx7ZP+YOuSFrZo/ 87HWLdvAqQhV+mm4kNSRMHJ1ap8sQ9NFDg0O8r8vyllNGPsC1zfJKMoCm4mMhSHCzQRd7IVS3kNq X40ixCQAhNqnaPNJqTxgSjHNIAvkT5rP1EnJS3AqoolpCA0Vis6J7HpRVTxb58YRKAP50Al8BGA/ EmqF8BcIhCe4dlcUjr7a1XtxaekRoM4ngu+iPo9bItNY/8IFezwVrZ3cR8BnBHbgXG2h9OUiswxL tw1+NNx1txP0uB3d87L1AC+Ej1Ydu+aKKpwtGBFhXrCEm6+yliSrUr4+YF60O8rFoDfUc6r0GV6B T3Ckx89W/SwPV6Xc6K7YtOcHvlUyuZuimmsAqVf4RaMQUEp15w167FvgXv2vUoG+fJrMfWzWFGtl QF+STQ+gD3E/8oRRPk4+xtBdhvfAciWwiXBxZ7gGDIPVCFEK1+skxIiOT/slwsihVM2D1eGdfNWA 1bkkeKIziC+MMVl7eTtcdQdWhabRjLlfRum0lYB8WPFX/jgLtIhDu6wAugyWNFwl3+oyTuLmkFIK wnYJGPQGdZ71FlZtIVBicYloYjkJNcXJQ+mawvwFep+RCTuJGOkDgZOOE3oQXKT/9lsotcBdKdNP fB1gjtsKSlKZznC2Ctajaw+/s4MO+9EC4YL7kMoHGwmN3JjmrvG1TxoOEcNyfuVlEcWp2eCOmzhU l6rX0M55yVVwUZK24RRhH+mv9/S6qbow5L66bvc8dlj4h/LY7BD4l2QHXJBvqsGXtcGp5a3hoedL GUFR66tvKcxVp2B05Hx8ixfQsqtPEjyVf40VBDse7v6TKtaM1H76s775wDgtZwhO03jgdfam/vQj p/7ZULN9+ouUO49aDECq858Xg0kf0yIIJnzdTHHaYRwKUSFT7SXl9lpd9EezensxyvNMcNErNCQa 9CCHlVLSvaqA6RhsGUntcg1XTBBcmUSwWV7duQqfksodsf0UQPZx/l4AEy8KWMLxez0XDfZWVTMB mVh8naex/vhZJOBdximDI+o1sF7xwZ2Wplu4ypA3bYiiB7fLC0M5lOVjRDfnCBos3z3Jn1jmc3GN YXxiewE/z8nWVHY7amX0pbHvCXmyXl7z39/anmNy9nzh3bmDyzurzn4nlfQgot7m29RaiUqL+gbG bc1O0dTpV/ppImwgob1lLH4S5ufSLukgKKABckihwzEeyV21dyBzvfqWwjKpwrD4T4i2mTUiPSn9 kzNF+JiNggq05rmvuk/nXurUXeTwJctBKuJ1bApysMnwrW9WW9akGrnhU0ZNB3lStlHke+gx6/HV C/TXW28DNNzSRnRvkkvpYFunHF764BqSwHeTuK3K0xBKd6UVT2j5fj2NPrsE33hQwU6L681vZVi7 GdeAG7LUu8oCy6R9sTSFaV+CkBYO4UJY2ZZlM+n+L9VVQrEOXIMKpyf8MwBgUqkFMzhVsK2BrGtX INPnDRgGBkM1FqOlhzhCp4GjbH/c9XqIAsdO9aCSmriltK29tc1peFKYtN3F9kGfFfoCFChpqU4R ioAenOaB6flBkwZIR/5pSwmYeY3y39OTB76VQ/WCfuQCwvT1Ls6v9oVDH7XpNb8wR1WjhV+THwpL 6hxNsd04mX06+QZHCMiVYu/Z24Crr8lgnqWKv0SJ3gMhS+KYzTNwBnMegCCvCxFIFA1XA/Eywf4T 3uUUPAW17a4DKKkiJl54xlbPtHdnH6pMfE29563oou/+y+Aywydb14V2MT6s6xPtyMBTYyq1btsL Dh8oZolGsPuu2VgTzFOwk+oyrJGGUiDa8nLVL/jfaeOkhhLIMsysgI3kq0Ns5ZBNQ3aUYRDMO2Rj yqet2B7iyV4oR3YgjMiUL2Mmkbju3nuFCrOjImIEDpvo3jKeSNAD1uvoqU/eT0jNJs+rge2aEnB8 n1cKvhlUQqmxFiwswgFIi2hd+SViL4n7TaI5V8PG59lSLzZqSYCZyNpP5bgaTFc/aDzPfEhewPIQ YmzYzLKj4ppOS8aO/kFcb9auSHPS5lZokVVdoJb4If6RlnWzQVrIdyhfGyi6mVYiTWGXaacty5tN 4Jkg/B/UdxcIrbOc8jaWBW3rhRUep35oDY/9q6U+VBfTrA24JRcaJN0pvAndJ8RotJ9keV50lWvN 7z0zMS52a2TJ13/CQctrq0/3yfr3/PL8MnThQtz9xDibmzaEfq8+YRblaWQi+4UeZoR1fLliAJyv KHVOKfgpiFFlM+fZYNrUUcurvVnCxq6LRPjK7BaL6FPNYKoFRQO04H5i3/O15NjoOpaWVy7d02cs GGM9vQU6HVeEipGPpye3J5wnxK9DGR8EOmI5srwku9r1+MkgconsOuy5aju52ZgqFvL/4NFOcPpx r3kgD+1iNhj8XwPdHikilS4gJhrCtEPfoj2SCwLd8yViVuHehiKahVbB5IWsBg1lsDEOXAXocbb0 r12HUjMNbXjIJkPWAq/gwSGHtCmYjxrgCrREWpSPvcX47PlFPJ1qI/3UYNG+j6VtIzk2+2chtlSx JNJTk6D32p7X0rL0+8s4C96majdhQ37n4lWrSyZbGohROpN/h3xB7r1qXoEhjnImUqZvpoQigvVX rN6onBnJWCDL20jQRFjjgnxL0NVkasR4ah9kmhQbHVI69C2G2neROlKAGezZpcKCuOM+WttIxCzl CG5HpwE7gGVkkBNhRYEWHAJFxiLRQfQ6M3YW5Zqiz0VA+4gYgLcFVcWysF7TTaV/LtHDraFRmYD2 ZQdLfbxyHKi7vF24PQ55qvwrPOO3q8jH7SkYlAhymKAvu9pQaRM8kIxOVutoLf5K9qIwxYixVR52 ibatXVKVFm+g8xz2cGjl85ZKuMqq/oxLW7BWiLlGPsz+ZyObm7G9sTAMvzXf5/Iytye66FMqRGdf qrQZQjYDSLZFsZpdTlQm/IcTISrxWxdBtMb1QUk1upE6YIqg8WhnXC55ZlzCfu9NUW3lQvNuazSx LQa2aBqpT5CmRlmOQrILftm/4P/8BgNjpFTflBpDdjBpfu+guUV35UvzERgTZrGClcc4T8TtwHIh i3gr1RSFqDRX9i7xwP/yW4mEhEvSvoMR3xtZSPmuNFJBF41hvU9xvtayXl3hT6lU4DcfXH/Im877 LqTsM78QD04J1GLx/KNnqbtChgF0kKSmg9bvVwAaSSQrCQeUw07nQBXR/UFFeY1lHgHp1ia8AiEa 99H870s8K6slhzt/f9Wdh1HOQdQsOsdMxP4wziSatZHfqgPvATDfbxiCcJLIJeAkzryZWiShSI9v XieWn+/H8fSSH9JIBGp7V8FVhdoTLvFEPQ1okgDbKiXdKNPeJsxwFgAvDApu9WJD4EXmXldOZUEH PLyUVVyDfV10ZLmVnXcT8nz1F4xFYbk5oJoKxBTTQTfhRXPVnBQllmF+DX9VOaMeVIu3bKJvWmcw k71ZqTkryloaErusjc6xc8Y82ua2iejrOaltx7HF6ivJ5wXN9G1JbV6r2KbGEt4NQmHp5SADejRt cRhuyTDboQ064LLtNBLIYB7F1QMuh5e9j+whLhKDF+o3vH4UpJEvbrkEHp6HOKgi7PPLANPiY14r 8dSIDJnHN7F8oRKnAgiDqaCF90XqP4k2j22jFK8/OnoWfTcBH93tzbyxtMlC3LCzgHa4IDpMhEE8 sNmO2WmQDmx83aVv6BBBzXYcAuAeyjJzyV4TigWwHuBdb3NB80fpfeB0aFz4T+K+h1xWU4TOy9h2 6I4xcUMjZG4ELkAY1IiHV2pKXTj3pHhMaZXhiVOlWxVx/Ybo0cphte5RR2XsOq4RsIXdFgRHRB/b FV/YVaRRaE4JiFJhVsrQw5HezTq0WsWML/B9RPFQUhdGZ/QO14DjqAGpAbCvsfgMz22c1uVqKaeN j0Nj0hB6rzigzrAbzoilKcwXn0kBExdytXX9nxV6mgo11IKLgEGPtHp1RZpdrmMJGZg3x3TsrlGe hv3EE03J8AvrzgpRmqGNb64h7H5NrCGcVaRwpN4lbbLymNWwygKtN5+aTRk8GcXYrUSiu1b5ZXmO YeZHxkpRDsPdKO3lnOgXUCtWMNXsZbIuo2qKDA46/zPnOAUCj1c1el8w6DDWxP09NNfBY90jkJNl 7Sf1uoy5CgHSaNKuO9hDGDSuOWTyXDhAS/TGnl6KBBV4Euv9XcULYfcggCc19We1G1KtKG7JP2KC Y51zkkgIRXy7e8OqYH7bBEPKeFg/HZRukCQ0sXoRj1WFhfQzH6kUedlvjdmcLWjoDu7TKpjlUwr4 eFIcQzSM6EMdJKzmbAwzqZxyK4S4ln3loxp8ZaLx5DkGwWx1jzNd8mG4iLZ4cnttIxhzhqIqQb+e T5hQUTUwN0kZ6hLdBqZejw+Em07qQmJYuHyfItR8KT9owcT26jn+XMdvz6VptB6Si0ixRTGtf2aT 9lJ1b/69T8QD2zXs5qNAEA+sqXAZaQToG6Lkhc3IVmYm12P9E7AjGOt4RyS9RC0/7xAWsqns9EIQ i0fIN0gfUF3ZVmGWPzS5EcIqXMtt0rWw04nh+IBt9M9woLjXMaicwyYSB4Bq3DROY6RT9xthPYGB FXrZqThTy10UrBhaRlehzVZbzn/LG4I1cpLyfrhmCKWnw9lZwYNrRAKdTfHvAwBA4PUIe3p7VGAB x3J52ls1tHHKMUnRZ0SXwwfj2B0Copntt6CUsc53hsSMBqC1oWQdd5fqjdF/OM6EwnufCxaV12v0 NWQDbRcm/mxF9UGPxzsNJd6Zd7VoWVt0kbri9NaPrIMZ/tRj/mcBROA+LiUvk0oYjnHXe3whsp1V hNiSLKSdb0z948cz91gkd35R9zDRjRuELFSG72nikpLb8LLKk8bU1j+TB1H2VVQpclX0mqvexxH7 xeHBFlo2kJ2oZTqYFp2NRM/Xi155n+q7eMjLXx4BS+Z7TweiN3JH4sNl3OX8ljC3SHgik04r+AI8 W+9X3UfAgW3XG8FQ1B1UsWhssNm+nPQzSp+z0shrCuSgbrpUdOoSFYprM3ZtZV4Js+7NxXHX7FV+ k1SFdx/55pgkqBTl4M3IPaF4eiKqFsE6jgsn0gVQKOPEwNOVxDHpVjrPPhBx9pu0A7plzA4VPiJg QXfBdltaHOkKNUFnWPuTxIlXqUUkfUR6QDA4bSB90zUw18PNF2mzvSO2a/GbXxb7I9F8GWJaAvwn YdcYB/r1+kE7rAG+NAlDZSWGgwPz6iPUqMt3Y85YfOzkqqUqcY1fB+fddISCLBu7O4UPmeCbhCCS zNTt58AakElOXQrWv2e6q6HbjA9OITZFsp5HQgAJA6xvID6AMi0ApVQ412gVfwxhYOoKD3EXZ6U7 AgftBOM2+12qA1LTzEQSuNcxeTnFMFQqsaIu1uGAVzLqZb0IHmqA1TGMHBF0owyXK8Maj69z0vSo UwT3u4DqM8so3P4LxNU+jaD/3W8o6Z3yLa6Db6ZXFhJcwRSpyLNHgaCP8s0xGOc3cArBKKgApZZv rnA/KlOK+moJTp7QqjDinnBq1oikSm0WIPerjwCgAZv+ertBMsV7ZGTtgeWn4tO4BpQy/EaT8x+/ RKBsU+q6pBOb1S11Mmw9IEnI4W1x+R+2kJHCpZ9XgA+7w/3W/5ZHmJFWE3zRsx1WGlgLIZaagPBm YTh/tUnWVyhZaLN/u3Ec/JhuDgd/GqocpJJbH59xagJipXOfV3/UWAUwGvn9yQx4XTmacbQsDiet CL3SIca2fZdIpRD/dH0PfrdLWjI9KErwGhsuhPXlYVR7ouJ9fY5x6Ak9xZekKsKn26LP6+gTB+QV 4YkKEiNwLAlVolbyuCwTINuUYPPlIrzJIUCu8KMKjXOw/UhC3RUJCQRAe1E/U1D6h9CUU5mTWaGG sdeWRabufw+/er9w4iEQn6vnQGm/AtVgI5kXA1kvkWJvqDxl80meZ8DzWyZRWbKEJ1geuLfGNX9e GSS/OCEL8bQqSgH1whV3VURalseiauqzXSXrYynI/2QLdaAotVH9QQnFBWDdazVJd6rMDcxlnYtp TvoYp47xk3f2V95e1KMw8GeOFtksQ8FKU1uNY6q4Ii7+W/yE9VS7YRzrhYYbMfOYJLaTmRQ0f+w3 pFk1pcZqWuEtbCTA0KvmSExlcxtK/sDAX15Nk6ZvZ66ApOnGqzs/rfgFCz2FR5iLs/1HUiApB3lO e7JJHZM4VNXM7cX7p1I0un4RuaQE5gtJ2PaNBpvcDVFbiNUPVlT1J+x8UcrqokMh9dgoN0j0s/By rHZbqTTWXqw5lEPeUHmXdu2JfMVzXqqyV0hB+r4ZF7mguqJRpGk3hkT0Oa1rNgnjxjEyl99qtodH AQRgY/bSpbHLIoreFPsUVCgEIM+9HTV5GrhbaH1eMLahhUtMpXFH6F5tQ4S3D/RMxI1iH+wjNerO P6X7QvzNMGQltsSg6CXp2DekrPedUQkYR54mzXHHCTBy7eSUS6wLVfcqIJ8Qi3E+6KzTm9R9B6Ok DNLp3U1Mz1QyeCMuqDHbPC0SwIBY8LO3XfOdBauxESmILQY2Z2NWjWworiOjFiGBO+9/WM/KpQnd Ww1+tcnaibw1NmXQh0uAwhbX6UqdyfgvyQAMXmUtZwIYTJcUTngGxpopkMUpz9GWS3Hj0n3UIuVH 3GKQ+Kw15JMUfOSTFzn/bkwxspD3zACLqmvGUuyms6xX7ALFx7ESc/+qrg2B87RGomfl4LJY/UH4 gpSzHZXTVdQpQXolEomKv32hltG19+jx+IZaKHxtHV8++x24YdegQ8soGvao+0X4vujK6gs4NbWT HhRrG6mJ+9uhMWgXc0gGRvBUh8pW9NmpifKTGc8aMLFlYG0rNSkgnYAnYbH5OjQ5ypGLO3zNZhzO itM/O/h8MA9wiMpJuhxjYPbSU8e6lTLB7ftX7ahcUFhelIcYBRrONyO/CcSEevgOl5rrWQkmXeMg Wfn1sQR2VOJvtriGpKEy5wZwsm2ewuH16wYD3pWGkkvakQAoEQ9dY9pBK41sh1uqS3vPS1868YRX B932MLKNlmZIYZ0FMVqgpDA9NKSpjzBkFu2+IvMBzUztnslw3BhjZdPfrn1018r1gv6pW3OZY/zb gHntN5T/qCcADSiHOxCEMKqB8uJuJyS3KR6YiobQyp0wefIzf749vaB2NHQG8ZQ0oiVVHj7xaD8s 4Y6zUJHMAiK5rf4+GXC9iX03PdmkqdeX8XjDPWrzU8FLykF5tsrC0JbcucKca/tiB0LK7TA8YIrV YBGnFly4ekrtuKKH7HkxWZ8DPnOZ6u+jDnXkZVdLom0LUdyIYTD3Oaf8ZyOEE2NPF6FaTquueM3p jXVAoeBhaMKGbw9FZ5tlAYhPzbqDVD6Ds5aOAWqPxXTuxp81Mcx2E7Z+yKQqwefUyVdX8qywAx6w kkegrls5JOkLa6F15UywI1rjuIFQkmqQFpizMxdjWCr9IZWXo8gMTU3MclD5nrRd4/hbFPrpsJDt KhTSDvRNNIVU3GWGhr4SHNDuTe27j5odbd+oTUV/lhQ+FV3rIDrPxYET9HkChg0mtFObOhTnD9WA fcNHNDNraogiUKIEJxBrGkgBo+d8HNZXT4d7ZtKED6GaDuQirXP4JNnZ5rjXwQLwG/ReO9ltSqC/ VOwO0Sk4fMIc9EktXHriqondQMcSWshBSMbpYX6isQYbWqtoXvinMkujWu+jyhLz+uakEMFTypwN bxZIVlOqnY396RY7Mm9+ZRaHnx5dg9uDHBhBF0X8F9KOADJWDY8OxA93j553WUDwGYTptcTuhmd3 McdB0/jE2QJPIkCO90FrVNA4ePRPde4c2JoJRy2EhqHyb6GyRXVLCHIs+wRXtXwD5nBoPZhS98EE zgsrp2/xvAEz/e/QDL31UOHCr4/rckc5yD8oe74xaTjjyat9Pwa0RW0HXgk4O61xDI/AXz35Z5JD fJgTg6XbAsrVVn3gtaXHqqcp1bKZzDnxc5Uve4T0KjrheZ+7pUNAr2LnuVyFxj+fZOnN8TTXUAMq fOeP29/L9twFPw1f6JeqE93pLt5Gop2V8XGR6W0R7j8vIszaQoihoDYrbBKnW+BJUvfSWQT8gz79 NN2trdLZmKv4pkW1PxomphhkDn9c7uhWWSNa6mIiR83rexrS0MUahbR1BzLqbJzzCTerGb+LQlo2 z6xZtugrgaF3SmZFuVROqu2ZKPRifQxLY6ymWzEHStnM4s2NKtXGa3PLWNVmWd8aVfzAqnLktarA qal1JETtZsF83Po7eyeYZSWqVtu62izdg3jXRdKUbsLnXOtAwX9eO/W5hWgc+Qy2x60mAU6/PYNE cEULAlBHS8n0xT2LTbTEYF3bjkVg1k/so14gjTvt6uJPSMDu8aKZ/1V9efkaluIQR0dCcr1zOgxs cmm2nlC2f0+x9urUjBn6qUAH/ly/qrluIvCzC0iD7VKd8Mc2CAxeWlAyptpyAjDl5tDn9ZgP1XkW 6JgHBqTwPzCz79xY3jbDiocQZCrmKV/y9+LdD57WHtPkYx2ZbvrxwaP8gMFhUgm+nhHXweOGBV9V rOKaMDmT/Ym5/rmpwuW2QznSLzx6FHna9nGnrJ6eOtXSzW2gTecBqKM3l+6B1n12RyHCMidc4AIC 6w1gAUImsU8o/w0MPkd/KOpoZ1OOmTDfYRQpFM538VPX0vovpYqtvTRu3R0TRyqlOLAGvkJRfBtT 63enph8iaiUeju+bNuKuB/ZhxjDf/1Qv9crjrDR1WSByfHD1YxL4ptM87Qzpa1bqsDzyE8CAH2Cm zAsgtxYo65Cxiis0L9O1M+tEChS/MLjETK7Hca1YWJ35GOHhBfdJ5Qx0maA9GsqEYyKmTWIQATk1 0Uv8JCrDxKVvNTImfLcCfquH5ssEnqqWOWTJ2a74N8/B8piRv85lL+NzR+SNyxTmXtGyowd1OOKi 2oM0VG6Q9sIovu5brAaxoMgha8W6ydid94Twv/O9BW5pAmXLxUDjqs9TlPi8wKhQnO+AAbav2zLi cgyvEryaSEhfVUth138Y9r9ZJ58dmM6sldZKCbJz+SVHCrOmnfNWqMcWnpxaCCRWY1veW5LDJDko EwXyenBNXdX9pTjIAZuszbW6Jnm56J9PEHQcPxzXzFfkt7BWrVzkEQLg63mc2SxLk+ePh+kZYswf 83gryhyx2n1nyWgXVABsBLvoT+tyarctG3PdDF0sqAVL6P5slfGkNiFh408sSj9WFNtkUFNRA9bV V04yhBrPHmd+Cbjs8RfCAqYtIZsta2Mio/iAfnDiYBX0PNxT9ZI80Rv/ifUY6F455Wj31zjEDkch 4jGrv0yLwO+ro1+PjzJy6xzW4ZSZxGDL+uuN22afi+upzHkWqBJjmpwDwh7Az3R4xtAIKB+kDQ5S sZkj393vw8FKSOtIEjmaUNs9KrNYXLl4ljJ0mOblaCcFT29G6QM7jtmNlgxE4oquhQ+FgKsTZi7v c2uHNZ0TzpJkQ0PtMFoY8+KWutGRN3uHuC1kyNx6DTaH5gbYDN5JtmU5SkDnn7y6TrK6GEPwJr/e rHsimG+uhx/ckFObjcQaIjC78WjnbyL2j1sWhnA8sfy+n7MycKwwZYLQfgY8Zx7eNh6M9MKin87l zoOSjFLX3srgjD8jd7ZQnZ+ipu+wcjWKA9d+/Cgkl6CxJnmQl4IiNncvAn39lpz8QVSo1bHrPLC5 WKL9F8c56orls6N04MNvYAu2E6weYxNOJBl1MUN6P6sCr70qTWTJxJ0Szsas7tSmP3mwfxMynj/6 NpPK2tm87SxG2Y6OUVZZFchNpec7sY/ViMNattJI7l9a3jsfyZ66hbcbXdITK2jJrMou+jKnt1bc Uoyrym/FvAWoo7aaDbva+Yk7xKRaRqDg1tKW/mNCWJhsKzJ3YlY9dJxyyC64gIwgRNolrt/y2kem XqTzOXLrIu89ba5SmMGGFhxyQ5/VLg9bD/IeuTpwD6ot1dUWBewgaZGlmnbQxd756WHvE3WGPxuD gO4gfDiOsdPnYMtjSaGzErIDuq0o1H1tTQIQ6+PB1GsQoXIczJf4uWf4DfzqYPzs5XMRgvEHlcai ++09CGyR4Eyf/ENbSrN3kY92d01HWhKC2vtvcrOG7LI67x1m/HtkfWaCcdKWHf8QkXUqNb+N12IZ 2Vi3cGm6nO5GIQq/m8y/ZCuRJ430WYzSIxrhsTr4dvWDZZiQymi7dMSYl8e2MK3Qxr0YX0oSmC45 fV8d0OqOlUPac9lac+mxzO5k5z4rGq1au14EIwKOKQPQk4CAx71uz/KuzeB2s9QSEBO/oRvyp8Td hQamB9KWkj2O2VBcoiDikDEJj2RTyFRTNubrnkIHtaWpD+hXgD7uZSv3wNDCmZ6K3Vky6bkbLueq ilAypk05BA3iehgYnS1z4fefeoagYHIe5XdTShNk+Jj3G7M69NFE7wP4VQcB6NnW2Tn/WyqRPCOU Flw3beOp+3XWEKwXPTsal2qVfxSG7BvJZdsbw/UdUW0uXYgY7j5B4o18bwsRXbpjqkHIiJyS23Ei YiDgltMG46/LHrH2dUCYljrW2GcZTR/5PMKfp81xaqA0l8MlM32Y9JERs3MrkIIl4EeMFOOgA5/2 DVOFQylZvrGRZ3aXwmo+tl1kxNFZ2XFlrHjWonjmJDmo6kQE80COJWc+ps3hd0BtSpXYXzOlQL4G gQh/Uug3YL9VRglCEuvz+QbEe40dqbt8Rkf4zCLFsLg3m9I4YNwjE6M8iRYvG2YfTg+nMw9Wo1uN Q57/ewBDd5c00kvcoGrLv70DPuBb4jfVc6z7oYf7VgxdzkD8bVa/6SflYzcQ9yCeZ4np64YcL2F9 ADbjFIkqqGXvbn48yUxSIG5gdxgYoozRzYiMZ/hc3xCX8HuTfXnvsoPFA3hSmNW8zmieerpUrsh9 RcfIatkDV8c7SjSAhlikiS4xlLwSUUz7xwR+CO7raDq66ykhSHIoa+/qc2QsN1v/rvr2xwwJJHra Z2Yb0W/bRmKBj8EjUM25MUFghghT/BVutaAL5oQfDOg29vlxABr4eKwgwf7zNFhlEmkXRIaj+3Yf KqA8ZPtPfVK6Z8jgB/d+tD8goRhfPRVTsrS3DhV+NZANTQ7F1xQuhuoOlwggvtL2TiUHlCgFYq7y eE5f1zUmOKaK+Hr89uBoFCjimBEts5MC7Meq7p7rr0JrLQHumZ4f3RLlarrzUPuhUetmbF33qbUA +EozGyNIzvNjsbSu3M69LPIAZMzM/pqpzy7ddGyfq4Vz4U+gb1z2Vw0HxyS0WutkUeFJ5kqSTJgr lYK8mqxqaE10OcJIb5snocJEewVM+typsojYHbLmYhDzfehHG0yA1ydWGc2ehOovuCD4WW2T97Xq EyPSv5bbmLMdNfwp4UBAM+3VLha3hQzBCcqpE8jfScIqyqIDtqRfoO/AzyiYucZUKRx1P8QQdZ9q GKZkKeelr0kQSme9HzyahAWUEKzdrvVPPFGKYjIKO8P4csFLDobLZ6y5/m0Mzoh1Q8YspCfu8BHA uQ1cTz5ByaQJzjU/AYVY5XT0eeHN6uQJRR/AUg5e8e1pNu6DDVz5ucb0BzqsdgLUEoRcWv5CZZFx TqgHORsJ0itrELDDGpdzRWOVym9d0Kux6r1fU9jjQrCdcy/CrQGZvzggxIMgktRYxtpaAMnRdQc4 V+meqmwyTTPL28g/p3NObc+O2B8nLYM8XFws5Zqdf0pbRC1/wbiAJxFs0ksx3WtYvWZWG0wVDonr kqLgQmZeGAsYXZAyBx4Ggj3VqJerWa94+S+qIqLN7o1e6BnJVx+Yl2pzSDX+udacmbeK3zRr2X55 Jnx3Pwrrf8Gqpnf/dzyuFUBgXn6OYR5wIAnZYp6284/QkWlpiw05285Oj1jQo3oQGuih4Fjmh1hK UbBMvOPbcnAfYMOPKdu6UBJPpdAx465OnUMTWf8PZsaCsBKv2u9vatZRqxmsBn9aDLUKo4yvBvJA +8qhHPQuIf5l+hBNOJXmlpEyDe9AmRIgncMoS8vpVGqZx+Um4uWsUwWYlcx4dEz96+kIlPKTYsHl lpeSpVwJAGUaVAbvd6ftPWVTJQpcL6D8tFRb4JDR6ddljde7XF6ZCed5N6eTxWpBEZU4d0kq8pXH bKTQSEBn31MfF2FY0FTJF28vMiOUWYELKfcfP+cVuB7ksr+x/w4WP4zVXgo2F3o0Eq/mGc1yyuLL b1mU68OI00bxqXdlvzp/SiZoRt76lnrtdo+wG7ewqkF8u+SAEKBHN+nXdoOdmQQYtgggrj4Wzkje /I/sq4C+1bN/COEXpSoriQuEqCB4KdgiKqREFK+odwItB53v2wZ57tL+em+PPuOdVZBqkftlo/PH rzMx5pCTKJJZW1KgupqwWWQQc6qH3ov6wQB1nVEgGyazRqzRQkLq/XQRzXd8Km0UFBQa/bVkysnc HuDtlUUs7Y1DGyCp4AgtbFXj/LfJbZI74WcfngUmCI8wOAiIJq69KpmtbSGu+ARAjgctAWHXDihD X12d0SRK/pS/bSBVY30miw0h1l4N+9FSL47gPvWEBiaWO7ygrzCw1xVuvgDAZ5pzYolFRuHTBfvW u3N/vr5aPkhj42mriQaqhMaFDx5JikzZgIY7u6tTFMI0QJXPsLiMpPnKfgIYMr3WjnqgH84eBQyW 9E+LnCAvCmzRbnMqGewAl6m56um0kInKncnWzovp1hMRBpukedQFXA5uZMlbxwy0MiyPcEKErje1 /H4YreG1m4MiRXcJnaCpbeWWLxyWc/IgzaQ628jmLNduhLzjcBk7cQ9n/fqARbxH+sjqr52mqDIt Rk6aCHXVK0KJ8bzhJYZM7lCnYXrkB1yOlCzy66xFvu8Kv9X7Zr0vo13gsOg0LpcTspSnlxinuS7Y Hep8S34lj+6fhpTF+FKUrPrLx8+HI84E5C6T0sG920i2JSwTyzSvoZqRgPPSjOtf59tYGFl7a2Gq 2cSksdeA1NYFjD/zhaH7XVVq7bVoPmKWJqUjDZDFkChK5ZyhC9m5qBzhd7L35HN4mEyMirog+Q5s yKO6ByQqzDkCBvTCGxRNNo0WITgJPnFte91m7ZvwUdqaWQkZTyTuG4K3tuEh5HWjTyZKwi/Zl/iF sOu2t4+JiYN38SoeY4svAuy1L2b2j4YSi8deR0/qJH0crld4AhDJMYemL2MkLEFw0hdO1f5XJkbr lotEAjtcB/5U0X/k3i9sUjZOcQGdOzxcKgBcG3rIULdq+Jiz4Vmq3NnN59JAzrRRaVsSXUOE4hyi 4RmAbrPBXiELGXJ6H3ROcPN25uXl31BuuZphNIofkRMWEfDkPiN55gd05vFHOnqTQXQepb500oGF 2NBNLI/KLu832tqRGOMinuMPiNXGKJqtMInkd8eOoIERnk8RR1uTSRllQevfzTAQSQJ3Poz8PUOs getOcJoaDw3U4Keaokgbfd3Z/n+wnvWDBppyDyBFm/fv2TwqilcWvilfpGqrf3lz0By0HF4g+N53 aAImxI0JhUbxAToN1wYG8OK+C1DhFetegcrj/gWb3jQYnqfrwMsbh+cqXu3SdVaJPqEALvEGe99Q rtzViYI0JaNp2BhBH8jzfAUthWvpAWvukCf4kkXHBy2b4BAnadAGn7dcOn0fd1T2rowZfGHow2DJ RcvoQ58zypk7F8PiVqey92qX1WGrlRxjesIzL8mCNULQK4e+ck9KxoTFq6ICkq3Bg8bcRXGC3OJq YCb7DJVJ0XJdlIvufpDijbKK9ZEm9PnYDLWdryHQKW+0GN/YlPxdJrWb+m6EweWhzepfTb4H8a8J yHkeDPZUBdlR7th4aSjWZxdA2hOPAZ0uAjtw/ROnw5McKhi0tPALBHHByaq0b8mmANKPxkNNDJYI f+BBGyr/H9NAiin5XHkDncpDGgjN9adNcuynSg7Kl5pVX+9qWcLyV7iFsbBMDEwnwi6DyiKqLHax +VE0kOsfkOX5ByBlcVkHcHzk/AGwJENFq9R5vSCQXFYfVW/0c7LUHbJWLnORC3bxHemSRNoT+bYQ L+OWzVzcxj0KEmEXoorYTHjYX+t072zqhfXHW9n4T4AG1DpDpA3Y5z9qmTCDKcsyjYvSU0UYErWf fzceep2xhgmzeuEV8aD5Qcp8uTYNUb2EK2gs6DdA/abEGPxzChy232UpDdzntTg7bJHDUWzZriAL J6tAbLSKSGgyE76wTJ6jAp5Rv9Aeee7wf5YDrWjZNVoZKYIjP+gvfa3QpCerHrOthkNr8v6kskpl EdeDLOPMsS+3qM33ITqcUO2PkSPInHvUcNJmIK9tz7+JJPOJa4eKkgfAxQS0DomHgEZzR3poHHle 7PeG6QNSVUQ+EY7/ZjI0uWGkNqjCObu5yYo8cw9g6mOe20VY2JDVWfPhCA+pBUwmNrp4oF81BUHI LGbMh/LDkwRIncw6XmpnS8pDA01UPJYPeXfL5Z+RmD53BUf85ZxhuURuxBWens2mmpJ4jkGLZpC8 WXLMD26LOPfwHF40I/aO/7VCPSd3Ku3qFfZ4waYjb3J0NjgXo7Fj/Z7NxQG7B9TyLA143mp6MOBm NRZjicXMCbq/wbZErCQTFh6bWKBaZkVMid1oHwGy4zYZqV/aqLDw3mAGDBqH4ktvXnOqI9DGmpFk If8JL27VAY7IpjCVpbdtNwRWS6GwbMSCzJtjX/Mf5KBBvSjkKwP/JeB2DWETzwb9OJu0oRcMc3JL zN2ImclsYZdMbO+Tm5CB1W/9Z2Kq+v+AcTfa9huNzD5/SeilA06LztNow48XRkDWrmQYzIlEN0DA 924vyy6P9XMMrq9EmuQwSD3AWmAEyKdYaGMAXa2osOQnaDnqDn6we8rY2qbTRD5SDoiIZCgElyzk 7BLiLezQYgUMC9ATlEsuQYIJC/s+ZN+Hms9vf5kZRqo6gERqC117/FMIN6JoMzarW70UtSvxlqM8 OdAJs6FARC8s3QurXQc9B6Wx9ckQ++O/IkRotF3/HBVsPuf2fIbxsxfpZvgvk+4S3sTR/DKjnVgP l+j09veRC5+DHWWYBSSVQKTfTsch0wPstUohBDov8+vWXXywCszyex7T5zh757lWuk67DqiVa7PI otAwp08NmzmEYjmY1DqP4VGET+9IZWYId7xKYEyB9JY0GCBGgl0Ez7A0e8efL9Ggp13Ly8WFCcSd XEoyL+MXk1O5w/GfaG9mC5yGCv082/+8sCfM43p1ahqvNNdHVParnDesN0wlcNgQ+EC0kAJGTc2G D5TACI23u2oJCFo9a+a0kb7epqXtuhiVVHHAO5vmcsYerDrsEk4c52dF8yoQ6IxlUfNPiNUtiC2M O0+BfIJkxuj1WB+HlsiNYbMtlbCH5EH64HoOng4gzKa3q0ubfLEzJzv1mEEhgzxw7Eu+MVEsX+rj m8HZCZIOSfKuwt6PHy7oOrW6lYQqnHxsnlvl9UZKRdp46Lyyl1aeKEVP6llhP7dkJfB9NteSau8f YyPCKKxcSyWwFfMwlE7xMrvy/qKaiARhPvIIvXcCMjaeO0PsPvyGMlmeM/UVtmva9wahD3C+9j46 jN8we/7hOZKIVt5ib+uMqBuSVlJJAOpyCQrXFHI5ZvNmP5HYeMs2XMWNWkjND4t0YLgBjxeST3FK kMh+Znr5FELi0fh0xfNE/xDWyG53pOlkjCCElOcr2AxKgfL5ADLikaSAWD/uV+RJTSGOtRKiMjro 4kwR8tNSYfAxaqzA0dsGhoWuxTVw7GSY1P5QSJVf2kTY7EAxozQCdgvFqz21QMDLMRyuz/7UdJ6y RvBkjV4W7rzylFv1kMMF/lZpt2nLZLhz7KUXJBynh62bgY0i8/5q9gO6j/Cz2jHIruHebRQJVsc2 ajhS1N0kcekxkcrdf4ch7wpyOoaW5YoXQHp16QKxvsEmSBQ/+3jZjr33ASB41hGqCiVIeNZKe/tq CFmyvEYYzro44WYb7pbi5RXhgyrVkTjp0nfwEjyjYtGczKqXsB/i2CoYvvYQXiXlqJyAMQ3JYNzQ h+lUl3OODpu+c23ZmtaKYYboc6Ym0vKDtyMRtlhuUmFKF/ANuOau4COitGtXK0jmYUrZsZWraTHA d9LuWtbnuPbpEA2BiVwrVHW+xPuwXFwPDJkKs9x2wClfN9ZZcuno3blMawoCojevxb50yO92aI/A pueaizESCyhZwb7gauSYIUdGkIk1ykC+RYv8IVq4OH6qH7whqVqmGAnMI8E/dRzWo3zpcQlv8Gkw HGuZOuU2+kQ5N/maMpHGhSGhvyfq5MY/NT7okUENhNxsntXy58l7VLAgtDJgNXhFHdQnj3A3ZM2A Jk2Zm0A/mdun5Z74Yeg8PjpFAPVX0WXkBc8ZA6puLeQY3btae4uN46jqndZM+ELtfznaaAhAMOwF KTkbv4GPpXojJXA/0CpHR5A8/pfuCA3A7Q8Wf8EpRbh2t5uLnL+MloYRiYV33bIWrHYtdVWPDgHx nRWGKR6Ux5eY0KXyRDWbp+dw+hgoZSnuxHlKQtU45Dp9pk4cy5gQnuZIhDuU/tJ0Q7IQ0V29GDhY N7jOVzZS/CdVX45gCU7SYqcJDoBQ80NPSpFniPFjyNkIiHz3CsKKJdREdFeF2uj4ZEA4AwoECf/E DhZvqIgPT9H3RUqRyEZc9OpPoWjy0r2zng6I9DXNw32wj4gRhzASwAzd6/LnKoa07uFMSdahR65o GG+RIKFczjvdk/eJl5wcAKnnfcysPJ93LG7LKvMwktA9tgU2sLR/lLGenHWDIcE4MG1/OAVpdFRY I3Mykqg4SvRea5u2dt0nGpHF78ujCjCHqDBvCy/W28qJVbSm6PCIAH8vIrjntM8q2IhDlRSf8Lgt TObuHjqwr8Az+lCB4334Ke4lIX8bc4K5ZNtGaW9UWxQgIQwyVYguE/xgs5BiVSlp/YmS3SuQvS4K BpxxuFRuYwxYSMkbYZvz8xBFIQvuZIQC+cdEPSMsafQKxXfl44PUrEfOXuZIJLtDEMiR1gWDOdL2 TkRq+IpSPy2jtSvas/vpMXktLpqNXxFV42E2FMuZYCt2zjpPbjcszBxaGGxj39uXSsnWKperfTHR TcMSkmpg4a9BBglRipFelCAm9s2WPCdQ0mDFGFCItduVK4rseK9QyGQ1lKWuCXweg0s9omXvoo5M XiCaZtPkr+ibnanmVhZSbnPmHU03cd4w0IuInH+0oiKXnteVPfKrniXW8ab4A+qJ4KIxTUtUZ0Gg 9VxeGKjUXY8S1PpUYB158IZkuZvw/D/pC7AsJHSE6IEy9aNHYukbfEjXAH0b4yAVBWZOh+U0w8/Y mIvJDXqlhpSXQvnf0ZVEbwXW/wR2tjOw0DNJVJJQiAHx7lGjo8J/NgJrLKbANlcVfi93tkbacR1t X2XMjBAAT5G+C61UIG+UpnwSHQB+DAAVySpAImxn+NO2p8P/khQlbEaEfpFeQvhvQ+cMjgpCqHgZ 7QNeApnvCKs8zHPbNPAcf6tGFzxuXUBp6QohYV32/LH+2FJRxhpknKfJ4Rh6PDaKkwOkT61vAv6i YZCCCpfTABpSMCcjbT8Wm//8o4zs+FHRELHHrTeT33TZT1p7HPIXwsQ4Y0+badeIV9GCtLY7OIaW 525ZsZvVnCd4h2pQt3fFevLKREfLiToGZy7+StwOKKZioklafVI48kOQ9Q6/zLG1GFmD8E2R/lFb wVmlLEyfxw33kg17BpjN/O7/UEgkZr3Qt/gUZdUR3zJi2ClebAhW0cb0LU4HYcgR/nQJubxZyYuk vvt4hpFaCfUflSi9M1nRDgyJrO4yLyf32G1YgJI8o6AJnyarKurxPNzbgq4Brd+UG41bvSlcWwZZ OqNssUl4SB9cKgtSqtHvLUIMqB2cAzqIbW/de/FSuLeedwN69AqeiaNTR5iH9lpkTceimbC80Xmq 9QT6+KPQmcBRweYjRYc0pOX4NxmydUm1gpCoHKNBqV28AQQKCqZYe9LhgPzE3aL40vx8wiVXXhIW X6R0ZTTdoZT1joLvbVWN+e/TD9GGfUVyA0r3xUMR2nrSITBEgm9sF4FnW8LD2ZYmV2ak7FOp9JtF n1FgKP98ncN2ACyKTNXXFWYbGEY+MZ4iOQ70cz2VgyT6LeVfnCp6M4L2GMSpVaMhABq3oNk7BTsw RGdcbK2lJbEW62mQ52t/WXzvs5nQvLO0NAsce8JLQmsww0QKpZyh6cP3GTxLStE1pd96E2gT3S2D TZi1Zz6jNu0VIOLwMbraKHftXAhhUZU+1cYCM3Ro9B0MLBQjaf07a/QEMCZgRUGMY3xyJrMTi4WN RO/HYgG8+w8UHf47hEr2hIklX87CkrgSgK+HooQeAxmA9Q+a05Cqj5KKYOJzC8SpCwo0lLNW+MDq sBjRaMXBNd2iiqZfEooPtTOyqDq0/mWoneyOjYPFRbT8g89xi13hs11hUKjr4zcewBMAvbt6TFDr k39yfQynOIU4EY4ptdLh/yExZqJRG75xdR8LGOdn8KuFO6y7HqqpltZPZe/fWcFOlIXERZoAdHOh aPgKStR7+C8LI/faBzlkiN3BDjKE1/AiEzrq7v3vY4Gk2C/Rd1CMr4zPhcZkGJNbDT83k3u6XZTg XHVrZ9Z342doNU6F+S2BQURYYI35ykS0JdJqny5CVdBeyeCwEJQbO9e2LwxE8qUCe7BXvBOR/Luk Z4l2tl3LDIhzJJqy5xeOLYr6A/Swrqogm9eF8n6JBKj7tglPNYTymb1eF+ftH1JamyQ1nVWGE2mY SlDlhVxaw9PjTUav4vYOma8gBtymb+3KAcP+PEZ4rPnPZr/kIA== `protect end_protected
gpl-2.0
2dd6ee33e7aff5f5f2fa8ccfa36025e7
0.954196
1.813111
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/bfly_byp.vhd
2
9,934
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p7ASGDKrxJzRuyFI7rBHvxN3PatboNHL3ZNl5IIAFDDjFftZaC1YhfLNvmpUpMmFn5YHZTp7mN1n /N6u6p1P5g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QoXeQD/32DCKKr6BFn3NkIc8ePboK3mnVViqzUbMvrl0RBaN9eWFe3HgQ3+Ysme8bAXT7F/L/rK9 PkTnxGMbD/DBKzitsTUruLH0/5WMvzoLvqHKti+Av2zRUFCLYyFYI173SlQSryc1S97lI2cOYcxw AaDbWvrXVyWaENHszgE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 3Jy7xv0Sk/yF50BTcD+APjyxkYsJXP9UROJHjCCFUS8Z7cFUFxbmvIx3vNxdt5OrVSSR4TV+mKWH HgFE5i3pEH+wayUFCSJRZuxBNUXDHW2QwwFOPx5zrZ4+qZVQFyPNgh69wyffP8kDpSf9MIAxuH7s 0DOjxBVDTmtaecyM1FSITU+BHEH1sOsVaBjpITMx1wj3BWeSC6GHQ85z9yEcjsuZKUW9EV1F5Op7 IcQl13tV+aq9SG+YyH9zC+vHNaYm3ugoxnawgkj/k2GXV0nezrR/hz//24lBaFYxztLb2uDTRktg VGAdQ2u099dEou97SKe1r2GMK07XpC34p52v4Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vjLmNEbDiR7ICSxb5g0myBjhOEj3RqtYT8XdvhXZHbAD8V1FeGAiIXBWnfqsfKEHYnF4ojZgeQMe yIAzyY8LqXbIXYyGDGJEnEiO57d/7h3DZ3urr2/HYjnU670mAoX87fJUjVPGKAfHvSGo4aITENJR GS8oLz+VUFVr/YgqrCg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block F7PM1SLII4MQehxrTwqrx6DEBNVWIgpx30eevKgDCL5Xu94wyJUz778fhxEzfQ3rLSWwk8YsiY6w NyJ41ATxsytW/9S/ca5reioAaEJEG23uuK5KqseYJ+N2H3mhwPGHe1s4WC3oLwHCvctDuzF0yYWI IDi294w5pjlzhSkUwz1d/+hS0+MAbzFTyhcy6GkSkjdPVA3hHutIL/8hjmCArurZwXi4kDdlfeRI kSmuGZJ5O+q7qG/scC2vq3i0oSEVlUY5RtJri/++MHD7T0IiZ2LJABy20U9zGBlGhjTW2AK7Wqek TFj6NaF9jgxEG+u+f8Lk1+40Riy4cjLcBQ11Iw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5616) `protect data_block Or+UPIp+G7wVZ7hkMkCn+NWj7p0WvMsrGDHgWGuZOpsFzhd45xE48CpzSNVuKcBrfeNd/JqlDI7L vWQ1NaWsEeECZseRXw15oBFTUK5i2akUxuOYjVlRAYuWozvcvYHLEc2n2eglasZ2IVOt08pklAG/ UoF2RShFu56N4vLV7/oQKVMY2CoUYoja6CIB8xb1X+lLx9hSt7yTgXnoN6hBwTCkrU4iAWQWCYXy CzVeYimbY+EEc7VV9BY+RBLjwYJcPNXrl2vQvSz/PdiMb1wzmwG91QG+eypNV7wtX/h2MFjs6Enu UlP/VqH+nZylcg3BvAXJAt6MBpVeEj+zZR5KBG1qpqRmjrJ1Dm4UtYk8WGaDMSDCFNxkbr62sbhw AqaSSUtAmij/LqQMwSkrLQTcInaMlo99x81a4JPyf4jm6PcbqHtVVW5LQD3YvFvKfGArxbS/aUOV toC5ps/7qcxNdaNAM2EPHdUNtZ9htdKkyHl7tfgXUyjk/vrmdE2YWKG6F+fmQhxwt/78faxhFZaE +WdE3Wtavvgn8a/eluJG28fpateTeSh/ODS++cVSEqxfoaR++xCo5VtcpeHSBad83OpVJK3x8H4U CCyUNCvDDPG7Vz5/HlEm4CoamEb4JL6wH+1RVg4et23bqhVitiXf29fASOWDpB0vCw4uEnr/0Qnb c3VblY5BNTWQekKowK7BC7ECqE5SvStvUkL2XKTiQzPTGzDd1RWjCm3BbX4MIxnUhUN+VC9QP4U0 Z4OGaGDgGSJi4E6UbC+YsXlZ5d902lJOVQEZY43rPCMS8XMTmV4tDBMjsm/Id5FFVZ3z7c8Pj3kA tnCU5T7pZxdZg75zxzfL63e8krHBvlVzExPkMTrxAZbmdYKT1oqD1vdRvYPlx4XFt1E2DJuYuu6H k2RSpeZ9ceVpBvQmSDgViXm/oqrkXlTLmvBXYb8hS3Y4kg0ze2hATvt3DQEOc0r3QFOGTSpSa/wy rc4DUTW9ln5kH/UemPXI/t5rQSGgKY8R4bnKJrMrDgcugnFnXvPFcpLcxo9VrFP6LbpMJGcdRVWM 6mctyb0EtPh1lr+RV6CyhoJ/wjy+NmJDzCEmLyJnJC2VuaDAoC9B9BF3Jjw4yTb0BJrucnF8VD22 1MdCh1xhwqfZ3pQp7IOweIc32S5wccecp6A+/1qTW4CuUlIIx1HPijgOPtTb8Ly7Vc+BfSBEBjoH ACWLhRGJVvLulD0HcM2INCw196wprqDksi+niVB4RgGAKXidrEqLkVE6WqPml1b/mjNC3uPo+pzo 1Cpc24HJ0twTlySzVxt3CtdXelAtGSRH/gwpcNSLi2kJVZ1y6TmTgk1BRFp74M0aq0XrmDsy5EJc u/uNuJxGb1pTT0QTwkNB28dAQ/GEmSJWiTBrGRiXP+t0W78ECT8jaQUQSC9vU2RACvY/v/D0Zdh8 7T/GdQPP6Aew4jK+dWRdQqNg9+ZsfRA0bhNaBcVxcOEaRRr96uwtWoNjG6d+Odbr+emDY64fJlgR 01fGfFsV2tcp8usflSUJj0BoOtoQmvW+N66yt7nil+VmZPJR2tRj0fBNPfIwez8NMByfMeLzGQJN zGtd78UGz0dTOSDfwmQnYPMLKgvrDgbeBSw0Mhi0+7M3a9vqDKIseV1ZTrQ1R7gkE6xLyypcnY2E PEMtRxULAHB11txUn2PILB/vu33GxsoKd5G324yC0Jenc/j0477O9cYM4Cs9dnPMmubilxKBzCkX j3aR9tG69T7tR+gfSzC9TfStPIFCoWGHggPqGNUiCf0j3BKm6GNjkDjVMhB6XwgeG/3qrM4meuQo kKojKMZwFxqUKE6bwQ32321aGOtR2GJePDtDLoZcPMlOw/aM2G95p0r+8/7RZRebi9jGQLQedHkG UJNQBE+Cf+6Hj0Jw0ynf2TpwiV/HANp+CCcHvEFS3+akxxw+qlYf5aezrh+vgHvvmUD3bGLS7fY0 g8UF41S7lZsaKWDsyzok0JjCxIhP/JiKGh7t3cqBG3WTxgvGvmMIO2wnYGu3j21Z6/1V6AMQK6F/ yuWeQZCzFOOnw8FPYBQA/AMzAKqEd5h+xYxfxoofJZW45tv3LzROZsX9YXbOXDQvzAOM1/h9WVUS f6W+PSvYybu1w+B8WEmflS5wcMdyV/aez8ZZwitGnfvkM7w7CRg62X2tX+ZokoctBRKdIq1NNHI/ 4a2Mo80F/1j1qFjvFvC5AcmCHMJw21Jef8MRFbsfRJrQY3nIFrcBTMwPVjOUuub1kLBjmiM5U8bd Got6v4it7jkHK8s5pCw/niP568XNAO1G/qGpG5k1OrtbUcmsmtzJKvjMQXge9T3kmw9ewsnbs8At 9Fv+Om/67+FPeRPgJiLoDdAlFrptEvhvgRXijvjCyzEC5aM3C+HYQo5U9I2huiAhs62DtLmmrxo8 LXorBUARWiz449bLTfDWl03WdW800J+zwYqPQ5K4AFS+Xool8dmbv190VFrS6eeNXrezus8e8i1j 4CC6AVhjoAYonjBUchfmP473ov3i59EJ/oUtIRnfbXHlN3Pn00vDxNrhJZDh6cGf3vjlO0xT3IFu kO1Bscg8xlb7TbvckyoYR8wzrdA/lE2SuGBaRKL1/LFn0bAJDfMQmsCX7BSUVZrDeI+tZQ915kCb Q7JFqCjlt/BxPBWB5AaSKt0vaDhYr3pUVHXJKOmy96fK24ICNf/OmZWYoFZJXcHr9oE7vpvvu38c +WJPRMZmakZJR2l1LreUUQ+jJNiMeTvPtpygOLiE1jKi8I4nxXXKfuwu1Dm4g8Sdj4eCranWD8/M qStE2FZMZ5ik2BWSIhi7nwwFPPG//nRRcc1b8WjG4wJ89Tru3fZpGC0dXe0C84YHfM62JAb0Q0hm GnpxQ/pKxsYENLCXzz5eMskunjdJh6KKAt1N2ELREqAGNX7AjerWdE8aelMzjR0d14CN8tHWnRuM OM+Hx5gD8bCKbQGNT7U8sJvn3Jv6mCNHF4gCgRuR/LyjfHj1scfc/0UlvgOinp86EbEwf65AAZEF gMXc12buhKG3X1AKpAPCcKb9BPAYCX5vMQDQdHawnjpnhL60Dvc7NkirxhkpJcV1vSewqY/7edDJ 5Mwn/IVTaTbFGk7fEn+TAxP4uwgP5KitHDqAjXPEWF8O6etYAS82rAkmrEgLMfipuReb27lmM8Da QNAK608DXCeypZxr5cRcSU69A29gk6w6xweN6QAD0kx4izooF6UP7uIbnkji+Z+eJP2NliI/W0+H mMjDkYBpKC38yqRtHkZ0VLBzeNFmOwhUdOwk3Og3i6gNA/qH9tEqJOUoisr6yKt81gtbivMJSI0L WfwpVyCWK10QPi0AoxsvwEXrBKfEDmBOjv+NI063ifpi0YlSq9dYY+A/Xt4zjPV7R8WE1fvIUq5Q 3/FkqonBtE2V2b3ZDj/6bQvn3yF288mW0CoDL1adMzQX2I68ifccLCpNoxOKjoJhPVev7MrnErfT k/aaa+tGt7lFHaalhkNhORQ2TEhmlRPW7DJYQHF+brlPHFUBIk07196PY5Eleif1madCCOWMgLku uZ+KiplwuWE2gpMX/nGYoLegCRTTuUa2OgDkAUSeCjWXqWlek1sSew5Ivg8PXhmhzE4MYjA8KixX CSQOplr8C441B5UZyjqMb+BZUw9VnL+fnppqJoIUP7/AQI2NyT++amfZl/J2KbHmstlRMRToh/ao B/4TkCreo3OaV5mIOh0ztsXJL8LjGiIpnS8UfhA/pLe40/7dXyX2/zA2W0qHaeEYBD3WUpbCwrrt LhtwlSCNtYe6cpUMWMkVcqWe4CpXZJsep0TXNbWFIBhYhpb8MhH6uqJzI8ol+glY2FUtYNLXWWDI SDskC+ZCm7f/FoSdb32fD8iHHyQHN72HBQhLxtAkKJoN6RLuMjKrUEEiSdIu7bIniGEr96/s4Yr2 KVk4PVsODO3C1u5lFvRcoOTLEYu9Cfjkfj40aJCFLnr50rodAaLxeTJj0QcipOsMCaRElJEOhaTC EoSQg4e4pAtZAqsQ7NGtpZLslhsndDWOAKQLmxXjushF5srN6dgX7qPQGbImwexMvHAl7wT2WesN PzDnRYhOiTJfHnb0pkHmAczLmCmwUEymWfYXjkUdq1ixiVoLXCtV7soNEJX0auWeWDXpliQNfHHV +CvBJmU+HHSjTCgsiVf1iSPWa1zLRiroY43xwIDiEYBP8IPKTOZnKBmq4ilQazUcwkEW3fOddOWx M3j2iybw0ilwQEcFGiqcdpW0hpHvicPEex1y9uZ1bdYQz+eFIMD+2V58GVR6M+cMnMxSkQIPJL1E VPMJPTOWk0xGLOvXR1Pk8NAQoomy9dZorwyIai8lx8xl94hW3tNHhAj3R6a371Vzaif4jpz/1Wxp G5X5Qa2DWK4UF1zSLnpnSoajvMG28QrzT0Me/P+Stb43Y+H8rmOLZzwWnvsldYtEFmpVBpp0r96B LwVbYLCZeOHba/c6niYSvf17UAMVcE4A54jm7eeM+6CyHEm232eie30dJsU9GBnQYOBVRg2Gv0v2 wIBeESdeL5GSiOk+pHAOHL2G2gSsWOB9ir2hccsAku8kiCtoUHlvFhRYl2o3/YquX1AN5Ht8wbNK FZK6lP2MKUVCl+sXse39Uvcf3FxT7F/UHr5YbXF6wsqibZKS18CmkmrdKMOXhmWFNtylZysi4HGI safIx9ddSiRjVRrJKHPo1trFuAFOukte2e+07onHCjwaEzuVuDeECv1dk6Yi2mHphxhBCfn07gJK ruEACtFqqy6XhZjtbyaVxnsB386NTAPk2iti6CFUg8vf7LwTkfKPLXLb3to3qmj3VaySFs2tx1Ow dqsW9YVqhiP//FzDy7TWvwY/tT0Sm4hhZ4i5xs1OwlXfi1veEF/to28A2BEj0arJLyDHJSn/b0mu sJyYZsAtKMfjM8DfqyFxG0zEoyKTqkfiwlCPAMAAO7QjZ326LjE4ybCZUG1GPIG5z1lwUo/CCyaY 63qkTOAKTNIzJszw3u6c3j1ZGbf8XCd0GEEO3qh+kLKL8uNDAxd4scuIBEMGMsf5HxDTQoefZkV0 v18Kd8WE/UzpHYSG63LqLFzkJYNCL8zr4frTct60r2RFKLBpCVr5Z55aGiFtaQ9PtnoFLYlcwYiE J4XC7SurKE12/ueRj/KGxsn/gzvJc+OwyAQ3Z2+ZZ7uZ3uvUsXDhh7gdIdDCsrRx5tr17eYKpwIf DXW3KFqiD4Sta6GzUk5uD8b0dv9vt2tZAks986WDHkxcObUVqxFDFvEMokGqbVELfeln3dy5aRvK o3YPuECBc8zalP+MYoPoD16Y5WSmbm49nFijagMXpnBwaIPqzTly6Jj+4aXt70dQ+Kz2/XtHoRy7 fw1ruH8fImACqyff3QcRcdQBqjlTd0/QY+4vM04LL9YzBfMNtVtPljo5QehtEkBL28CYWIqj8wjq 282T8fRLbTswMDwZWxmeJg9WZYUROo7U6d1XvqGmUaDT3x+y89ATblYSNtmYHoBRpt772LeBFeXL vS+uZOvgTXp04xcTQte57o96p9LOpQ+D5PwviiVuoXUbphldt46bjW476bBu9uQmOZSxOWIoM+Gr Uvte0y7eqgjnWhDmdiP5J3OpXyK4KgeSEHYS2kKT7A/lABw7/GjpyKtdXZ88aFtt3cUPTzlUSb6g nL0se8yr7sfdorNrrUOl6kKGBQjNQi52JtSCUU3qddzoupl7whm4ozLxJ5zXVfy4uOb/bwiAwEnd 2Fh92ieDzSAOGVewOGTJHox82PC0b8PJYllg62o5vU+udEZYu2b0efEntcFgwzAOclyRv9XlcTQJ OSMNwp3g3ivRAXpYRXbuCw+COyDdEqwHLFxrnzJzWk/n/5sKSlPSF0agjtQziUAsoBYYOKajtL9X hyw0mGDG6znOxUUG+usu7KQcL16/VYnVrFb+JEigksTBZfQilQiMBGXdfdIOQbUJsnRu07R0BIA1 sfK0rIUP+Jf+MR5UzW59Jgb0nXp/HO/2D03wvXIsFFeTFxuscAj8GgTIYSjMtEhbuWs7D1hQPvT1 bR57W0VgQc0Su0Llji5JSMfOf6FLX0HrIISXIzQhOaR8H8W5zs/+lYpJCvTfuCrjdfFqZXNEuhBi x6Z5MNVlFeAwlCdMzvXKrPfP/ApzxO1tU9PL9hNLJVOnFI6V0vYQGZJsh20SBsiC3LDFwvKVMRYj wi7eNqEEGsern21nND+zCuWv2HocKDrK5wUobi/SZry95f8CQk8l7EZ4zPZpoG9M9oRPLOrAxRn6 ob7j8M9aK6nfvRtX3u4wvIxZNize1ZX5IRu3dK3ezgp5+GrDBwxhzbmtvVn0BXsDR7y7AJ72+Bi1 4WnEXKgLW3UQ++tBClXk8yvsyvhmcddjnXLzy4nk43gI7+vYVgVBI53Ax5s316vsNZhsekyEd8vR QhyZn5nQNS3XfkFSgjS2EUeIYE0E3hx+OqbEFtqegs+twyyMy3NVIPwobB17EWtQnaX8fHy2iu/X mkbBXfRGSkVust+St7dMwX1UchFS3lN4AsXaXq0gSvrOLhzYLqFUYm0dUYMqy5TzBrbxrljFWfh8 mqMt5z3Z0Z5QV0bsCcwR1sI/RKw344CopZz8bOcsdu+jXylkA30qFYVPYNwZGTDnhOflUN+oA1PZ Lruf5X0/y1772m7EqyX4S20uD26VTuiOKSgyXfjRPk/I92momSo5z73zbai2Ge0hnnlJnYi+K+IM rZnjNG+q6H3xCQjANnSN1peLEPvIEjoYdvPuiEGUN7rxcttyuhDLJe/9sao3wpXz6fq2o5Yxcp8w 0bhDmzkG1AELYPTqpUxl8QiE5eFWP2QZVvooVykXASeVs+18QzrdtevgXwLo+Zsw+1mI+WZvcY0X VsxLkHQPHg5P21QduY5wchE1jkCv0nyyOBDM2Drh6Xy4MUkZz3sN6jLXnJNOzylZQhRc6ReanxYp Kov6oz5sW5IfQyXv8W1i15KZ96JGJimu9cWETMXBKWwPXDGTPxZ/N3JDJwIesM3d4NW2WgDnG0ZQ GQcPyQvGHuCJkpbcbjM2ONtJm4wZj7OIRf2vsxc7EOqyiZFz6Fr0sc9NT0K9Kh9uPcq3pKPBa+El 4Wg5saIxMpvCePPgPIltv2rzWvZm9uYFf4ve/6Y9zJXIJ8rVUf5zTUdWL9LNczJi0ktaPd7F3QOp s8OqCvwswO0uFdWC++hI9FAHtsEhU0Ah/+4FRerImUra5trzAqzdztUVHneKFVpZyWTASPiZUVFa QvVPIz7X4pmLBAPL5IcdS+1T0MstqFIEj287iVS20EzAZtWTvTz/q9+SbqhaR4+oTbpegUwCh9fv w38JSzdSQoKXmGHXpVn3tEgbwT2x5M+d8fWcDtzq8wFb6H6E1rkm2NVnY8c2HUDqSkgrburJ+G3D EG9fQbGPmbmUYbLB+YuUp81niyAWJWGRRvosz6oh `protect end_protected
gpl-2.0
ec314cf4cc704273844850487b56293f
0.923696
1.910752
false
false
false
false
tdene/synth_opt_adders
src/pptrees/mappings/behavioral_map.vhd
1
7,904
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity and2 is port ( A : in std_logic; B : in std_logic; Y : out std_logic ); end entity; architecture behavior of and2 is begin Y <= A and B; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity and3 is port ( A : in std_logic; B : in std_logic; C : in std_logic; Y : out std_logic ); end entity; architecture behavior of and3 is begin Y <= A and B and C; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity and4 is port ( A : in std_logic; B : in std_logic; C : in std_logic; D : in std_logic; Y : out std_logic ); end entity; architecture behavior of and4 is begin Y <= A and B and C and D; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ao21 is port ( A0 : in std_logic; A1 : in std_logic; B0 : in std_logic; Y : out std_logic ); end entity; architecture behavior of ao21 is begin Y <= (A0 and A1) or B0; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ao22 is port ( A0 : in std_logic; A1 : in std_logic; B0 : in std_logic; B1 : in std_logic; Y : out std_logic ); end entity; architecture behavior of ao22 is begin Y <= (A0 and A1) or (B0 and B1); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity aoi21 is port ( A0 : in std_logic; A1 : in std_logic; B0 : in std_logic; Y : out std_logic ); end entity; architecture behavior of aoi21 is begin Y <= not ((A0 and A1) or B0); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity aoi22 is port ( A0 : in std_logic; A1 : in std_logic; B0 : in std_logic; B1 : in std_logic; Y : out std_logic ); end entity; architecture behavior of aoi22 is begin Y <= not ((A0 and A1) or (B0 and B1)); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity buffer_module is port ( A : in std_logic; Y : out std_logic ); end entity; architecture behavior of buffer_module is begin Y <= A; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity inverter is port ( A : in std_logic; Y : out std_logic ); end entity; architecture behavior of inverter is begin Y <= not A; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mux2 is port ( A : in std_logic; B : in std_logic; S : in std_logic; Y : out std_logic ); end entity; architecture behavior of mux2 is begin Y <= B when S = '1' else A; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity muxi2 is port ( A : in std_logic; B : in std_logic; S : in std_logic; Y : out std_logic ); end entity; begin Y <= not (B when S = '1' else A); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity nand2 is port ( A : in std_logic; B : in std_logic; Y : out std_logic ); end entity; architecture behavior of nand2 is begin Y <= not (A and B); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity nand2b is port ( A : in std_logic; B : in std_logic; Y : out std_logic ); end entity; architecture behavior of nand2b is begin Y <= not (not A and B); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity nand3 is port ( A : in std_logic; B : in std_logic; C : in std_logic; Y : out std_logic ); end entity; architecture behavior of nand3 is begin Y <= not (A and B and C); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity nand4 is port ( A : in std_logic; B : in std_logic; C : in std_logic; D : in std_logic; Y : out std_logic ); end entity; architecture behavior of nand4 is begin Y <= not (A and B and C and D); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity nor2 is port ( A : in std_logic; B : in std_logic; Y : out std_logic ); end entity; architecture behavior of nor2 is begin Y <= not (A or B); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity nor2b is port ( A : in std_logic; B : in std_logic; Y : out std_logic ); end entity; architecture behavior of nor2b is begin Y <= not (not A or B); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity nor3 is port ( A : in std_logic; B : in std_logic; C : in std_logic; Y : out std_logic ); end entity; architecture behavior of nor3 is begin Y <= not (A or B or C); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity nor4 is port ( A : in std_logic; B : in std_logic; C : in std_logic; D : in std_logic; Y : out std_logic ); end entity; architecture behavior of nor4 is begin Y <= not (A or B or C or D); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity oa21 is port ( A0 : in std_logic; A1 : in std_logic; B0 : in std_logic; Y : out std_logic ); end entity; architecture behavior of oa21 is begin Y <= (A0 or A1) and B0; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity oa22 is port ( A0 : in std_logic; A1 : in std_logic; B0 : in std_logic; B1 : in std_logic; Y : out std_logic ); end entity; architecture behavior of oa22 is begin Y <= (A0 or A1) and (B0 or B1); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity oai21 is port ( A0 : in std_logic; A1 : in std_logic; B0 : in std_logic; Y : out std_logic ); end entity; architecture behavior of oai21 is begin Y <= not ((A0 or A1) and B0); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity oai22 is port ( A0 : in std_logic; A1 : in std_logic; B0 : in std_logic; B1 : in std_logic; Y : out std_logic ); end entity; architecture behavior of oai22 is begin Y <= not (A0 or A1) and (B0 or B1); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity or2 is port ( A : in std_logic; B : in std_logic; Y : out std_logic ); end entity; architecture behavior of or2 is begin Y <= A or B; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity or3 is port ( A : in std_logic; B : in std_logic; C : in std_logic; Y : out std_logic ); end entity; architecture behavior of or3 is begin Y <= A or B or C; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity or4 is port ( A : in std_logic; B : in std_logic; C : in std_logic; D : in std_logic; Y : out std_logic ); end entity; architecture behavior of or4 is begin Y <= A or B or C or D; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity xnor2 is port ( A : in std_logic; B : in std_logic; Y : out std_logic ); end entity; architecture behavior of xnor2 is begin Y <= not (A xor B); end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity xor2 is port ( A : in std_logic; B : in std_logic; Y : out std_logic ); end entity; architecture behavior of xor2 is begin Y <= A xor B; end architecture;
apache-2.0
3235089101576ed24c22e410f1acaa6c
0.646635
2.855491
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/c_mux_bit_v12_0/hdl/c_mux_bit_32to1.vhd
3
26,081
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Rg1UjRLzrzWgvW4zG+mRkWXpkeG1lejylBfeE0AXMvoVnxoKk8G1fEh1zT5h1XOkNLK5uXP7vE8g /NWpjmtjjA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block D2Yqlp211cQ3bFUAk53U3+zmhYOmNzSGizEZNm14Rsg/joAAhhzCqBcBwojQbsZod7+CLGvDDIzm DsQapdFjPR7uc5engoj8KOApSOiOy8KcQdGvWEzFlzhAOezcz4BiRUEhLZAN2qMd62YJ20X6tzVI WaKE6e0XoJdIspgcYEU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CZkSo4v/23YdUOkNvGT3a7Y1qOhbpeQp+S2ro8fEzJrh6HvuPnDkqqW7FrPO2Ey7aT7URd2WbZaY pwhKb8ts3e9iDwotM9ILOLosEbisMS4uUXebcIIizIhDn0huJJRXcVUa610VObyFli+rxAFW+gSy H4gIxZNtw0dm16m3CDHpNO+LUSOQ6yJCal/XNuLaCwvIdpPyQ+fyX2nIwJm16NTFc2Q846rtcPWW 6h7L54LDIcD46q0IaHHhVKxM1kewdg19JrvT6J+Kg1RblgVxCAevkVhWoJ8Hn0n5/E7NzTXEXrz9 2BXYQ14nz13WfzOU/QNt0EsCZ8NqBmRRPW07qQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3odNNfBdDGYAhTLTAwR2sly240Wnaw1uJlQGs1DODadz2NoAKSDjtmgSzeIBuNtC9SiLiPjl7/gI 6FpGjnICp1IHrNIAwuN2vQHs4FEaTCRatT+Acfu1OYskNAVZumczBi1rUAhMrND5WQu/WpP7fsME JcRNm7Usl8kfC52Vt/8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EZ81tSDy7S2tmaOYCo1KwRtfBr1e7FgY0v7wNbR1zJc1NiQz0lz1QLEibLZwIgOBadS7cJ8L0Iwz UHauHWxTBLerFeVzOWK0Ndk32CG/tLMIO/YcenG5btBKTAvMHgkPNVBNbjHMbwyYj4KFL6DdJJf0 lsPTq4M9sQ6WXTVpMHiaBIFzOxpD4fRmBIlU/aQEYNe+Xe8KzCYoYaLjYJvrcdiwptxSuMtqgC5+ 5OsYXuBwhZ69qe99DbvTxGw8Wgfg95ojJJYmKEWpU2NnfgA36etmWA49n4R2z0+1cZfyeirXYZiA TgIZ9BaX6kIafMCxJFt+FuO5A9Kewgz/YRbkww== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17568) `protect data_block ZH4yZehKvDYxZDTVMNGxgUK6eA1Y3nwMBVPUv4ut2RJE4+tkoj3cBUW+se0NIJ6eXlzvZI55p3zu b0rbG6tu4IehfjyCUbK0ZhItAZj2KRLZ19PmxD2NgK/cyxUo+Ea6xN8AZ0h7CQFEN7MW2I9bGSmz wrJw2N3m58NES/pRd+d/EpVe9oAYEikw7jYFa86NWLdy0UXNE8FW5re+3OEUd6xi8F379MJVqNjv 5k84bZIEtD5olD1bR1kKSFwfNULgWUW2kaN0ac0U1g8Xqmm/5K2UmjEXN0nPKX8+VeLs+tvVWtdP qv/sL9NqjxRZBif2RAH/6x7Eu/meZm4B6nxmJ699O6cjuoIqGztg/dIYNNrnm67OViaBqLtTBhPM 6n1HXQWelG+SMhSNdPBInhVN9rtY1yxZ6IS7ieAbVzmk2PNwez3Rn+PLuiA/Nb+vfSJM3scbRCA9 3TTtyHciSEdzx80PqtxsfgocCYv84kLp5YpOjj1jrLiYnu+QHff/sL6bItcS5YLyAqgt2oiDXBDr JqTmkcknkWC8ZnUmZdtmWHg5NbOXq/+KjkP4200zwbp4v2gEZn9aovpv0mij4I9vCPFVt+6iPZ2I 50YWfXGinoUp0MUbbmt9VQhhHmu0dIWZ9R/SvqydOvfkerHRri0uKXnVCj36vBwUX7+J+8dC8sVa fajKk6+nFIsmh+sz0z+ljAjdPK09D4Vt1U547zDTymV+isyDa4jrO8vLVSmXRBgBC9s0F9hcJHmf t1sUtJ2cSA+6Sm2865G782lxjwrhI8sWIXxfWEKXQsrXF1u2Jg7rV7YGqqniN2H5y20qqzLx8vFV x6vKrB709Yd6Z4wnQ7pXUC9T57HLK009qvKixdCw/xHpCmLvPIm7RRxfaXeiBdWsK+Cj1NOJ5sO1 3jOkK/Hp1CRIduzhcFz9Xa2nD+LhFETBF6NHAQPHGcgLpSfK5CKn+hpaisTwd4MdmfAdGUTBDYfP 4aWqaY31GbRju4YTNvk//mFHx3QYp/BWYa3fhgtlJnIPyl2BK1qMDipPq2uRQTDVp2GBL0TrDNw5 AYqs+G1bBHxfAloRDaDh5Vnf4iUWlEQDdfQEzqfF1Cknkt88wIbbG86e4q1WUiJFZdw9ledzTtm4 HV2y56l8rTZu+em/CznEl6vFH+ejS+m3pc1QNzdijL1mnh81Pa8eGMYA8xopEGORYUW0YaC1l+H2 DSSJvKwef4KftCzHcVmJU+dnDuACS6Bo6ie9cWxhGI5l7Pg7In0zCCSolMSJC5xBdT8jQJcsK2Pc 9FGMOzp1dt6dI0pG2nvgUvV/aI9Y00ACf83MSyStmqYGPuQL35mWxSurfJi8DqbZfTn9jdgnfyiW HpGtyNg4JZtzOnC4tr6fJK0Gayf4LZiBALXXPjmX48Y886wZ7oNR4GOX4ri8YZohS9b/QbbPgqvd xooAl8TMau06MuummBgBm+9u5WcgETZIa+3DAm0iG8JaBQkQH7Z3d9m4o9jjYQZnlfVEDqCpRmoc UbUFA6+02I1cRNHa0cmmjJbyFNNUlBoVNMN16veQmakW/Ty+NICdvZ9ncIZKlve/SF7a7SzBpkcD XgeclsdqPKiGYv2GZBhZBINiDKLiO8nDFD3a5VVDnW+wpQm7V63g04piY/w7fP4lJBBIEfLgoiFJ eCB82e9Lg9q/Q15+S4gfjWc3TAfvOBIU1R2gN/8v7j7VWDcIzO0MiHKq1wsV90Zw1JkkunplxVBN Lfvl4wI4iUpGlzZ+n979PAiDwiokyPiTPJho0fxG0cZIFafF4Vc++oEdQsi2+ec69E8ivN53PTma q35fHVtmijAo7xFeOwNdVfLODTdrIwcSjOUxkhkq5M8n3ErB8ty54MH/P5MWHZWgZjvB1SxZ9s/g o9Q4xY1XfGTW9jsan0TBNRpm+KgLoO287U55WZGPMcrdyjkskNmKPmAocvigPH3X6ll8uTxeQsWQ LJfr+xTHA0ez4aKQVMYc2hU90DIVOGIVIBakiorpVLKCGi3jtWxqWClDfg45/Io5QayFwwd1y1mR HghIKYeCwFkvlQQf6TYbdXo6v2oXvkLgjGcjVhDvC6lOTXJGA1s96iftyiyZbA/O5yoaWRFxXqBS 1Umi9J4XXdDL1ZOPyKER7cChOrA3LoBPWedX5ibnme09dFxuz8t4dBnRXVz+J85EplSB3SQ691Om 9xTTiJVSsSXY9/eFLoOOA3Ephso8zb8wPMuaG1SJ5AzDnCT+EYj2AU9uCRfHYKrxCYvKqWR2xZmG D+sYiY0i2L0XWmcdll/6XshB8c9qWLqF0iW20SXJoEH9X7JwqqUGGg66UxN7nqRyGulcQISN2IU1 VIpEJH/hwh15I0l682VtDNjKagjW6O0DHt9imyEkxKY5HMboW216FRRjGvuSXhNSHdzzX7vttpUF uovq1vLTLBxSmXsk/Cucfkq3Dc7PnaRTIOuRn6p2JwtlJJA4PvjRzqGz4q86olzxNzUfaP8Y1l9L aALe88WlMansb+/3JBkDnXcFW845n7QgBd6+PKd9UMFCss2Tvc68R1xmqOF71ffN5KYuHp/Hn5CD +Hlhi23djIs79UQ38MeK7JIZ1gu33RiZcfNgbdtYQlMK5I8dOxy/Y3XrN+aCAU9gfh7EzJPkeAkm j5A6FLFHrQBkirNuNyNAjNN8mIXNHxo2RkeePWCWNFdIMW3tBf1aeyIKkG8UA5aaGNuVa47fztFG wwUPRUmdWyd//DPO0VQ5yLAkT1YJbhyp6p5lF8vVHQVrSSBsa+/KmLsl81AMEBeZ5o3ud4mTt/kV ayE90fG0wDM2maB+M5et/ucIGoRn8/P7gcH6EIcfhG0k12ayPIGYakER04IhDvKAsefaxxMWy1Gh tqprmQSgTPdQsdMldC7tKxr1OGNddPAl+REKqDvkMLeNHEbngcWjNmJHBI90FFrMn7NN6lA0NM0S eVn8WZtI+lYaAKCtep82LLfoESUFYfRwIvBRDTiYHrYWGS1mMEGH3zrwf8aJ4SipwZlNa0VnHl3c ncLPrMSYdmj9/uYp+5enrjDsvOq+BxI/FqCE0huCELS4Ag56UjcHvRfy11hvwrx2orCsj47tzfvg wZABcPH4xLoYi4C113L+Yw01jAIlK/2xdecGkX6c9tMXu6fANKcHBjjS7y1Mfke5sJ3szheNhqf0 3o2mAcA/Hl8RFUNciY54pLIyjEzoNReoO9TCyo6TYdsxZU2tq8famva8vX+15jS5LbHgd+hY/nFc benM+Wy03AA1GCDeEhboWGOSicR0Nmq+c7Vh9BR8WX+gh9u0fhqVEO3OMKXjoODMZhJPXEpb4iLD 7eToxf9ZRIVjLNI2lcp8pi+3JNKb0KLc2pHgtTpVdNKzMIl3huLG8rF9Wq5qaTxdtsldaSi9vE+O fWshMnVbG+C2gFjc3Vf29AEw+tfwR7Yx+eOUNDcm/My9dyZ74PNhNahxUSBmROT5ESCq/d6Y6VR9 kKJWm1A6m+lR4x7lRrozLSdYT3Cs2YW2Rjzs3dvtkyS7/qZViZJdaFHVfOkXZooPET+NuZad2vZD i1+zxbVoT299k1vtrGbZbOjOYa4Fw2vwIoK9meT5NbpKf5lWUQbv4TeHozCo4U6fnm3cEhuZ0Jq6 WbpD3jWs35/C6eBh48/pwcQCa4BQVVsHqo/FU0DwmKMIs8kd5+yzI8Iz137ZA2fRYMSXG8RK+uTA T5qy0bdMYMMAhiM+08ApIYgFfmHia+LqNV01LHIrlNtf+OlwepX1sKrV7N90ankaDU9UzrSYmMjx uuNMynN3nbANh1acUqVzB1dTYgiA/qZhOSZuBDYrI0Do69Dk+9vh69TiqFgUywMp62gfKp8jW/qL pAuZCFeb/5d3mIhUIxeAVQ8l42R/GxGIm+fTuCzeS0SNyr2ZizcWGIaTSvtfjjXj5l7Cjv02Bx// mx9W2MKXiRFKmBDXGZd7dNGJz0LvWCEQaUmEPa5jOk+typecyCKcMZGyImD8QFXHIZ644TGuIOXu XDmbD9wFzR2l6/YyrifCk/0Mu6iEMLcFMkB/h4g56L10gkBfAnnaHORWsp1gymM5l0M2cceNxWdl mF6DATE/cOyWFsGBYs5GXP2KZQphKPhZHoUaYT7HFa9Ho+/JyTQfzdERGn3wMcGUyNBN5YDIQlaS jqaUG2Xv4ixCW6xXyWouWSY1cco0GfSdRAevHnxojDfRu2e8wzFs3rPXrz8MBvFVLGAxDvEVK2sx QAHnImhjC4sjfSaivPMyG6eySf3f9+OsfLDxtohCHSrxdhxxpP+OQR5WIRPhQhVNLtwTTnKOUqcm iUP+djUCmmL+55chbun5io01WZGHG9/OhfDh6gIQU7ZbA43DUQQ/aBZNmVgUkawGuvyAK0xeItYO ECkGNrMDW2ZkbMO2m7pF6F6ctI2fg3FTZMUcqNvKBhW4BVQ4BYnN6oE275Tfld6FjKu8o0LTiWdS jOI5WqvF97ecNBwXqgXJ4PLm4lpXn+TWrky5raTjK5Z3qb8gsGqMt/gYYUJFsP4UkaVsR43TEABw MGIvtfv4TwdsusJO7DrkTDQ+SC5BcK0R/kwQBz1f34mwsvMV5w9q72kx82acsMXOrxuD8+7jkvae BC1JiI1urRYewwk4lwr8LjpBanXlgULfmf0ElHEm84UoptetlaO2prNy0gn01D10nOfq6d9Ui17L ub21g590BGa+WGdZJy/TIqPzSvs4yxfNCouLUlmIflj6veTTVV4/m8hIfYn+YIQakyw8S8MjFoqf fDRG4WCYWqG+asBO46KUBH6mb4ZppH0bhULo7pLYbttzTK6lo1j8pBZEdm34U3QY7CRCJ4//rdZs cGMjf3wYgH0yw3u/IZdVtYGlAKoy/n8GE7uCrrpgq3znvaqys+Li2LoQcjGjvoVroMu4rc9tz8u8 34gt0OcG+/fi5RoX/iGPikQkJiqfuL7dn+srjJWSk1Pbxg3PdoySd053QgB+rUfEZ5hZgZHeLriv bSWm1j/cGwmX9fpJwmazd5/a4nbYrYRafFxg0jIKbE+402gC86GG1SBCMvaZis58eVHKxXo6F0ip +lag23qD5XkOBJ+XvydK4w1NMdj/RYFOEEWc9oI89VO3UHxySvCuPUQChkkmWTplUABdkkBwKWg0 bwF86/1OSqi5zLaMjsVY4UdpPqv7+P/CDlRB3sDJztfr3MmbxLo3vFtZI/STaUioX4fTJCInmF0e Ds2TUe2a1e0EuAUXSYRj9qeRhhjaVDB9QXPnl/iWBj24LtkXTs1JShKClrSJd4neuyRpCbvljB2F V68IjzyHFMcvyPFv4nNPk+EOSth1ZnhERxqZAhPzw/YIexQsYL9K+LLhDzPzsiU+nocHOuYaa3Aw CTLti6JxgQxyTUZD+7yoDkdARSbyhLZzpDcMYYk7SaBEqqP2o+jLZZsmF05+oBWBinvjHAU95gdf lpQ4ngMAISNmYDKJC/jn9gKz4IARFj6v6DpTmmsIwf8RJCM7ypvnbso7nydlqbY/m5qilNhUpuEp CCeUrDvyHppuPWKm0w6b9irPY44EzJeXBY0iwkZ+otNa3nx+R+ZBH6lcKR+5LqpdX0xrVQT51rRA w2xM7zJ/nIXfQ+3qHlMsnpJAREZeLiWt/pY4KbywncQtCw6eT5J/doN1u8+9lZ2o/xC9tmqZVBC0 V0WPFH8c15WGqzbYOS7yRSyxpc2NPV6lGXTu+lAgcQT8hp35wikzMYpCKVGfbzPpMx04p2aCW6n+ Ie1hbk9QbFAOeHRt4rYfVZHPbhZIOGI+jrZrxb5TwdxxtNHBPyjrXpCnmIjsOspU7g4MHPYHFGZE cnMgmpWXukXLNQX49mLYStm42In7XCULoy4G3ePO1CfNj5Dxef3LVlb9BntsdnuYHksGpHgryLnk 8NXpHJSfGMCkgKVUOU7Sp4Io1l3frZAahkEs1oeBiBaJ1K4MT+p9lsfshsd7vq7Ik0DTWxrobX6q 0CUkWwI4KPsPcSmJSalDe83vlEJ5qDMNjXkmMUCAjH3f524dQJkWgd3yKNLHzhiqcocJaM1M2zvu pxISfiFW5KiWg5dd4vJ1TzFbUihmVKcmj6nVGZBF0FIAXJd8UkHX8xFyxolLUrK8XZK58d531J5u 8GW+ysoob/Hd2E/X8ucQxISle68xl5w6Gjm3w3h8XW0whvCtIpXYMK9rJz6f8jYzz0B1ZTJqOuuz h27UuiOepho2zDexmGaNnvZZ94Wq07FgF/+92BHIOUre01zVRqJoSXYT0/iZ1RTJkPkYEP3xAEMF hISFuLQ7eFC+hLZPqVIchktPCexMO64w/CM+eZngnls8tl0dWJ/ksS/v8TqDvBpoXE1LfwAd6NXy wuiO/SdWNg6LPF8bQtxpxFp/wKSgLbtBHWR7KvtxO5uma93cPj6qjwcm2aFqI+OKH4oQOV9Z+wAQ 17F/TjwPveXG1i7Dg38Se+l7uFlMwXDV05RfQBS/r6zaz87lEWvQ9mhcMaXLxZfU5YKKi9xAuGrF d57+NOOT7fHGnJlqlL5ayexWuQ0i0zhNShJ71xxBoR4lioiNpNpsCHqQFE+McZZKrM/MdTBGiN3Q ANVOCY5WY/6en43pZjPESa8SeIII+/nZz4LdiYa6ocSEjY1SKq7k60pJ7a8miAm2NWiu8SL/JOzp x0jhGZg6exm5NkRDv/fIDlQ1bO973QxN7cY8DTtaV7EBjT0DR2pUrP22T9FKmcszczoO6SMVEn6Q /XAMP074gDyhEMdnzdRieVuEFrR34tPNRiA8oYWGCo4fzWjL/HaWg9ialF23kc6z44bjX7r6Rg0Y kXd5RGWjAbtdbx/wVUEEXKvnLSpcr6XprCIIi12R+C2mrv+RCaJ6XJphlcsT64I5vyR3owJHKhx7 dLF3HWyfPcEEFn01ADnfcVBnKHAPsU84Ed8+vOTD4MUUQiZmVmHxvc8OekUXyXmuClS+Cdsg6yKg kb/2u5LWjWHcWqZTsEGc95UDH5x0Uv5+sQUoMVny+36Mxs0gZNW7xlC0M2g0Zz2utv0MG4eML8mA ZipVZ6Pw8aPLGXrBtVIXiM1TCBT3HwCBjfaWn1pG6MfbXIa/O1i+dJ99JmvzZT0s5UBuBjWx2u1M gKUBNyjhKlIqZaB6HskRT52XXNmNg/ZE7Y0dhUfyf3AFRkoFBxpt9PjOW3a+M156xWcoMaKlMARZ NL5ZGZ1TYxG5k+mPwwEg3cw1Ogmg+nsAhRHAle+lhn4UkmXkibodho7cv0I59hQJOJyp6n0JzywF ieWfQ6nBBDSYC9U4dZCum6wO7O+iMEYJbdCUVyoNTwOVo2M6EUaRmBjjCvamkput3ukn2POW94PA wPVNaFWK06zGA3RMEb5em9X5CK20/Ww4AiWsq1YCon3QCwxvhXUQ70MIfDU/O4n82374Dfb9qqCD qsAWI7T7MjwwmOO3i+rc6Q0E/HjtHBIuYmMN80yZm1ukq7zSGRU6Lv9aQoChG13CftakLf2sWDVU tkkf8xIihCom4ObVGTLZwinMSpz3R+B11/3HSgOgdhq/q1eFV7Os2q4aaPqMZHjE9oIvbDdUMAOe f3vIp8K1NyGSxKGlFuRbRt4SBWczDezjQSozvO+ZDmFfp23oSyg5OU1L15vEefKllfoVTnBXYolC u+15nRwv7+SaeqGkTzOAJtKgUEVgVqkf97gMyHdpI5WWjafiz6ZIwCCZymP9W/+0bLbBImeFX3JB 0X7wVv+1ZEmrj3APXVwPGiAA4gvQaAoYzxLy+WWv2EEewpglXSEIIbuyqqaZbBGMJVdZeoE00RTg ITAtBVHL1eNp4P6+9wxqJcvTd4guw2ugmoqhSv7tycSnHh9SaWzhdCvPFQKZ7G4rzo+L017xInkA jGBm5P9d34LQYWF9Hq+jxbTdVn9oBeM5qx7xCyD32wZjfbwJ2pul6h6EUov+GVY9kZ1yhnWo0lJY 3q0Do3a1fLDykTPp8gnI4msgVQSpzxHvrG26+9OLi+TBynySQ/pqZb8TIX++jzZwgb1hBcoVn1+Z CKO6+H+shGuFdJioASUZba0EMGfsK05/7320Lgcq3xqW6cpqxoyLRS8kD8/RWvCjBu8r1IyChCiR ARK7z2txWV/0ziyymzmyzPIq2Imf9SiGtGurMMP7W1WKLgKyDb/ffgBaJzqbBpQLjVxz+2JLrApb DI+z++lAfnpUvsDWP6EY0h/GlVCjGqBKJ1nFcu5DZEo7SohtiIA53345IBeYGW72fO+T5EHYaBrU xwL0EazvDF//iAe/MkXoyUDq1SzbTLHpS4kMGOszj3pJbp+dixLx9q4sVd2gbXHmkaZ1fzHiqKNQ HyQj5oV1AFqU4Us9gNZHerIwcWphRQDYFAc3UIKVRRvVLzla+j7HUFjcdwvShnefvx0s/vwP7UbU lCeFwiTRJciRjGx7dXxKGlL4poynKEPhTbAJuhwAJn5dvonyHEkQagP+IWEfTFHcfYr4ZI1zuPZ7 hXoHle0uixfszXYxXaZG7l9+BwE5lehDYoBaR4jNZGytRTUFEwbmKZANvUKfDtZzWLrXxApW73BR Ij7iOIdZqE2Ff+lpZOptiK7Mt7+DYp+8lnjMMgb/Y7oXpM02yJJMcP1zFfO74x6xq9NNaQylVeup p4mPW5S9D082a10OWeSqKYByhfUMAHZ3FrHKtl8MSaFv4vCRMOX7SoJTHxR5D29Sx96EeBxV02ZV wk1uyiOM2ZDbRWJzjKaYYC84ZQGr4jB4ClKK0kgVsOHpPD4BC8DibyR1tfZ3xwKemLwMgW8H/T1o nT3M2nhH/lzDQft0VmDZrG5EargDR3DA0EiXKrlG5JlHMdN18zIEkKKl11L1+Aptj0BPGMq5P0aK OhK3Sd625NRsYxF2QoLM6sw8j2s7Xh4RXyD/gQvqIErvTA78J8XTKazTCdW/oejaE00i/eMq1X1f qq/bRNZvqi90oFR+c3RwCMGrVXoq4vKOcsnHl+xgd2sP+el/eSrWXvyC3Ju0v+N773BcaIhpvqW1 TsircgcfM3iAW8ehnY3qGEmbU1qEtHzIklHBwGziVca/P9NeTJEAKPiV3eNgCCkuN+h/MtgtE+0X Uhif1Pwv9D3bhLVaJfROztkl64Y9KUuXr54SAtm2kBR9RlgJsF9lk0PbiMARdhKSC8FATQSZkUcD 5wyoeMHWrtF28QvR7V2wBjPrUmmcODjBSPwJo6F+dkxJALJPb/AtlubiUl+THmxMzM3Bq6E8vhOr /aNqcociH8oTvfvgYPXOEa7qB+FZKEw7qNJZoSg5HVR1sSLgsqWk8fQHaCMxnUZUMjbs/vbkZsvG rTIqApyQB4fGnEJjpPCBpPZBYRMC8bLvnO/Ei4U2EW0JlHeyz3eMhyBGnwbXChEQ/CD5Cm1IYTjU hRo/SG4H5IlsTR86RZlUKnb/AupUPmPO+KnhJLJVOfWzqieBWnB2CuzDQK0aN6M6wyicJmEhjY9u R25BblnIHEn88yc2x0wv6+XRVvGgu6Ig/0AxnfOCrn+UNANcTVJH0uDXYpgS38JHu/bKowc77EVa wsd1C35IgkoGUzQyrYugly1KOLJyeK6TAjasdrVN4WYTIrxsGsy9YI1W8SVZ5+KphS+JelBvWcj1 G5Iyzs3I1iyBm1B7U8Jhi2fCzysQP64Mcq4UqgLA2M6z/PWsbQpSKI7G2iyFVmA9mE6zZuQXbGZ0 noLDx17aLOcgXl/KfR7D6ZDJKEguyMrVKu6UVGIJbnnRwZANltWRG1bB0Y0X8Kf7AsafZyVbOQuA iKrataSxncTSg7IFeXeomR2nxFWAhGUCmVFqDJ0Qy1esyecf7443P7hTsOnCXg8N2viOww3uan+M HJV6VhDUHHh9epFXZjvN+z7aY9joy1p8DIUmtVpiLv8FyV7PFQWnmLmUK1Rv6j64/dLOw2ZouJ0i hO5rvBcl9FZvXOyRhSC7Zu5mJjWKU5GXiAZU8CGTU6Hh2pZXtGjXUW7ABSZfDWqKn4h5pRuXPEqw a827uAuOeXRItkl4S4MLZwtKqYWR1eSPBFTXV+WZFtmBTY4Swi89ecAWljlxGG7O+e70oXCeKuNC ScVcg00Qxp2rsW2j7R17CJ72aqk5WpDtN8WR+SQ+LL1hOAXbtiTyizkobrC/JBSKjwLOuNMqhF8P 0y45ojfVekvgxs/ps56KeaGstqBNxh4ZVFFAJoFU+cnCpP+tMDsOFlBq4zIQ5uQz+MIIypv7qOCs x2rrqhdhyETcu6+3E+YK3C9KhT1NnyVNpUo73PoUypZ1IoIawU5+rEVKiQ/c09ACF0yjXu6pKMUD 74vWu7FoupHq/w71ncT4iXozSzDWmQ6gKlhWcOqavtMzpAFvN5R4h/s8tl4FimLdTPQy1f6wrRR1 qOKT7Xl69LwjAa4sJzBN/9mBRKy6+i0QkbGnujaIR0YW9ZnmTO1T609r9qP3PZv+zYdZ4vTehrpb kdrdXclk5uILNwUKxJyMRTtO3HOADXtxfs+d665cuhXgHYGqFE341N71nlWXqemYwbtrnshnAyxV OPOl/3wjeFdotRIrsJlPOI02MxOYXcRoaMzZJa3NNDKabry2spceVH6NV2Hxqv7Pj3JvlhDcr2iI MaPNWDpOejLF5Vw7SdmTwH6Uo5mX/Zv28jUErRRIjIXHFGHCnO5nRnXzXBOcfUXP7aIayBxUqMjR +GXKN+wXUOICnMXC34M6zu0eVjdDnpxvzNgbLWhyvGoRJ/IcJbnYCjTZMAzVJSXp7L1gB/UQ5zQ/ ahGhInnEZfdlp4fJHAq2GnYQFNAQhE3yh1Y5Uf5qf4qi6lFayxAQ8t/bj1CgtGpe+UdpByk3dUVj RDoTxiWPOuLAJ95Me5flhwQewSUGYv4OSafk/vfrGUSL07URVe0zawQlnBjc9iMrvb7RAfNHFUqa HHMahJTkEJLbJsvjaQdDMXnVSYW+xFKo6DekGyUr749UHuIIpz7hZiJ5XQsBl1KmriSc3ZmINPUe SStYhXZZDikb0NfnD5Rntdjrg9QK1HbyLkWaoenPHQLA/ZdOq42ctJFR5fEx/WEvCq6emYxOzL+g Y7lL0Y3Elwo705ZaAYws6mvMvACO676xMtZNUSz+5RMlUnALiJG9bVYzy9JOzp5+BPG78CSyo5Dy iLWiNlRT1gUIlDwr+3q1voGDpACJvVW0myVN5EdItuKMdqwlQ3HCId4LHpopXIBoluVsFHAa7sHj RXztFc7Nblo4W88lVFTqYdk2WfmDExfe9AXSAMCC4jG9lxfnTn9gjZogpo9OulzhBm5OTyKROUZs pnQlvG3b4WDHWLdFQTJHEwFPs+LFaNMjkmm5DcR0Q5GK9fRfRT3Alu0miULFYDDWNBdH9OFdIlaU 88sa2bpP6vA9pz4+3Wax0I30raeuV9cK7KuiRq2bFFBWaSh1zexy/nbS1P9hAvqnU/c0zkK1V7ME GoVrrnsJv0x62kuXzmWwUW8SMUjGveEXYL6mImFEp89O/7isnwINw3mF3I2hdI0oij7PGxpH+8I+ vdT+iFFGfNhB4d5sX9BN9tDZ2Tp5ofLRo29EGnsD/ocxHh6ePuz7mGStXDd+pG8/oRl23DIxSwdj MxslrzTGnH13daX3L+nCUwh/wk/d9sV7PI67vKXixIQiC+V+G080PQ7u5yYKEPc8ps1tro6jc9LM z/hcBz/jz8327myLLJm+kLwWjbGeBv/yetucIbcqMxlI8DQUUNjzBN3QeMjH5TYrDO+iR0LYsCro HtrlOIjviZwVyx/tpOSVCe8jh2Rpp9lJJmzIMzEdc0nTl6VxS4LBQACQhtFyaS71L/j5Aj3rv8PL QAEbvNuVqypIIqyqmksN0R8w5tnXUfBuXEEvtM59BIpUasTlvIvFSAzJomFL/pArfyWMVZPksElz bhs0u4aJ7mmwnbZgCgKGTVxf9AxQz6ngqesHioCTpEbsFNJ4B7nSnelbDqtqsava5byZgEoAtaGA YyHIpi/vcaSJzzoxHNfCbs4HSPTUZZNDKaWuZj27BukRJdfHEvQmzxCo9L1KLX+WHZzat+QVkBNY eR/Se2uh1lhYDpuoFtarkxB3fJk2QqGYysOSnmeimDg8S6lfqh3aoLK7yYHARhc5mZcuCR6ykcZd 3ykOciYCg/vFOImfJoPh6HDVC3awQiKNWFJyWNCPdPOb0WCt9cxUfYcPQTXl5dojlTI2Z8YnhL8N 3cayVLdcwNskKAC6bUo8xGVMCzPKp/RrE6vzLPOkvaDhWe7Xhk4rxMxm6b1MXni2aYoYawr3pJRo Wo/6CQ5DHdl6mDkJKarWW2GdNw81SsBf5tM1l19FabwCHcPjgDSzn1EfrWpPpJi0hYGZI5G7gGmL 4AlwFQ4P2wpgLxcVTdt9+dOtdGHWl8EE3lsJeYCg3RM/HPEa0DuTs3EOSJ0k7ThrMrkDtHyLR//o zJfwB9U5zozWHYhVkifiqIGMHF66THDg9tjwJksAJpdDr2osmbuJpynT8b64ZVhBrxDO+FNUF+b9 fl7bdHttsmy0nvPMMiYaPALOA+oNeFTgpAJmSlSq37byyIgP0eJaj5iuhzEVHPkxfrswfb73w45Q lf7pULfORpYgdmKCxtCx3kgn/1Rcu8b0JI8DMr2mb3Ei6r/cyqrxma/EbJU4Fy2fViEUFGa7wMHL Udx+138NIsIRB9qOPM+gZxJS2iLwCRvk59W34/P1bUsULfqz38IgBq4iFXnsowRj7mfXt0/L5u1Q viw7hIoYQAL+CdxsaDqhYeb81aJPxNPCs9nhUA/ZTQB050ESLyRrZc6jLgCAsF2PsDpNIGqMDgUF TX2QLpQ/lek6ThD6ClFs8EGmYWE9XdNUi1UoB3d4XIiBctn1jOj+sdG6GxmEnERMTUQAfxFe1GF3 eChta2IsJOkGcRx6QcTg91q+NtCB0P9gIenICRPeJ4F5kAXQcJTiyTKgcSAHJADAXR5VgPTalseO sW+ZEwMA1zDJXtMwLWfYbH/FuOnTc2fmEV5VDjwt3n0TgO33OUKlFX9Cg5RY+ao27JshSwQU41xU /oFASr8UuixpNzhaM5W1uamyRWq0AKAO2aUmlhvq8Zg8gk0r92DGs4ioUOWmdUooOKP1/HJrGE0e NAFlDkb6Ld7xVIr0cBlEdpYQkctWwPblcIv0CpPiSxk3PBvjlJ5cB/92tEp0AjFKzTd18cWarN4P U/WoJRPcI7k1pe0b39VtRvmSi4QQdg1C5DSoYlhLYhEUStkoyexSN9pXZKSJAi0O1aPv5AnpLzq8 QhDlgyl/eHutBsMorLtCByLB6BNsQEEZz2bk2jxJ1AvPBHrD6F60FoNsI/sPVuhsECJZCMbjprcl arhZvcsVmlLCVEQjoeWo5cbBnSLw2Rad1h0oYhuYhQfCMi4r59SeWI6bRT+Vx3+zjGD59hHTxzFS fzLjecD0ATCXJoq/UugY3OC0Pg/KYQUI7BSANHigAPOuFppP0fx265PWIHVdZuJdoRN/oNf8BrWL aqOzpiCJdbjNXXvQllqu6yswVQ65jgXOvVZ9o3ayiSmTmzS3GN2oLfzXxo1xK/QHF2zWGxEK7CXg PoG9lV065Jrs7STWKflwWVJbuIl5ddLl2INzLxgbnqcdHjRZn0h8B4oIWCXAtFDnuf5ggyUzvSlC maNiCyi1TrzEsVpT9bTXs7eNSjFEY8zFNUK9jGGCmKy5WqejSI6DR2yr60BiMsK1iqh1CWvCXFMV zRyG0LlrW0wsRIsFuxhGO553ywCyqyQJjnJ2iH2PGy5CEWCrT+ls49tBkjcOK7X77iCNI4tsBOSX kMbpC4l6H0XKfqN1PS3Z+EGKyeQo4w2O1NNkpVwF6ss0dfenlqiy0nQb4nv2KegTdbf3qgdX4Usp /HUigXLX7h0Lguo8mE2VtfVp7YivYzhd5CdTHeeCE7p0QTec0znNByp7dHn5Lln3DNU2K+FGAkoc iOC0mt76bt881X19W5NsY9s+TdVRgiL1SzEcoKLFYNlkBP7jKsCMt/VMdskulx7z1XrGpHubn5x5 ry2fkqekpxO6WtH/HO/c07rsYekDm0xQstXbkLVIJbxcqPFYsql64zPD341kj9XOMny5dqHVfHvR yJL8WIQ5kbfDUGYk2VUCxRFzyMZI4t2KRPLHhWlwK1jxus/IC8WsbDXFNXu21LK0qGQovRx8UPp2 56GNRol1k3+yda5k5RApMuAvBF6JK+ye2MkSXOxqqXhZ12ISpe++6QE0o3Hs48vQQWQ276EORaCQ mdyfhhAIUneMXI7myvfhJcyk32/c65sfW2BXrIWmrE0j00Isq3lJIfVRYyBacL8gCnFb4aw0osad BZ1ZrYahBb15uspEWEFVUKhYoBTd5UZGKN+y4y/0PcocG60AZQxFwmI7p00JhOTdOJ4w//Y7dA8t rgygsagwJNxACyO/9Rp7YxoESkL/WKhsb6qxrEr7sjfjhU+cV/gAETXT/sWMut9IGUKuPPI5E5JS DwxJUhhOZaHKyvtf7L7rdkUbug+RCs0Rl3wtvhRt91PAmRzhz/4o4id4jYraZvu90QNxdOonZ4j5 J5jgmrUb27YNAEncNTdWIydtd4wGsED827qbd9b+B30yKjcKm4Crig6ziRXnie4prDP/dLU69K9z uNe067rMZ1K7RW0fkq5VsIYnDJlNhIlflm892Nd44eZW4LSxuAI+Z3G+BxZOIqOTZNUTmf8MQexb h43RFhpRHRvBl6Gq0hcxVFnjtpU2DCDUoaeuscrrtKtAGanuZV+I19ARqUHewo81azFYJnGYdxY0 30P2+OcWavzc33sLN/ffh44+EALzuImBcbMxsV/S9eca4zq/Tml9nWbAk6BUPGItuobnhc1J2R5S hsJRUxy9GGCFp0YyaktuXlqfJjTFBV0AkdjKxdEsucgpjoAndXNad4NlSbqEA7ZnYEoMwqz40yXc F5Ys4GNodfxXWd7PqNSW2zj3Pph60z6tKmBQeRP8v9rIo43osVmHFaRZry8i+wiK1r50RCA8wMtS g4jAYS7BXLFDeYCaZUP++bZwmHuniVyWNvbEFbkf1J5fa3KHZcnTWb0rzhqrmJzlM0rjHIrvfO2R jBo75sO+NdlxBx/8k9sjOs36gR7nkuDJaO2vqvFFeuBZUtBFVcHClZXn6JU8DBwYAmYOTWOz5KBD Nb5WjXEj2RItYkaXBVMEHePFlvsSulINqik1Na3nUDWo25fcJjzGluqYVSJXGNa71R6/nSffg/GL Ln2ScVyDK69XEtGgn+eZsey5wQ+1AUsSO7Pi5s9t6rbeMhsmYKCQSfEYexOvM0lZLR++W+MlX85g 2RMHdWnY89U0d+ThAmVz877ua5yl//Z5z2G8//vg0HKxGbneM/5jzCRleOQEQ/0UEA076YJYS26/ EH4NE0+WGa+WsS+R+JmtUkLlLm9tIKR+2BQNPQhujaokb/+f3q0DG+klZ+ThVGonfHGlfgEqrick rBFiXpWBVj5oqbnE+SV2eOlyvqujwmsk3nOYEOnZ2+3GWNErKrGInq05g0CXVQ4ioHATd/El8YWl oqqFyHIhnhuu7Ij4Gcv86uNFtnV2QCCiqkSDN2w/OLxhQG2TRrTCXZfwz3KEKjLTB0MIMeVGI1Te rbZt4gLI4V0QG8PS38wGepOT478GnlpGTnLSGqePUbOr1STU9E3zQH21U8BnORf8QflMItqRLNfQ abulhhUscAyY1rDCbCmBt70VeMnaWXTM1i2ZaNYTmpVUvdmKUxwEpWi+c59y9gfoCQqdK81G3aYT O20mbetxvH+ix1V8mab7IEhXOAZ3LtQdBOQsoThJAlXsk2IQJXn6pnp28kEw++ogfoIMB3TvHSgA 0c9EoRlfmTAp3l5evFrw8CJrTt3aOuQ+LcEwGvLbRkOwhQms/1fHXi5QQ9uEQu2YXAg/WreIBlpc ORZUCsfY9Ed9xZyoATra2dEbN4u3gg0HS5gp42YszcuyesnFuZodRkv7YHEkoEi9CDU3eFvEvI0W g9gJqBhoQeESnsIY6rtyijtLo40IJXi7ZjQhy/nPyB4Fn+IxObsfdHC24C0TjSjQicnH+Uh//6jc iiVx+nMXxswP/NUwOea1gIgdkgrCwsrG3AqJHN+aDcp0JxWNGfG+P76RdGppWBw1gXllmLbMrWYT V7e0Ck5r0SSDIcmA2CK0NB/Q4W43Yl6GXzaUgyvyFSECQVMY4sMln5CuGTIJmqsuYJVPDwuQC328 dGT9Nu82BjV971LG+5X9SXsZjZRqz3sMXSQT+n4tdadsBae/f6bk4oDjAgnSn3o8VZwKcOPk47N4 7hJrUJ/rCvdw5EuvZi+in5/L2Xvx6cMGEzBBkcg99LTOr67nMun3eqxwgNfKxOd5r4luEGGa+1gB wnJy5rU/H3NS8+t3b/qetN+XEpL8sq0afsrB2W1qjWiJblpIOdlONrHC+U2OnbHnwF7apUOOTBXI 9g4wOESuTvB+iiGEDBckvmK6oXQylNEppFa0RErlQxY4an+eKoJy3ccmxaZR8EqUv5h2CA5EcXqJ A29b35rom0akxMIUeEptmnesm++7pE38gAgYXwYbcA5wpupd9/4Xs2DcS1+/u/fasXnCM/P2Z5LX 4xIEVoPUzrM7SdyNGB9zhepspnydXxTEuKUMn8vaXj+WUvZarpYCLz4O33ijKbjL+Ay/LE/mBS/C ONuQurHshldGNMRiZT7DjZAos/ASqhVM+JNiEQD2ol+V2KCvGqGx83LcwkWINdYaEpf8bD2VUMat EbUrYc/9fSaBqQ2hW2OYMqRwnq82g7fjccaYtA2qzbJDZnnp7xhOFrXQ6dRw3rQefnclSRNrbrqi fCzV9dN+HLrloc31aX0CiEROM8pq520qbo4QUc/3LZsWUhr+sufC3cC5VtegyX7g5LH+MlcQd4qd J0LpXiCEycNaiTzTludCFFzmaiMFUNLLyusCBmViv4cLzZmtLvWIXGBZbfGzXI9RnmzLcxl5LVmx jEt8mf9bzXi1o+ZZMV67vhxInPeLr2pCfbBQf78t78Lz49mWXYN3dBO36o/cv6fPpFNG2iZgMAnK W8JQBTkeoCnNqQhhonRNyfk4kJBBgfItTnK2SEIupgHzefVi+s2Bk6iC00oJ/6xc9j6ye77Zkk3P o5dqZJpP41l+jj8WgT9uENDGuRuvAOhOpgLLeDsdt8Hh507jVsCwxlHMg8P/y7bQUhqKsztiCgZB sXxj8iFMR0yn3STafZNWUV7rp5OIbGf8i3CuNjI/6iTJl9Ooc1LSFkFdeJ8UV+ZvjMp0e7rd6jUl o2rBw0R84xYVfPauz8j8MjhUeC0fnSQttvxzhquGyVlJudzlZE+rzr7Nld4sTNQaMn0+l7mzcup/ XX69kCv4P607/gyW8C3OgQAFqM3fxhCNNodYp9DNiX+IJF/hvbbRMqoGEdsQDC/tIfl6S1PZmd5I 5P6m2VqWgU19gbugEaXrjdwFLbtSOjdP0hSO4dvTx0htegqLUJXUmnmGxRYNClZDtgAEKuyy5bdI 1YsW8BI+GGJZS5aKioWopwa8wZvCFDd0BWHKlFypPXP+GUveMEOuHdTrXZ//D234cGwC+o8CA+5i GH67J78LxoKBAJbAZfZ3FuEQflQWiyAyId6IgPT8SK59NnXymSqH6NDS93NGBtdL8Ptp6Vqo47iM PYPA7cBRSTzPU+e1XpO8+9iK+bYv8XWgjtMn2EWjgd97M2KRl1i9TkrvoHOAtieodidlZoo7e7o0 f0aNUwFY/auqx5WTaaO8OjJ69vCEwMShDba1nUVmkZYjQNdy0MYHZZacx7/s2mbNZ5c9rq8PQGhY YgBnGb2GzUTfLRFQw61hNzdkPiR81+CigKjU1XgAMYdofBrK3Deq38oiiR3Vk8+7iriD6InGR6AZ CSTtnKwqD9K8uKtulhBCVKocK0FmRQeJdmqTiFLWq0VbM2jnm5VygRq7h4HIFZZauLSUY6/cdITI 38BkYVt6zH18B6MAWZVU8fg/rBr5+GG0Bqzw/Fi9Q/GmsAsjidGow6oBHGeiJMzA3Jxgg4Byc/jQ i2o20S3uhTMYwjq8ZKBb/EI4VSyaLnTGW0vEZ8gsKovo7SGYPkR9jpTsihIDBY/CGb+5Fqxp9ZsC ByqGxjbXaFke9XM6XlbvVNqyBR2UNbrh4k7aG9xCrWWXWD85j2NSGh3HgVi+QCTZlrHgJ/kUCO2z 79ZrulP1NHfCQTxJvYq32gPdyGdJ7a3WQHE52CtoYK4Br9vcO0fIW3w8+2QpqavlqgXswkA0YbZW qhAy+lAWTSkIu4Ft4ZT+NaWIQAWPUGrfWXbzqXz5vYQFnAMX2P10RN1G49ujmtohJdp5jelENpB5 XEjbhc8mK/nVbFDBElFj2gQkpHqhQ8gbtP9nWZB4FBGbyF4NOkIv0IZvyLjIzGEwGGRhE6YPp6In fBMFkQdqyEGKrY2SEJIlqdGhrMzHtJh3MC+1AsioPe7d0DgMspKX4bUmgF9POklfqquo8KGG6DfR UVnUHG++2rUbvxTAnidx3JCGNUMmw/v68J9JIomvIAPaSmDI+u++uRPsBjHZyuBr9ZCI5WsVOBuv BWO+UPdDO5f0GkjtDUX8/t5x78FX6YeoonCJ/KAUwFowWlvHRN7T0Rt0ml4rA2eXvJBptQvcUmB2 EBCkdypkgubm8NqCwR6DvcBQcz1TaPqyBetbOpywbW1ibvUhtfjkeZwv1XoBzwgm7Li6tZx9eVux FpiKKzRWoBeaU24twKMxvTTlsxlK0KOsPpyEbrCWUGU+dsWqVzqJD68uM6Jmzw+24w6cqPwI+zvo HV8V0ZBa6GFNHkPORH6Z5mOJlZtiBeYzaygdSeTTEcYkX3J7CbI1EJouyLtTwTe2EyFM65DE4Ntg mCUzj1KV4MdeVe2VlVA6Ok1V2B6Z2MUwrzAoq5nGIFcFCQeUQORHrIj0KLQUAAhvKfF9CYJzAGHg P9v4QYn6r9yqnGZfs/3wYE5XSLWdtlBC4YRyaEK0WBhVHmeeaYrH6HQPqE16b5SZyXNs9l8mHK81 UejE4VNEMaw9YpiGiI3Z1a+dhG0U/6YN0Epgu3vQV4EDpbEQyqQJ7Qv5WkhEfjb/kfoAPRM5wOwO mDnibubHSn1AIG4xPnLQuW1dtJReR346orTl0yTpTXKPQYber0VdwVD6ObF4SCEdeDgup+5jd0mW lANJXcU/jDRQ4pB8NoK8OFtK42XIsoEtaT1YSAQHFzvkN070xArct1kxMOEqJUn/HoyEFvj+iCRR zAY2S2pMkfDBMYOJxFkWfBn43eMfmcVxnPoAtQEHN0D2CD3jM7jFV0TsZr81zuol6HnaVwPUkkvb 35e19qisGtbsVK4XdaI9QH0IZsq7fKJ6sqXzttfOqrTiQBgR1pfnB9QvDlXsjGIJHgce5nOjbqX0 AA1csshZkNtiCN9aYaP5AUVX6VlqQroyX48GDjnLkwDaLGi5wbdhpbAowUfy3j2Euvk+FPT8SGGu /U6eBmVQCjIj+ryzpQ6NXGhSaHYkNM85/IPCYjpEW/993GPK8oZgqA/Djj5ikMGNSWTsyDmuxjlC DGsNgWHOcaCadzfpVI7FBhlAyb1WtM2ubJoeGqfdVvlXgSkdanwkmwUA7OQ9fcqovmk2hwM4Ite2 8ihLTH2BcslFMyBC6w0Aec0PmYZ9OHZ2ja1oAJzfw5uqTRmkooCmNhP6s2CQgz9x1nj0/M/7L28x 8B3GCauBeOVFz+H7EiBqvwe5kabcLQp5xwfnD69oI/oQ2SWN9u49e2UYB1zrugSPD8wbrHUh+NK1 rWq3xmyy+Z0YKpCZg8DCKTRY1cfCw3QAfjohgMOY8W2En7X71ErS7CpYjJo35l/dr87Cr1zQLJg6 BCS5Sirr0Kh7/iU2W12DmNc2i76zXyEHxa0YbUvfYwPtuF/Xm0eHuNn+dFCQ0ZRUT41NRNjUgk08 vNQCqP8o9VztiYsr2j0EOEPjehasanK67rtCJb0DatoVr4I2d/FSRPjaOv6CnhEUJXYTtE8dRXky KUbGZSaG53aQg165JC4o1wmVm0dex7c7XPMv5fi/lSFy3BqmenpiP8StUfE4CboJein84a/4XUGh qDW2w1/fSbkwqjr49xOEhBedHQQCd8GXBTZa9BJOko+ZBMSOKBikJCk8lk5UeiVF+4foi4qNVQt1 hUSpwD3/sGoSLH1X5JNO02Hs/C0pgqO7RrjYDA8TXHK2l/OLtjwYqqvwgG4DJKb+vd60lOujsglh r3Blwopyue4SAbV49B+Jf6VmkPRF+f2VcpbxyzZwjdtpcFUHymAvzTj4SGjwvxVeppNm+x9Mxbzj MbUv5VKXd/icQcWJXKtrdbDCM5OHZaxMLXUzHt8GKxkiMS+36HZeSCPdFzdXPSLMBF774/7o/E6J TjMzZr0tyPkMZ95p8xWR1FDUsK9xKjgJvAix+JBrRXP0cIdq9EsMs0cF+KaNynbyViGSlT0X5vcp Tg1DZ+jOVLm2oRfw036vqD2L7YYli78wyMOu0ZgmMfUEYHEyuT9+tUx16fZ1eeUP15mBDf9n8r/d TD8mnNfPo7y6h7BWwb4Nu8aYD37o6ASgduf4yMPEbk6PpAzx24QcMI0s0v0ENfsCKH/UHWY9pq7b p3RIG9imGusfB/MN7TxEATiGVOkb44hvuKVeW6IExLcu0P3GwO40kG9feCkeYO9eP6ybGJxFqcVX 8iJhNVLgTbue4ZGpPHYygPhXzUs4V1r8sRpCM16Jnjnel21tIYVVOAZ/XesxLMMmO1bOkL+NPRaC TICgj8D8OtRqu9DHt5ch4DGtT/8LIM/XTRzaFNVolm6QNHkg83me7zyPwoLTUEABRa7XARV30uLr 5QUtkI1FVC9f0VYehzYOIGgJvEgMYjl0032OxexniqelUxAWiqy5OMGhveBT2aenfskb9z6lSmoX Ucb1A5O9f8/xao8uleHnsuqTLSOGIAgOiZmJKZANzNqRW8jvcqOe7x5KAEM6qE3aRLXdzg8lKj2V PI0BC6W1pkDpGrR7srHHGJ5JEZrPvVkg+uJTXaIwMovpTPnz8TZ8R0OY+V81nwUJ6gV6QFVNWOsL lIZfzRcz+7VNawp9WIRSPeQxjy9Y03jLOcZgjyjNo5l7pOtWsBB/A/UciqVALV0RKFvBvR0ksPP7 x5/oZ/mI1Bdy5v01sthC6sctYx2zEaAVdUPcrex9sRpyVszATHAMadnafI1tYtxpnvny08xuYj68 9/N/x/SHyHHMTdQo0psbepivYVnv+1UPn5u6ymgE4wGY4LFgcNxQGc63bC0xXtngyNAOEC6R5rLQ dishhr2nagNRBlXb5IwXM3GVjxMRpBq9oNtdCV/7BV3srp8GPyGO/DSM5Aaoq3ORyQAA3UIGRik7 dCwWpl/ZGaEojXbbxNpxbrsm9KVrVhBixrdK/zJx1xknvFwOlYrDc3BTuNqK27WYUs8qe2kW0hVG 8mSW57g+Wrp/39vxrSww5EOAOeUQI/BPh4iPLV6Yrs7r5NzWkfe+1IfXqcNw00p7vWy7k4fs9x9y w2CrC3zzz3joqVjSwUgZ28S/r+0SY+TJujI2xsLeuHfuTUyCZP6+FEQEbPtohB1K4fV7midUW50t Ljemy0PEiMG8werW+higW9NRix+acejnKwN3pwu35uAh+7zFRMeFAP4mfOa6xkF+UCWQ7V/9rKfS Jeue0x/43bnppT/N5Tos3SYPF5i2hhxWnjdTTAP9/EKAmqG+hw99MdTNxBOh6e5shQ/g0d+fSQBa jSaYIGTGBmtUA/v0IzwCZjq7HF+zfXFakTn4pz/rbpE6wllffwOo1NE8OK/dSaLsBPrrVh1pJ5kj 3Yiok8O5kZIx20RD9De/QQsbXlTQyrgBh4KkNaDgxG/i0LHhJUSbvYSRA0+lo4wzM65vXWmmP3eq ylc2YKg/rDbyCZPZL9nnzL+XLtl/IffFbGYZmee/M7C+hUbGBiQUaMIEwfSg0wjHyPolzrrix4Bx EaN9uOmgUCR/8ihwFDbAuhtNOkSdZ+vg/DIXQii/8bAa3o1Qgw7+6aaLfCxnzNOJp4uFLMCa4v76 lkEBTSfI3+IcO2nICBAAPsQJ0eMPOvDqUaw8CRFAacGdg0g4K0AVl6L+2KRpNRYSb3abijh6IDoE 1c9hNaAFcu2QtMjZxtcPIDsXH6HwMEPifEKYelT5yO3dkfeLinvbCswkJMYvOZAJ/0pAjO/59Aj7 tIf5G8BVuzOzZ/QtmPTgPi1VRyn4MhHBDX8kq2CWLNFaGPy73yTJQ7Fb6RJq2Pe15pxDGuMBl1hp afxUJPozmjl9dBLfvTXRm7TKkAh/3eyVJKq5IFxfjsMRV3hqjevuSpBxNZYol41LzaHDDubA6Co0 oeGTt7ol/Bka/uH07oujqtcmESxeIIjYnM9a513OA2hXHgbmduER/QO3Y+XOyneDJyVZ4rCWyDMQ zc0xhr8FInf1/ILj2JDPwyvWZf2ynlmWSpsqx9G2TXzjtYby1Ek7W16vE6koCq0vD7lRi+NJHffT wqDVPOw7vH6mjkizMeDDilBPS9j4EKsWNk1mid2SQ4y6hCmjHB37C/gEXqsKGYM7hvZ2FuVB/tQc iJmB2YRqLuOogUepTCwniRPvqIn4TMC10+Vm2uLTbSvqYNB2cjfV787eVGUEI0X3LzZZM850+3YW DTVwxzShbB/D5iY6MQdb2BpoMsWhyDUFyRYuMz/E4gy/fs4R9JT+SWz4Bco1XbGg0mGLg97OZycC VDvJuQkAvO3D8GpYhc4ffRwyoeCvNpaTY6WIHpf2wj8txzZffc57BwNuF6EFO2kiGeaIiIYnW+Jb 5IEfnLmh+93nl9v2aey+iUiCHNCoYUt1pYrAHQJjJd4rEWhoD4+AihGb9J2Y6tSQ2G61DkMEsvRt RaM30FP+9SMZ3/Sl+oj+2KOOB7bXnckLMJbhkPOJ8Dvu+CLqAHwHHBvZUH5Blgu/fPTF5UhiFc6z j2Wc2e/oCOyq5kXnf+R76W8hzRLR1CNK1o6NS7j82pRKeveKX7IdMp7mJjBmYQZACka1jSK0lZEk 3g7AWpyOXxOpQcLBrFnbd9z+HSHBePIYMxd+SiOUeRgHip6g6z8imd5DmzfCBHZi1Kfu0iR+01OJ 2X55VWxevNgKeGQxUhk9/Ft2zR5IXnrcAntBlHrcPUqNhfVhIAxYEHloyYlC5ZMM6qH6HmTqhQO6 qS9yhPESlp1oZcAXsdH2WfSxh7t4lXxLidc445VKdIUlLv6MSa8QVicSjY2EXbc4VBuj+i9+ZBCK TFMcRHTSosmgtWp2OYEv3ooqZtUQLil1RPdVdgcFax0SvpfnqapL8m/aX1qiihY5Z2pVgQFdtYbn j1ekKUZNW6z+gg5g `protect end_protected
gpl-2.0
fa63f222fa27c34ee9d52d375ff49522
0.943675
1.840709
false
false
false
false
UVVM/UVVM_All
bitvis_vip_avalon_st/src/vvc_methods_pkg.vhd
1
25,282
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------- -- Description : See library quick reference (under 'doc') and README-file(s) --------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; use work.local_adaptations_pkg.all; use work.avalon_st_bfm_pkg.all; use work.vvc_cmd_pkg.all; use work.td_target_support_pkg.all; use work.transaction_pkg.all; --================================================================================================================================ --================================================================================================================================ package vvc_methods_pkg is --========================================================================================== -- Types and constants for the AVALON_ST VVC --========================================================================================== constant C_VVC_NAME : string := "AVALON_ST_VVC"; signal AVALON_ST_VVCT : t_vvc_target_record := set_vvc_target_defaults(C_VVC_NAME); alias THIS_VVCT : t_vvc_target_record is AVALON_ST_VVCT; alias t_bfm_config is t_avalon_st_bfm_config; -- Type found in UVVM-Util types_pkg constant C_AVALON_ST_INTER_BFM_DELAY_DEFAULT : t_inter_bfm_delay := ( delay_type => NO_DELAY, delay_in_time => 0 ns, inter_bfm_delay_violation_severity => WARNING ); type t_vvc_config is record inter_bfm_delay : t_inter_bfm_delay; -- Minimum delay between BFM accesses from the VVC. If parameter delay_type is set to NO_DELAY, BFM accesses will be back to back, i.e. no delay. cmd_queue_count_max : natural; -- Maximum pending number in command executor before executor is full. Adding additional commands will result in an ERROR. cmd_queue_count_threshold : natural; -- An alert with severity 'cmd_queue_count_threshold_severity' will be issued if command executor exceeds this count. Used for early warning if command executor is almost full. Will be ignored if set to 0. cmd_queue_count_threshold_severity : t_alert_level; -- Severity of alert to be initiated if exceeding cmd_queue_count_threshold. result_queue_count_max : natural; result_queue_count_threshold_severity : t_alert_level; result_queue_count_threshold : natural; bfm_config : t_avalon_st_bfm_config; -- Configuration for the BFM. See BFM quick reference. msg_id_panel : t_msg_id_panel; -- VVC dedicated message ID panel. parent_msg_id_panel : t_msg_id_panel; --UVVM: temporary fix for HVVC, remove in v3.0 end record; type t_vvc_config_array is array (natural range <>) of t_vvc_config; constant C_AVALON_ST_VVC_CONFIG_DEFAULT : t_vvc_config := ( inter_bfm_delay => C_AVALON_ST_INTER_BFM_DELAY_DEFAULT, cmd_queue_count_max => C_CMD_QUEUE_COUNT_MAX, -- from adaptation package cmd_queue_count_threshold => C_CMD_QUEUE_COUNT_THRESHOLD, cmd_queue_count_threshold_severity => C_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY, result_queue_count_max => C_RESULT_QUEUE_COUNT_MAX, result_queue_count_threshold_severity => C_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY, result_queue_count_threshold => C_RESULT_QUEUE_COUNT_THRESHOLD, bfm_config => C_AVALON_ST_BFM_CONFIG_DEFAULT, msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT, parent_msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT ); type t_vvc_status is record current_cmd_idx : natural; previous_cmd_idx : natural; pending_cmd_cnt : natural; end record; type t_vvc_status_array is array (natural range <>) of t_vvc_status; constant C_VVC_STATUS_DEFAULT : t_vvc_status := ( current_cmd_idx => 0, previous_cmd_idx => 0, pending_cmd_cnt => 0 ); shared variable shared_avalon_st_vvc_config : t_vvc_config_array(0 to C_AVALON_ST_MAX_VVC_INSTANCE_NUM-1) := (others => C_AVALON_ST_VVC_CONFIG_DEFAULT); shared variable shared_avalon_st_vvc_status : t_vvc_status_array(0 to C_AVALON_ST_MAX_VVC_INSTANCE_NUM-1) := (others => C_VVC_STATUS_DEFAULT); --========================================================================================== -- Methods dedicated to this VVC -- - These procedures are called from the testbench in order for the VVC to execute -- BFM calls towards the given interface. The VVC interpreter will queue these calls -- and then the VVC executor will fetch the commands from the queue and handle the -- actual BFM execution. --========================================================================================== --------------------------------------------------------------------------------------------- -- Avalon-ST Transmit --------------------------------------------------------------------------------------------- procedure avalon_st_transmit ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant channel_value : in std_logic_vector; constant data_array : in t_slv_array; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure avalon_st_transmit ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_slv_array; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); --------------------------------------------------------------------------------------------- -- Avalon-ST Receive --------------------------------------------------------------------------------------------- procedure avalon_st_receive ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array_len : in natural; constant data_word_size : in natural; constant data_routing : in t_data_routing; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure avalon_st_receive ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array_len : in natural; constant data_word_size : in natural; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); --------------------------------------------------------------------------------------------- -- Avalon-ST Expect --------------------------------------------------------------------------------------------- procedure avalon_st_expect ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant channel_exp : in std_logic_vector; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); procedure avalon_st_expect ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ); --============================================================================== -- Transaction info methods --============================================================================== procedure set_global_vvc_transaction_info( signal vvc_transaction_info_trigger : inout std_logic; variable vvc_transaction_info_group : inout t_transaction_group; constant vvc_cmd : in t_vvc_cmd_record; constant vvc_config : in t_vvc_config; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT); procedure reset_vvc_transaction_info( variable vvc_transaction_info_group : inout t_transaction_group; constant vvc_cmd : in t_vvc_cmd_record); --============================================================================== -- VVC Activity --============================================================================== procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic; variable vvc_status : inout t_vvc_status; constant activity : in t_activity; constant entry_num_in_vvc_activity_register : in integer; constant last_cmd_idx_executed : in natural; constant command_queue_is_empty : in boolean; constant scope : in string := C_VVC_NAME); end package vvc_methods_pkg; package body vvc_methods_pkg is --========================================================================================== -- Methods dedicated to this VVC --========================================================================================== --------------------------------------------------------------------------------------------- -- Avalon-ST Transmit --------------------------------------------------------------------------------------------- procedure avalon_st_transmit ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant channel_value : in std_logic_vector; constant data_array : in t_slv_array; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := "avalon_st_transmit"; constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ", " & to_string(data_array'length) & " words, ch:" & to_string(channel_value, DEC, AS_IS) & ")"; constant c_data_word_size : natural := data_array(data_array'low)'length; variable v_normalized_chan : std_logic_vector(C_VVC_CMD_CHAN_MAX_LENGTH-1 downto 0) := normalize_and_check(channel_value, shared_vvc_cmd.channel_value, ALLOW_NARROWER, "channel", "shared_vvc_cmd.channel", proc_call & ". " & msg); variable v_normalized_data : t_slv_array(0 to data_array'length-1)(c_data_word_size-1 downto 0) := data_array; variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, TRANSMIT); shared_vvc_cmd.channel_value := v_normalized_chan; for i in 0 to v_normalized_data'high loop shared_vvc_cmd.data_array(i)(c_data_word_size-1 downto 0) := v_normalized_data(i); end loop; shared_vvc_cmd.data_array_length := v_normalized_data'length; shared_vvc_cmd.data_array_word_size := c_data_word_size; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure avalon_st_transmit ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_slv_array; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant channel_value : std_logic_vector(C_VVC_CMD_CHAN_MAX_LENGTH-1 downto 0) := (others => '0'); begin avalon_st_transmit(VVCT, vvc_instance_idx, channel_value, data_array, msg, scope, parent_msg_id_panel); end procedure; --------------------------------------------------------------------------------------------- -- Avalon-ST Receive --------------------------------------------------------------------------------------------- procedure avalon_st_receive ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array_len : in natural; constant data_word_size : in natural; constant data_routing : in t_data_routing; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := "avalon_st_receive"; constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ")"; variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, RECEIVE); shared_vvc_cmd.data_array_length := data_array_len; shared_vvc_cmd.data_array_word_size := data_word_size; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; shared_vvc_cmd.data_routing := data_routing; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure avalon_st_receive ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array_len : in natural; constant data_word_size : in natural; constant msg : in string; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is begin -- call overloaded procedure avalon_st_receive(VVCT, vvc_instance_idx, data_array_len, data_word_size, TO_BUFFER, msg, scope, parent_msg_id_panel); end procedure; --------------------------------------------------------------------------------------------- -- Avalon-ST Expect --------------------------------------------------------------------------------------------- procedure avalon_st_expect ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant channel_exp : in std_logic_vector; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant proc_name : string := "avalon_st_expect"; constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ", " & to_string(data_exp'length) & " words, ch:" & to_string(channel_exp, DEC, AS_IS) & ")"; constant c_data_word_size : natural := data_exp(data_exp'low)'length; variable v_normalized_chan : std_logic_vector(C_VVC_CMD_CHAN_MAX_LENGTH-1 downto 0) := normalize_and_check(channel_exp, shared_vvc_cmd.channel_value, ALLOW_NARROWER, "channel", "shared_vvc_cmd.channel", proc_call & ". " & msg); variable v_normalized_data : t_slv_array(0 to data_exp'length-1)(c_data_word_size-1 downto 0) := data_exp; variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, EXPECT); shared_vvc_cmd.channel_value := v_normalized_chan; for i in 0 to v_normalized_data'high loop shared_vvc_cmd.data_array(i)(c_data_word_size-1 downto 0) := v_normalized_data(i); end loop; shared_vvc_cmd.data_array_length := v_normalized_data'length; shared_vvc_cmd.data_array_word_size := c_data_word_size; shared_vvc_cmd.alert_level := alert_level; shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel; if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then v_msg_id_panel := parent_msg_id_panel; end if; send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel); end procedure; procedure avalon_st_expect ( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_exp : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT; constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs ) is constant channel_exp : std_logic_vector(C_VVC_CMD_CHAN_MAX_LENGTH-1 downto 0) := (others => '0'); begin avalon_st_expect(VVCT, vvc_instance_idx, channel_exp, data_exp, msg, alert_level, scope, parent_msg_id_panel); end procedure; --============================================================================== -- Transaction info methods --============================================================================== procedure set_global_vvc_transaction_info( signal vvc_transaction_info_trigger : inout std_logic; variable vvc_transaction_info_group : inout t_transaction_group; constant vvc_cmd : in t_vvc_cmd_record; constant vvc_config : in t_vvc_config; constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT) is begin case vvc_cmd.operation is when TRANSMIT | RECEIVE | EXPECT => vvc_transaction_info_group.bt.operation := vvc_cmd.operation; vvc_transaction_info_group.bt.channel_value := vvc_cmd.channel_value; vvc_transaction_info_group.bt.data_array := vvc_cmd.data_array; vvc_transaction_info_group.bt.vvc_meta.msg(1 to vvc_cmd.msg'length) := vvc_cmd.msg; vvc_transaction_info_group.bt.vvc_meta.cmd_idx := vvc_cmd.cmd_idx; vvc_transaction_info_group.bt.transaction_status := IN_PROGRESS; gen_pulse(vvc_transaction_info_trigger, 0 ns, "pulsing global vvc transaction info trigger", scope, ID_NEVER); when others => alert(TB_ERROR, "VVC operation not recognized"); end case; wait for 0 ns; end procedure set_global_vvc_transaction_info; procedure reset_vvc_transaction_info( variable vvc_transaction_info_group : inout t_transaction_group; constant vvc_cmd : in t_vvc_cmd_record) is begin case vvc_cmd.operation is when TRANSMIT | RECEIVE | EXPECT => vvc_transaction_info_group.bt := C_BASE_TRANSACTION_SET_DEFAULT; when others => null; end case; wait for 0 ns; end procedure reset_vvc_transaction_info; --============================================================================== -- VVC Activity --============================================================================== procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic; variable vvc_status : inout t_vvc_status; constant activity : in t_activity; constant entry_num_in_vvc_activity_register : in integer; constant last_cmd_idx_executed : in natural; constant command_queue_is_empty : in boolean; constant scope : in string := C_VVC_NAME) is variable v_activity : t_activity := activity; begin -- Update vvc_status after a command has finished (during same delta cycle the activity register is updated) if activity = INACTIVE then vvc_status.previous_cmd_idx := last_cmd_idx_executed; vvc_status.current_cmd_idx := 0; end if; if v_activity = INACTIVE and not(command_queue_is_empty) then v_activity := ACTIVE; end if; shared_vvc_activity_register.priv_report_vvc_activity(vvc_idx => entry_num_in_vvc_activity_register, activity => v_activity, last_cmd_idx_executed => last_cmd_idx_executed); if global_trigger_vvc_activity_register /= 'L' then wait until global_trigger_vvc_activity_register = 'L'; end if; gen_pulse(global_trigger_vvc_activity_register, 0 ns, "pulsing global trigger for vvc activity register", scope, ID_NEVER); end procedure; end package body vvc_methods_pkg;
mit
e8a88584ce9a8ace6957201733991e24
0.536825
4.261969
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
Lab4/VGADebug/VGADebug/pixel_clk.vhd
5
1,030
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Pixel CLK -- Project Name: VGA -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Pixel Clock -- Output a 40Mhz clock for a vga controller -- 50 Mhz to 40 Mhz --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity PIXEL_CLK is port(CLK_IN: in std_logic; CLK_OUT: inout std_logic); end PIXEL_CLK; architecture Structural of PIXEL_CLK is signal clk100mhz : STD_LOGIC := '0'; begin CLK_100MHZ: entity work.CLK_100MHZ port map( CLK_IN => CLK_IN, CLK_OUT => clk100mhz); CLK_40MHZ: entity work.CLK_40MHZ port map( CLK_IN => clk100mhz, CLK_OUT => CLK_OUT); end Structural;
gpl-3.0
ab56da878b2c08d542ade68ffd6c9a80
0.590291
3.718412
false
false
false
false
skordal/potato
testbenches/tb_soc_uart.vhd
1
2,768
-- The Potato Processor - A simple processor for FPGAs -- (c) Kristian Klomsten Skordal 2014 - 2016 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; entity tb_soc_uart is end entity tb_soc_uart; architecture testbench of tb_soc_uart is -- Clock signal: signal clk : std_logic := '0'; constant clk_period : time := 10 ns; -- Reset signal: signal reset : std_logic := '1'; -- UART ports: signal txd : std_logic; signal rxd : std_logic := '1'; -- interrupt signals: signal irq : std_logic; -- Wishbone ports: signal wb_adr_in : std_logic_vector(11 downto 0) := (others => '0'); signal wb_dat_in : std_logic_vector( 7 downto 0) := (others => '0'); signal wb_dat_out : std_logic_vector( 7 downto 0); signal wb_we_in : std_logic := '0'; signal wb_cyc_in : std_logic := '0'; signal wb_stb_in : std_logic := '0'; signal wb_ack_out : std_logic; begin uut: entity work.pp_soc_uart port map( clk => clk, reset => reset, txd => txd, rxd => rxd, irq => irq, wb_adr_in => wb_adr_in, wb_dat_in => wb_dat_in, wb_dat_out => wb_dat_out, wb_we_in => wb_we_in, wb_cyc_in => wb_cyc_in, wb_stb_in => wb_stb_in, wb_ack_out => wb_ack_out ); clock: process begin clk <= '1'; wait for clk_period / 2; clk <= '0'; wait for clk_period / 2; end process clock; stimulus: process procedure uart_write(address : in std_logic_vector(11 downto 0); data : in std_logic_vector(7 downto 0)) is begin wb_adr_in <= address; wb_dat_in <= data; wb_we_in <= '1'; wb_cyc_in <= '1'; wb_stb_in <= '1'; wait until wb_ack_out = '1'; wait for clk_period; wb_stb_in <= '0'; wb_cyc_in <= '0'; wait for clk_period; end procedure uart_write; begin wait for clk_period * 2; reset <= '0'; -- Set the sample clock to obtain a 1 Mbps transfer rate: uart_write(x"00c", x"06"); -- Enable the data received interrupt: uart_write(x"010", x"01"); -- Send a byte on the UART: rxd <= '0'; -- Start bit wait for 1 us; rxd <= '0'; wait for 1 us; rxd <= '1'; wait for 1 us; rxd <= '0'; wait for 1 us; rxd <= '1'; wait for 1 us; rxd <= '0'; wait for 1 us; rxd <= '0'; wait for 1 us; rxd <= '0'; wait for 1 us; rxd <= '0'; wait for 1 us; rxd <= '1'; -- Stop bit wait for 1 us; wait until irq = '1'; -- Disable the IRQ: uart_write(x"010", x"00"); wait until irq = '0'; -- Output a "Potato" on the UART: uart_write(x"000", x"50"); uart_write(x"000", x"6f"); uart_write(x"000", x"74"); uart_write(x"000", x"61"); uart_write(x"000", x"74"); uart_write(x"000", x"6f"); wait; end process stimulus; end architecture testbench;
bsd-3-clause
48d801d3d4f240a5183604a053cb7eae
0.593569
2.5845
false
false
false
false
keith-epidev/VHDL-lib
top/mono_radio/ip/multi_QI/multi_QI_funcsim.vhdl
1
785,092
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014 -- Date : Mon May 12 11:42:56 2014 -- Host : macbook running 64-bit Arch Linux -- Command : write_vhdl -force -mode funcsim -- /home/keith/Documents/VHDL-lib/top/mono_radio/ip/multi_QI/multi_QI_funcsim.vhdl -- Design : multi_QI -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AQtwTyGLz0NMO7LyR9Lhuv2cA/4y5ZLMBit+QBleYFW8IhTeXqKPD4aSeseNMhUuoCyqQPHKXbmX LeVqKxvarw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hGVhv3AqeDsw7H+uancFjD279XefBZ3mwEBxW5pFk8a3sVNt7IAIfyXMtmp6XBWsae0N+Ci3/npB 3SasZ2GaBZBVMxZwKr7R+ZnX6uwtyrN2AJndaqNaMftiUp9xtV76bCQ9uH42U+M2x7hR4dtD0fvB LYvzs92V+0bNZbbueyA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Rwsa6WOnTwbkSOakIUUGDzVbehno+eVI6KtkIdY5kK8lPoN8q0Kbk8vzYaFYPqtx24HeGf2fCrmL UEBJpMMEdeDUWeTdVGVDGgJQqfSETdgcbKy251IhCrCQqWqIbqijbXpSb31jgoi6iOsGmyPpR2m6 gAug5BKSALEa3o/asLI95p58SZhkaUpFyJnRspVoLL7h+r+QTO86y/MjL1M2HHbiMVbK85YFLHSo hReZLGxbL6QQS1znPiQyyVy1PkLupBaKBDXojs4pIX8/CiwzGsFTCtFrmYLQ0UqfaMo1P+9NS07F kOR3KwphHArLEZjIth7K0OygkOWzpexPymT/LQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GFpv4P68gj6yK06WrGFskDzgRibsxHI5jWrB5NNgR5jAhsQi6zUtxk9D39KKYeNXJovsaANReMqt hhf/9kQFTUB17gOOYbYVuZ5Jw0U+jkdJ3RB0GtDnyrRDOZ5DC6YyDUkB2r6PLs+CT20zanhxcEtl sQKOEnL6phaWOedi7es= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block c6+3pMI4bZ2mi2A6Ycj7+UeOiarlb+GAsf/fjV00iWC1qCUggxIKRxP+eJ3z6XT4BZPrG1RsEhpx pNg3X+Fuqp0RwnM/yLWB2Ltk447QmP19vCUIvCHgqjPtI7kt0WbjsDqel6aoZNnpmEL/7gd6/3NS nhA3XQ5QMumSsq/7bmoNg9hBobg7U7jlCr+9ZUf82X7MkdUEYGN/bzCmelYTt68FJ8ZlCW3h4ve+ YiX/yE5WOCAsimsuL0TKSZhntBGdjxuGpkF0yYXDh6gl9KfRWWkqdZXIh2qUMADKH/9YGGslBS9G GFME+3dogZLUU37G226tsYdPFlDiwh9fU/p8oQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cGWBWEt239RuNdXZdP+xVw/d4FsaV4qfo9XpZWPhqc9/RK2NBypDVlXDEg0B6HLL51YQmG77ArlFPwTYXuLWKXe5GUO+9hUqbVFb96+U7RSAOZ+ig1D340ox4GyObfoCc/YZoVSCHMl3WHJ00zTe49kCyyt7X0Jn1NJfm/1RV2Ysayu/dzp92PbVPw99arfO19+VT+8HMNFKgtluIAdS1MPrR8AyHNuSYw3SG2A7nDK++K7qomPPy7C2KAvC1fep3tla90p6CE47dK/kkfKwiJZqWRlT87yaF1gAXVYlUUuvMr3uXUIOVoL0BGzeOONdjQYLAw+9NXQaDU3v3rMd4Q== `protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128) `protect key_block VCsp+LE7iGrHvmUm3RuzDtPbclq53rMeOhzKr5vWh+b4OMAcOpNiGFuyTdcB45E9MPvTQuXtV9HzQnbs2tnyljaRkgIKgrkypldyoCSpg9L8RexT3HZEVMB2Mv6o2sGpt+WUmQt6poUSPefhsT4yBfpwtaqf9FDEDL/AWYmgpChJkLIGrPRL46SnXydwNoqCoWY9FOg1m8Tyw8JKP1OlF27Z7eu5ZRdVxk76+rMEjzrfrTzacPIbNUiTF08jk4LjgZUtDwhBDbhbdBLYAWonjwNVeGKBaawFA0ZriWKtM/Mw0sqrtpeCbSw4Ln4VdD5d/zpOQbCAez6440txrflwkQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 64, bytes = 571776) `protect data_block gY6Syei+TBsiNw3rudlKhNvh3/3yZrzkSOn4CTJtvA7JGnL8pELINUqKCALKg4Td7vZaVEscq5yK UXstBdp6kXYdoIBJnUqRgbYDA/WQOrXWj0bHT6S16hs3IRwJD0Vrr4OyLtqfnMlSn4W+7Af7tbc/ zdj++Cgnbuuyhtc6I9mpMw0fjCU8wfkMY0B5aYIJcAt/XPU83fR8ToYXZKL2kiWuUIWtGy5WJ/xs 98+WwxocZX9J3Fz/rowBlPFwKtdEKFme1+d23uw9yewZo902WEPSJRQKCD6AUyoIVR6OTW0AETns JkQ/Mb5Z5rC61l51xPTKwUwU4j6/nlAXXpv/+mORYc+r1rdbMrRRkfOqMZrMoXwxIUIfj7h07waX rMZ9LvhxV0OP6HqwY7z/8hQ/itdU/ESz42CZVZbHOxjcJ5vCW2p4N4vhD/64TD3MT8UAzLbRIt+Z WDotXbp/fhogR5dgymjIpMryqqwD7QJOrlATOjVaZLRkRlrFID8XTyj9nQj28MonAbwRUfCF8Jc2 u9YiESmk1bofupchG0RBwml0hUxehvEEEbZJrtKtXp8pILhVHjdnFiTgd7n5lESrXVERQd/XtrFH 8m6Kk3HBouYLV8UxUgGTyPwn13WC0jd7YW9vaI1PTz7yIwqj8VpOx9mYRdWuEIOm5c8wyXCA3Tiu 2xhoF0BccuhgHWd4bt8m1LcPomKmpl+YmUsB8ajDnPAzrXQIb5LWE5ORwO0RSYn39TZAgbO2APHv yg/fetqLQHXDSTUzBlQFaLck7HyV8/ucTW05nR24Z9X27RbV9/0FQymIt0iZGAswrdfXVJKoHANX +nvJik2yaLIR3zmDBcJQ4KwuevgcRueM3RxW/brG1ddVs1X5R8t/hlFfD85rspD4ZnezmpdJykh8 9FDO102LnZQDFcgMnutk8Fih0JgKflqfGwoVss01bVbeys1taAwRpJ1ufu3OuZedM1deNtRjTmQj CsG9mcsw5q9VmcN9GNLxyKXE9S0UjWFGaKGiq4HfvznNxReBfzENRDejPGt2qpkWZsEFnKPdj2Vu vLt6kDVF/D70vnTZ4l/+kpXHqosF9wQK3VT6MDCSS8A8kLMHuESs943Wah1vbl7/1qB5dPjbS/+T k3dUkCeJcN92DRXFsXhuWff0k/s8rTaHTXhROy2iu+j2et6BzfLp6jtpOX1xC0gx83XPHqeM/AmO H8feK63NC6elafy0aA9bH3oRMGrMwRg76YKek3l9b3kJdBCG6aSnvA9FtQujgj+5iMaJHi0Oet4o gmsdV7Hu2Dlf2AWqUcMOwPByAHn5QWTVDqjjie3kdEzM0VwZ+TtgdSrK/kMYx81lfdpkRySPatHf Jf633BMLZjKU0I7Z0QyEP3shDM+8+/PpgdCznPh5g+9mW5a5rvNtxh1FhGzh0xACjF3zFpBoytrT sx6WujrGnBtlZI7Z7y2etZHq+9NZPmp2YLhi/dM4/tHDgmFg3O7qHBOQySCEe7+xjaSmBCoCIXLe Q4FYkAIZUDSgpiVPSGElBo9fu6Nn1bQ6cVMhCpIFoOPthkJix8BMVPj2NxhhagH8ZC4/5E+GsDzH IghiIdez3ss44pxbtFBr/hoSkIs8JihNo2HyuLfi6qhfpr4+58DAQhhMp2xfgHVZ/QAVneKcEhBZ 1MaWwwm7ZIWEmn5MA+AoJjwjGJr0o4UkDq27T/TNi2SP1TXWqkqKFZuyDZ+5a99u5gYcSBX3uS8T BiNzqPQsS4T1q0HeGfTUcgXuOuL1vYH9Yg1d+soeppt7uDE/KgKqKsbH0DUNvQXaw+lTfg6NUjk5 sF6s0+jp4ZOERrxqzbUDjpp0AM7Ti3ngHIUnQV23sKXSiHQYUT4B/nnK/+7eFCIa2v43/iubIdMX ZviLO5U8qk2R9NjBk2wAqIgXLkRhu8dXZxNe+AB3eZoWcfZzKJsXLln52eEXGqnC/8gPrXUrUFwr paXN0qTJ5+Rrt6MA9q5Z+3h+rBR8fiKVJ/f79VbeE5L5Y1A2dJ8TVxKEuEkNIWqxWI7Y4FE0Ey9D jwv7LIN42rtyKNqL1dfRi0A0qUjNIgajlB/VSHycrAn299QEs1+Kfm0AXDbyaa/vo93XcG1KD33N U8Lu8R8OymbU41D3TnDF6FXZkGZRWPYUqe14ZwAWdEAFidKcpMBUGT4sZ9Gj943W94zaXy7i1gPy eM/m7g0uAs0fCq/+jv770fruebY4Ef+fX9HqSm3LQwzyEvbBFimkDKWlGe9W4t2ZBHBm+ucwjETz 2h03itdtXrU5NtaPImuoJQkf5dYrT1nCAAHEWtPIQ4WEde4zal6E8FS+jWFIeC4PqGPuZbV6eUea ribqHCK/4XNvvZaCyBBwlZO3c7YMMdupftxmlK5ljoHQq1UcIX30YTO7euuM0QVG0qMKtrHb8nPC sax6W3FeIlT3H3/mMXq+XP/ozsj4uzy//qUOOnrd3FH4bbiBAO86Mp5UrmEDBdGgivefcKB0Gput ttzi/FbvK9Emr33T9QRLx1dfYjzov2jMXuDp7xtsGeM8Uro3FisAtsoFs/UbaAxzgRs9xpY8Nt7D MwqB7kENcdUaB0zDS+Hd7h2YLJkPZKKZhQtUNoIuqSvP7M0wuaI0olrxnRW9pmIgZj5A7VgwpyeD umVt2DsDol43Af3mjy3OyqRB+14PZdJ949mICFlTTjjJgu22bUt08tmRQQHLI0+wmwzbvoFetfMq qCfnYFhca2+kP1/FmWXmhcjOq46Y+VL8+JpQQAg9VjEF4OEgs1af3pEBc5Q5omF72TG8l4kr97Iw 3Qn4tDAwzvvdW/uw3FzmIbOmcyMO/lr8hVtTcBbmZyLwpR0roLYfe2fkI3rjrLbmRC3K7ZOpb9cX ahsp7AGgaYYSgD15gVrjzxPL5ytL+dDBtVFG+/PKchj/+GeCuF9D46MlHEZ0GJMfDClfFl1ngE+V bgbh8v5ZQG157FF15WQcYGq0x1Xn9y8DrNWCXNsSg9eLtqwN3lWXfgTQnIP1zovlEznyyG3fMr8q hYyyWt1qxdzdj+PuSqOts4v49ZRh8mg3bUb2SqnnoKB2jtkXiUEdMArPguDdHCv0Zy0Wm12c3xCm wS+j7+KHihZrwVYNB26NG2GLiIhnIOlJw9ZdbZiZ+VyTQ4Gir7RWga1i8LmrghvGBCtXvPXl3Lnf afd5YlogzWd/0OK9XZEMJIS58DOWT++yrIov1jWzMPs/vZpcHr/d85K7Itu94ONCg59qTO7h/b7x tTYykNKdWGvWB8G3Vi67HvhxD+hNFuA9CUsOaiD3qbpaX4fRJ8rd+ym/vj04cwNzdJSUdgv56rik kiLMce1Yqd1urkqniMz/AvvtJsjOrMyGPZaOjjliTNY7pgwXirwzv3GteFsh1jKKZMNYPiH6aiuY w89LSNX5Fqt/EtyCBgTPbyeNXK7XiK5agmihIU/35f+f3OMZ3Oh5pctfb8Ah3CIgRmpQUlDckXi2 viE4+/R+uI3bxb7qcmNmgrAHNYSvBOuV2a4Vo/c0nVnQvfK1SQ858X9xmRy4kY8v4g6vXChw0fUk YzJWOGj0wU9QAFhOIgDesh115dobrfNu/1SqgLQRQGYa+LejF498P/LUZfekl1FEnqXpJZWdFFmD 1OGdx9egOwKVJd/JbB0kWTM1Hsak0gMC4nqcKX3WnPvCBtPgsWiB1HUYjSuQXqN551URy8FUYd/t 6kYAclcJHrVNlKqq71fNZHrpz83qt7DoDfOUIfdz9qLhtcpl602thzk1bJvuFkA9D0u7ViSdTyGY kJdiXUa3q7RbDKwZXJ6GgxNo/6Vdjvav+xe5Y8s1BnZbPMtAWDIw9BO4CL0Y+R1+rUdbffDrijOr 0MOUTSbR8F01zJeT542yCTHkzhziL7G5k6xTWP9PvTq0N/YhyV1FNijkt/6fh36Zqp56ZZKFLjlD KcXGfe2UumvAgMj1llO1JKH5y1TaB9L/Bcgt8xVyMRAHzGOAMT5mmNni5IeM7GhZHRweOSHXx7Y5 aM3YtO3TGlJEaSa9jXlWRSxXywRMBGoEHWVbVkFKFmBfjnGOdzDPkkGqDZsOQZgqpbvyLtclxkvn /dz0/fCXUpztLAqnZO/OTIL1Fj7JYdaG1+FulzB9/NXkhU9S3iH5q8HZyQOGGIyq47xn5XZnDLzu DE45hC8LrEilRdTGtqB6IaIxANfnSyvbyfCUa0odORmtakmiun+i7rcDUkpY63qgBZRFK3i3eG/E esUnjUSzcnST7T62wDJoWEFIMxl1HYK/O+vBQnkma+JsGFFLb4Z8XYLu04yknxKdu+b5pg3nSurQ +ifazXF9m+AXxJCxCRcqsNJ2K0lqvjDjItbSJvfkAG9CyZxbKf+1nynr0slOjr4+vqm1YT1FdtAs ttlr65PxMpuN9kp4F7xw7VANJU9/Fm2rAUUoV5E7gzuitfk34nwa0GynkK8M0X0F0hQWrlbCjHEE GfFEn8iMl8nVFA3qnaBoO0X/bWAGiVi1QBYt6Wh+po3X/AtYwyP5TsnBM32NmnCldXQEpGhwsrlC PTqePOtG3X+XwzQ4N8dJOoCUnGfOLoOlz5+EmjYdDtcn9pPxJIbRIcVMmEMm0r/nLvs1ajtBKLPY QlvHKvAT5Oint6wlJBpIgR66y8Bi5Q2XaiJllsJTPv2fuBOrfnygmMNxTcCPSU92KrbGH86peWaY waUPZ74UPgE2mng1DiP6P5hPEUldqBlI2lrujsmvXVrQt9vNCyiw6Ya4Fx/NCb3FzG7AmjrPTKY0 dlJcPlnFLOCN+877mxoz78BMmvFAFZhej2U2lLN/Sr7zl6pN+MfsqbPuxJC2RNkmcsazFD4EIZ48 wBgLcZbuj9vNi33iXjJLcRQfvhEDXTh020ZncOa/CDJTDZvF8NCAx+HonJ3OpLnRMXXFNFdcY4ZP V3GJehKz9yViTbAw1BDEt4b/aie9D/TNgtooJZzHcvFTE/dwbOmHeB/GP0KvyCcpd6XcXOoZgMRh ck7PbIIp0YHfKP5qNSUxSN7e1YgHf3UkgssM9Zt7FzG3/LG6HaQ8Y7oWzFopn+wlhuMiabmNesms IEfE3TYPOrxBOLQpxnDk7FG/UkNSrQb2fExbhzGDDohpCGmFjMv5NGdotS1UBJEIYwLZsQ4WrPet QSJFiFp1mjs93daDvKy00YBfgwQhmFG8+ZezMbmJ83BmtQtMeni9g9TX8rYRWjUCzH38wC4lsS4S o4CEZhkOhmIP1TdraekWZ6b0oC6GLOQDvhPzy/TuOtDV1vsafn3UEvTVPlC40397Fs1XdTCQzAam ob3jp1aZZ09l08z6ixIHeX6ITEjHytTSGXZ3s+CvbjLpOMQ6w7IEtiSZlqlub6ngoiHgSeeD0mfc G87MYmADL4O4eSZz3JA4hO1SAIe7VYxRFljKYmWHWTIIEqhIIWMRZLo5AtyvAOSpuWzBphKaqesl jy+bHUn5D0pXYJAnRDdmt+UECKOjdPRax42TbRM4QmWfj1OBmPu+hOGLGn9tzhaWpvOKL2O3SvRy 8KQ/222s/C0aAsoCHJKZQpk4Cnt73gLmj8RSSCKnE4hfQn9KN4YJUu55FCnRE9TVYkn+ZKlxIMNb +vLDw7K4iluHGJmkWOHyg1Eq1x1hb2WTWfrt5UiT3GTRrafC0pc2F3SP+OQS1WoDXsKYDKVoY8WD P47TZaK3vOS+vExQ5V4kSjk9ksNi39iotsaThaV6IJoYdhtpmclai9aO+ZxZ33q0yrJDUWB9oSWt iXiXvuCsXT5KeJ7GtJSZbkfZFdAvAkmC2Dp/ZJ01OMGkqNcMrenOh5Dj8XI6EROJow83DreyFl9q 2x+Ii6cLoOxuGhywc2pWAHjKoyHy/CCgQBiaZGuPpS1EzZXILpHqicu4tDPEqjeB8RAXpLJFqfJN fEhH79fUbDzy2u/OVzGgEaYGa3ShaCY9P8IHI8Ej1EPoCEuOxO5h9rvdE3Vb8MgYQQiMuFS8RPuU mYnXZkH18SD/vScxqWHFqaTy8U/y2WYDrl05HQp9PTdanZxgbuzh3FbrEy+KKchxo9H72ZX9njnX KQDl5p/YV0ew4kZzvyifUn4z8gqQvdXkge917ojmaTOIoCt3EKYBQh7CWlQAta1K5M9dor/imOqi KE8UuflT5kf2OsvW1HZW2zbb6FCm62DBxYrV4IICBi+wC1mhHWM/VJaYURofkXRfpZi1Btlmq13A 6/dMThwQDGBiHwiCFhvrmMjz4TuCTCJJl91mTGIFmxPZ1c3i67Rj98t2zFJisHGt2RKyj/MSXylV rFfFkMFeCSLRRQng5tw+5cCuxXnQofHBzr8utrwtPtxbf2mbgd21bbnXT5IwmX+ouvpN24OnNZS6 mqp1apTa8XBM9ifgeyR8e5OPeYpKH1O7NRv7zKIfDR70U9hcxVcIqmX+i/Lx1Mz0KBeqnOXI+kKZ NghVBi5f6kkgSQmOQynTmfOlP8Tuppq9euHamxPUo3biL1lySqIFaA6GEBud/kAdxRA/c1NO0UB7 lgY80ercTAvFvJwj+xVfyx69KvTXKLE2kFAOn+isHOMzM/tph1yjkNhpYaIuVoZQoSHT+DQqeYaQ ZoeDQcmhdvicTCLhJwIHnvZ8RgEqkawv/0SNoH/i9nyA8L9DAwIAF8QRSj7AY3ELAlZJ1rVZisJL yBLTIxQUj8dTi4TRxlWN735B1NhzKQGxJxiGDe3hMy01rvVlHxx7El4rQ+fKe0DxjXmMrsMyPZdp y4cWkDg49mAsTMXFUzXVp+71wQAeiqnLpR5IfMnp9+rHytVV7RqhQfo5V2eWyDfc16ycp5urJOZ8 nm2+vA9SPoikGs4qJlqwTYCcon4XE4662bfDkAZLW3PNpUTwhOng0mC06XzmqVx3Jf2b3Sw7LIEV I2UUdO3vtk0UZaTnxR7cPUpStttQ3e3xlo9RfnPeQdTDRpnCLxfs/LB0r9gqXHoLGaGnJVWbfFqr bBkfqEhq7+hIXqkv7Li6XKKtD/lr7XW7IevOqQoalT2J/5Xp+V/G3+0dyWy20lsix4hU6RdOmD4H MNmgJ8kYKkmnkGm5bmlPzKLHNU/Lrdt9FcGiLaqpxec8i3nfpmcEHlJ4lYUGS+fah5MGky1MQmQO U065Oz2Us53aQGUPs2h/u4PauZscfcY8kxOc7je8+xsmfPwjVB0C9IpSg/l6efEF6TLl5XWKeUkp BPEkACxUXa2lM2uQ1pDNFPTj3suFLy280ODdOnkgG38C4Qj3+ThQ0BbhTveyDVjXxySqFIZh+VWw MLau8rGZOdd94XSx/lZ84QfRkQ6X5nDUdBZMzYdEI7hr1i6Hh9wO6d6HwZw0yN9AUOB07ScWEOVz NwglCAOnS0GvCS8UBsDA6NQKpd1Zxk7dKsaIjSrybXItoPiKbJYbNAXSKjUUVBUxCgxqHDZLXn7i l3Cl354fMPqrHwT8C0AECc9zi07vveYIwt202Sfu6mZPI5zWMbm7jQ4tru31gbU+RR/i0U0AJARX bu3HDqth3JNcDfE4LRjxSbQjla/eI3AnuLbQUSsFXizy9pplDQV4ganKvLT/l1ugsccCW5UTBV1e ZDfrch3S4RSqwhyIMjC8oj59xfmYHl2B96Ko5YxIgfi4y6wfAZqdFiIALHZKM1jbCRnMP3pfZJny x0aOYbzuADoQ1ATnbBpeUWjylvazrDa1koO0tpF8IzsRtufwHDmcW+k7Sjy3gpu27SzwbdYQEgUq tVf8B82Hy/EuPDIyaOUpdVXLaXLBX0EX88HJcWQ7NkqU+nOYV9yCWmSxjuQcpUTl5e+pvFUYVeg3 GdtHXAXzkBWQiFxvG7qu+ipG6ZUczosz2rN/9wW2Qzdo3Wn+n2N6Ct1Ca2sXj1OsJ2k+vSuDNnu2 SDIFXIIvcRGL6PRhTDL9EnDtejjnWOf27PC1dmtCO/8dr/Sr6gTPiNjXkFhVPx+ZXdHwdzsBi9nv Yriu1XUMv4qtZQiH4m54a2Mlw+vmoaLjjs+o7uwo0PbWnhhi7wghHs4ZhQuA5mIBZJtb8kyv2+vt hkCQooXKzpSXopKPucWLIULE1rjGeKkYut1BY2Qaau/mYyAJCF7C43YLSwgZgPiHcZWPstInsdSm 4tSAPZbIec0wVoF2ivyNpsQbVzrO4SEOgtSkMmYhYibcK7wfSAo1E32ZI3DnGwkjWPu9h2Afewwc j25ewPuCh0v+2TVrVxcGacU0xNDjJQbQ1BhvE10mgThorejFeLdf4ghtY28wWougtRGVpjXgYpVJ U4V0Kts39mkvPK3kQHUa6C6rw6P+jw14IRAtXlTTxdn7d2J9WGCtQxbVaqPzeN2rLrnEL7YIYO4t /H2fPBWZXo9bmjcfaEILUkSeGcJBKXv/9m6eGmcCS4nPAbKpeFYnDvODh0bVwbPn0EN1MDY3jPQz zrZBFNN25LcHhsgRNWEJ7ZyYWnN0zEuYrg9BBkbnFHIQ8kzYodCikerbHyM/bDMcrlIKyZMwr5vy Ne5EcLO4DM6bGUTX3fpWb2UCapqkXXle6W9PCox9MctROat3QqeWQ4aT1dnoQTYZ4BGvb1cozOls uJRj3VXKfVWizvFhP77QcNUc+Z12DkxAgyfmjcwSF+wgR/V+/lmrXcpdMuc/VVeMIV+/0EpN+H/4 XCu2YN62O22Jvgs3wtBS7Jg5YrHfmmFsDV1+LPEAJNovBNpQx14vh0omTU3W0mKPyrQ4uSWerqZG dQ+3KY6XxD5p2WGcITQdLqTkExx0KICwy4afi4peakDecTbS9CbGlR5Af0F2gGlpoOsTlfKGu2pe Ah87Y15bIhvTect0wnw7f4nu8Mjbox7BCqUoJRQZyPmo5nDg6M9BmTUhSiJ3GugCXJdGsXrIBV4w JzBCeVCljRjA5PRnrt1VyTTt0gOLoj9GlX4nZnX0aRebBbFxs+E3RlmVhR7TrwWb0hC8Ki8ThEhm UWRc2ecb2KnBBeOnje7YNjQD49f54xgzwg9eJfFtFbOi0enRw9e8dUXSvUtFd02UwKlMm7H+VIG2 lpNn762rwZeymfoWYcJwdWgYKnHVXe1FFWEqp8Nd3hnaRCqQivL0tc7d/VTehiNhVrZbBqRdA/ug Wt5Dg3KIV8W1o25lsngmqkWlbVG0CTGlKu85YE7a3/TAuCgMiV4FuD4Lfp0YttD0FjUWEZs5l+uN Rdv0atGxZeqm/kfXw4JXF+GTMR+ZoAoUaJRo+dn1/PInGW4P+Nl890yY4hXr8jC4HRit7/qff5Sp GtNdsLEI5joGFTOptLrN4Xbn8iLoC90NS5vDMeZyJali6apz24g8ENtAKsnxIrHPeGBm2v/LmrS5 3MQNDYVTKTNMTLoNWu6deV9TVETObVmts1BVAyBgqeLeAp+l6g71nkj9x1mFsimh0TFMN7FzqQCU s8S0sjHKMYMebli8uaBV/GBUvgYW1uWv6vrfQ/Pk1ShRjkJ6imFDlAD2n7KCAd2AYCUlXQiOEGnb TSbUsfNh/IdvOKHRHUgC2q7/xif0BG+s+Ky5S+J/QVnMqf3D9kA/smf8Y4l7ioOv3xJKYw75VlKn 3KPOe7QZ/QYYiTCfadzuToJx0Yt9yEbiiX2NP8TvwLQNSomzmzRHym83o/FcxTOv5m/K/0gLhAQK syY/KdvRsOrzavhoyGB/S39wqfdGVsTx3sTj7BFQUrqA346hBRTptW2Al1Va60BMB42ldqsnUvfb RmUEjpnuIu2fpIP+C4KLitfe9f5VU7c9RjCGaW57U7aOm4Mg+Rgl9NIs9xPE+pNYgnml0EvOmr6z u5OahNlQKkYqhaTkt711VvL3x5nIrGmunpiMtIlyz3O6TxLSUHG7SSrNAbBc7mmt8o1FheAFV68y gLmWiKk+zeCwwLcQNojzHYf+ZvDuDNLRgV2oAhOn0FhV+4duJgIP3WAxeRcezX+hMVicuKKy49M2 9r+kYZVc9/jOOxcTDPwVDhIxVW9Wiq4v7iCRuutiSv3/ADv8d7se/FK+cpyOhpHA2FCQhd+P4tSF 6WSll9/MQVO1vaB3wyoIe1aN4ZQdTnOPMzzWBJaCbd/zSFun8IyQUyTjXbRS5SXrxGTGT/5uOCcX 7d0GJJDDHqSPIEGgjyAAlW+hKCfD7RsOkTAREsPdIhJTZ9d3vRnmaQvrYT8FSNxx0ox10xcJw/1u +sPcdyOUAVidS4sQJLPU3lxPlL7nAJ/t1N+IrjWeWsYl1Wih0ye53EHNrKfDw9rzKH71bYSjAlyj doKCyAyVSVLNH742K5RCLrjo7B1E+lfFnYou1+rniL66hpi2k7+HVIGDEecCYa7lqbo5sEV1D7IH G3EZzw2PfEXlJq1ceN3TsRF2lOIlrWp+zFwoPm7EwJPVt90wAW+uhz5O55PaP1H/zmh3s7h+PUAm zmpaK6JDnKhgzsHIwtWBJZHJ3dhQyv9ohd5He5Bfbrp50s6z3w72MRcMCL3K924V+ch0ngPR/vCk ikduTmdHY4Ufs8yrer2rrGaNjhnMxpbShrJoKikYxDa1yyzf0euQ04rxMWRQhO6epXvSyDMBvT6x dFcQEV+oCjS2E+WmWjsVIQySDlbBBieBY2fA+jDCMVnriywohO49K3trEXFcXHZcaGRmOZQi9Y+e Q0CLu8KDtlMF+0ZH706Dqt8TPoLuXZZ0SqOzwxokuvIqEV+p9eqeRtt2C83JooNtukftErGWs0q6 HDxacm8fjKbuREOZeJwHlWf3t0Xgj87BhYeUpRLM+SdPSGLF6VmybL7ifCaM9DqHh3Cpv5nyybjU PLznaNFQKqP+XT6woFqEpKTvCMPi51j70K/MzAdR8rIQh25G9OTR/XgIk5ZimAnOkMlQxQuRRa2X OzpYYcYDTjDOUr5HJ3qBliccUt6YYt7x0nS1Q4ZtOLbJ9FtO0QvSkz2snSyapaLpJRS93CqG/Vm2 GdJhBRtu2JuSGDz+NWSq+qEZaVTi6F0dSwFkmtUpDzta60PnZO08XtRVTltMvb5g7T510qjs0hFo nrjp9s4a1wXlkPYbl4/ha8hcCVZ7vds5p8gcdc5pB7+oH824dGverj8zmBOagDVhwjNMbVfBiXEq HNZyM9beNhETa2xpyq3Zz2MBLsRW2NCs4zWf/05wJRuHAj1hrETc/H4T7wrSwiQ8Gg+hEeo2snKA 7JmNygfekW2hQ0w6ibG3Ul7Ncrq0Ib1jZbHpuaFJqwk7Lz8bBYdKexnHNi91q+pRyn22ED5HJeS9 Oe1PHubC1GS1sxjQY1jwyxEley2/JfS+vpzvMeCxD6NuAcJ0Rfkx2BoZniiDK/sEqzVO0Fu4bfgG 3afDOUnR5yxamVSXi2H9EtvYp9Hhjla7yJXp9T5Zl3xDKVMLviHh7kcTAvX0AnzGWtVJqHtwk6ek bo2KqsnFLwDj91kzjm4QSPqziO9uvir6YeVhqxoAOGVJrlHdYxEJMmMV+IoyUkX30NeM169RXVXN p6obMd7mAxD3PdWfPvOjBKxOPTI6GoTg29+nmMUPdUwoU+ovOwxk95rxKU2O1eg+wMD08kQLXBsr DGusyo9BYYxGFNBxJxtdoscTJAVw7gHnqfnLEHyVyDO0C6B2e90cMeV9xwtfBwicUYknCWHlVXJ7 PgEucHoNYR+J+oIDb+drjF85XCck95E6PrlOip8ophZZd13sqdlgfkCS9NaRleCJ7WgCMsxPKnDO fIO6tEx9jXbQuua9SSguNfqFAgBNTCXIazzfFHST3SjcCm1FnmFaNBBocDJk9nlNrH+8EoEKtb30 5drXEEqEEQLr0y9vrY8IT9rkprgyXVjixVB7Evlrz1wuwhcNtHTlU2VMUjUEIH14K+G6L0DGW8m7 9W8YqbLYHd1HkezZvXQws8xrKZfZp4CW+PJR22vJWC+a+p/i7dSm2FBuUU6+x61Oq+98aXKB0FP1 Z4fb9apDrCFxdkK+/W7PFNCHDm/UKtbHl3ZizZRboXRGnqw9gfc6IlEcZ5PN79Jmm9JixTRCWy4H 4pU3NHeb+HePHX2nUp3Ilqo+3ImriF6cgbjJCqr2Gbwu0RSIjADeJnsVqhngdgRGjVw8Af5AqcLG wfF7E3SLR6UYQAzmv+gGdd/c70oWWGLb0EF1bHri3/96wcf/pkoXq8LhobmpEJowB0lVhPzPrWkF iADWA9/9jgKr+JrNamBq6xpK99oSab2Q+dCJ6dwgeOhEDi+5HHf+saEnZSq5bG7Q7OUAAD4w9HL5 aVXgH2tJ3ZqA0yhv1HrhQ+vgUp2C7peO/ZXG3K3tAuvHgvJV1v1ibveDq9T1EES/atq/vFMjgrp5 IhzbNseakCENd1zM0+49pbWzhEk6aJu3UJiY5CY6Wv5NeVq+QF34mmBqUM81cpboRh169jnTpew0 tW5lFWRfhzxTTFZaBP2SZVmqFOryB7Np5z2WdlKw00gDGUp/+BqojEbY5+4LYTs0i2l0XjxFEOVD ktAjk+Xgl9Dbj3mosBjJLCnriCpEpacCZrhL9qGbgh6ntWVqpf1gJOU6a5OT+a7n5wAZ734AlU96 XH+HdQ++aaHoPLzevlgMUseD5Z3/7+m4qzSpOLUEUx/V+HUeyce6Y7ujRGobvGTML9QhIkdnW5mG cTpLoT6dHV0MbGoB8Su3wh0LVHcgpx95p2qC79ZLEbnPjaRJ9dDbt/54IwhHdfPwxs34ogQd0YVC t5ks8mMBngnJ7Od7aAQGYmbYpzefZmYrBJh9KVHREeHdOod2CI5cYAORjCeeuh9wOkqkIiXQl4zr ve65Ua3Da0QZ7LHmhvU5sXcdp86cL8i9zDWTjsGTweZXn52SERtKSKcTlGcpQF2KkzVtBEg7tyoY JWQg4wXtIxFYu25jI6qPULFUwFS7eXsyyvYTWXGTkVyMEew6eUQKChPVi4HkyWwK+sPcfJUMWBvC V4JXwb51Ya1lD/I4kWwWTvi8xISdkCENoboDNUIlMhLYXhxA5lnhLoF93Ofcr6RPPrvHOJ6WsSte /5nAfb6T78lYEkpPjJK27cvPBXr8QlIYsbKVf8NQOcTkrUKptDPRHvx/hJdF+jDrW3Qq5jexsGRD Y6o3zeA8mRXJ4E+LaM8qGMcBv0FBZ4wJhJtQryIf83Me5z04RwCdotKzLX8quwpAYUx3ENHmjOJ2 cDKyDTFQiOjAJQSkZkpRK1Spm1sNHz+DzgbXEAgRfQvAJMTdTf5IxnlZ1R/cagetjSDe8KKas1Oj 6PT/D2dV2LlFen8gx1220P91IHciFMRThHCyvDB3Q+uc9rLrmry2dQSene0XtKCEMQECJTUtQoWW f2hD6vTfGIdUZPIkt2SA58c7i0agqsAGnWRYXXjNKIgi0wstUaGrQsNgaGLwh3Wil+328kJZVlK/ EACIfzF5I7fdyasDvCoNNJbhQgjoJuA44vS/mg9+auQTYZWlUSaK+DAdZ7d6oOphEmvORGvuCeWZ Fl9xa5d1Pnn5JccBV9z1DS5C5PwRNZTWBsRhwvrexPyjw7VrQYf9wVWJfvxqwAwbe1DMnxdQpVmL n/U8i3nkwPWq5AXQp/TT+bZHJrkT41bBdGxasLnkkEWxll7Ubu1uUGYKKUgxffhH2Ox2oOV1qhca qwY1ACF0UKpmmrqDICjR1d8bGFnPJHfnNkz4dRAjcvtHXCg+Fm+SEIwtmhc0ydQlG6ubdW7xqv2I Tn9S20tODqnK8RkKnO2p3SMDEQQW5OCjEjsZ4BXVWojO29PgDRVByxPDSjsMeDf1vhAXkUtE+QEA GOGL8253+CDO289YGIjiSMH73I4oxjusjiBpA5MLY3BR3f+Xc5QimjGBRpXm4HLjJnrBeXKyZsxL NMO7RD0gmtn57jLJHncb9AyTcDBtO006AYbZoMY+LDUNLGUgWJkF836w+Aqn2qly1e6ZbEGYnOiK LMfSmy5/DnHoAd67ewdFBaH/yXogAavKbqpbHfKtbRFJ3trQ/9YtTej3939WFfh4Z19BHl2VHzqL C8l8TnRgZ85TXsnuP8xsooiUD465SG7KcExXxH6nJrdljFltOHvJSLUCZcu0zfOx6jKpmhRPFkpo aYWsdSEuraHfvXI+rl2WatLNYBkaH4YbZ1arXqDS7YhOQrZG4f3DoUTympBxsuZLcoYC49/IWFJC y7Ud732uMpBsVO2wbBVL57E8CaB4Ijw9w3Nu7eD0gdgwrFcs1GmJHy0l8wRjRJXgkKS5C2dfUiKt c9NrUMj1JOGmByeoLz5RsCGUR8Clm6+uHQ7FVVuvGH6JVBzlrrVl8qEABepFIPP3dToNuxqXoFsb tJ0kJtL5S4fH8BKTKFTOvjg2bc66q4esxpQP00YN412Q/e1MJdGXaqU3JEPYxE+UNiC/PzCl25AD vXywHmf4Syk1txg3wBzBK/KD9i9QPdhvjOrnAKVTzEZSJh3lRxIvgEWgyeNLr8n4HvIJH2oC4mXg oaqBno2XTYIg6ZY0Q7JtiowH8CZlCZ3aFXKxefhRe4ETjxqnxoEJvbGMW9edH855i7mdwjox1YZP m9AGcvRJEga5c3gqaJMsEWO/dFMX8pyKtB/quWnVCmnb+ySHOOmaYwL6z8QtE2KFtvb9scZDOX7R DL1JgbaIXMQFH8DMEqm7Lt3Es0IxhMHZpF18CcQxd0ipQR95u2rngi7SY7fp5a+02IZ6d2GizHvg fD8AVSnIc/wtIB8YOqwcDF5TT+xjhO7uZLILDVas7S09Ef72FcjKN3MOliHF1OYkD+cZxD0E9WOl BmcyFyFZCPdBmCcwRFxskNl2p1Q1PJrkpDCVnxlTZxdiLga8khxPt1kHZ1tWopfyH9MPLnecD2D2 BePgLGHrUiFtyZBGwG8dVbs5e/s+mUuu5TxsYutI9fI8xphacj2TPFL0V3x1qwmsVpXv+K0wOb35 A2GKafHyjQ+pS5gHzDkugJd9VSbkZguekfwXaQwmFzrdDh+pTs1te3vQnSEQsB8KatgJR5G0Mh00 eKWb75nbXyImjYbozAIttYAH4s478h/hyfcox43C+4d6v1VUKDGpoyZotlK8GRr8mXgvysWiOW0U a5aYJ9aNw9L36EuLmSCYTQT847bKmobuQEChxXHwePFRJU9bk5iCeF8JRq/z01tx4O8DFo0+anNO 9790GwJcL6xhzBFTmL4Hgd70xFevGJkYRKki/0nIS6ypv9mbrETq0IutsNONYqpEcgUP13/R2svA 5qFGwCyUVdn73IX7blXll5PRh3L7/0k7ndoh7Bhy22gmO6gI8xZFS82fHDBFzkxsD9L6f+HQX3nr cDmNNKAENNfnPCOGTBGhQpETPkG3Sl0iRBJOkf/SiUUr0wWA3eMaKBVEEMal67Ku97fNwQ12JUbq u9K1ArgB6TY7Nv3zsugbIIKRlxjFdegBTIsP+sTVTifb6718FPImUqvzJpXHAL7AV8NtWwarheFG ls/RV6hwfE79pc4sTEl79YQBZLFoWaJoENYBmkjf0qYtXnllQanq6ZdIF2/I1tgfQ/nsqzGM3S+i ijFEfUDkgaA8DWmzPbdzgFsHbugPT2qXIYHcB5wd+W5LDmF0dnQJLuSot+WZLEkJRbzGQT+TzfJ8 3GBzJwFvjeEYFeNi3GoOszu0imu0Z4eA8D7Q2L62E+UryGd5XVk2i03+3VaImOvTEUfQDmPGlBd/ 49HUVTq4L9jJeDs4yEhkGHQWorzag62YQa9XjCKNRtfXUTokbqqT9p5D6NZOAlOovU5imiCh/V1C bcbZT/8yN+dxHudvbaSm/oJfgdl7ut/+FuktF+OnsOIINXtRcLuptSPQeQziEgh+KkgOyC474KtP 7x6B0qKne1z76FCtpuAdTgnbF97fFfr5DjglEd1ZSRxiFAldXe5bmLSgBEF1P8oY4nWwzLGk4Qt1 GEQkR3XfQGEAWHCyjkJwt90f5cFZQhxzDzeDAkcmiDs+Lydifc08q3k20XwlVaOfl1R75sOZCOe0 JDn9xzGBe3c8OO8/Eng/bf7m3DWMjNlc4PB4Yf9BEJLLReosHN70UTW+QwdlsbXYDZpybUV3qnJ8 mEX++3iG6+za5C1S12ILasOL9uqtvwsB6rrPMVvP9k9nAru6uqkN5iClTVK2hLbm+1zLvQB1rLcy wYugQC73R1DlBO8mywaMZQ8bGn2E7Gj6j5rZegS/3A6sz4VHa3L0pk3ahjIo7GkEfMCAhrFJZSRP aLG9b5KneJsXpHJ73rPPF3jHoMMfWHKv/OD3daU9BWxhtXo7CQ+zNYjrWvcCGDq808XCaVFL2+RR /Xahb0tc5YzkiZkMDyqlascQyPeUGs1ebtXSKuOaOIIkguqURhk3mI+emWCN6pjlv2qihMipNAxv abzIIWb+xWpxdFNPBSClrFNufNSIBHH/BkKQilFktmW09IJ/9yy29w+L1sbxyBBeQci1TPB4OZf+ wVoW2F20rUMgvFUlzzNz6IWYFVyrBPZaHBTpqoPen88dqo+FUjY8ebZI+K02NfMf/Ck8JoEDNz1U BeO/kb41HWWW5XR4k9pJ9GsuJ7zpNhccHomiQm4yNgZTmNe60OvaexgZcsze06IGD6LPZ0ryDEgw iD0CPpke4kXKEjNrYQbL42Ei34fwxnFjT9nrN702EEAyrP2vQKnBgo0ynStCMPEzA3KXkTB0C5iD qp8JXwIR4f/ijIkPHhPmAsaeWQ3R/VLeejZsGkulSYfAG+XLDhP0YU+9C37w/gB5zSa5SPg+B1Ck tXzWkM4mzboTfADnFnKmyU/EUgOp7s55QcxzDivN5BDc13hDxYJNYvgtdvQ76LdiKn1xpGe427LT sjvPXyU4xGPn8YdGab/XxaZ7dXRzcpSKb2OxkCBZLIdcjlL+pFXS+jMXJxNhctz+F+zoeKZOgFsa TWMmDvAqbV63Ni5cK7fpusqSm+X/hqnefd+7lqHjVmYZFec+8pmFg7X1J7AbjbxDmbsigDwyDFou qA9YSA7Q9MVJJlbRGT5BH4IBu6ZqtA2+4FKaK7j53JqtrKG8dmtqVjri0pnafnVvwzpSZ0TjEyOm m3oSfPF2TDxV1CiFqHiDYtSirQlhu0139NFOh0jteN4VESgaMHBh4nrevgoqSSo31xe9+UH7GsTY 0dG9oiP3gxUbFJ8D87B88kXx/W3APVa+vn37wdU78LNZ5Z/Yh/lj59I59KyI6giYyzsu8JnEh4IG Aldlh7G+7Lk8uXWh+yTVDJ9uSSi9nKABa+vmtkSBi/O8ONIW9R/20ybNBbkVeiBnwU0JGXlAT+0f iD8JroOfiUdqOmXo+IUoKD8BBfgXtOEkc6DkJCnENO+0ANqmFpUmDj+k6nOnzG1AujwcdnyflgoV UcK82g5LM3RRK4/czL0oTnx+dpp4AooZUZhisCKiKGgJdnB2lV/A64E14ZqAPY6xKNJ/tmWCdehv OtrHd6B/ZPmkJhU4Dbm6fZ3pK5HNyu7zd3PbHmk6MsXoIGVp2Lu1IdNDOPQbXwosNSgMERLq/Rgv KFyKEGFlHYvroZE9++hag/zuxwmaoADWwSjU4+w2/xcJIqsONec1/7VedcwK0ubGYAhs61M03OrB W/42hQuVWYleCFNXF4xFKvFNBSAGS9UDAqhJUM/ggUZat46lgTsSsd+jMMjkx6R/Ms1ZKslzFzIe +4Zj6yWQnbo3Fq2Qn1dFd5hCEIgH1ENw/oIK0NbqqWmIKSJReqWWkLlKrZRm7lYf2I93ufJMKqHq LxMHpmGniwKz1+m7/wheOktvVzxwgIiKCKQtm0jTxbfyFBMuNI0bscyttnQU4Na3ZcCudLh9XtTy T0NGUdoezK0Idom7wiSe7e+TlhKIknaSmgBZMYnY9E08+Eb1/D2FJjj/ZNqYA3F3umnK2jFFA7Gx 1b9/BOTNgWMpVy+Y005lrHOJkErkS9S5+5KThK+4CDyYFfnVTGTiINwTW1BBQw6EWZh6+hFvpxAh FirwR8UMj2VE0LoAjhFjN3TFo/FAcwM8f/yd2VMOrgg+y5vBD9UKfEfO8R10yAagzkbP1Ws0BP3k yVCUKjvSwdl/npInTaZ1D17b8adWqS6O9Szn9Bck/pj3flQtF1wXjWaJN3rXSJ8avS6n0/CTK3nl SvVf8Caz7qjXgWIbGwrVxyybjgIQgJpR93Xcgw69eEaLJmm6fa/efiz0d1tsw9wC0TcdQBpcDAEf 2HdKWNKpYm8GtM6U7Lqbf7Pf4g7kd7c2s2n4BJzBhizBbLKRkPpCMuZ7OgQe7ZHHZKNqaY9D6VZ2 TxmZA2MFIGoJGA3RyMu8Vm0MtWc9mE24/vKRGl2a7rMFHBbWqMhxeVcSoJ/4qFU7dg2aZ+fhn/zy PGAC4htR30uC5WVuOgbB6uHWVjzaNUtSldxOXC11oOe2bq9pSNt19ppIMKCKCAT/kM7OHmdqIIeX 4tOI3npA4k/bavaLoUq1iqkTn+PRM7y91wtqIX9CwJJVHvIQIXEUM0BnkbX+KZPeT/+ObXj3hf03 4AoYdIxOx1x5fIhW5bVmCOZFNqYW1AtTSpZPuivU63tFcDsJGpUvtShm8GrV3PIZQuYT9mHpTcpq 9O3jkEx5lUiVe36K7wzgdVVYL3yxsuCFausI9GuWJBZaN6h1gFOuExyRozFy9yWWtnLt90BCJhjb 2vlcZN1VrDjcOwwaXq02P0ZctmGBT/01Qahyfx7T8KXCqjCThGaBS85EzFegi+oxR0dOhkQ1GVED AVdUV6fXxSmNm+zIYlG3lauDD8/8Qc9msD6fckbl0IPb51GormzD5bM7oob18KIyp3heuzesSuBs IRJL/7lWiZkNOn/F5L1W6FJ43a5nqu4oeZScVbIIs1oe3fNRKR0NaR87m43SYJ+p+55h6tlUpMdX mRUEc5ucIICigVdO5pr7tFKPcvVxH7wY1EdSMTyHON09kuTuLoSErwOtOQbtybDeG1LLbQEUu4DG WKnZ5PP8SSZ+cKf26i7wMv6wAYWGSnisIeAE+UWpIE7FpAaQrVwz3NV2XvOo7FxIWlibC5y29Qtr rwjjXFU3oP7xSWuAHdsxl2IiNAUQ1pzNn2p+ur/vEw7hx8YCnDiIqPZDfZHnCppptITy2XpoxE5q 3zCEaxJZufZLKmzCP6q1rb/gxjz1V2nI8zZc0l/+Vj0sSujTZySU+KfHJferrZXa7ApUwqi/mDeX f84pM2UqrAmUvQfQOJaV6eSb8K/PnM7aRXD1WzLwE8uJzBc8RcQw/q3xX8n4E0Bnqo1HLbhHdQkg /aA8dVjTyyfMjxw39NJ7p6svV1kZp7SXIfHgFjqTJgRPIDHRfhZ8t3s7+Or+Pq9mdeiUIdT06VDi jxCp7BAFA9s37ZYQkWq6KoRbpZ7GYKvywrvhDH9Pasya290uPCSE/2viHI7TsHY6QNbcv7hHMcfb e7uWEmx4pouY+t11fFHffq3ivE31w7EoQUyneF8hMssw3cxYNaWUrl0gBWT4iEdWHfeCkMI4jQlf siyfLLF/LX751FQxVT2nQ/9Ye3X4aqvlqG8c09riXq2JzLO9oFx0ZqIc1Jb6DKxjqYwgHcYkd5yH WP7n3tAGy/DSSjpPj64FS5qwFhbngTuScuHIJMMChbqDqZQmYa3f8pDBlCxRe/UKr4aVe1eJCHT2 lg3xwSAP8djboZuRY3zySZ5ZwIytwx31rk74OFtjTk8fFBMIEEv3c2NdSwoTvNxGIF49NnYJZw+U 0TVWkmJZxekTJtbqqyym8vD3Sh/MtjfWoKYEUefkGqGEIOWynonosqdROiVTieW+jlOWGa4t+fYQ 5cqkPCVXKD72BzlGegUO+qNeTaeEsilK6HTSzOH4dLwBRYYbNES4xpHj54zPHbR+TYYOF38KZ3iK K/jNWuTkc65/LWfKFQvIGWngqdfir0RbC/O2TDzf9vBpNk2YZmPoA36rn+dm4F4HUi8lg7zMJOav Qk371hlrsqinbR5aUiiTiTJv5ui/BwuVprSI0Vitl06DtpMtiJJ1+O2Quv4fKLgv+NzD59zrSZKM jSkizKYWjB3cTZXnFW2UyHAALUSKsScwWCDm+J6JO1NdqAaACXZyGvT7GduFb00shRVrGHGhAnSh s51HK1lt7RYzFfVEzN/zcPRXo2TFgV83CvueJ1JpqqAk15CgsSm4o6sNIraXQr5J32itP2a5SOnu 2JjTQ8NcOXpiEzQCQmt0iJdOLpgpMpMDbFi9iBpFU29d+ROUbpMdIj6xdwXDnHzgX3zxpWm2buEO 9Z4gvodu2KJ8UMLj+plKND2SEYt4TbagOMRf2HjMRj9Ho6cFalDRXY8e3ND44v789bMEXa1mfFSU /nuWniCJogx87SFx2bGgXODQbuPrjlcx0dzEjklpLBtLi1T0uL4vd9nmGjxW7FcVggt5MPjKVvpb MMyBGIRRSRyfZ2//kHfIEXKrv9rx+XMQwV6tlEGUhTLGGIvM6cIRjsWcM7muGUZ/hNHAUbJ9oFSW Kb2hJCduh0GNMaTEXEMCTMxnW+O9Hm+JLX46O0jZ6itCT1oSgxWH9ZEhs3jqHfug8JYkd4dEPvZb QqqYLJxwyOBlyHany+S/5r4qUFBw/qqke7lSLxehx70jWnKNpez2BF2fkv+hOLPq9GKcYOXy08S1 uP7MrX7moKt8wVbeWdvj0wt2EuNKNMHe71sjMfQ0LflmTEaDoTMyZ1n/9WNkSlftiPmpRvkxmHWO 1kXH5Cb/iXj5/DfKRw411lfu/EgTQ5GW5TaHFshfbp4Vd4rIQ4J2U3eryxdRKPtVTxbB1MmYg40Z H/3U2fHPQLh0tkMJgIaOLvB/2TCJpF/QUI3SggmCdBrLJpD6NykAMUx3qegsCc54ANJbvfHSpXKd TZvmfa0EKfQxhYyb4k4qNBmyJ6kdsklYGtiFup4oxJ2DVjylQI+Huh3OS3uKbrII0Gm+yBFjSYrC urFoqarEVirV5V5bABWEHqWBHF5e0YksZP9w+kzXf5P25cdOIuBhqYpiDyaDQgOMSS36LT1qqcfg 6p93iG+iEM9E83Z5Mp9UcFNFJDwRBli2IPayOCCgVy/a/nbwiAkWbuuIxxZ4Y06/MyBfK/4eN0W1 bwarjMfr7PD3N3ZPqea1/2E4A55ukju3VuQdlmguLBDYBlNOW7eF0hnjxg4Gs/bsrNppm3fasz4j jWoJg60h4/z0EpG/RpqP1FpRbfDKpIcjIw/4ZYyVJrCq4DVxXOYB01MJ4MTuQ9nuCp/DQe68kgEZ /Q4t65Q1tU0hKYMUbzjHaJRC8qbo8YGbhrnwYtapPWpqImYxWOS816YTvFBtLLkqi58vL62IfkoQ NpuVBayDKXowhMYnqEWTAkBOUwRfrG7rufVrZ5iSSUE3+xKHrN7psRdTq86GDuLj+OKULgY1L95d h9l2FTCh4I0XFdUfST3ytzRyvlx11k08//pXd2QJkCYBQu/iEA5PsB+4yClOKmOYcOawmiP3q+WG 5FJOKq+BjKFT4Gem2hH5GMqvIrx2QTgkT+KIoT4Y+MVD9H7NK1AF7yTUCktfexUhqk/EBKOmJgKO h1AlZShVpjo1gZ5nVQDfevRvkV/0Wfu9RpHun0Vo94UsCF4WhXx6x8uvxkcX+5kos/zfaLLjR1ry CRORMU+1ud5QwGgljmRCTc/Hm1m2W8NBETom2gWWDmBwFzPsgmn0UKGrK+LVI2pb38PxbG6MWZtO 9bft8s02D2tzaubiPI3V+wX6iJg15t+okWSgea+kRgSZSD1ekVq0efl8D2k3iqWtcM2jIQGbN4Fk +ofiJxcjvpSzm8urhk6EfPNkSiZSDT8nMI2SkVgdcM82Ni9VhIljjMEZJnkNPgTDCiv1lP61exLJ 5ZxrzIy+eguCxuucszd/WQfs/56tf3F4cCTHycKvbydPbMGzJWz8sYuTDV1rX3wT/qvo7eNthWFm aakH5E6huhd9GZRIYK+w/17X0GsMjrxCwoaOnG/j4v1DenvRER6QVIxwelHveDFzBZn7ll5cD2ZF 2otl8yi28IYGiGh3oK2psw1cfVvBWmtM2koVgDFhr+1ZFVOR9BkQ5bw2GagByr4y/w92w81ZWFJC 5lLMn1iGdE8RXUt8ZGNdpG6Rap1LtrO2qORD1RfawYmQJnQI6onh1m5PN39Zv5veLL+QdvgWV2MX MJRaitz6egBoAZkUCIuKW7hbSGgFUfT/7cSTVGkhqSImMzjJHZrFj+P68FcMP7H43dSKPQDuNJdg nR8qpaQvnoTVzvytGTJcxCZtqp5o8zn9BoAMbEKw9B6ML9a+zCgS9Ay2YLwUXEivO6fRZ6VNKXug l/E5IoWA2mC9VeVhmCUvgKXKr6UO8g+173KMVoD6OxyLAvFlFQYEOq8qRCg8uvzHLfTkzwOI/gYy Ml0f+clTZHcMwa53WA403l9xEIwFxw2NobY5I7g65hNjNiE8SqEn8w85/68W9KgOpNzyJkJh+I0t RY6685kc4yIDQIKHBG41+6sz4yV/T+Yp0Hzm0jCvg+IMyIk5upJTTxzuLyHYzNzfarPqk3bJA0dy sn3DsX5gv+QuoBtyWRsQid90ZKPjCytngJBpsjaPmWJddk23LYLqSLQo515k0CW0re24c+7o984c 5y7zpMiL0BDCTtRSe05sjVO5n4qw8UkH/aqRRu8bNJVx9r2jg7Kpw2GCNhxIxo3iNDPVNg+0tga/ p9sQowIvuNWtIXCCt0ECkZRtfuahiBev/fhrBo4fuKFm/X3CydOrrvs58um+GacrrH+EUIDPhd2v p0pMjAYyWOVAa4X95N53yt45pslytgO/S5/SrDNkfbX2jhFQYheYA6nuOWSLGxin0QkvSyJIflt5 BrXOxDZiCADjF+yNWCrU34wcg1bJl+V/XrR6yfjffTUAQdpDAVCLSg/enLI9Ewt+3RXVbwUnXZNL w3w24DmUQceBx/igeZ3MOjCIGDy2uU5JaClPT0taOT+z1rvKSaU+zMyUwrkT1GHQvl2LYsRRj0tJ ZJM74far5mqnwt8iAtxfuYqL5sjQDhJI9GY7h1ve1dY4kmxxZuQAp+A/8hrRAzF592vVWROR5QfX DWFIy2XEhPHW//IxTDJzeqc5ehsmc/Wm/2Df4pkSbo0WLHIAaeGLP8v8RMzhi+wn6NhBwalgmtRf 3qRIuWa52yulqZh5aagFhGLtFl4r1ZQG36Jy1INrJHziAqzIXbxL8If/gwmY7LxKm2RmuBQP9IE1 PRecKqm5r+/8fCVo6ic/ZotsKSV7SkalphqzMWl4+tpfBLcUeGLXJp0GVkORlcnoVwk3q7RfpTKZ vfd6Z2VNDFuZybnZu2TaxuvUu5jSPjoVKv7wQuyGGUBlBzKAdyrJy/9zCTU+Qfzh6Y9wKy80R403 QCU/RePl9Ud4tToyLS+Q+3RPwEA4LbxjpvKpM69e3QN2lW24aGd1ZRY7tli4Vtyh5OCYL1ComdXm hJelawdINRs/NJRA+ctKWBfjRTJxdqMh6rPSQfoAtodt1bxCf7pMLMUlsr40fTdS/Epo+anlKUmU jbIfKDWHxBtVpm+XNuhkcVyxFL/XJeQxFl0J/G6nuQMXxm1KMcUmZxgden3vOGTSS+/6qeIyZ+cT gL9L+BCvfCDBHlfVwez35K6oDEsBvtUAUAcWUYQPBiKPPHSQrpcJ3XkVLzxtBvRAR/EcMQHixKhS Xp0g8bE579UjC12TQuZ6TQ+HrjUvCvDhfGfj0zPW6sBbEvNDas5FRRlQV8YpLtwV+/ySmC0iEYM2 HvWe0U2uhzwTIU0uTNM4rKN/6AMfBbhodniBkv18b3JEydiBbO/Xy2lMHskRq5mMxESW7g8cJOXZ uiTrxexoZRL6rmi4cuIOyUISpAOuR53y45FTcon/Dj3cmHUmmDNkV4VXH04pDYZNzlfyMBG02K0j lRm6KScA/F3hm/+K5V5qoHDj3rDdPO8CeirzhQE1hOHSgKFlqG4WIoS+4QkevoJ5LyFUjY697XIT Ur+hFTl7gEItxs6iUgLSiG/1rdJqgrS8i0ObD3wZEFJ3KyMBogkq0W2FvW3SsyDqUXQI3LCKu2rW NCdeVf2HOsjU8r2z69LVSFpyHvqqiGkQ87idDD21yTicRnQ5jNG+gYCGHsaHwbHmXiRH0UbIStb9 pp672RESPKoC2rZGTnIb2Ytj2nTNB0LakvyyUDPbvwgR6SoPTc84zq6N8wnN4CqUhXk03LJyiorz c6QZOaj7lGMRhqz/ipEQPvBbkmuEgIWtdutRfctUb5wNqYGJriDf3sirU7p0gdg+Zrhv07eB11Di E2qpc0CQo5aSSlrcidkIIl1Ah7QfaRh8Uxh5IFqpYLPeNzwkZWuANhw0RwzPofWYzW88YLbVbL5B nYzlhdfrJcaj2Wa6zx08s8g46qayzqPSFTnzeitZsMvxmo6Q+a2G7TrK+DK0W2mZgdt9iDVgLBUW OWwMRYi3bwZcU7yTG+WYhEjbJjdshkEie5XfLq/2tFiTtuk621K3ckHThI15NWgULABookC1G+oS 47GiODP65e28t3w3yIjSMF7yP7eWryoDb2zMUTUn7Sv5ebQoxHoRe4SYaD3WcuQaj7c6xF5My3Je VJHgdCzl76jFFew+saQY79e9uhbB+mk2LB3W26khAF5dTP96cSJnrGfQgW0ObN71XQI+x0e63598 djIThtdJnplM9j4OC8KC/S/XAj563nR1fME2KNjUo/XDHln3YRLuBjoRcV4MuGf1PJfRuwpvhQ1X D+Zh1zI/jKyDZ/4daQ3vREVg2lay3gO/DK8LbI52jZbLwWDPLuD2MYL9mnLdXYB8FNzpB0V9fb4t t1OhgWBiGQY9+HeEJcaUny9i97VToK8AegxM4mOaGR0telBwcZ4cJ9IZWVXyQ4k9lD9GNtrIISrj Ebn3I1gOMPATdzF6SKBtVSZ07Hm6r8B89C24KRj+VBl/zVQdp42Q7HMiyNDqp0Vs3RIyHPyhbAD8 RxtuddGjshnS4z8Ef1Y7abWkFaflpZEjT70HbGIWy1qfNJbnTEG7vrdvxT296qIR32dmmwk5XHRD SOy7Cbu/UuNQ2O0U2/sUYa1vNBrdfCAapBum4BnmruE0pkTZaJCO7ErJsWxVlUd5JGkBOWCrD7mw E1iMWdPvXsuMWJEX9758TFtdLcvWzLeajKaav2I5FoFPQfDretZHfOHMmkA1mCCmnerYiFsJJc1Q IMQ9SpitHQhlDnxp0g8vBCR06Xn99tG4fpINxCYljJle5GGp5+YzhRy7RqhFiZ8+gcWR2ynAip2y W7Rwc174sKg/oDbVwwj4chlEThi961kTkEGdpYLI5F1kQf5ZnevQACiU7Po5SBVXFqNq1OAPIL5K zOVTj3sUobine2PG+kU/7oi5bmEjdDrt2yUyIZkr4wfjb8uukOUil1CcNQoor4a9NCp1jq0BsE1P zO2oIYYhQRm/qLpOslDbnpMwPUf6ZWO1ZWS/9WsgvS8zpmuVSnoP7hLQQ81C4+8Z57KgLrV1D0Jk b95s8KqWMFEcfdcIernJ/oDq6wrziiNorI96wVBEEr+VE1DPgu/4veRsAdNbaO3UD0n9l+o14HP7 6ex+QxvD5obzMvspQMmVX7EDt7/B1wbLZwTElB/TTkRB+12M7PShRWHVTAVQSWyyxuFp8MpzsZ9E SUsUAkEhxEfPIMyrM/7FYPrXSbYc78p9raiHjzrlxDkblbHnjgbEGBvgTQ4jiyuwxQZOQ5Sww/dk M8nvkMK1+D1lILMEcEll7j4ZhF9TBA1oliKNrPbqyFo3yEpDNFKopLiUCR8LtLMkLqVhnppA5a6J 9NVr3FCXfTNJTARjMTvzlYcI8A773tcJN6L5RBhXkO5OTWVNJL+5zf9kfD+rSSi8iK0KWtASCZZk KDtdEOVp17nn/fW+MSSIO+TMRFEv1WvY9u26Lwm+qFQqda/yK+uuwAngl5l0d1svXZrVzSdC7hj+ 1dgLuwfDiqdK78GqkHhL+yDUFlX/ctlG7vNNb/oKUhylIudRyQazZbBf59n0PB3eiHBJ89Sed/av yUVbympuqnuzYA9gEOfbpwcXP9/dCVgofNGBHDVq81WypK69l921eYpB8f2avlf8qOiwfW9Ue/bZ hY1WwAtTljYk5pDiiQIrP27OMSqIDXhMYJ+B16L+TpvWwhwYzT0HU+xU+v2vZuOrVjXgHWKXVOUf gLxpk5Tp5rmjJjNqMb6D4haWPY5BUYFHU3s2DVy21Q74X5s0wr7dnMeZT0rJ6rHb93jY6vzbziTq vd9/kPaApxhwbXo5CiQhvGwZfv0ZauAefB+7ejuZAtuM+c13KNhwRV1UKvdnGv7zN0RTtfJQ6v/9 Kc1UKFmR/ewCY8iSa43SZPLRTTWXYKIirvwV4GLRmpskLjOxMvXInP2EURQIfrUu7j5Cc5d2Ynal FTTyIuF+zqDm7eYEMPPdHuBZdP9PrdoFbJqbeFGTgQv6AlG66aHLTkgZavH9yArquSjrkkOKJkAU kvPNoHVly6XiFfkwEEgpVCuC9i96nrdkOqeSJp5NRdtJRYaQdd8OU/mmPWl0GjnaPvsSRuX84Da8 Ni5fsd6Cq0BHE++n3IynG2yCVVn2YDXPjsSn04IRoloDN2NxjT1hYA+HqVC0Am/5vrPtZZJB8BZM meCEbhr+/9HmOUA1RGIwqB7dS8YIhIyFE5EgP7m9AA6oK2J5FwIT8shC0VLfSshHZuR/1KVGd7fS yanQa+T/BFFeOej3nt3iTzf+0H+MyJc7cIywGYRKZEo8qClIbue9z/M0CXBuztiAcG4pK9CsHWUg XiU6iaxwzQfHwBOef9IgJvflc4zH7AFt8HiorcGEKc5iCCboIbYJO40m0g16xDlXfgs8fizx0cE3 p+3TeC1oU39xlo6C/gu236EiIT+w1GnAq2UxlRVwzwsc5+IK8Q+ev6MUaqOdFzW9giB6GzONk4tH be7usn2Kif4hlS5o2UICTLA3EUDDxw8zpLV5e0EJVeCElYOAjCDG6ajBvNPUEfBoUANsBPHPqIx2 gasVP9arlVY6cT04DHb8dJ/7tT2+Pp65mndyaXywM0eifVexsnDsthljm5KxP4Z2GOqNzaWRJhlg 2meKFZdGSb40R8ffWtI0LXvHI2hDHIDB4ejBU22aY+d4lQ4Rgz16yzBrsX6pJuyGwwaL97qvrQ0H uWnavvxMUxHdnigImtcUiQ68+rl7XPrShGVq77bgSf276Oz3lVoWt1rw39u7CNprYVOA7ot7vUmj KgYlUnmmnD8fFR3I0W19vYSA6lmWI8INHCg4YDh3v9GuEV5XKE7mtnXBYTkBKRYXrn9jtn03tWIE 4ZXcltjdmglxKPgJB306t4qr5d7lUZR9eNr1K0t9ql8zIkg8tEX0Unt9i7LTRojH7ffvNjbOZqJz I7vD07qt9y2KBh543ySyeBIFoIxlWIritSwLS4kIJ1z5QsSktbgkupbmxWPPoEmt2FEu5qodil3i JdLnm6P3FwnKoVnp5cI3wx5A9/w4sX6Qw0hJ7KNEbXjyPxU9yrdk98I25tkxi5+yDB818zo27yfk jrvehnyk8xI8IFrV7qJTn1V93WCLO8NbZn1GVxSfOI/ngnlZOU+H4Ux1ScSHAI98N3dXpqJpJg9F 3rXcm508ceAksBN88VcL39xoKTy5m2RKoQdT+g7S7h0ZrxLG9Jx6F8kBdIH9QkGURoLg43Mtx5H3 PVH3m8A6deMLYT2qTxV5B3HwJdEn1/a2xyHvxhleMENjBOSoLdby6vv0344hKb8LOO+PwnePS0JF NO8fUjcHY7PcQahRPhgy24/dwEAR7n8wPEaBd9FlpAi6bKsRXfRfK3F6/gfUc/FN22VHzVhtW6LN KgRs2e5eRTQb8WsVfP4LPCrG9ZwvnUNnCOBf2ouVT+83MQwSIPVcmbFLUMb1q/fjtlF6ZCIZSNVm I+Uzlja2WYi/TsBCzAc5LlJyez3v8DP7A40vImsXGobNuHqjeo1c/M7muxrykBIg2NrR8944iLYu wn9m0WZN7QYoJ/Z/0SiSuVhzkR3LTYcHQ0TyXZwpn/tm0gNorZlkv6lSQ0j2c5vDDfsaTyfafEyB sFj8GwzjssmJuLwuJwuK/shB7uSkrlCuEgsWC5z8g8KJHWX+F8YDJxtQl6aEkaWiC8sZxNZtmVoz I6fndIok1h1+EUw0FUjS16Lpwg9W1Y1MJ74GwBXmLlO31rjfQM1jGPuFac8E4praWMIfJsbsQVsA QQzDe4PikTqIjZag2Yf06/bW6U9ci141sKniHOUCH4UBUtbClCkszjS0sAibNsK1F9lNMIDdKGwm JN6qNNW6S2SGuJLyqQ30Lfp6A6NoFRg9fqcw7++5pbuyw4uid0JJXBQqSbHlqhWq3hHrQVqpMWID IjKe3HKTHpnQEOWld+aK2jIqwCDawuy+xz79gmwGLjsEcYqVWUvjKQsCno2wdzpwwr7XwWg/QYyu ay50bVNrGaRjDrQWTRm5Z5ESyeGA9sIXTDkduEU4wHHIGJlCR0aSyZ3PDHhcv9kgbEojXjacHdnx oNKRGYk9+BXDsIACuTFZGzfG/7Qu3pFdbpR+JbXvqAJDmvb7vZeT4ky+E38oqhTcNTYkBg5kkMIx 0iQNnWfHyeAO58LSjEm8ECU8ZQgOko54J3w/PRxq9lgXEMo8sNGTttgIIJMJwYSf7moVNSqkb3RN 9RahHr9OZNMxmgQ14SZ24RLV9T7UC+CPQGk96dg1teYQkvw2G2YOLuPcI+/sU8WU27bSw5TACssv efN/iwgpavQnNXOeF6eknS4VPS/sKLgVhgznn6PYveXXWAxWE4jCpmGVs/hGWUrCYq5drQYKANX+ SJdVcem4Eowq4f5uNeD29ehrYkQettmPw02FPp3AabrZpO7klcGynp+22u+o/ZguyLbPlhf5VP12 ADX8+66vob4N5D3STjZ4YjV+tm/omyhlQVNm3V8TYkh2lH610X1v+G4POsD9jsPMMDKryJkh6WPz 7NibKvxvvUKy0Urb8ukY0SsfUwnf8OYHBIqb3oJ5A3jkeuDS4l4JQAihfEj9KqajgM1oIhCUegsM MvuSrZjzFdskgKjKlww8krsuRVIi9BTGUYElz3ejhS9za9zQ4K6Tj05cj3vTXqEAC/5l/fs1sgiq br3KQozgGV8PmlQntzbuDUxHJFojOzr+3wVfFEyAA4dhaSnaeVKGtTfWahFDq/w04zmDtx57rz36 0woqGoLe7hAITwaVSL2E6aIdR4IosudMZQQe/72cYWVNkAzWa8UoPBtUngS3V/Lsnp+GIKMWW/R5 jWKbYVEYewzo03xzxFHAchud5bAjxCAQnTw0gpcdFfQPftxtHs7AG/SoGoIRSXp4BCivR+ebBMeO D8Dbb4BCnZRC56AsfA3I7w00iIRbl22iAYA14jdF5GkHtKj7xQWUCgQ43en64r6TZSA+xib6FL8W XqcjvvjYSbNs4FabzfYYk/tEPZH36nJiaEP1bBOi/0cpwAkKmHiFGlClxeg1miEupNzgP7AOZIO4 Ppes351jUF+QPKWOyG9fmEHXbQskI7Abx31CV2k+TNQ8HSPbL/31pfOcYgsPyy0ekpYzh7pBOx40 +uUlLrKyd+VKk3hGeOCW1Z+WVpvIavG1P80OIv0NgrqCPJI9npFqTFaq/8rXPbVjcTg3VE+qzFwY ns+y2u32WqnEcgcOncCKeIKjzbqzhmK8+yjj7Vu5aMR8VZsFiphXZZtKO+abL90APBZMKmwNOMRA 0rcViFnQlKwGKyxAoiNSrUYm0sfygzMU0as3X7D42uhW8Zcc2/dsjR/ZxNXIUMhzJV2PKpnMqEEC 78QyYHzzgdTlRvAAZ3zRNvtwi6CwgNY6/xWbmItLM+MnfM/0JqFxCaibXENYp6pmplrLxm2VTvDq KSM9mq5Z4/tk8nSmXf2PHQ+KdPsCT+CKz/TAwSrle0gf68HJ1kh1BBU59yQfGePENvSDnnsy4Zz1 ANVzQQOt63ZSK7dKso8S+/EAfPbx6hm+N44eNC3jXIbooVNuMmLuWfe1b+gwdii9yUQ3Z8UHXzl0 fIZxCzN2FfSfkFyeSGfNmA6i7QYn3Qyq9Q5YNmCYcIIOntdQAUyIFrsGVysfroCL3AlrCIBWef6/ w2dJG6fQ0c7+G3h8BLZeVihvaoE5fcHqOrm9w0EPphNyk3bZaD90yBeNnifNOY1itonkdrhqxRsV gaVDd2IbSjTbgSjhXxpycfK39zT71LYZjTLyKP96HZdWhV3qjoGAmW+qcf3pntDMMM/wCI+E/pEd qRgL/CHAYcNXozKdakYShanSSuZ/30Keg+rIrO21TktPA0mybgq/ghywg+k9TezP2/g7eVgGDl6/ 5Yf9O2cwfQoBWjNAxLAGQqlnBfCxAVa/PHWmleYzl2f2MargBZNAApSaOfLluAWoyiuRvL/TlJFb kDsxx2/EU+5pQdSiCE/g8WoE8LE/WQq7VV2t5IMb1AzT111t1uMDPVVHTze8MlJKMiSflOTrFbN2 xdRlfc5gN88XogpRypU4f+/S1vCYQ03AuKbp1oZZqu8MOW7bJEx5E3/DZiJyfyOEcUvpj4DeQlCb kakiNj670mlchRZQb7bF5+nVfe8Cy1gtQHiJPrf2SLCmQyy43kHNNKKYFWBaTc1KoEEqqMZEyRqb 90jan2rty9DasrQ37lh1jszDSfB/XeDi/JK4QnzbyszXmuLsyZGw+v9WaeR5NqTjlciQ2VXcY31X uqjuhMT8GCmqyopj+OR0FPEiMg6Qk1RDYAuJLPnH6vE+AQIz8gt+7yfD+kt9L8cBayZ+dQmIo/2M AE9WCYD3AwkRBVbD0/gBX+IULWtRvLhL+u9yInpnQqs93I33BbL22NugrHQeLJ+Cd42YUATuhtuR +fQW+DzbRguQ2Q52EivT1W6AX+0sWEdSkSk4+OBBWXZ8BN7XMFcRDVxJny5SOl/AeoirZWn/F8uS LO2F0KSsKMiK8JF49Q9/oyEKk4jgjRRRQzT+2ExsPuOWC62zYByE0J+u3/0lFnodErDnUZ64E1ep 0vXV+R4dhWzzs5+GYC4G3pYKzF0F0ePiobUshyBZH3eEy5YJH1woQXp27FuiBQd4w9Of2nLZu+NQ MJVF6egv6xmS1RqM52q8t3IgahV48D8reuBZPupbxVUN7VYzbR98cmY5lLwp48zIM7jbMtsbtkLE KgdSrfVZJ2Z9pthJs9obPYMwtcWvlX1mAZo1dINihvIkBv+bvO0oKhvLu4TDDDNZ8AxeIrSPM0tH vx1K4AuLP7SZXBmCrAkHRgNJL6gQuUAvAIygr/OmMUWYu6nYxSBwbCt06YE8tQotSCTyoG9sf2U1 yXGSRviDSW9kEH1TkqxuNA7xjJesW0MdrRNHetKxDf1CRBGzWrKRnU0zkFY24h660KZUrN6m5BBQ U9h0TqEf/qOCFvKlE2nC44fKppEyUjeB1wcZXY8GfaAt+2NrCxc12PoleB7oCLOFu4vEDaQrmpAX p6+tR3gbxUnGIK+KcpF78GNeLxd8t1bHFzUGwjzILjwmSfUg4X8nL6T9V+iIT/87XqDX54+YM436 qE/pC+NaoRUxES8HlUbRmEuQLms0lD2NJHVOH9ySZAHbYAHUVkr9IpO24oqm+hFwkRQsM+VkHnjc zHaONR5oa6O952FrpRz87ztGwjft/HJkuJ7AJvXZDMUaT4qPAYNIUVbQLpjEVM2CMNpW2XlxOAmI RaKcYglQa2LiCBkliBl2jPTJOYBYbRYBcr7QCWwDI5CndUh4xDodNn56BlMxZBJ6byE7NaTW61yp olh+COP8/j/5YX/OJrSnNZfP0OWQVYlZedftxDlE4Y8++EAtyrmsdODcKMoa/LiA0lJQTYhEEzE+ mTIjCRSDbcs87dxWG7fTu1xMnl2GGzo8azpI2Lt1PbdEWqriUAR+jJmnEJiIkQXjYDJlq6VmsZkE 32z7xYNKlHCP81VrE/PCCxOG1G3Xq8Kc9hpZbzZo9cxYdkq0meEFZTdon4WDrscKjb0NLuZvXn5K ypzWBdt7hoOGTJN/qm2R8V+VJGqt7cJIAu5irlfoqZOTHgYXsk8GOemQr7tpn5t4YMVbftXOP2NO Ggmbzo8L7fSmXbqcqRDo+elNroorbP+SEDVftFm94n6tetb8D79qoY9WhhvnWd+PFWhaavgeDSVY 0wtEFnNzrW3I9BNkVMsysFeL4sANzmcBWMiWha+1Rs/PY0Pc7i6sUEI31rl/lihFXzCllFyFvYRI C6cBUiIbXjn0xaSwtkry2vClAvmOFeHIFZGknGKDwfq40jWwrbtKHEW3HwWwzM54TIW7cBsSAORy sJva2fVZCUO/Xl17LANSMy008JlaZh+/FEyDZeOdyijDnlQAmJ3nocYl1f3stsAXXxO/KI1UsiJq y/hnExl1PATKd/NnzmraFYVYQuccQZBsocN2+nA4hkhI6OsK1oan96yLFPcTttv3+VfOClNBmofM 6MEGrFcbfYguvF9c+i5KKe4YPeDoivnZllkmsahNfql9lGD7d/lIZ0eOWi+Zri4al8a/ZkPpVz87 EJMBP4Cb6dslvd+rwsAod/OnIYJXngpDp15J2TB/KyshU3dL0eeNzIQAalm8vVjox0953wJRUgrc CUMGxk26dqeG3QucA7y7fqsO9Qcwmb13ZmE+uTuoSb8+rGzl5zZFslgHeEiNCzRQQ71Zz+sZTT0X vYju7o/22XflvecALKom97hUC8RVgaWjWJKmi+H0Kih6ooVMf0muFYoYB9vGaM9lA8K15dDhfxjQ /5pa730HEfvDoMupzQQ9B8Jxs3Gx5u80YWnM0zzeU9E5pSN/TJ0fOyble12mpErNzdeMIXjazZgl mWWs/fwT5UekAnMjoRGOUt0CLzJ5ckDnpUDhz7yLhv5L+u3uYeY4KqWVI88GHXxHN4Vt33nBndxU aqkLBy8upskiBl4/Da2H4SMKG/5H5GVjLCcP3je3QVh3wP1s47Y69MTt52r3qq8kF+rGpHNuVnKE uzaXq0ZYelV+qm3zElQVSJCxeGEfDQAiYT7Ng/ASTpMEoyCsaKBO0u8KWRSHkK9g8e+O2ncfqDxh rYmBog/e7pdAH9UeEEn64dupvo4LISQyuN63XGQm6soHVND4aT+xrgNhx6saJjzx4krhHBe5Y57u Zebr1oPhLVNK8O8xOtGXNtMBPSuj2ZX4BnC8pg2GZzs9akUj/2nHoYBSBggUh4Onn2OvQ5goMK3q du9MIXJsIWcYZ/cmTiyPpN3JWi6g4M/2KVNRUGIQOtVSo92uNfbNgAbrnnUgUOb97aA+ll0kiYdT 4O6TsgXnBW9ar/9xsbx+bIkiOBxU3tSaqfDhMEILOTafRCQMxnasSvHzezqLVDpCw0RTXeoyxxwu dbLINp07bWxJghZSdVIRlwmy5Cv3oTuhWXwUvF7D1DwXeTWeqUnKBjiElQQBfYGC346G6FBeIy4+ BkwNaCA4Fp1mRCdOUMGn0g9ZtH5llgprilGmHThmvSYwmO0+Mm5tU7YlhfaEbFGC+xayypMwZ0CV 7139Cr6TKpKDB7St+IryQb8jSVCN3Y5IBbycmG7SdyH9so4NBbT1/vr24tj8J3i3PeGWPOYuA3V5 kqL5lbyi33bmxY/KFMpOhaQ1CVOo2pmanFUvWPowttNvr3CRUhiIZdG5chfbS03fMw5wmnwb6Ngx Fa0LTMErPqUQQx8WzRnvwZViQVyYNb4utGL2dhDr7kG/6iyZQLWeQhc1FkaIqUUtQpUnRdhkIG7V KeWh88oz7TkDL2BFDPwpjWHpbGaTolWrOwO9I671dV7Mn1BeLFKGRPpLBWNgxYX2sKe/CMKXRn2j XyPQLWKHrHspSC/id/tWSPAGxickLmAYfqBX2KwTNvsLpg/aB3HSSDWtLv6Rc8J9+D/AS3pCmdeZ siDJdPw43syylsuOIP6bVTq85VdlH6ksB8rYOw05FEp+H9oO9Bq4kDMvBBy2m4Qtwt+XdCbCn6PE x5jJiQWsoQhtJa/1YUZq05Dx+tVrjcGSoazfDV1suaBTNoeXzRR7jk/Kcb5EFKtHOmeCjLfkMXY/ avwxuQIf6Uwr6iIxDPG5s1wVHfLypJ/s6AiWw5mXJ/fYRgS9hc7mSGP+lj2Q0F6xBv6BSw+ZxW6H CojtH+unl8cTT1bfhrekTn+mOGYa6+KYa9P/pZnEhuw7611UsTJ/Noi0b9gbxh3YcszbpHKDC6lK scVmRuKkZeXPakQYy7vUiTSlZU9l4VN4JVVy04EyH9J/GJ2kceXbd5isOyf65L8RCK7pGQee66m0 ZhrkQJuVxEt/rU8TQjcMgPAbSLTcGsqXzICSEmq36K4RfJat2AQsD20lcErp3ccw+IEB8KPAzNoW aFwwk8vYkfaaChpJByvRcT5HOp9fWsc8uJ/wPhDItN4KBgDO7IKbxquW8GwfWGh73m8pPme3+49b AHi12dWdoHIXg9TrXIHhcfK5aKlafSRzhb4TJLRTXtf0iPNUmqPRrrhz20SGF1FR47b+7IVewTo0 +zZTLGl1piy9mr6Imbd3AgkJHXmn6n7KMjHxUxOGrN+SzyiTXd/wccovwjOE7YdkgEpeQOKdku5e UUSPDdKHJICf2VIUFhYRxlgHtYUa08hTq56AzVO3kIDrv3PHXJa87450IWmbvFC6Jz1Bh8r1rIkk DKF93WnH4E3WK47LTnuZYd7xMptVUVhNi1hXlJpDLSemsd83y5psoNubSmVq4swh4hfnCqYw9jNA NgOb+wbn7JPs0jGVfust3Bvnv+VR4aTeEZoD8k2qpfEUwKc8fSPhwfBgHDLitxRp1xX7B9qKaJE+ Rt+rxIJE8F/PCJTa8JO7PGRYEvNoRkgBrmxerO1gfLWtT0gNmNwGIPJGFJGmdLBoKUPcSZ2iQ+p9 YIIBnqDmQiazZ1ol88rZtHAfGDqudlK6jiyTPC6k73m8/6HGQo9w87V40glWAhvo4v32SImU0gUw 6EZQFih8sMcxfg6cU3+kEmYzaS7CgVRybxuOCeFvuvBy3q+nWzO8fMByPAzJfzGNLdmvuYkO+IK/ hiIK95X0WmOXOnWU42L0IRSqGckhe4cmYrN+/xOyj9/HHQ2XSZvlBKdvcz5X4btc4uJwF++RnDrP rYhi2XcxBrlZy3MlRykaK3Py0uW0KGHDCfuaPzYSOLE64JTAPNS24BsjHiUAPFOgJ77bFcAT2Ets g1ioyyBWGpe/lb/AQVqogGcqo0D4VrObx3M5EJtOvp7l+vKbuF4w2hJhlX6gq/62Rf3lTKDT+JzU Q5xQCya5jcXosbXPvd/0BxfmC3dc610CwZRjzB+yyR7ZPuz0XFcqKWyF/3FHB1Hmln3tyQf1GSUK gfpiLN26gd/55D5UigUNPb6bA4uh03N61KXWbWCldAvKd/mBVxZox5wRRVCy8MozbD92XzOdp2R1 2CmnPF6thLiglIszIJ5CMEs+zQ5nNRfZUnppv8vdup06btOfN97LYqhHc3PmvWFNkjdGEu/+9QjE kvXpJkCGw7QcLbYLMpxrsKRbDSFjfQDgmlKyJ3n9nF+64ZxquvTE3lS/clfT1te/uHru5fenS1jl kj0llD1j6C31VsHTIiFDZ6V8X7ndyqG3mF3vPmb/NwgBRTFkbo5opRmY3BuI1T4oy4JNcxDSfYrL TuGdzw+9V7iGaArpzVXRbXR4qt4Oe/gPUXka1rvh9id76XPPEnOiotH9q624zHHTWTy3zIVfCj2S 8Pw/O3w5UNXX3LhrYyNr2a/N8Vr1S3JWuSHkCqP0TS5Uq6muAk7EcEifHtJYDALzkR2CuHNUKHjy jbIL5TzsMgP6ytAi+g952sUVv7D584erm+C6W9wfcbueyIgG9qAxjgXOi0b8MVb2FZ4KEbazV66S yPCXWmDoPjFTiFy03XmHTjk7oqDUQtDnhuFWpQNVmXynDxboiPXd4CzWLbUkPm9+B/JmmIz8YPPs bIc8Y3fvyCR+4LX8J3UKO6a5jCzYc+80OrRjTRqrA5lcB4KumPSdDtgKCkYaBej9Qz+FApDB4X77 UBVID7XMRGn97ynn2ZTS7Y4jWrHoTkb1CQk86LfFD5WZkZDf7t2GpE4RR1yTORbEZRJznwT8Btpr 7BVgFIW98aDgb/F5thRibz1mhvf1ViUWeMlDaXFS07I/OP3YdVlxYojp/VHNJAU8eNwzEgXDODLt xgc9LSn8dGurWWprV2+ThEc34gl/cUC2ERFKspFg+LOVz/NpqwpCV8/qJGXmqKy7HpkJ2k63RLdU tCVHUEum4OEpjQu6DDA/5GW+8DJWa6MdBDCpwTpSRDkVUlvHsM4Pzg6Qx7pOznMDqf/HgLekcoqo y5E0ki50sXsqma2Kphuh87Ms/8UTMCIUydyvIszRsaFCEYvubJfBKtLH3UmeDhgzGeyQXfI8CJGT GX8DRyYPmj2eb1ks4rPsa6LGF/tR7J9zLRjjsrbnfIJIoN2EHN+cHGG8MlAsSfhTfwhQBY/Z2Iit tAhq7dOw5UEhEeNAE2zm/HJ8KzGJFFofo9hdzRJL1af6rISQnQxM6Cruvbd9G5JBhQVMq7GAFy+v JHmWxgLXpljbVPWPLUyYB9mYd9LaxA3JpylM2CpJCz75dFai/ja8+uistMP6+4SN9+hRnK0OKJcN AqUqQjLm0RInMlpxnVue+Z/wo/p64sS4i8sTXEUtvrz59YlT0u3yfwqiv2SXvSMEG39cLcm/ULIg U6X9Yb6jJe9/xyzJPFoyx30cBfh/6p4akdwbGIYnoMhbnC4jtgGcPoGwYsNhXyIQ0NdY5BipjQkG Nj7Z7Ilb2LbH6M6fzJeEPur0UI1LVudj67OODr46hDB4G230Kb4O3HcRjlB0lXTF1ZaIiLomsNG5 5RrkudE/xf98QpWRphE7Y8pk6+1qlzQ5uGfYg0TW1coavgsnmSPik0oI2atu1fhBT+wkC1lXN1yf rqoZyh1L2UG/xw3OrR+7huIVZku3J1PcoWEtqSKxnhJuDNBtaDXe/rQhSShDz0UVLZM6Nor1ZLbB Wq0hNFKM24K5cwS3Ey0+iDxHw9bjv7Jhw32Acxr0/XfR5Yv7AchBIKkJbiSIp2YIt4M6XoiPpU4k yKpriLOSEv9yhqG1rQRmU6YEkVZzkRAjecb+iKmkOvhylL+4uOB6s+XphS7zCb6P2eulc8EavF7k WsTRkVGvuGsP9Bnq53KI9RudS0vBG8S701Q+nsxwXjUzbbW2kw+brwAgiJARRJEsOwWD72V8Wros lY0estpOItQdewlSIbsKzpgegM/DsaXc6jO4iUL1aJickvVnVZjFR+uDhzhdGXjRt34YgxNomkhM pBxseFhqxRvajnX6n2LgO8snLGsZig9EjzYeK3vmIZCcLP96oTTjVFwioic0xUP85Ga+qAYmsdVK MtsFwo4uUjP3BEN12sSUd8Ie/fPB5SFBNz9TiXC7tv4xCGFn62LS4U7kgHb0Vh9f1hsRnFAoqyJy Rs2BEKTfyvSVKgHn6TzrUMiCUq7SJwVuZo+I43aVwPO21/0Rc6eGkH//beJPCo3blOixbBk77HKe /zc68Nq+AY081MFMvxzoVxv+JaR+u6AQVgSZaDMb40XbUTd5GSz1XSo02wV9dm/SuwwJw1LeKUZ5 /8J5u03r6aPW6upvP3V/xjPw+SQfgSub8m7usfLS5J+yXvYWb3H8axccwOe/omoSw1CpmPrkqhwQ AlMM8ZmNO6tA/vb/sZvj3ciUupZHbGVmsj2CD/WtI4qylmPF7RNK0jWcr0sGenuiuNnTr/0BirLD SyX6Za3yUcLVTMnpQeRrNkYSJrS1KCGwP7MRb+VkRlC4RRHzJ2YR1bLEROrdR7ZCW1TjdydDKwKz v0UWq2nPvsBiAgnCqVEonwJaKVzBx6uXbPCMZIeLBXJ5WbG7Lv4w4b0X3sX6u6VocyO1znFyGaA6 JS9miKWIKjpmy1wN9w5gHN1hoauXBd/iDI+k/GXVXSxyr8z1hWNRQWjYytRObQJ3WR70UU35HKqM H6/4VuYmStXm/ige90Yn7kKj6Wu1v+JWk+n0d4s0UUi+mWhVp1aI+IIVlQ4Wor9QNkiD66iR/r1e UXs2agRM64c+KQbeDg4Se7OsbaWqzp+GJVN1R9FKQYHzyRQiTCzTp42M4HmalWPn7Qci9KgiRZh6 Gvn+a2QcixsGmXnb4mihSb5TzpJ5uQAeW+O7a0jlHeHHHmf2TnzZYM7Nc+kLFs6NRUi6l7PY61XE CUHDP0r/WbFSM36Lar8gb38OxR1K6EOW3WH5Fa8bi6NAuBWEnF6+Iyak7WfvlBDXMSmXzWNenZM1 rBxQcgBmB5kQQuzOy9EOHBB636PeAgEYhy0QJd0XtbGT1ozJ0EZSaCzSx98mH9c/kqGRSNl+5GA0 1AI9YuNvltbIUHhuRn6piV17tJ620EUfGJ5K08PdYJXh1snxnMBHvKS2UjUJgZu1IiheAIz7AW+F rwLuAUt1ChsetmX3Vlq0dGQdqEVgXxxYSQBpa0qD8fIyVol14KPh1fgLKMwd/Dg7E5/E35yuHNYH CjPz0epWQlQKfVLYS9ZQmjkg0tBmHWWJd1fsBEoTjqhSCv9W+fE16M67nPv/eT37rQlXQGrjxsfg ft6CfhdmHHp9sFGUtYF8KMQspNrdMByz6iZ4/ZKQdgxuTJhLDKw6rdTeihkBObgSeRYEfYoGunUo Q04vZHo0FhyQsjK4HuZvTi+YX3cOidj2qPcrfRxK6IaDo4QpNUETrTW0FgNdDCapCdN301T6RRJR Jw8pCN3EssPyrrckW+4gSsdjghDS9j7HIK40FYUw2FSwy7UcG8BPxLRXET2BY1YML/yElUcHJVKD 2QFyTZjuS5+YG1IMqz/mZ/UntukSckD6MzNok6iSrcl9wMBggSkEybkMW0xT+X9x18mbYrcZ7rlN 6FEekm+kpJQTKXMN3adE2luvRnV8vI0TMtlh4Awb/dd7Zrrfk08szexL9d25PQCC5PEXKaZO2LcC vwfwnwDuDjaoPenvTaqGjHVI9+YCsGd2Z6w0BlQUWiHBqx3vCpueUYyLZVVRUYKh/XyU0vs7En9B h9k61ygb5MGEDq41QyKMBM28sCT4GbC1vUzuwvY45a4PD7R4+/r1EY3qzjf86A7u24w8AGC3k8aF PVPwFp2LSJBBw6fS8dxQOnXnsGosH+JbP9RT8tQMyUSPYZOH06Q2U/swY55Fukcz21+AMOsjMia1 xzN/++I3Ah5nGO4MXmwWUnSZAc6BAjJTprwPapV3V+6Zbuksth0YWs1n837OdzyJRc7YnislpPVy fVO8PZOoNXuzj6oBUiwQY1z6SEDJ81bGNi6kytqTx9NdBJZLToY4NXjn2sLj5YU1cIWiI09ITiDN 6Ce4UDTFCJBiCJVS1jPCEC5F+G393BmlZstEajdJrxYTIFoxqrpx6rb0peSoLdQzjBqaq4N0cDNf rZ8iyzjWF9Bov0oRO1Bntz6NqpiXQPYjYSOn5vtz2eI/6xfWfbHshG6bmdcOeqLk+xGyj3met2Op 0cCx1o8KqEQRiFNZRfYoOZwZwgJIokV5JO6Oas+q+CzBtk9kzmQJH2jS0fG3EO0qGiazfAMvGRAr Mxt1RDJKTTms8+pNqnmyBEgd3y5rCXEwa/JrXRiY4mj4TjDzcZMmqXm2VghI9nWtR3F7+ON4btGa qfhSsua3jXgwKVFbVnblfQB7RrgkTma1UfVIm7uJMhiOn4wkdQPfDh2W1wNDhpnxZCaPo4HSK7eU 5xHkh5CBY+RB53T6JFDwT96ZpZqHMTwtFAey0B7+nwdZYHM3m1HclOFXT3JmVVl0ciRmQrpcmcPW R1stt1Q9emYPs2Z7Hds9zkHKecQvkxLCED8k0mcgpqfxNGeOc7/bkkxFaM6dQH7D8wFy8+ixvEuk 8KuW3KNWvPfBL/rgxbhvgxWxrGBH033Oqrxd03wWGTfR2NfT05yiiw1+Y4pDeyU2mnxM70yF3kd8 qU/6e+h8+bXFq5GzpwwP1xURUk4wIr1PB8IHU0wb6F/uGKwPxMQ6OP+HDRFG0Xxlqnqp66OOiiLC BZrTaS613BUNKG3M8uYjQxaEiYn3sCP7Z0Lrk1KOlEwez1ZwrPpBywjdhl+gb5jcQDxE3xAghgqj OYl1dMiMmBAc+7NPlWKP7jSrKLeXR7b/umpnNzzzRuBFLZcH8KwgKyM69k0YafjectAmzQoYAOH7 6Fjy6+MNY2Wx3PO4ExTZCVE6u8yvRZSbopdo89AtY+hC6uuMrLeh+ayTGK96ZTRfCRkPExT3qayI cakNoN5DxyFin30RRVzYyG2Xdf3rgVDJSyKzRs8bNEEM9YogJWkUHQlYgs9RC3gU+9mr3alBIB0U S91oV/VjIPUcoNFTcwEgeotPdFuV8/t2NVQwOBY2aScuSH/CgDr6sY70yXXDI/r3rAgyEWlu0o8j F5bdjWlLVAY/TBAtXRH2T2TzGCcTmYVJbvYMMuLJUcNyTsAtktoJZSHS6eTVVt0LjJx0iunzV2Sr nvLogQdtce+qvxYy8NdIRvK8Ofrw3QYaOtO4SFXXS5lO90JTPKg+TNji8k48JhXlTrtnyFlIGgJE +zAvllbw4In+SZ0H3EfZGSpKH+OGXsbQNRXF9LzcnG24P+80vjgo9Zlf2d0w8yqLEFYiO3PNvfSu E6TugFrihUm0dBkhPy78ae9stbf1+tq3e5iiWaZi74o73n9i4bE7qDxVkR5//7KkwuF9ILYwR/kl 6wTRiYXHsWqTAXovGP7YFfbNOrLZdqZdjHV7WRhIZHV3AWVlaZePGkwRBLu0RX8VHgtIFytADauy tzDwu3HuKNx73GaA2SKzmSBzR7qAQjO+ki+Zns2gaciUav6DXegdKY3XkKiG7aGj3H4tCl6z2xRF xsbtLS7dLGiwCzjHSgD17IohORzNAjt0u8I9BCAYYsB4NGcIluhN6A8DeVbo19KCOCEfpvF94Jiy 2o3paey7wE+MoLzx74Tz4cCQadf7LS5VBavTE/pAHDj4aNiLul+3pJ3/I4a1OGBDaQysJr5v93ud qQi8N5JF9nCU+3NWGpPaOc969gCxEPWApnVDSDhjs2XHwFUZYMDuOAJOypmKTqgYlJlXIxnArxGq P7XL78hmBICnPvkLS2yWks8x6v7TXa2+EWadqrIEkjV5pbQS9J0DdFk8z7DKNXg960k6rtZFB1wJ CV+BFPvkghUnOHKQ7BPOO42aid38RmSk99/t3UxhDJbKgXFFIp9qJ9u3nIYLEjUe59QLd3ffSWO0 suPK576bJ3e5V0F7jFcrblE82SQ5cXtn9REAHFi00RYrzAdk3U07Zm4HOYl0H7O+LIBP+H4A1x0a E/FlnMh21PFO4xg+rqhaFpbvo4XOP+CIFvbPBRjQS4XEXEzvU4ReBTvd5xuVP31zbScRPn94R0o2 /Dr5G5ea0WG2YjBNVWEtGcMYzw9ZR+/GiLnJeK3Hl3xmVPnQDZWPN4Fy29VAiN26fhg9KoJeBt9D FfQe5eo8Rj8aR6o5KDhjW5HTBB8vkPlKOJH3fXGae184YKW2TOZ6uuUrJ/RArSz+p/BVl2pzcz+y 09nAdW6XgA8UFFl1+RO6alTyoRaI2LLDeVmm8WJnHduEOdJr82q8Xe6eJNiN5RVZVj2TNOW/92bU 9gT5jlzmdQA4ghY3bAz9OT9ftBGhHn1HiAyojwv79fzCpG0NhkgZ4ZLMXnQjvpmtvUpL0WcdpT8z 2kd8lPucxJsl3nKgG4EhmDMGiacGDI2B6ZWPG9u5gKOw1YLSK59GrTXl7YN6v8u8xLgE2/cSvCZY eHN9n5lE5i+g11GBJ/YHiGO9oQgAMi7L5rjxDssGdljFu8YsBPHk9mF9EyTq7w6a/aSrFMDowSuJ fPsMzM0D+5kCexq4YNeJxxA98mhml+kb5sJVfji6m2T9SKu+mrWqksjDHd7MKs0/xH1+DrUI332N 5xu+mOsfCngMgADJ1xtomQ5D4h6sdb+YD4yAxceFFTAGPGvhw4XrVUTBGI+TU1OPRB2YZA7vCW9N yGSqvg5n5Pbkk2bCrUawRjO6+fiDPk5+Gxe+8UDln1qFzCkDNf1l50UhNS/4mqE+BjbrINWJDFuj f1S8AnO0TGQT3g+QkOckUPqW6jYEMSmD9N1raaZjGF/CrBG3xVOmjMCaLlkWsvuyrnuuvK97LCYU b28UYc6gX7s8fvAwW3sr8xeW7+Ac63Fxqjre+vigY3klczRpXbcY9z8qKDdrBMzmVDyFrH0DsWVx mtnpgTsSyvoxMNRnDtQv3B0DHc2DSg22Egz2bOVce1GIdLwIQsj5s3muCYT+xPNjWVppRpI08d1W CoUfPhPkd7vZe9nxE9GYpYfdCq/YKtyPBvK/XjM0dLr+K1zHzHoM3EY1Ixh38D5wlPWcrQcsBmC9 txgd3xaw8JRcKyLMTMKZXBf8BHDcylzEqI+Oqd7kOc8GmlN5/7PTnZuSjL4JFuoiWl2lcElLDiKc fkgmIocaliL1cGIM72B06NagYvR37QvnBscwpDNaY4XyWIVEQCORv7cDdTStvsX95ZYT308AfkX5 QMbo+Sd4lsF/yUmXcAvmwJCd2T17ye3tkfaEQnaqXEidDPJVMi4/wozHPnd1oDZDmbVj10y9DjNn WqzsPQdLvYUNoJWJMHmJUz7g1/VgFXyZV10TdAHT5KhIqV0N4wBrWcw++Tqqb54WX+lOW7wQncTQ fl0GuQaoUoEshC+AyrXUI/mo/Ixbr9vjplhIcQaN35K8c/3QE0leB5EFm0yBRK2QW2NrteYviBMP IhxSH2aELCjCRYSSwJMNH2TKPHk75/BpCTvE+5xHDpntzlHk/aF5p/0kXmtTK8AT3wJ964yJBHSy cIecj5CNVC/sQiaR+uj4npX6T2rzscjU6VOlr5rfM3GaID1AZ2tyP59hvm2j1qIRhD5PJ5josfvY OkaWK0D7v6X9HnJXXa7zi2lxOyTT2AaYT2daLVQAY8GXkvToovY+v3mIZTHbXjcFUFUKNyjic4Dj mHZrUx8jOuvenm8Ru9l3h0izwWoTjYE/VfgxVhJH2gY/kycA2dksKdi3t32MFjZwE/2HYlFCWLly dyyr73q5JcCrkPuc/rwsgPYZbHaX/wFtEXGsz7rm5iedMzTRXIEEWojqQGP8mr2VAvMmsQRmWGrZ k6+xvZ7y+C3n9aQabSCk6FkuiIJxGFfGYVLlU/xRUnmYS5bLBAcvPiv97ew8+WoBqH8WNb5We/Bz JKnfQG11Pf5xVP1pEPnqBDzFbbG/MRzz5nOTNxB4374vyDvy765Gt+yE4aDanIBugG93YCZ0NOtA jppBSY8CI7u4qiGNMviPOEH1+O5mKhpsae7BmcUZIC7NSeRsPGPZ+L/9j+2jqBbLmHc0nxZqxTLr 9F4kVUVUA2cS3LyF3j2z7xT71dMbgZKx9JJwMwgyQSv74xQh4s/5+SQrfcFKBPLMPg9R+2F5hE51 ukiSarHNJRH6sYxVPMAhaksrtu/jF2/6AwMH8NNGgvipnuwj/oXuVE3BR1xihEldOri2/qa/hac4 uDUMZkCBzDkxmhSPMmMIw6AWljL/LbP6Aeu5e05cLMbHXt1mBPeNWqApJgfCwubmQhq5hNxQvBr3 M6D6B8yLOOtQ4Lou+90/NRD3TPa6Y7MoW9POvAiwQZVT/NbBxjOunkmIR3GStFxpc/SN67jCX/5C 3ed9XnOwSNtQwrGVLtXsVb+tp1gsAbyjhV4UqXIIDSPYehqosvB84KtGBnwp24CFXh6VOQGORXk1 GQ/U4nVjwx8hFlZyI2SctzEsT9iczy8oSknWy2x2T9fKXUrur6grtoInR4lnBGshir2GAHyMF9Ax twkShvM0ITA85NOZe9teMgE/OU1sOFBR1Pmo3L8Y/yj8Y0w2Hg+Te45BiPrL8r5MoQyH/zkYvK4I lG0C8lRI0sCG1LVjNsSgRhJWM/vOiejA4FQ6xGT82yaVkT+tUUKGTR5prsworj3w7iBRVGXON+ol OXOkYQ6/ol65yZdbiFB/tUFsE/ZrX8D3CJs0EdbQHPMHF2jk3Dd0scz1pzjcbIGoTWoB0okVbF4U v1BzXCR2CCWv1SR702eQg+WNg3adibTMKD7IHcw2yUlA0IcE+ZB1i1S4UXhY8qNlMx1ehn0yokyW LwohYQg0CTHDMOFDffCvYW1EjpR2iQZ7WGYzQcjMaofSFq4RRQD+Jf92hPoeH+4fTO1PW89svBhp +3qglHGBGtQAgzIMr9cc0uae8DtjYsGaitf1xjKbPsdXLUl3x7bCu+aZ1NoNXANUlKktCG7Wa0pc PeGwg5o/WG0+DgDRdR4/rQJNG7i6YVDkjjcrp7USBz33MGV+AdY64jI444PHpDcVPvPQBJovrdof g06IeLZrvBO8LpvBTI0b2wKbnGDr1wamvtN4Ff0JdBscu0kliil5MRfCn3idZbg/mxq3YSG7fNGZ kKZa6WbOItiKKlABQmROeg1GjzeSOGunBUuxT6K6q6HPpM+fAw2ln/nsJj5NmRRWx1iHJWTcfJ3a EFDMCK3chjb9MpnTntRX73PoLICkwyRAiQUUGO4iI+FmAfjwBhQFYqi7r1LZ1rrcDHdJd3o92cd4 vmet+978LtMVXBwdDr7XGtl6Nzn0zAyfQ/2w7y3XhY3xJJf6ddU+txLsGGFkJXtNY+fYiuG83QV0 cxLwl8AFQ3aVFGpTVRJAPsG3yZYmHC6r69CO7xYNGYPJ04nRbvPYuQdsSQotOyVMCPoIfHVceqhN JUkptrcx8FDP+cOICeVEZv8I7iXHgGNOR6UBYOKva8YDVFK9+BfnZpMmNXFfKzwQPoPlZnAf1brf AEf4w3u1rw8NTLWSsgOxZnMopgkaI9q7KieBuwEtcrEYEb79x2RtLXHhaVPQwzELFhorU14Df1oU qXxpQiI1eH/wusnPmOykIEa22NRyI7gHvCL5YgQWP9KrFPWcbxXLRUcps8aoz2J+0NcQk8to22eu M7zA32L8VjrfP2pJxxAxGV2BqajBZgA+28jipEC8NNWaU0Z6R5dhQhzunIo6IgZ5heZjvHRPNZps 8FJfpqye5IxR2kPUr3m2ddCYLp8FX6kyy3M3x6/57jZ+85J9Jh+UeTSZp2cpdZyKsKuHRaEK5Q6M PefycS+pqN2Tng3M14THjGpWDCwCl2DRnADhLnRYGfmR5w2ygE1ZHTPVXEzkS6EEa1+h1ZPKFMut Q8kpADY0gQ2hCwSittyQh6KyRRpMsg1o3dA3tsnBi5Mt4Z2dI6Y9HSSpVDFLwoV23Myj9PDuwHPg 3IiLgpnZOFgcVdq3/NYiZsI92jitPhUZP9m1PGE7xuLXxiXJiFQRyu4lRK+J9w+xNBZa4enDPvLI 9lKIS7Gvo6epG7prEceV6il83iR6u45ql5h3T+DB8xgOlC70m/bEGPD3Nd3PqHxQnT0mRJxcfUHc +czAGTi7e7/wUl0HpGkUATtfov5TfdqPx+3DENUci99gr+myhxTel7aw0ONJywtkEM5/AwnhW8XH LLMnPDuLnV+HYQUFf26KB1+Wn4E3MTzapFkg3oE2MK3gElwdz8/cgWKrpCbpCdHEP3OeqQELT43q RrxX4hhx/pMrLa2ylCFZCRNFzkZpMcYf/YAsI6Doji64n69CJgZwnPLxfWfW/Ymx2MOoP0efipid xFOhOgUZz6RmYHNPMzszZU6c/ubSnKboZILu7BCeT0IsGtr7vyxZ058f0nbeaCWMc6jVHprcNqFN p+StdJEQQoGXF9qvnRPlRzsTOpHEnZKplMGaCPhAlwZAOWkMh8lrG9hBljue4M9mAZL+qFsVSJIe 8pj+dJiAZkt8BmhGngbjA+cUYgAaWXzkDOoJqDFcMGVt46SIg6QJsA/M4vXoN5/pw4TODQ9C9SIC X1/WMx+igkDidhxMXzk4OWQlH7tA03iuGc2CXiChkGKB9f1435osqV5c+X5ShFNgeIgmYCqheflr Vu0HIn8PDpyuSvliqYDCJpDYeBHhwH+RpXLqo3NbuTEdKFoJrR1ibCOc1ge1rBhsghK8dyEUcOms dnlInUfIJHmSJirFyqOylFIQ6VDBI73nOQsVf7hR+8wn/13jXdlbraaok5f/XxYjxxLqLg70w7e8 6b8xI1sAfXcUabXPkAZhq1l0nwxQ0rH6ISKTcFALC6jB6wGcEDNP3B7/UhcEtbpWmY4MSBfkQN29 9haCiWFjqJ96ykgb6+qZBlDJusyfJsxJl7yHqckwplX/BJQSPKm/NEL52u7H7DsKgUHh8RRwrf/V K+1V4uSW+Jp+K5BDqC8gfx0FaACTdmSfazOpnQFq/68v8uzou5Qo5bgwlwqQ/4rgHF2zWhueICtH vn6lLxvZHaphoE9x71reNSchkul3UZlDC7s6QnQLzLbQrPD67ony5wNFZfTbt4+wnPaDBoJtqy36 a5PBA6M58sVS8a47f9hrGzhqidS9FkRmGD0U7zPGMnY81PmzyOC3IWyCct42CQZHH+hY87QRgstA V+T+oqBtALFeT89B73xLDOz7a52V7wp+x5eLQBHwhQQcY20yQhWqgqFkzNNkZ7eV75DXXV0XyZ+B O9MDeij2gUQ6A2tOTJ4VL3iXbxIet7BF0uetGa2xcaD4FB/R2gDbYJYx+rNxiv1SnP/AQy091AuQ lLqINQ3c3k0GFToYVdRHFpySmb8/A23JwM4JCdX8yps0SauNWaV6ufNXvhNoWg6+fFdrcM8Xa4an ToN9Hh+12+rIRdV/2M5xaiYkFGiAc80lKZ+MYpBzqhNRn6O+fp4EOCuU/A5DnoACnqH1QLbKOm9I 2Wg0jQieRdau0gDWrwo6M8VQhtGuYDzQDqYUJm8iN695bWKf6/+D75gESTizgjePgeO02sByGIvy rFXGfZodcNTwUEwW+uETEGiWBmuMkCN/OzbmI4PApO6+q74ArWnhhh/bDBX2qC1p/Am+u97vdfDi nWkF5n2xRnrxxHPdArWjVLXqLjsqwr+0Avtu+5z2nRrP1HVXNc3Jc380jXqwpxXc/2M5K58GzYO3 BBm8fpsWpOwfEKAmZnQ/G2EAb8092F0X8K607ww/v31N4e+74oIjhHfEwz3ZTI1iSKh0xVHNvdmp Wt6jmh4AZtHxW4MBgslVJtNRA3ho+X1DLgsLeFvpuwCuxYibFsru5Zw4D/gnaWhAozdWpVa9PO8a GlknW8WOiA/SWEmvIsMnqspFnJBTzEk02SrGwQFzwAaAxwZFNDUzn2wGiu5fZMOqwAO2PEeBT56t zz9bcaakG89sELeeLQCyKCrp1WvWcy+9FW7gFJYhV8yNxpaw4Y65Reo4TMmUrMtt7WgS3GQAmuR9 kEl0hFoncIQz3mkKXI5N+rw3QCapbmEHEpUoqptnVJUH+ql9ek87N3rH7KpOMX39nb1VqR7/5fVa kjqM8ycqjbTS2eZ6xe2+16LhjlTBB89xDVi0ax4Pg7ggxRE/4iLBMXCgar+7HVepaQSYechI4uMt 7zX8b21WUl+bNO+f+0f2Sg3tvzNXAMgj+QqJdcf2gTVM6nABT5Av7JdsxM+tw6ftwu+dip/X3DWk qYtdZxEJdh3bke/a2ONOO05wwC7zbwf0j5P/o0El2646eZHTFG6JhqL4zuFHE196PxWC/Ycka3nf DtOvxXorqRufFEY3x0YP7xcC/HGMZCS8hhjSQgdf3JpAEswqzqS8H7hjUMfTVbvsFk1whGpXOuoi jRqwddYPKltS+QlrkNwLr1humvSE/7o988BeP79kWBFkyJee7AX9B5q7W1+lDtyyGcJlx3Epqw6H nazkkb3T3fW/nX3CqM32q7puAenjZlhk79XaTdo1nZZALd7oDeTYk1YtESvaOEBXBErjcYEUiPJW /SeWAVy4gt5C+VX6FSOZx/6p3w08QshE0kLqCsp5qj5xu/9lLpN+FiwPsmuaeJOwwhn5/sHUBhdt XEyR17f3CrUrkXcROgJKBKCBLuPRX+SbN+3HvqIDoz07NUF+wBTpAmU6iBrK2LpXoo0oYCPwU4F/ xcmKUcL4RMRoRteCBLFZKfMfstaOXh7l5vekThgixFZ4CRtD04O4a4IotxTFtO/QR5d0j7bKXMbx 3hKaciKEI8qAVK23wcgNMVUWBUMAgeQmsSSVu3A3ksAmA7H3A3kHwu2Lj6qFQWIt7bOMQAf4HV6v WdEtNakiAy7Nqt5k+X9VyzyC0Dwg47qd1hEuzuqvfpQYMPsCCOuJIhcI0pcF82Elj0VzuAa4YaP2 JXpv4FupEuoSr3rWHVoZSDUxItcVc1gdFB0sUGwZtAKxKaVfvXpIcn7DUbP6yOIu+0fomzVC9oeA SmLLJWW1GU3ALyNukH9S+N5icb4OyHF/NJLMTT7vjf+SowHAQRdjPi0gqSByNGMnUbBFwMQCb97+ GwnBLzWagM8OfSw3XobspWsZP31B3ZagMiJ3Z/qOz5sbyRX06F7Tur5djnlrPV4J9o6j7f7TTLiD g6CjlUPO8iovjU6UX8EgtpFroJpV6ciTPI0bLngDIHqCNKiD1FGNVKHA0cadZG0drFQa5ydxyrst zFekYSpLgeSx9qxGxlvl+KsxjNVd7Tlh8ajuH8BO6CKO56qXDnvTkoIGfphudzL083D78EPd2Nd/ iA+NKFtYWKs/4Via+CBS/V3sItmJhbtcyNChFtymFjL1PkU9KMP9gtrgoHYqNcZXL8QMJVcfGNkG HBFrB6DJ3Wv3/v1kAXvmexaKZI5kLaj1mXBK5QwnORkPFXJ3e2l4B/MaeFcKLklXkviXyrTtWdnP m7c3EbA2zlaap4do6uO8lWojbsiMUUXgVyt9EzEhF4MV5TpDH300XxAPCk0yZ+NpHBNwfmYO+K2r XNYNkfC+Up+5iSs++zfra6OyMRfQufEaQCp9DU355ra0PWOH0B92DHiIdf+tZTqRy72Kah31cMJD cI20v03QTLZw3wnbXdi/xbb7TyUkw1pp4YDvRPS7c/7KU19mn5fOjbo4yBL8OzEBUJlv+6Gkcvw2 7wn94lTHFznFIigcr5kk74jL2g102J1Ef4vZuqixIwrFNTCNkJ4N9fougCs2fU2p5Rw6HMpVtc8M 8VRFKzYU6Q3NesJTtIPTbLkIouan3p5IS+MKqrafAI9l7rjxvEczAXWx7Yz3ENEeKO86XIB7YaMe bWbU7AgsO01QqG4KiIrxHCHiMomXRnMbkUvcD+VFkDOxB254Z1gF405IBkaXfie35CYhA7LjhkW9 HquRS7wT/UA2brj1Z/dDAZvYR0p3xgpF1r3oYTsw8PVbpeHCOVfwZNrFJFNtbD3APpKIHxctCK2N KEL3WNzEGxMVZbvuH1PpntEtrDrB84KZgJ3sUJS8LaSZeJ4+cc2NVusj4VczNEt8p/4gp0aBf5uu fA12sDxF6de1KDN7eTbghp1qUA4S1wmoRzqTGuHQ487cI41bmsTmy4j1RNpvLdBgnx5IHvkcVUHd 18+YjHyglLQcXII12srmaNuBSjrpQVnHS/9sk+V5rf4zggowNUfFFmYzSldwu2bnGis0VdVQe9Cw LUpnOlOil4zxiI1Cx4ECDS/OImU+UVKPEFVdTgk7fEX5sy8MYabwvezcNGT8KPIvFCYQQVYIfBJ4 QpNjC28me5bLMHsEl7xAygX09zMSSw0OXjZIaHlOA2A7zmTsPeO4058GVCZo0IBELGiRBj8MwA15 3EMK4sexxeF1oNv95+4Ra6ndoMaSrpSl1uhi81jBmvqOM9ejUDjPCsOtz5dUrMp7AsuF0TJ5vj13 8foCp42QS4PQCx/Q6ITJZS2/T6+L2+nSRl6bFZSwEFG/A2JlpxMhLnp4SGEofKErzsH+TQI8NX3G DdIfDVeBGMfKV4Y5wHxISwpx/Rku46kKfutzK70uYz+d6Zq+woNnpJBLiB+kI/FEKWdHln2995jE 4PxMp/uAvtPom678XTIbBQHcAPXbTPemsDc2ZTLUXMDtg2ezv8+KgxU3FIXqPAiwKPT4S0/oZiM2 lGllKW23uDZf9gPuH+QrHJUwS5YzTiHMDchaZw3zlYiwabrHrnNI7R2nr3LvK9XV/YpdtbHZs9wQ ZiOHpYhdamd09odkMM3Nzlf76gRNkR87rjln+2I953bBu5n6wwdwKjm40tqLfCCOvqQqGIEj7Wyv gK1MmWVXS0vP1c6YxdYwbQ9vHfG9KjE1i+X+XkgyFN75SliEIcaebRHPRNjAhmvsc1lYnaFsIcyA liPkisPqu212AHG0xSEMTPamavYetZk/gz/PyVz674+ISZ4bqUxcs7dHNrBaUeeTGSz/uJxYX67X mpoWxu+Li8kPrfPOuotMFWiaamLMZeYD/G2iVHnBIjN+HsGDdx7yVEprO4qkbSsCEY2OY9ciYhSf xP5PQJT/9WrI2qbeAgLkWPCThT9qi5xOIoKea6jTcd9w22cyz6gMx+0B9yGN6tlpz89KeMFLMqtt YZYgyi0FkjpRbehBlwLddVoJoAWqr8424O6bscx9jSJMgZUeFJ4Kh4TwyrY0CwLFe6z0dw9Ns5C7 dYV0sIqHJiMKRTAACLIo0z6N5f+fsER3gTwQDPCQKgVh1vUAD/pgSJi0MVcvojFbfse9fw4FTG3x pXhhcJyToNuyd+EP38jzsxXOvRZAJx74WWNVqUrdrp1gFpKghrz6HC1xsC/zvRIvpaNJiGhB45pn 7vpSFbd5ZDAQfVss2Gr+krZUeTQn2Guj6acb6tehHfBx8MfQugFm+VhTXreyv/K7bSVs6bqhsnIQ r7Kp4QBT3t4Kt4tozDHbWwwgVbsa1MHvWD6h1FuX9CjOuidL4RMLPs1vtyiE5csy8vIZ51vboQAW VV7UBrmTIyuqirJ5St4yYRm7JnKqZM7SgObjLE7+UhM41tcJCed010r3RNgNLpnKa/P+Iu2NRuBh kVY87GX08BbmsavTOdKWTriHrSr246dOdj8DnTwqEYCuqnrXBnqgR/pEXdv7lwt585/F8GhbTo67 T9LgzY4M7HTbXJBAlGeev9MBuQ1nHhEJ/nXQT76OfNfnpSmi6N2MtKbxGjsdYH9ss848Ymk0H8pG MFMZCnuIKIxtjzNXvwRKB9YkJDRrYfqOJw7LMmI4/4h6MN1GiXP74szqxWRIOBzzRWJS22IfnRil /+SemFl1J3Anc8hCusXISxwGrq6KRkFQNYet4whWEV8/otzgwyZU0jaRDp+ebR7m9BFZuQV3X/rU U2c573N+ZhLo2dGGLfBjQQ8Qt/IiVCmxVndVOoHPwoiYr1jbvK3TBwoykS2ReEgJbRs+BJ0O7yzC 1YleyABKW+Zh/QbHQYqpZc/cEcJ4SHydb6eeMjix4l81CF9yW4736Zw2N05730yStuu3Mkfudzd7 0JO9IQ7exlA01WvGgPhOKMXOZ1UW6YroWp/PM2BJYGFLu4vHT02ZQP0on5e1omFg7nhxCPoZDtEu nPg10VymlwF8BxzkmX+fib6WhUgYxn4wOzf5FKs70lhoRSVHxPyA90qdCFf1dAE8IxXHgrAthOCS psDYcsHFdstHIo11RwiEIl+SHmFW+qI9LlQlFAvo1QlSrhqwHbMscvVQuG4/+wNZQmGJwW/WVnPS DMIjc5DIiVdywf7t4q3hpT7jlXeJ2xEViymB/qcqkz0pqoZyeRaKvjcidlG6G/bbDQV0ocmULk1z wscMPWQuEwC8oiSfPl0Nz8JcJANMLWC3ehiZct2wZZAFanC07gWWVec692CejoZ+HpD317XHEAEH gPApsXPDeewqiLHmKgyFVn4DPlWfR3acHvjAL25VdVdoUN4J/9ZKZDbShe5VJhFU5j4/T75QRFkV EFd06bS05xQ4sJ6kK02iAwMJHVu+eb7LfCMsz2b+pFQBszapF8bXV8PhMwVAD8YlK60r6sBQlr30 nHYVrrpsAVo0ehIGzEarY/vPx4IijrwrYyA+E0TbuNdyvf95R1Ac8vznlgYaTHxQyN6br+KDKAuP wY7wV5jW4zJUms584jRk7LOC6b/OkLNdW7UYLR5Wsd/S7Q5nf8/j+4pfuEeR0KTtNNDtZ5HdgZ/C u5jkokH6ktxVQ+k6a1tzyMRXtHvsqs/WGQMAsIe8Gf6vdKMOwnGkRwcN4rj4ZVqzAC+5c9ACxI8v oqLs8NKV7kF0vftA+GLeVpnmRHC+c1PYxfUkLhLZwcAIRpSX/5dxOH35g6+iLG/UZ+nM0Sen809f iJer4s1QW5ZiZKIVGa6OKU9togFPjG7cncPXvOciiEwYVkFvv1L1EZYE9uaNjCNWODlvPAuJDS3w wFlUmveNOpzUSCAzqdifdf/RggdcmNCZls9sV6llIxFT/UF1cijHOukKRL2tQ0UazkX0Y2JLFLWY SsnMS+lEUxUeb+ckdpFS5fWQOkzy6MQHJeeUiu8aQ0FpOjNrz5SV/u9GWTX0FeGkm48E5XKd60b/ 8fwIN3qqyAQkaWbJBLN+CiZ+newg/Mc9c6aaa0VxwcRrAVzD8ZSnL89iAT3XSmZaaATYXz6f7sqD ikmlYBgjSXW1kI8m0Y3lifEsainetm4mejMaiMecar3ADZH+k0O6oCMzsdD4CuaXZiZTDd7QLvQ6 AlAGoFI4K2V5TUuBmYYOd87/G66OdTNE6722uJjTUjeFiqZJc/KGdnOTQoFlJnE23Tx49u/vlX1D Kv5EjEc/FV0WQlgQENldlLMAkkSZiEqdIn1kDifv+ywjQtGVPc3qp+3GT/4AtfAVLBsBx3ZlufTK GOiCfZUcIZPseG067fAFYyMCwUkAIAdmlBfVOLRq1Li3u3f8s2uzC0nSmt3MryL9vlqyZKGTQi+R VoSTaDeSoiXZ3XfRpgL4fs2lEqVSaRfn8Ti8KQSnz0VUcQx3qEm/+cmnLeq9zb4ZwFra4zfrhthi auPmOjYyCdcZL3goz9S0BTOXbx/Ls3opreX2Lk6lUuUZbEbMhSoKwivZ19esN56UMkkBzMwEOMAD FFQaBk3ye63f5Z5+bXRm2yKiTJHS+j2aAumVkqQ5tTOZqj+/IAIv5RupCq4Oz+wvAu32wF3R6ovO s3qMnjbs+C4GVllpZeAvLxjZlp6bv7/GAX3jxnYBugovJCDtEIfITTf9akijhBlmyxvxsF2VQMOM sRZdyB7T/tMN6XEXXTOcxlcK8qNFvyRLHiJa6Ah/y9Sa/AZUYTQG0+6HrBRk1bVEpaoscXqiOhxF wF96WniNgqxYbBGUEHBKmvV+uBYeju5M8E0qNMyrIfFm6r29ta/QShTWBwdMAg+xpjtMmc0PysSB BRAw+Jyni8DowuSGe1qBvx4MlpV7aQj/gFR/uO0Z1zgmC5l3PYPzBLuJ+l4EjdcAtuWLM6KGCR8J LK/83g3/b+qHSBfVecjNa6L3JsW7ul8tj/iB/+j5nIxOEEGYa6+kq+3/AH1K9+5ukKwHOfKjXyp8 +5D41zLgEdeQzXKuvyQ1lf2wD/0FjZimIqD/4i1TLZYGP+nolO+is1D1crxVvkKRaHnJUoK8gcbL DG1jqBEx4gnZySdgzdbKHoctrV4tgxFds8WrpzOUcmSAkzdOaOmOPOxrJx1fCjziuW41BzPsYk+c 8CIaGmzI9RIXU8njMfd6harJjKHgkKGxgWVGCn+7aPLeLCeyjfXLh4Pnht4/MkyT0ud7U2+OngQT 3SCMP7srK6qypPph7WD1/rX1Zz7eUOz7cl+1196skHyo8C8siUPWJQ83qAwGVO3sL5RUZwxXHeh/ KcYED+wudHSppdEH5pa0CBrJLrYedfwonTTEX8rE4bnaFDLdbjNJLTN7Toa8tvR+imwKIggmxij2 zCLKYUvFMDOnMekQPjcVPWR300+5XDNSQ8ptbBfy840EjGAzGwxLSxWgKza+FBbJ3ZJv//7Y3/bq +QB5pSLNLNpXx0gd8Z+R9wlGzhVFS6b2Rop9nxZGmC4zMzAvsEX8Fp+oDAm8DPKRjawzGR3r/Q5P cZamC0DpQKJwrxxDKgaEdnSnSwjGJIahrp5Mgo326rWiyvZOi+GO/h+aeH1fvwdXpu7XGsl6D1Tk jI/s6hFZPMj3ePXWgS92MCIKsv3eFvt+LuKMIj5cYJzC9tK5BvPHtPdzPHwem56UI9WP7DT4/cQB ZfnUwZTe5OatBR+WiXy5tW/B7yO894lCIPQ4udIY+FoLQRurw9mHLVmEqNFu7/rpViRqmcf7SMyG xeDxaqSBMyGMpOiomlIoPbe9jQqaw59b+5ivz8QIkCAalTtGhsQTGr0fzFWBYaIx1btAp2gzEAOL o3LLhDGqWJ1mcSQ94ioLpd8sQKKuohxH3mu9L0C8lUyAlRqlt8DQXoDh7jbQ+oBLOSzioAzHN3MD nU9O/O6c3XP+n72B0xYkq0YrjxVVpye/WuEBTvd5Y6grVtIpwXhPV9sPWj7V+Z9Csy7pM91W2i5O 0aMr3kHhWFd/rX0QxIZlbFShcS/b5H90XkLT+uRWPgMj878YJcakogUMohwqBXKSAm6Je/jQmFlV NrLfJGrgJJUIF2MVLbQyS/1KX+6USl0heYyCWnXlniRFI3MMXcHm32UGDzcppHVDEFFcemPyxNQH tVrL/2bhSeBigZ01TjDPZEMJ7mNVI0MOE5ON0+TrW6byROXfKr7VFEX6Y0pJwOTA/7wjCSIKNlfe eJbvlv68oE1un8F3wZhRroqBvfDN81vIvROHuDsnfQA9eTv0MA0WHdGSp6b4kcvlr0qWfCUOA9bW js56+1/163pcBPPauoq4QtcFT9TdwxK9SnJkZ1xGIlvvsISVFaMT8srJkcCdj8gn2vPPQAyRt/tA ji3CbznONV4tQ2xxCzG2mqYaUQ8To1g9ZUS27rkNYzk6Ki9qrMbm4OwKcwLvpapSTMvUn5NYwfPt ci7oR7HF84Ls5k7+W80WnSfHeeqm6Ln9hfwMBhkzVw1DbJgGJsNpM/yptlde9X+J6/TpAYdSJHa+ e6vs3CMNVYymox7WFKDY44cFkI0Lf+yrhObmHLq3OlFFvVOc+clomZdBvuo4LGRMZn4Bk5gBj+xN Y92VOfZcWPrC2/pULftu0ZWQmf4cTkn8y4OLa7ASqViK7Fg5QUgtzMMCkEo+Je+R7TXl5A4UXEj6 7BmwoeLemI9eVh42ODXj+GzBEunjqNyZQ43+/1yrFWVpS2VuYKZki92fzVj4u3hvH9wU+tMXE5nU CUENLgxS8JA896PMoO4oFzDqaf1XyN/m5IdhVoze9yCbe9z1XuPo8HLY44Z6nJPQRmtou2SwgQfp tvNHPIklnaKO+pBuVmAEU5l7d92vehxxTq1IQP0Buv+r/80qdZz+jdILAlJN3XlaeAd5EdzTXvXg c+ny+0oknaLCveq7tQzjZKid942fsKHzr0oOzqbAYt0d7+E6cmhGVvm5mPt91hOSJEgJshtyDoyY qqjIcec5pQ3moeO24Qn3nWRrfFpEGNbBBJiI/dJ1Hgi0NR7ShseMMaOgI4kpjeGlVkMMVXCDLYS/ 1pwkxK6kKE4ijD/z5rAdVwhHEJH+BIEx7SbE0jm488rfB7r9Un9B2Qrk5DF3L4K9heeQSadpXK1m G5MrIsyTCCtz48h8+0MCzobgIrHJhdF0M/VBuZkadtILRdB1+RGFDNZqBcZSZ1P9lj/6KgzkHupx HL5OEYRon3q8SmoxONGZcaVwt2JRfvRQvS+oiWQyviZTPla0hKOs5SUYR3FyNFG0sI1e0hITOwjV AsFG7s1C65VbBFyCe24ymzuIoKjDKQyk0z9y/6zaHpQFIHjsvta1HRFnDOSvw6RtCLkG71y4XDc3 gW/pn54nmuavUtnzPDK5MDxE8+YetMB6i12OhQgejO5tuTJ/dr3ai+HDrdibn7DmCYdArkuYKxaF 0dHsoCcfRWA4/9azg13R3ZxekMQm56Bz0QcT0ucNzmMwWKnupOdgPIjmFckGG6Wje8IU2NI6UvUg vHCtBxp5DydhA5+hUbje8u7xpO/JFk0Of/oOmmkAtUEBsSicsUbFUvb7puwxwN+OFyPwTVMbY+AO myxT2CNA3iJBF8Ghr7LkIH8F23u4msJgMuaYJa8gqx6Fm8waaJENE5kEEzvVpFLF+2ivcnCb7l6h hKwLoV9tUadSTVjS4z7lndChR5AUo4yjcCqKbZV5CezkQq7bSq1yk/EeU1rPAASEoIhTC8PiNtUq JQaG1uu48jGpZw08VWv6/076/j51pYsSwYAsLd1r2AmAw0DYF1/w9O2t5eYlF4xbLc4AN7gKHFNF jPzVzOEhWqT6/mke4RFHvjbf6Q+zpXjqyAZ/oDzSVQvVYrl2AUNR/cOoXtS7i1db/FhC0ZneRNQU lMaTLXcZN4vIofzxEiKrGnQMq4p8hgWvbmuOE8UknYo6VgHNTCuQv8SBLrMcrxgUk6oGXP7Ges// yaCp2EtXax7l/n7jB45mfTmaf/m75VABREjU6qUTGYkHZvkpy1TKBoj9zs62NBPhqi94eN4VE6fw rWzBQo6acjdaFJ68Hiz7Zz4Y/Ipn9jAjmNFjiqGnohYLOLtq8hnYNvqDe+EZp50LFcBuWED7242/ BwEsJsXxKvg97nbz5cdR3SRb1v5Z/VUPBH4+Z1pj0kZDt4C/ej/uMenhAhByUYfrEhrNJy1BO/S6 X+5kJKCeivBv72xWL8FbjKCGs5ggl9QSmguXc2X8i8eHKb+9+VMPzaaHY/j1kgqLoHJl+R2+STkY ZIPMHtCv8oEPDsiQlpiCl7OEbbNiSHCxr8z5gd0U7n028QdcAzeMNDQyjd8ifv8bObUCBdw3gPwy kVqS2MWUbN1R8phYx71T0+HKS3JFpeuwTCeUVslMvVABo6eR+8Er3CV5ux0+cJWUbEL0nB+8byxc F1YO/o0k+VdGBzduTRYXLZs4PkP2FmxFttl7tD0zznbOns14qF/d8XGd8es1IHlpARqx+cvyQHgv bwNybALzTjYuW4+y5jMBzvx8SMpfo0zlHvmOdnKySBSq1cpfrfbp7wzVI3zysP+1lGuKRFKuKKcI pwbz1GzmZkewX1dIar788A3kvq7O7HZT+UbsywNZO/dRJ6jGQGlSqPkqkafJ2XGJqwFBVm+rcOK0 OkcnGZ939wOQtq1PbK64SWBl+pIo6q19sW9mBJ2O+3SyCgEkHHgT7jqInfDum7E49K2DtYEeqzKm tsLo2ExeslQoxGx/yLUNNPqhUOewvBxZ2kBdvEeu7GxZSwCvUeQFh5sQpPRqhdDl4CldjMpSQz2l WrfsM0C1+krgbdu0E+CbhoDDRV35GMMBqisXAFRvcUwOmuIHW5AC/Zr2nkrP68ffyHRTWqZOaWFy OVfBMjbpK9Q7bR4jDhKne+Xm/Y+zmhuGzYHv6uAfWu8a3qn6VZWZyx3q1mPgkhzZQwN9wjqc7roW EO2aIE7KY0KEATaun4Ha/eArbC7yne7wXkNMW1RYphx91TnPlw1WXAvbSFKHB3l9WiC8vNAvrRmA +gklyYKrOT5xXKoSHt8sYQUCFD/CHtftxYYGtafCDwQS4fo75HWynP4gfNbTNd11/DIIIeZjdAC2 u1zB/lHsD9CrtDi323NmsZQ1oEujIzFa8sgLLZszzNINBsIJ7wneZRDq5Qiyeg5YplIRz50Q8Uc6 2SblRlFKS2abqLq1mQg6whGpI7IKji0IfDUqdDqo/QgyFfrgdg9zfUsf2hkiQ2JjNJpQDduhbhDU futpzoxTeHDw1ybqqOiSk2VpVJ83sZlSmyYpIMeX32voCugcX3OawfUoogEXaTRB2qVX1hUq5k6U eHW0hgzvjomwCWrmoZZ480e8fOa1LZuqEec1R5fr4ldVJWySDa7DvSv7KpvnAKelpZ0WscUfPFwx OYFDohEqCK4uOufQJAzPGpLLM+ka/kSqgkvAaNO5g+8zpKPT+YExIcSvPyxjJVA5USLd+WUiw6rr j8TF5t8JuVJIYpA1s7g9GtxhrFbD0gV4j5DfGbnIwqZBfq42nZHtsGHYCFpX0LyCyV40Tx8Sgfr1 IHXG59RKYE4+Jlvybw3GcTEkWDlizCFH5kTgbeWa13DzqKwZ4Nxhf6RwncR04OInaTzToXPsNxDX 0MFo1sjIrFsMyrp+pRvghfv/VHluwx3kOaqMoT0h2GrdxyUszLDrSmzBKqnlqGZqZIkoJ84S3htj mzAOzX2VXKCOdFiIIVef4C+Ghm2L1FAly/gePEcWx8F4A+N4tQy/UD3hk0oQAcayhcXBuudPr9yL HSPZtFDgTcYG1bM5FNqgggjcBynIlG8HFG/jPm5AgCWmDucXzAN1H3y/d3dUboETtTO6IEoEaYyb StQNTX6RnKy92aBCjPxxSNSNRnY6ya2qG1/sNpWADZQMLcb0Ny5y0izR3ojk9hOa9CqN4fFdR1CD YKCJf2TYkTEiH5rEpBiBp4PxL+4UsV1NoO/uJoeCZspXCLUejnz3a/LxbcYvdmubiMXydHZUg0P/ QZCGikN4rhVupj+VZRVC4AYsZZg/e1IGm6TNOpPEbkbASmPwr6QK4fnFD+w5AvRC+AXRcE3Cc7bC +0B5Mtg42YpC98XtsLELDfEI0HeOd7SzWJm9sr3iEqW9Jcrce+Vu6PVrKeLLQ9X/z5xSA8Mtxkea Ayf7zHuJRNOI6CtJwmZz6lWn5ga8z3nzIdx3HV2Y4W8sXvR4Z+OaHKS3UK+foKOfzzQ3olv6s7ga W5ldkzZI/1dGNN8ala3BFWNG+ics7FQLMDoXCf41MLzgkonMcW/+eGxWab8Xufhhw5tF416vxyo8 G82Du7mEpgJCdz8MkE1JSxk9Fx03Gru0BB9TskDkyLK6f+9DS1iom6prvEbii1/zQfT6qa2XI4ps 8f6wubu1CEuj3VHliIEMDKA4iZFYW5hLonxoE2BTGp09aKv7ImPuW4czh7Rrw4AXv5X24M/Iessx B5hphTP/GQbVfNvc/WkejZ6/hooMp7Wj1zKyYij55viY6BOcRf4Ep0eThB//y4W1namqcL38llyz y5YNFlceKpjnKWiWZcx47JFv5/TIuQlqx1gBrMS0J7XBGWTyjLbKiZNe8VQYuaxE1lZ424N4CjpF qdJC7LSNP6ZF1hzRAsfIie8c07z2GDwGLyx0ZYYv/QUtzeEl0ri5/vFMUDbQ//QFhmbh5jqFb8pE JxZHObWIJyRAWCn1J4WJQ1+JtDdZO4MePrs9bwvkQFu09oHNPQJB0wQcndPCV/tqLZCm6k75ddzr DIJpHAvAqWTf2ZeIeXVbfur2J1uBrwfXP9CZWgpPTUWTURfxPD+XXLnG7Xrgf9j657jyGBNSV88g LMCuduKXf+n2So2Lly73xSURSX/AEoxpFj/u0UyvCOatzLrGqOWX3Q18IsCVUKl56etqaPA8Zje8 2v6+GMnddhO/NHr/R3nlRa6GNZPETAGHWUxvH/Cv23awef987vgW/02ZkwPYaHP8hZG4hamL8jNe KLOB6YLlzsk654rLhzyySq2T3Z44eK/HyF1jZD2SG2JhzDTkAHsLH2qYviEhbVke/5otknvIZTKB +lfTBCgJCpyoZegzUseLwJSj9mDO+huyp46WkAvIf8kS/RGiJLHcK4Tdo5D3HHEbfTrRnmXdqS/m DCX0gWRSz//FRnu5lqscwrnzs3Qutrv2U6hVd2bnbxN32LqL4ew+ogDSk4fpuYzM7Sjkd5QaVnbi VkfvdKcAFxWu/8J50JPtMBf+6vBB+iKFMDEayAlvThWM9kyXK0wUU7W+p+N5LNIavMsVNvfsxBjo fLE1BU+7wA/6/POb8VULt4iKn/IROS7WZGBJhepaYkORb/NmDOQdZRkJ5IlMUx+QUMe1hwwExWMd jdvUDXoytgjI5G5NjL6w7tQXvpMUcBOTpIm16fI6gEc1WeIAWsjxNngAhm7yX6SgraigRoWrylgN MyrCFAsBh0HqZza3gTgYCSlfiFDyuCtqmIvB5FRTtV/9acn7NZq9n40YvlW9v4K5AuRPsvvgXZto GRWtl3+xR6E6C8uGCnwNUmVq6v2KcivuLrLwxJOy9mq5ogQWQemyMKhkFdW8MI2JLq6EQVNjRMzK Q7fUE1x9g9D5S49EA+Ounji8eY0rnCvYAZ5i38Qx/ELSXohmfFoA6ZyVHCxbJV+OK45a0xJMu/Db D1p8LRzyLmWok5S/M7a5+t7xTC1bk1Pv8fUdlszkBtNDfYi0F2W+xEMe3NhygQeaiXiTJYHfNdRJ h+ENSLWIJgepNShOOAqpJdx8pSI6tGyQGBpNQ5BCMlOjC/L3i6jGpZIWvf/bCGHG4x/WA2SN5vwW ESMRGJB6+NpdVdlU1yhKgEG3Ilp3M7+3HZ8w8lpYS/WteCf8AYzTFuG2ZtKVAMqDJzAVZFfWpgfQ LgeZ6+aDhyUo0vLVICln3t3Ul2wMyevHlf9xh9PTCYfjHkWwfOoN8TQzxTr1Of9W4QIS2y54DWGF 4h5xp/sxclR0lZFrqZog3YqnPOaBzQfApqaZ1XfqpNDmaMumGVxLAHALS76d0H4NXFqQYTl6mA34 IxRnOtTqbdXGn8dxOaziNuGDykN6BY3P8gnfDviTynz4IQM2G/ZOOEIJItOwjDm+jpkoQDDnxew4 AgGi1dNlfCmv+OoDFwny1v0bPD6hnrLnofUJc0Vn74HwcEdruwJWQAE6Yw0ZdECX5hb3db/S2es2 0mUw7xhwkOSMUbfLaemNZKyi2BEaT8AsJJpqgyiEK3uq7ZGaX0pOqe11tHUDOYdH7nGAa3zhd3Om 4LO+cqq4DJ3RNYNXT2YP4xaTLOOHRQADMvaVEiLCQhdIZthW57SSmvC1dbfhi7QhRnX+yv7NoJ0k yyvaT29tO1KdKqodBZ7rl+F8IrwS9Y1Pbfu3CpyAP1hZgaWcnFqnqYwIrtTbh13O7lT+6uZwlQ0I QotDvNTkFMnMjBl8ZiXh5KSdj+ERO/Jx23jxhGL/ENdpM4P9B9oTFMTX7vjNV8yuIZFLUxl4Xfns umISAfpmvj76awBZ4TOXlmpG5/StZwhuyuv2lVzYXRpAOas9+LkEerRP11ke3EOhrqFLyMcavqpD UqS1IHkdxNUp+beMlSh2cr7QtK0DTxRUgzqc2kG/pOPHXD1noQTjNCgwxdLA69KGbnZV2WQw9Zef JmdWeNV4LfN6LKOHHVXaQW5L8BgpCDsD39rn/NNm0F/mh1u7HBDrLM6rEWtl6iwpqNd/OTMd4xoM BDEX1N1QHBnzUdfJTpMAtG7PETkekQ8407sw0cXJzOmi89Fsl3oCJ32cZcaicCYsit0Zw+24ZcSc 5fI0InGBnPRIFiM4FgIE6R+sUydWMgv2vYOPfHoslL9Vtx+0iFLQXoNde2gsYrW0vewBob24lVMT 5RLoBeFN7Z5Vy3Dui+HFMJg7+rqrANY8EG4lBnHyUTxxp/5lA3JGCX0TskzSI/h/t1TKCtPe2SSq xvJXpZ9EJIWLNw/TUnUs0aSPGei5YHlnd2A/JRsExuUoGuo12XgnvVIvrfXFCym3945QliOatZcn MTy2AixePHtygiEca2tRBGr+WQT1jfiLuJT3ymdw29BKfGXeLkCrtptDD2jO7iPx2NvSdHAOKVli UUqJgx82JFPlVtjiox2WVmL9eqB299VbgctBVGSDmilskAl4dz6GmQZMa2gDNnR33JFlA2CNAJM/ T/SBqyRNashYCasaOFnD1WHFSBRGsQHHXURHoVvYOjYA7eOxfRBJu/mUtypNm+4YqftrS7Vejbz/ TbHgYG9nF0JzMqDJQV8S335iMfzoBc4IAHtSYnzr9+qFtENPtUOqsN9DS67UAEwYA5v5RzXav9uH 20Eql2QVBOJpyZGMq+Xb/KJi0xhAhyMyau3DEZQZybtaog8N+njh4tA1cMYVKBPEkVDi2VAfCOud zbt2Ze6S6nDtgPu8E4BBURMGhWTtDdy4Tq4xUzl0Rni31x2vCcWga8rBFbsq89GwYPGlzuu5/x5V d/JBP++qW0e+HgbA1FY/+fjJWgiqHvcWHbE1UfPVRWwrOsLEiz6Ww9EYJ1VyoCytuDzqJuc4xXzk x4QX4Vf/SA2nAE+6EzQ10JQyBLqVTnkfyYWunLaHtkMqhPOKPIAt5B+gIWh01qOzorqSsSJO7CXN vPr2jzMOGyZVFWg7O4yjU176KvP4b1joZe4eZguW6FCzFhC8uDGf+nsvIxBerKrL3pncmqUuQYru Qq64kPbh7e/uTW9y7tQTt1rJ2Ziq2ZigqhWDkBIvdAzi+DCDGaeiGNzhfO3OXcn4V3PcJ5tfBKz5 VBYIpSY1GoVblinRyobFZoQHbt6jxbmIFbA/myE0wvRFc5vo8tgPERvJzGgW+1YvyBSL8D25+mZm m6Kl3awOzFCZR6yUUuyeQ+HCYhUD3xO8OXgv0aOmG01XWGvJevqqMI7Np8mGfBxys4ZycnjOr49S 9WdjoZWSu/sP73u0FQKcITVlKhExtMbURQ9yzjJsJjPA47uDlwmiNHx7HPk+9X8LwOx/0HQY7sSE pTYsMoPHsJiTeWl4OpW3JJMnEZZzfh7NSErbsSTAmACjwJUTbKRXZPPYl9mQkrsaKEJxL+MXNc5O mRENytjxu5M3dxKkZvotQ6eI94ifS8zKx8TdSgm0FRL0+Uxggd+mPlFR8XoGqrs/k5CCjCWVGCTy CDp9q4cFWCNhZRu5nfnThJvzK8UjXBnx2n8INoLRogupUBYiKxgd+k/CMOcN2cw1QGD/qsvm9tXw sy9ImKc3z47VYOCcjDl88eMBUKQRP4uSlC3wtPExnh/Y0fK8lHsWPT52M55lqJvlDt8mismIKt3J 0eh/IzsyMYLANTwCtsxmrylMDVYxock2drt0tayvZZMOYu0g6EX1FaIF5yBFmCd0zuouFDyjVy/9 v51ocwAG8aCSJda1MnfU62bHQMVj7GyLXxiDQc5Pl9Uwkadz/uCf54f0S9CmxXGWQAxsVZ8qh6Xz 4ev78Qci7Kdkz711QUj59uNQliSEKs/N2IdyD+vf0SJW506SUF948jGmL/NUfBx7G4FP2XURbzJK cKFQNw7QkTIy22hi3kqcPVbxpIxGYc7MQz9VllPMsaBPLT877a+GgnX4HHCNpAG6FW5nW4bw9S5E +wn6IYk172NSZuaV+yfgpndyQq6xJkZFno73lRAUxaqPYv7aqNkLKLlNTGFe+jP/dhjAh57cVZvT v1lSODN1GJ0TwTqpyYzSsPJPO+UMUwfmS3X/RycK62CPsvaGpnszdQf4u8oLjyFyJsoBYJeguRjc UG/IphPAWo1l5zvy3zx0a6jjIX5tJ5wcZildkmXiBAYvNNQVWVmru6xA6nmhJdzOxgQQZC/K4dbK 8FzvRKAhu+qmiD5kx0bTlEhoTIjkfFWv5RfHCUBwdDa/GP1F+9DrqLCtYZy8rjm5ck7l26sfEJyk Eywa9LyCdsLRktri93gkMcGcer4Yw2N7/5d5BTlj9/Thpf/GDA/wE2Dfs2sgTWkSyFkM2EnAp+RO ZybnkFSB1gn6IaEmV5q3RmwtYS2U91/AUvt4wqMfY81WoBwPJV7238t1kCfF7w/5KicFijYOJI7N GAw1/bX1FRIAypNWovpq96pVEI2mSjtsK6O1FJqpxWSkPxSJQaFwjMtPp8o6/mQ9TZDGsOXrdPM9 +DgbNwIDPWCyPaHM0+JF1RvCwUWyAIPKn7yPVjY6SSxzj+ldK/WkbvWwQ7ncRgJyV7g9Z6spYVJD PTckwanZs35m0IAJ/F0wVithwAJydVXZKMA4Eo+zgRE5bybWBABptX22h7mdSLpSnDp+iTQHIhOU vyRy+vC+i1Wu6+G4ZvkPYYU2hUp3uHbPyWMzDwxvpGjTvLtZ0jvchFDgltFkmULvcoG45MQO3+aV bn2QhuGSi3uiWb2l0efRoHh8SdJxM/+/obQkeO4mEoSfm0kv5rQJQ2xbEeopIKM2PH0lNL9xwM5x cRRx58kdVJuFtPOEXmEkLLUoE2rEEKReyunDUwkwKeS64XSxwXGbBjotnOjDQhcqFsGs1/cRE4Uz pUsP2zLq77Fn9mIYv8aSx2LzXp74cS458b5OfkdGcskXS4iLTZ/G/c7H6bTr/AmMsRbmzKMRnaKW BWhEB7wu/BpvBg1IMpkq5nxJbwMuycFzr1gFUIeFrvJArJIWMet0oLQtrr86CPaFHYS1zOvXLfXH WWv6opK1I6gy4lkbTfxhmQ25DErZfdyaw8Uy+RhPk5z3ZKzDtFHlAqao5uCiBbZu8gncvaWW2ore QVS3M3SQFF+w0e6qyy2raPN8E1XpCPslLrcg0Rtf7DSLO/POWP4+23x3/ZOepDvjFWJhhpQ83yIg 4KR+Ak5TTToohsLMv0+3Jn8WWhOATHORuuESdyG8gAHVvte5v8SIZ/6c6O5fPbkFKCZ5iApVmNfo jv0pLHddES2y0Ye/w0lprHTXQBb5z04X2GGUdGLvx8vqvhhaCgw9PjTfzTqQKR+hLxky7hieMe/i b0/k6PmoK0o4b7XCBHMYmo9ppWFM/nrYyz0C0xGIv7KXhfb6gwr7jHwbRZpJ57HXmuNk0EO3mrro GLsmgbouMWHIbVcmL3rbTPxrbkAIoS5+ueTrhwzQ2memR7v/kntWztEOcQccriDkshTdio2k8Enr k31Uxy0cAveP9rA5eEPDfFv6yfmBMtzcn9/8GwsfWoVy8eZrrrGFGZdz20EB7uMsRBAYeusVb8ok HcEceOTgCTE8MGJcmZuuaV1DP6Mj/wWswSKSoNVg/SsSfvf+UZMA/9KJnFcjFp0RvcEpqM04meQf JQo89CRWUpvtuwIyqALnhXwiUSbnC4AbrRelpitcR0gSh70TiJi57AZQn4Tb9O6u2rUcEdmNuaso vTKvNp+5r4RHWYRaxVD8VNTobldPRucCJlnG6doQcjsljGKEblOK+y0SBaoi4LJW/AOCjPpTJlfJ dSWbuo2fYLbrdhIKnEx68xiF0tO1A5ENnvOVKNzfd66iu2nKD4fc+9tgSR0fS1oiozHso5GL63Vq QhGG5uarg72/6VcLRIEceAcFV/2JSnTI3O2/1wn0Ha0Kgf9uYbDHb76Fz+ltIT+PhT3esjvTQ/Nq UGSn3ffuBw2loizj96oXz9peqY95CaQQwFKpq7Xw3iZEMlBcoY79a6slBSBYB3cbptw041/a2ui9 DIhOxPEC/VV1AnXy0WAgvsFI4OJlFHVj2s9Cruw0wuIOmnghBgbU1HfU1KIcc91Z/MFHp0LO9j+B CS3qgNSNJ4hpgZguqKaBSfj7qMuYWGVcoQz5w+BwHx27/HzrdjTFi4wdVgzfur3yjGSF7MZ3miGH xkgbNfGjlXrz4e4thQ+yRqoNJ+8vGdfktg0E6nDwzsk4Z9JKP/dAR7EFFDi63K27WymolIIAG7+8 sqq7kSuLOLl19nyxNoyfcOIE+OQujXKb7cS4TzDGTg8fZSfAnFNSOfq9Ak3269MT5lkGDxnPATde qG9i67/ypzNhaimDF6mJfpXuKiL3+wejF2OLPWW1/oR6hglgwIVLaEkqS1jJ6l033GMAD9pla9rW iCLYCXNa+xXIx/gQ9VTA8E18/fHyo/gpjZ3HkQtetRO5q5xgbA0pO/40D2Q9dx4neHpzY4mWwxBv TAWIGa7pmRqtZL1G+I4KJ71NcPbjkFYLeH6vkoiP2mMZGUZymmyJys4OkhLSTaKw2pnXOeMkDUxq IgQM4oFmtU0KdLNOvGqsoUWPMUcL8wa6Th2eWAb5HC8OvYGX2cQ+HPeMp2CnmcLXdCNgxtBnwaFV yoSe7n+yxhHXdX3H/82UwTMb3FCY8cqAK21HMxXC8Z6PitAwYRAHO1RO+6et7J8o/x6MTpxeWQ3p P505Oz8+ar9Ub9GmPsEiM3UnRpCByIOgXWV4O0I9jr46u9M6B+tZXzYjj/soCsDLdVsknxUEcUUv tJuKRb45yDrk7jqsNcqVoPT+lNogR1yzFkzNnymD1nzdRCxT0kzcX7HS8lZca7kHhkRLF6Si3Q1i uGadLOBVqSDrXeaMH7zPPoA1K+B4Qs2cY6FepM/FNEYttfa3JuE3b1QEsOSom1OkNmlLv2nsUsnd kj4K4iIJbPecEiSSYmzDNfn1OpdWj8fRf8NrL496Or37eBTOoCq9WY6v8xLwrmpC4GBogui5wGYh 3nzGG5I0bxdc00WYY7nCB5PIEceSPEMTNrR/0vnPt/fuK7ij5TLVjeS0o/np7ULLFThiDG5kGwp6 tPes+SQV6mXIu/pAyyluXu/5znMDd1iOeYRsVQ6KV2a9HnEVBHEzwlpZCsj/mthY8V5zS9PGVaEA 5p/PlXMRosBv0Xv6HdD6Or5AkOIMmlrFdxthEBoXtbymL6540t1MsXzWtE8sh/Nzg2kUr1zHdEAu Sme8dSmVylfoKgg7UDtgK/pshMevjuAwRStUn7xykILDhJbu3LU3EwtuDO+05KolLOF4TVkQQnHx AxcNFUEopM0bsoFTCmE9I+ypGXHHy61GHHUiWlvFrLkXICrbqNgueriQ2K4FJkjGBQ0UNO1ntM4/ YxZ9wAmOKEZVGilAmskFEQD04jk18/Qm8wegmUzRdVoGZ6tIIgKMRmOh8/PKIPZf+z0F3C2lgJLe +PSX2GwfwAACBwAW3e6B5NmsF/IGN09CKcZ8IjDtaUzqlqYP8CWwkVk+Q79PKWj45Wm4xzvJ4HuL DyrrLNLkyqrEI9yr6iyw60YmtOdo9QeGrY045xGXlS6G7/UussNW7zwPm2zF5U1KY/f8kNB3yMAV oqzfT2kUFds0ntiq2IHoWVRdCtQhvGp+uISWY1MjFFvs2OGvlnppXvCEdwGSNhpnWfiwgY9PGeaI pftENPaUd3Avu7cqrmOSRdsDZqaSHIkcAfFUiKtm2ag03an3GjQKENJW19bzKMIC8zYRUIIXXGS+ SKxdIpnAEg+IrzukSg2nqY0q8heY3AVo8sxLWB0Ll3ymmmfxWjLr2uG8AlDv49qk7+RvmkdxObUM ywf+UJo+TrOSynVkJmpWM1X8C7z3aBHG1c9cSVqUnfUD9bue0xONrJ2Dx7v+zWoJgci4QY3veRN9 slOj/WnLaBZhUH4q/Hik3ESNFAEgbgIuZPoj3na56ils+7Jdq4pjTSsG7mi91esZ5nieaqKSYmZN s1Ws7mgp33EMZKNPvryWNvNipTXgRBtV/z2VA3nk3h0wwEpw40hh3JIKt1dPOEWOszRi66zg0Pq/ O38dVqpbRw6WdoSAHZKepqq03Nk+X5bw6QOAXhsFjU7J7xSNCSqV/Ix9fLblBrmHAMeZ4EJfhfbQ h2abqmE6M26GPaPf6ew0z33uHWUzYtIs/N8iYaWjxKXGH/KshHwE5WTkWjwTRFwme6iAztgL37N+ KXAgbEt8M9oN0CV9+GdDTKMAoVNH0+FAE9jbINUM4qHp1ZDyL9NqFEuOoMBfjaGfT0UF/EKVGcGJ 3ieSbauQpLAyboyEO/cxGnXGYHRlWQjunCZfg04zjQTphv2QMONOCXwVJNHqPjgpv1yrqgufhuqq T2AP1uGdeZnQUzzb8rdWpNl7W8n0a7Am6vM/NTyonAVtu2DOtKUBZ+SBesTtnyyCCVo+5WrtquZI bMydpiLogYxSgoDvsMJ+Zq8xTh9LsbMM8D+K3WH6lNYQjOqe5SzHjHnV8DdpfuHXoEtYyZI3iE3i 8nxTzWPzJpfWbsbLCUwzaxLkbtuLbinVzyOvRyXqN2nikfQ01L6QVK/uc9TTe5LEjnTu0At7nD2G NfmrecQO2DUpvLoBexchehl9AnBX5NfRkmf8n8XZyIpHLmMgMydVUeI3/WQ1yj0H38fENX/ywpA+ 7hyuXbZTW7JbWLC/PyL7iA3HZLgdxqUYQLygdUxT9QASMWU+ZEvfba0Dd2hzkNPDauauOeBDg3pq P2l6nxj1mTroQNZAIGfVdzpcQheCc8W62O+yquAjK3omxspWGI3Ex8oVHz015MNP1u5wd978l/bV Mt7PKfCuNHr1UKaLny6Fm8bhCloklKtuVoNjvXP+XYdrmOdWLOt6gcXKsL/+ySxp3XlmQkeGalIA 82hrZi7w45shesTUd9CHxT4doqhABRXg+CxZAj6ZqPAtkMi11QVN6+7TkOvXn42HBn8BEvBHpNSh dCeql9KOlDuX5vIUutZDYfkyi0TfQFppTnampJeR7F6MIRJi54gBUBRp4/3717WcyOHoHH50uX9F 5zbQrV476oGizdZC9E9rJ0nlFjeeUZU5bmYI7mWTwOsmJabHwRrDNF2hGLWGeubp25HW3G+NRYF8 FusbzMi10Z7I0qWUsW4AYlv+TEub4juLhj4HLtn3BWpxKiAkWpZre6f6b6tRofIrk9GVhpJNa8xw y4r/d2GHes0ovu88v3qWxCZwfqt2fYuT6SSB0IkzU6KoSQe4uLhmEo3QrgFospExSssmwoXHg7gh xT1s/NBJbWeGB4mcCC0uH28sFCipD5Wrw4okkcIIlLFGIXKlWI3k63i6oOH5iG9QdoXA+huBhtKM rDnw9J/Fxz+kmi+pvgl5zYFaaFDy5xbpMVJLIO4FE/rx/Pz28JJG7jiivzkTGv78QVbv8e2hiGPr 4RUhOolhvj3vPuXqYzoQdfDwlUOFcaY+OweiHGZzywWEx4MT+18CdTAAhJyhNc6YaA83gQGC5eDW 4gCYCGN65SMwG5OxjqG9QmqiR+afRR0ZK+aoCEKWKN5VceDMXkHrxmgm2apmdkalnu9kz5nxyvi/ +ErsnI9T3pUBAFcGJhETFC69keH/K0JWomzXzUcQZ8QT96VFFPGP5ACH42WzQFEP/5K0XV20vASk GFNXPixNzgSXes1BoasqI2sah0s+nXKitn8lL64JIYJnJxOkaGu5rWQhUo3JHnlH8KcKbZbVoMbM 98nep9NabfNhuTHSegbuoXzK4WQuoW5eT/2nkXV7bshLFKbGsQXorJnQ+EHZX+yxUcdnMBHAP0vD Ce4pztHEednJJzZ5tjWJ/tTifcn1AWdUqVdn/oMHSGnVgy2hE9MgaRWYU78387FDTO4L2EIrKHLR +3rXp/z8tlfoj0VU4Qa1cBeCRu2gaD7XLwYFTQvyzBgh04F95ONoavFdphaA9+w63XSKGC1nws+k hCa9YtMX5Ql8cDjRvhaFMezKVNhkLhqshv2gsaM+GEYVNwfWBG1VlHd5pDTdgxauo05GhPA8goxk TRAzFAvvWMqrFqt2mEDGqcAMdIPx0wiKpc3/0TBXi0apd83muV43wv2yFH6DyOXgKiYbW1K6NAGf 9OB1Jzaw5wW5Z71by2jTPdGtnCXhrSIlw0+GL1diBSVakVl7yhvH9cOfwQtca8x8BQjFd7nZrMXL b/Ane/BmTzhID1x1c/ZcdFFZGjjxnhoKWntRg1W/H2Qb2YkVhDP0lFrVTlMhykWJ4p8ouwj4QldY Dww/MTiXsNnDwXZuOXQsjHKbn3E/abG0F8gWJ4TvwsTFq8PApuBCW9M+dBSrfQvgBxqmfZZDIj/g ENGHnLmeiZqroBntJSeGOV0t1hFqFrqnQUeF5xTyZJUZbpPBbmjaKVM3RuBWKKT1ezx22iHz0/o8 DdfTWosOcxG/AmbdNejWnOQr0tDymRu2UevVJeXmvSF3GPW9bcLve1+PiJYRZO3OW1ZVtuat9aja XNevGeHje8etHf08S9WfeMZuB9LrG4IVuOkUdmjGbnbR7eqGPcVVXm0YrxEszVS//qvvx8ryWz60 Q5n0hVZo61JrAlN+1mQ+m1/qGePBwzudz7OSexXB0sbT9ycjqKzBS1F9E0wR24vE++LgBuCNDVOY FC6R5xZGzg85i4s3Rg+m9bJRi1ghUR6ZJznqbylIbj3ypFF3IoSFpUlV4bOrYrjHI0Th+6BFJZz7 5w1LDJYLmjiB0AF6btTmGpFR73IjodGjxVFR8QbrGzao3199lMz7fs7bQQTkKY2I6vCVG/6OmtuI afuha5DOcAqBDDM2dx9SkT7nqvJ80wPALdJ8Uxzcq9w55q4f8opP2q6nDQsILfwAlpZ6WD1tZEBK R0M30D7nC+WNrLH+ausXLmJ+fU2FsOAHhm0hBAMWFqF8GRZN5YGmzRNVEzKBmg+ucbS9kzCcSc03 6JxllIBZ/917Qxhy9Cy+pKrI/o2Bdq9hDzA2Q3GITxrMkYIGjbZZApmfy+8QGj6eCC86ekBQI1YQ 140P7JKmT9tnq0HflDuTaHddFSnn8RGVDdocbhi6fdO4eIKu4g2HY+4zLnpvy+hR1k80OEUpMD86 /nYs+CR+OosZPosORI8+0y6yU4ZKM8NpQ5jPeGX5vUlIZ7bEWoo8/bqIWhFji+VXQYhpDpSWitMq SYAFcTVJsnqvVzQwyzSK9Ru7uRsA/rd4cQmTe+YKzWLDu3PJb7BaYFJJ2zCV4cjI615w8HKSiR4e sJzVbr4jEZBDtprYmEUP637NG1PP9v76uBNNsHgJFEdQ2fFgOT4u5PXXjPNtNQ4WpLeE3igWIRJp onehNYw1XsnBklXu14PDTcAk7lblXb2uSkD8p5E1r0vmDTGmO3t2xtXKHBmEHV64Z2PnMUbvhzK1 2wibCFlX/+UJHEb4o1FJLmjFAH6+x9vHWa/nF8DcHAN4blE+igaRqMWJKply+8YRM48Hq6sfZ3cy lB8pGxCZk8uAMJJcrVn8iXaSNTk7/SofCw9wRQke9P07mf63plQPHgfDHWLk+VEhu/CiuiGGvm/d FA1OWx8MXtbRBGheICJqaz5KFUd01O/SeXwCz8jN/TBhNZy1CDlumMIwyF0HvtSxheVGYsc9LcpA vHKDEUiSZE9T9pTGj7APbAP3Ccav0IyzseTeR7lsfyIfrHxKKtm7qBP0D6to2UoMrtEhuxhKfOwM QXqAKJjrZOpIMui4yyhyg53dqqacSfhL7PKHuuRdkMCXMeDxB0zI0koF18BXIfDlGdoEujIOQvcQ 7NqGn/um8uIejQV3mjHvp2fvj9QPucvJEbI8U6YCozOXTSsNDYXVwlqTWLZLTXmf6gwjrA4a90s2 SbRax5lLZUcOOdDVCmmysuHFR26xM0GKF1UqDGCSzkMSwSEPrYsguidbhdsbHuRJPrSY5GUZH7/d ddSZIpTonT9H4w4NXK1n1SG6AG2R8zxCs7Q3lpp01nC9dhiC6zY//Ef7+EMR+HpxnBPodW0qWDPv tQC61haXB2cGsHGn5yvLgH7jkIT8ixVyaQOrOT62uEZtiYIuYHipJVNDxl8m/vE+zX2hpspr0SQf 0hTF/di5RN06QS75FvMIr3l1RAybGZYsZY2TRlOIiGRSjUL5n5IaSZWxC/efwzGOI0nAk5tMnj9d 2CGsti4YyIK+wzRmV7D2OyiivLoZAYCHVsQimNg20FSyENZ6/weO0Q2c/eFP76rkqjbffEaeagJr p4DKn1lH0FxQ05Cw8mMwlyjgJ5kjOZG7vIJtOZQBOG8A6KGTw5LEnRpWf1ZqG4rmUrGvvHlkNf0G mcxIakl2jhU4C/u76/ac8yg5hzmDVsTjh7L2kzfJ6S6iDHPavhjECSiJ5e3LwNnScln5JFJ5Ah6Y hTp1n8dm7+lp3gZdToMz0c8czsumUmsRgEvNjFzKE10LPrLJcM96RPmhxgBUFSuBcNAzDFiUiYeB y+GT0nC5lYcwqP9qe4rWg5flW1zl1pVCMCIVi+P7zmwdH9/sbwmy0RLLpoDc/kh24vIBiGhVaAjA Ac2QWJDswV/RAexQhNocsG1ciIy3NQ6uU8Ds6dtWiHnj6wkaHrvprOSwJs3Avz1chOqXA7Wwm2AX Xn1ppYlnSfsG687j7yQeXzAdj5ZI4PU+GBLJS5ltw/VwcbsSay3l9FSTlvcrywPM0uuOh4qWSMyv BKqOggV37dtcGiToUq7vmX6tkAW2HvBDerrgRpo8mtQ53EyKKmqXGif35aqvwHOA9DlDgy+idXmi 9r3k+9xr/oDJz8q3EPC8/G5lA69xs645cE50HBeeSzfAQX5QTmjO1M/25+wM8f1BN1o8JhNSQium Hbk6rJOWwTBAiuqr2/pybCDGkuAe2gRh1HwXI7EAQTDh7CTvzRn+9TENs1YnhmJVf0lEqlGsWwKI i68XAy04E5cflG02HfMLubgAQCH8j3AMsDqL9uaqgSzJmCJnHKj2RC3sfiMci+Sgq6IaKuItgEsw Xgv8smrghL4AG+Z2oojABRnOm4oE3MPtEvMarkUnjYocPGaykjjW4XdZ2tvVHmTMH6huiTa2MRWP fvuNgrXG1WGqAhwDqfATEvUHdlhbT5Fhs5XsPEZ2IyKa9Kqs786B51mwLpGb+1ucvi+mK+eLkwJp omUBzgUwVCTy6qgDCOq5fUOHBe2lB650pFFjYNiHipBP9qJete/OTsDtOY0puOz9C4ttEh5x7cKE d/rgBuVwd+LgeJD0FQjej1RxSD7IF3E3jDMurO8+D+w9nAiDe31VxARUk0THiSAjtfq3RooDfgkC f4AI3ASyTbAohNxWsLKlW0lTVgU8qXbKcb/Mw4a08kOJOrJ25rvX1aL5OnCnEMAlkrVL4xkJ5mUc BB054QgnkvW+74MnBU8Nk6aBZC2mUSxTx+N2H+NyW+wcJ9Q605v9Q+TM2H7RP1naSxWTKeCahZYI tMbZX3RMT1jFnPROcXdb0EMoanPLrJ3mW6Cd6yKp/BMproTFFpi9kYX+VhdAaepkIsjWOdNqlfPI gs29mVx7mqQwkZcrwIh05ytnnsj4n7ZEHHtEUMloQzyq16oRXQ2O0JTDeTVpm6gSVwxoF8TnIJ8d uXaaOJK1oNiyCdxtZ87FPQeK7f3iXtF1RWpr00vvrwhM8LidKGVi6K8Mbe6ZtL+oE2mOQcd6Tl26 R6esmQ3Cew2WqezcxQ8U6vG2BTT9EsBdlHbIIKEgFkIHDIGbUwqQJx2OJ0nkcUTtLMn2QnMmmUIX etZfdlbzfMvLByKRKgmR/KyCM0hbv6aVaJ3/XzViQgFCMA+d4qXmqlcT2Y+WXQV60twGUS71f1RB KYxYeNHsx43Zb/JG78VQIQx0AHysORfZyRILs8ZCfJf8rEzlQh1apx+A4LqXz+7urk0BtiZlHKYq 7yXLQvJRr7Xy/ZJS2znh7l0LadxS+a96H1ub7weoMXTUpVbkk9wVIz+XZ6n68cRXtlVOOhX2FhcM hZBgHl7BLfDMza6so78stmaSbz2O6IK+fPXnjGaNtBK3kNiPNRuEZcq2DLOAIEc/eK+34y/pDipx CzLqXtymlSfw8QWgIGfz+s6rnTCV0eK7TijKlFufdSuo4WFvwXMt71jWTSN/2zFhXpW7/gIwsCRP RJvace7qNTUHDCfHaBLrTu2H2RiI9YiX9O849yHL5eJ/yThaticDhoJpOjXlrVXNTI7tY74Et+oN np7PVd30pFQv2NW9gZDujDKfEeAkvGf+3eWLVjM4cFu29hcyPEbsNhPQeBTXu+z02HjEDqAxulpi Vt9MVt9vZ80CdJlE2i8yCZ49SwbpIG8h1W9jTS0aNiXp2jF76dSuu2FHfrLJqspuk24cKip+yL1g kt2E0kpKEnWPBK6fy3lCDSvC4QUTBCC8ZfEseHqHNcalN81P1llJVfFaWN9CUV/kiXSMU17dvBKX elEUdN12Vj2KYZHqytxdTg9accETuFsbxO9Sss1KmLDPKHdNTgH5kNg/P4sc8B+dACrYGYFnw/Jm kAEXp2cwXPDiBHpMYnuOy3r+pO80fnXJ4yWvQT3PNLAMfZQrnOwLicvo14yXocWO1z1egIhSrMq3 n2Ou1DctyNXvxZ57k0uoJQfsc3DJrWlGLnipNkXi8tuhDnnL136S4Q30n0o6XB6JWw0SyBAvbOL4 kMU6BJycY3FJuSdH8NaeqfqclcYjDcVkNZmqv5PD7yv9IzN3tt3Qak6FeSiqPMugLRUgQJLqrF9M ygfNh1yGkJFwI/M3P3ci6Rf0bXUbYwSk1eWzqPoayeyyCqrb0Ugxr/jBympu9N7qCuyH8kxYp2Vs xYXnUzaKShKoJMtPe5+PKBS5sKdty26ilBADdLDxmnF0N5Z4//RVTm5y7B2e2dGJKW1YV8u2AQ+1 YNz0jPFG+rw8tY624JtJti8uH5PyXo7Ij0Rl2wCzng/vp7fQbs9/IWjkQDuftpuJnTqw6QpodYJm b7jt4rXZ5ZkA+YPZNU5J0hDZAStptr2SCMm6uKOZBKGbUmXdwYH1USmJvitncyQleNoe2h/vj/zh upAe2rHjEF2WxmkFSvdSCjgbueAO6xC/Osps3i84UQmLQTukOC1yhXCDh//h67JYOBTfsIlZzoTJ 5XwiP0YSsDndKxxD59HHL9xbCnIKkk6jHLfT2u/nG1ynVx4oDngvplne9dR9x9Ehnz6TIx3Cl7vv 8AZ0nFAZbeSg66RgMZX+ruthsAZMHJe4kqEYrN8pCJXcprvbJriihfShFVzMt4ZTt31vIHxqchqw fY23sJc67cL+4YfNOhGrsifn2Ri4HrDFKxkoyojC0ICCRVZZfwpsx+OBbSeCO6BfK0WpNUkm/IOC iUT1OeR3BkodcLG6zggv+IVZ4g7TwXBxhIKemLqLQcB7g9mf5B6zvierGyxO+dRl4zVZE1ONhnxi LZ8vVEk7uvMntDyfJm/Hdu+qIexX+X7lz8xXLcGZpCCrv5F8/pGnF3BE+cBLtZVP+X1ryR/hfR8K ZM/rnQUneT3yeymotWp53tak5gr7nCBH7IRS3zImD5FEB3N0tM+8iXqvZK9Pu9EJ2GaS5CG549X7 nN53w8mL9rWYcQi8dUtcU/5E8nhjt0mre6J6VzI0BiZuhVc4DdEVqk5hxwxGT1dxGRAOhhH3Vet5 1WDhM9YVOxK0y5HcjLP4CDNjt+cbfjIVnnpw+x9opojGLNQVu7oMOcfnV/22J1nBtwxyky8JGF9W /FBfCob6sXr1EBYDZQ00Bb5PrdHpaIdx7TkuT9Sn7av/fOC0k2t5KIcTceASJa2H8h8WANHgs69E J8F7tPamQ52L2JN2F00SxcYYfMlrsHLhM+TR105AIZ2Hzk+q5I77yQOMyW+rluC3avp3Ca7M7b+Q 4hNLxTKOWw9QJDeVVf+Hg9k0mUr6smiBMhPuSM5YWfWQ/t2ezyyb81wpUotlQC0mrxjthdEcQDEk L84XLqOMytVhY5IjICnpb1xkGOVkCU1/oaOD9lqHXnIYzEE4+uhW/JzjjQl2g8274x/JRQ9usD9Q jUsZWTxgB+xeoMZx90sTh/6/+YWxx2xb8vDapdDjvpDpsI0yHkJhIOfrCWmLSu/J1ukO3qsoTuto Hf9EyNTRMguhcs+5fOOL+IAxN7o22Bhhphh6U1BbLK7YhACzW2gu4patjLdbJZBgChfFSMoQYZ+K OTP+MyGlbcJYsNhtwejBbs7GXTspdQ1jDkF5ANFWTdHPawk/glHSGh6a4ck4vrS8FtpCQrlI5dal y5VbbAgSt6YwQ8a7attxHM21Fpu5x57Sd53lPn5a3oAZKuKEtbpbs2ieHkqPbbjB68TQfeGoCjTd UsArEVM3hF5eeA4XJeaMm45GWWrxGdjj+rZkrhVQk4hQOgMekx5EHtKjAIy/EBF+Sfted9RCMPK1 htn7ECiohlW6ScDJK55n+Gcck+sZySVVwztkeaWpKMYS9GoR3ZdYOifdCiVJKs/fVdk1KAev3LeL XN7hBMDzLSMfj/MD7yFvroToO95h1/8gF9J92+XNAMCgNVsrp2xg5LClqM/zOLPtMSNW+kaNCmm7 8VP0nVAcw+EHup08R5+iToKvJFhPzprvJMxCGawmeY3+X90CbZ6aBzRwaw6CMGy7aVRE50FNTnBO e7iejFV7PYYp86W/NnvqbTGIGG8f848Q9R8LujiNAx4VH1X4J46L0yc6VcMgFFy2sun0MEkBE+bt zRMgxXpgKXq6Q5a+7khNG+PZ25vtjquk7ke+1EesG/oFAdiAxkvU/6afdheyjYSz6v7cH/hLTrP2 mL613KCW38LRklbPiEp68OTJOobaJAzBrJcZpJ3ZUA71MHs6uyEX0G62nl+I5DgOhuQIbd5djAw3 B4pu3LY0Ox2qcboL0kudKTjioza2xRiAn/ONzg1eopCJ2MTkkqeDL2nY48LkpnYPaBJ1Nuy7iXwO eGSKPHEXkuIVjWOOPbGB6ayuyInEg6FiAR4GFuDS/GGuizmrNwgCd5hm1CL8d3QBabqDAgZXnmJt gzrW0YPSgQx4XuHSXQrs00g6Ujy5hYJULO/1KzFGtvB0GS540j3x6V39YuLQl2J/KPr8PPBIM4xe r7SF4iWdq5iIe9KP+fmyiDrAAkfBlwGNHd7diC+Q6nhoKpuQAAVMmRdCCFBG2sHPoEBxupKHTu24 budZ00IEdRcaqnORtNp3ASRlqAh4Y14ri1gx9084IXiN8I3b5S0pN++v+Rmprz7UDwb5aeAiKXc8 3As5ySdJqj3jAQcBPRCs/9e8U4tP9OTu0iIMGwjqMVBR1C0vue08Qto6RywzKtGIKus2Q69+6V97 nI7Ay7FbSaNup8SuPfmUbvQBhRm7RNvESPwaZjh8y/zqBnh3rgNDpo7kl5HxOVHXtt6QB5A4rKVa xSsLJmfbIXft3xh0CRSewr7eu7qUQTBXUFpyBB4qXb8Rp/7HDo967gQqGTJKmMMV4zzZVo01ole7 3hN0nfvP28g6CX8IVqclzzdV2wTPjyq3X6bteeCMYe8tfqt/6hIskLrQwxhk/or7eQVjcUII38DF n8P4fxay7kDcDdFow7DySqElBYgktaBNL/XJTNVnRHuoGYIEwn9rsxf0a9SNE/Odky72UvVUBWH5 QnLvjK275jmhkDCgYTggQwe43XG5sb9aMQbMuuWPXMbkVcFeL0bWi8+NYHvj9/5qw95YeoNhnTUs 9aNINs66BIpWB15lIiBp9F2G4WBwtuBjq++vePc088Y+QHTUVMD1VPpCW83xC/JtLauQzq5LFYmB veLH5jfBRrlQ4Aev6GSgo3rGS4nHX84WsSxBdWG0RlHikGVn3C8el4s7GJ/tNroPpC/V+nPiV/8L 4i2VNtms6N7sAPDpBvY8AZUUh9gPllok7F6aMh1YY+TUVx7kDfBS1RKYBSmU+R/Xy9A6JV5Bd1Ut OIOWtT6uEOnkZ5/QiZFulr1VP/yMqCBfvkp46/lnPkwn/lgIa/YxoVmHEvJrYsOhMumHdnE7Zq1W CK4lm0KStmLzINayTd+pd8DhDWvF1i8dyjPR1H9tKUELxH/acKvuy9kaWC8oWigzK2WC3xTmb+BE hOW3bSzrbB7iW4dTTH3Ynjm/R2akPjT3vZ6dp78i+p4B3KdDYJr5UUQwB0CBsZ1dNgch3lYeOTNL J6G/Znk0O15DwyzSgM/dUF4NSHEZKA6YRp7AC403WTIxD4D/05WzIF4O7G4MLw0QIyuWqRGjSfU2 VIHwD/uycwEQwD57Jc8XGO9y2MLbYihzBrvaPCMlj+nz8LL1ZjPayVT0G9v6Zh2dTQd9yxOR8a4G XRmb7Fe3PsBqbz1t8juBpr/kQSGCxwo7pU5RVaPK/x9PNDZ9XLH7yFbn3zUL+yQFcEgW4uo/JUq0 7tZaB8K+NR/QG/x23EULYUeV9pMqUsNoHPIsQGVO64W17RsQLCodHZb7DXGusUuh820z4v3c+pDb LpQ0vF8BguZDs0DfTCXq9FKds7XQenP6zfxp/52SbcmtXOmNXDQjNJdGNaU0nbhzqLXk9Ri8npTj GwLPmnOgvrZhiVTZZRzK87AR9gA5HiC/g2OXuqfdWHVYji+aYuGUeihqcG1DO9y3RQ/80a+bNWic IYrIDL02R0N8zApwGXr9jFodDf6ucGC4JdCtQepy2dcYJOpiQGXSeaYqjlJlgh/KKo4GYEecRj5V AZ3bKS7iccfAke/1na7nXB/sl2ANI9Yb2eW5zUGqo3vZwa8JrT6m4YMh9vplXJUXh5RHiWgT/IUa GCy+4om3GqH0YnFI9PV0IT1oGUIfEiPlXsZUqU6EwZeW5LJXf9eARiTacxp/pFICw+43eDQZK/H+ UYKA49gnHvtgu/MpjxJs3MD37pj76ouZP5Db7s5Xe4zutB7KqUjECJfpwOInSYGhDG1tHkF0MZHQ bsb76Pz3JUSTODC2sTD2g/vE3+f0M7C9KrSEiArN/cECzej7MZ6DakPCgJBagFAX9iqye6lDUCe+ BiPxCo+bjCplv5IMsyUD1PQRh0bQW+x+WsxLjFJWROaa+xjVSJ6gPamazXz37LiJFASPFlLiFGez UtMcrSxLCysb63YBXLA0IFFb20RHu/b/QRGEgGivVEZFPnpamieQWFxi8ksd5wveUQiZKCb068Qu WAiNcecc590ADStJDHBYnnIPovEeKjLgsCcp7fx8HhtcsjAjT9KDp9cp3hp/AVjAGDTAsbSlTdg5 ZSaD9fSSkfEdVE4Y5rvWZRwp6XXT8FYaLTeOLfBDYDD3i5WIKEm12DGHBpnHBbMblmkzeTIW4NaS AGMnQk2ECFGV4fZJOrCjl1qNFNAncd3+H/N4hJQJjX3julMg0LQx7MUGyWjiiq/so0Y7SLT8/9c1 YWrq5lWZ4h8TF8LgQPtEV3bYiCZhzUCW9WEo1uItrKpOJo/halElSGRby/UNU4xnjKqDOuUGbchk 03LfxXw9eet3rrkrliEnr/I0RpxCz93SD3XyUAfbOpTWoMZnustviwGcdhW3QoHlqaAGzzW6sjZP T+42Rz/pl2vdij0ExN1wuNHMXsuDNPitCeD6SYJjGzzQi4k+eX1lafvD3g58hRp9gH63rUYdgZYt Qb7R1TyRZfUUsgGNG5iIAAdo8h0lHOuaL5DThAq8X2ucPEifnfP8g5TASzBnMQtl4A6H9iJYbpqz Y63QkZyXajAL2O+vowkwQSZ9TaXEcYxUgxpebrHT2kMD0D37Y35yIJBBL0EZV5GCkad4o1ZAcQNi 52aWaoraRihj3PhW3hVDUVuGy6tk8WEQmgqONfJspqkSr8OwIpnjNLpqxqs/zHKa98xfsXKe06Q9 acMx2fuXWdGARPhFrOW97UuWtUh+KIK7LbbgCldw1PNTjWWBcn9ePetyUMz7u9G8SLsjt2H45peK Pv211TpCrAxhDlvyslZTI3sNhJAVNfCIMz0oPVEtfnkyb1UeqD88E2/UrdnsA5mleugG5nLzp2/O JeYHJtW4LAIjvHb0ukacdMQe7TPAlgQJ0j9q8ir0HOZhecRv69P1+AwRAQZ/Cwf1YOO5KgM7dK36 ClsOX5tiNZd9nDJiDnB83kVq5OywUXRqa98k17p60lqjzm/craSpFZXnZIXBZyJ4cWydyEn8jmyB /dDowIbhrawwz/rPiutmrYBkmzo8m5BdKI+G/girjbehY74VddgXXvhsVqhotHKAsU6k+NzrRkM8 0PF8DqJu1hBeK/3zFl8nvCyg80VN1T+HCU1qEgnGiaDq9X8Cn0ECpW9jtDUGA1Xwlh8sJVASFnPc 8T/8SQ/Q0Arnlb6Kcy1ZAJ240qMZvEiUPZAyUF+4FC/YTDZSmEJH9a4iBAPzjqvcmbbEgZc6xcPh CIsjFiUOWsRLyOt4nTuhad4cmv3IqHZOFVoSMvgZZ1osCyJ1n2drxL872JwXUOICjFw7vZcDM4+1 UCnLkldRnuArrwb9SLnwe/5SL0+NEcHrxIbTPCnmoh9y1kXjQnsolsh59OA3vQzlVYG9uNub89yf F8ugD9EhyFzlqpNSs28pz/Xj1TopifHkBKs+0no28CCBlQQct9v8Aqgmh0Go913gmltAOz49roiH 46fU/S3RnGx7rAwuB4lYreARx/4jV9N/qMuOf8o1tr6o3N3xRA3sLBWOOWDgE2X+Wbgx1Z+WSdjZ E4qpqC4Da4hB9kz2kTgqtfcdEEYPhV+S5M3zdh3y49DAOR9jltYvNogT5u5OKi69ph6sT8YGQy8O Vj5EE309OytoVldlJCSWvJP4zwYIEcvSKQBgpGlN4ZlCmfEIxtgYK/TvYWfgSV9T5buUpGhDExgf 3wRYHXMr697Kn6IMZzhQxTCCvUw0Aklfck3/BiiPczFloL5TMuJ1FO/EBcGCnJBVTxY/68FS3W4R e+wxWZigYx7fv3qGcvFyOIy8Jo8U397zpw5A57hF3p/zU0cmVf1dN6x0W7xeDwg7xpbMcexi51LV qiGtGbOk/m7jzQQdUrdvZc4EH6DPPOnUYp0TA73z2VjZoWIWCfTfeTih2hDUYDoLrcvgdNkpMqpt jtL+D4+uP4YFaEPPHOMgHqgPAf6qswQ8jxomX0kWKWZR5aSSueWVR3A92N36CEdH3VA4FbB9gwF5 9kPCySmeBYdu6VafjZQqa8EAR0vS/xx9OHNe6QKbjrZ/HWdZBIGdKl26v0HZAKntadaB2B0BaGDL 56QrWGbYL9T3pJXJ1EW6eTfmLedpweLwWEeR6Pu0Ko1l6tU+PYNTEZq7n0cQ2hPI4wtLtnDOUj8c bsHICnBtcoCzSxzXPeFvYv9WRkkT96Yx1KQyrUTjH/9A9HuhV/CIw0g+SzwLECGj5xhaOYLRDSek ClPFAjkW73GN8LOL35edElzBVER152fmde+hsG41KKrBmGAgjYpYd453EQxjG7kdkX8461d7k5jQ 6zQyUae3sKGR+NdtxIrmmLPXPUFtQMKjUuw5Qk7YRKTMPY8Vej+4bVLh9db7eYs+ae/+pz/sda6l X4eYp2FSHCAfxk5rpwqWjhIXJgAQEFtwUPnJVPdaUn9/dzqZVqFxaVjg8Nti/0XlwxMgsCRT5v0l JSg9YvdXwrE3Dm5PO72PZsUTjRBX8f8knfa/rXUhxAHJhwPWoDH0+rgPagXbJC4OmTN8uX5C/eYq h0COmnosObOFvAvBYghOws5oatQ0iwm4cHXkKNRSaa4/h/V/DsF9kCSLoKCPS94WQJkGuFNtT7cJ r1v2bxKkQZAwK7HsKmjJAlkatTwYcqlwgztyhcY/h1pBT+EuYguMYX/syHKpj6H0k0gxYnMVbd8N ZF/VEe0oDimsnU476yAHTXvBzxNW25j9qdcfraVDnGE92szMFqOY/KJNd5oDf6PsYWSo/Z/a37ke R7gbykJHhO9bOISIeHf+taF2G/rb7zeI7EQawlQtjj5xlxND2OdwS3pjRjEsXiFBxc7n28prVdBz 3eenp+qemWEBPQ7g00QpqVShNomPSKfJJ0JeVq8JoChUQCpPs1Ar/fmiCMzma08HYw13cIc1XITV H8Ts2YXfR3U9ZP8xQLo9co6WbFiA02GThaUIez9e6oB2LFrL7wLWWL2bFB2TNbR7sbtc4xYaj4Nb YG/tqUz+opBe80zipCOs5qBVt3pBh1Tq2p+y7hCRr781a7+9SmjrNkDEZI+UifD45YuUkmSfP1UF Zk3cYLKd6OLmI0xERAShTQPMmBgqeVAj3RUH+LScuR1VQjdsYjzjAL0R65NgSG4u7iTHJwSF8HQg sSDvu/zOYwHzZ6ztyMJyKuWuKuo5qOnEGythHJ1Yjdzjz6Zh4FPNi/eTxTOouP8JAxFcbDt1GPkq 6OkScwI4zEA0leYdiqtYEmBHTQZhoYojqVqAKDAsokrjbbuF5hVUJ/avIGrtqAcAI9mvNF1XWX5E PJL4RygR/jzgM2TJyDayJ14mtNT6M+LKl8Sn79co50O6SOfpcyZU33yW6JrizOn/YqfErkxX8mlX MeFPIl49elZoWOqlsH1Xl22Q5sQ/FjCV6iBSeF1q6tP6ItXN/z+ZExxwVv3aVxzpm1iAh0cCDX8c K2cgVSnU4bD9SuPWENcV36kNbiOuxUZu+tAzcGDPbshuUgroWMRAV76ZvSd+kOHOml4KKXs65cm/ UjKs2ibdLIf83wj1fumJUVkoZ+0k7Pk17CmR6VTjQKpIutQStOjG3aIpznL/xkxjEuhip0zU8fX+ J2BVZawZCTOv5WNBTcEivmvxDVeBM8OPlE8ZDX6aUxsV608NpWabCm3RutynMs3uv8wYczdyEXkU zw/dkFbZZCr5QOQAZH6JxUZd3/vqXW+eQGWqTIpOJn+qqw0Jd3dSOjnjrpDduPYSIY/p9spvGKDx XFmkQmrxKeDH8mfnlSBNjQYIoI8BCJFvPch7GoLHxCThoRMcOvGjtqLzvdQr6Q8ezOwNTHaHPRg8 lhYSZZvyrN443iIZoJxr4qlPCepiPvMJDS8mpvOcnEYuBYuwn+IpuLERy84ElFdiDLilCIteIdZT hXYC6dZ/yorU+yKbKQ4RE0ZSeo08haK+Z54cuDaNsdsI4vq0ztQofAiDy7RXjYv2c+N8kM0Rhmcv 6gyUVeabYn8+753KDpPW1E+peOwkb30X81OAHoHJwlLMb4YeyDoRLbWlYzmfNbPtq7wl8u47q6D8 nUw4boU2YO7+Ckhje/VqC6erOxNsGiVLBI+8brvODtIy4YrfZWIzH5hTszlUUvjKqYNyJOfljfgD JpiOSsgvoUoHEcFi017E4iRJIGJSKpptdRQf2Dy1vqdg34V6H4gOkFIhkx3y99d/EBDy3tn7h/ur rtTpIbpH0e5BkjfFlt0EhhHOTVNL5bQNaBRjZJXzZVLLH55PcYKu5H2N/Rph8ZS+GGgGHfC0ONdw ZbxMzKy+1vMTsPN+WMILUe7qmq/FohfJ91TgGsnWq+tv8cPQ6zVv9caUczUosSg3UqUiMQyY+qm2 AfzA7pihBAbM0xFxPU5SIO8p7d+7DiD3PJO8qRjWd/SSpQ6/L0iyWHQilkAfmOldH3mpxTZjnI4d WitJYOqW3z3x9YcQgWsIsJIRmLyG/yh20DqJMHIpo7mwrcQnR+PkfTnRXZPKa59afmwXI+ZY2XgE t663b4qic6lR5xeC/l9tl7RwGponVhN5xNqLTsLu1WAethib9KT83/A6jezYFHuzQcBjOi8ScL2k S1dz151xGu4lgtw7ji7vzQLj4bYRG0MhJsqQR/B+dLAD+hjeDPR87mIsfNMePwJHubj7LrGw/38d C+ADNAwJWWzdzpGVmMkrHB9f/37pM8DORmi5fj3PUz75J11JRcwo0VpZHIiCWQ48P2K7N06/g2mr Q4yHpYB9fH1Xn36M6UzRA0lxQ9BPgr1I+UXKbxzzFF24aMTWenZiY1+182Gry6zhJQpjR0VaEzWF mrSvsej6iE2Ny1foGakdIJcKHgB9P1Lhm1pNvVvQ893zL1qA/8bYF86glJBcEiT/1SMfCmuQ2HDn Kw9k9936LMpqluYqwFizbcHao7e7YFz5bXlmuP5tv3iS5/qhlXTQEMlUjhrHfJYGIocd5n7kZTNc ERWo8wwHYmKvbrlm1m60kyMu+HQD/uXDJW2DrjoQSKz0zSbfbPOGBTiD1Xw9QktjBJNbBv4Jf7NA Ipg/0l+KB8Y5ylys1ilfkfgVSp21FWHAsk8Y+zvov8Naw6h4jfeKni7EtjfLB+p1F6yHYCSeJTh9 1oEPDrSrXy6FCz2H02InVR4Rql1yR2R+7SdfqOzdoHbMhtZTnDetYtK9+wEvvPvdcIZG3hf2+t+W UCU/G8E8BQymLhrFm+zcJLq0FqNbEXUOaLlKmXXuD0cSw5uAZs8wGY/pZbOfNHHF06QU8laPtRqE jT1F1H2FjHeUZ6iPq07Hvhqd3p6D+cehvsSBTcqT9xtgkLPtIxMleCTlHAgmR9UM4QIzpHAX7/kQ ZIlR/0N942LGS1SheGkYPj/ydHK19lGhS3t/SKBOuwE8P3R3Ab3VUiUDfEtiu9TcL6Uc9RMcypEr w6/hlmx7heZlIvLFP1ywgbbi9wD7po0kDQH6Ljn7HdLMBqlSRtDuGBzXVaTy9P3KoOj90lhqYCie WB+A0+3airiPF60zC3L7OxsdgJn1RpNGWzABB4CPWJjntwcEKEsP7Hjoxil0tr2S6q0Qfj+0KJV3 lepYZX8tEnnEvgF/P9CrpgAIBuoy3KwovZXNsFh7484P8MRGIbT1cALV8zjogAHgAvjRS+FeGzaW vfmLkU06rmojt9tsjHqHuhnqMRxFDbuX5wnTj+x5xzAQ61jcj94gpd9A0l1J6HIOMhJyGhgYUQ6j 0r/KHxTp/COIpfv88E8UVSX/yQoPMtqK/OEcxVZmkWhDuTeDoPH1OQwqkVGMD4KD5ugSXUyx87k7 QAC+IS/9ruayO5zWHALr4KNGWKgNs1Hfsbklzw56YBM/FZzmhYUHsvs3RZnaNBpPO0eVoG/L2fAa NW/akGvNrtCctjkjm4MvIVMDOi6YWStJ3krzre4mh9LQSZjZy8+Uvj2Dx2YLkvlYRr9XzrVF0jWq QHGPQdQUZroUh2PBCne/mum0A4yGEtf2BczL/W6mh0M3MaDOnssWcTrTtjWGoudq7Z7D+wDkEIDL slVhQy3olwNqqdcXbqitSBvaOxNQoTzdUhrzF0Qs6JANGtnc7S7Mx8kRK2Op+oJYw6J2vkfeZwbW G2YKZ2LGj7odQtguvb8e7JpYGg502GQbJRFTqpyPPtzuMzJNqQH+nZLwIN0Rak2C/Ar2nCB/mbRH x10vHKmcoMdtQMsa/zBBLl6VkrmyCMTzSff4rexvruHpj3Q2Em4EVadDbXRASH8crlG6rmwFKNhA iIvdo1oYh+FaDx+h/CTgnEOJXDTA82QqqidprrOdWvZRf+VVEqe2kDs4I7afyGCwWWrElo8Oo36j rt0JxcUr8DYlm/6pVBMhlyRlRmR2OCwEpDxzzRHwPKzewPVZ+nJrzWQTwQAEuhIBYUMPEZI21KI4 /jrg2NH5N3r1VP59cywmr+3gz4AWNgIZ9sNGbNPxKxO/L2U2M7UhUqqAuCXWIwd64ocBDKfnseeb kj16pJ74QqcSjd5t+YRwmL6KMh1/sAWl1U7w1hl5k3P5+YQPVqCvStJ8EVNJK2a91jeLBaT70IWn vliT5/KXv/uKW9AqRsHztobprE3j4tiZYuLOLoZzs1MsAso41zlVllq/agEL+2CNB1OlDlpGTVXX eS2jfzfzgT3SiYEnYbil+SNvWKGiDrl/47WXyQRAVso3EBV8xzcaK7YQ737HKhzBz4TuUPFKeaLl Nr3gqe3ckdNoxyv74C97DHIu97Szw16R6lpkVgZJsXyzVi/CLARX+YKyR/xQAoIbBefbYLbXxWlQ Zl/xpkJIjPc7OrHifm8ThQMITQb8CWkceiKEbRk0lMwXWY4mLCTy6GQNICM4y3t235Zox9BWsVvw GCQYolkNJxraXgdpkI87MDHwyZjsq1fc4GUZ1DJGKD8GH5ZhYSI4zYWFlHw7oyJJL6ANTpL09hvC JPQ7eTXZ7sVK1TvSq7YF/E8Rv8R61JjyxNN96UgMLDH4n0WALDf3zDvWYCW1fNlPtOPhIaaPEiv7 oG8TcrK/UlqTaiNW4qTyjEz7F8Ua32S+IvATMQPIZSRAV9hLxAdo6mnxKzIrDA2n/qd13sIs60Wc /d4mBmnMkekzTS9Zc5p/WrfEeH7XfVgHcmizefcMmy74sVfx8opEOjZuPpEWTODhE1o6ujfWSNc6 dqtvN129PGr6NTS2USaQDjS3BvQrZRkDrDiV1LDbwMvlItz/tcDgjO7CCRbkOskiqF/7xQ4393tT unvg8rwvHnu9gvfmasbdZjFj1lFzpEAqRWiq59dHqpQlFu3Ry3AUbi1v10YAapO8mlN7dsN2wGzT qj2w54FeStFalkq0Yyk5QMJZtJgojpksOH6yLq/cVSpnVKR0RI5CB+kJSYeEX5ynwdgOmtSlb2n0 FkIgCOc2iupgxqv8eGPumxTXHRPct+px16RC108vIiWBltiJrhduXjrVKfBF1xjQdvQ31HteQEJ2 0p4uCbUZfnn8nIGst6lQAJDFVygca5rCt3r/jVyEAB8EMUZn+rqLs25J42iNnGCwzXyypHRXFvRP wa8y01VnJuIuiiBIVmP4+WZbcTIQeIfDwzBM8wjCQK9nkTHpCpsSPXRTIBAOFZYi8XHPaIBiu2cX 9nx1RkJEaEDRL4MOTNK3OX8bFIqFdk7Q944nYtUXxcjyc6cKScN4zgG6f33cFr9GeWzkyJDcY0Vi +lACsvBpPbUxbWKeHgT2tLocKPUEqMc8PnZfLjBPS3AYDRmHqep2DDX5ZDAtGN2Nh6iA1bzBFz8K XYx96lKwmc8jvoTSNdt/r/zwU6bPYG3LJnSJPToSPrgDDNfSOCFeA67tVZmu5QZq2TjjVfhSVuDB Czm8YBkiV4LVMc2G9JXY5/Z/c+tFWVbXexjyXSzSXdkotmGvIPl+V6BlMjTz8zH6BzDVzSsdrSqr lcRZQIPQPwNQpZ236GG6VxOHl/0hbrGV5X4mKUgw+mdnPqfrc7ENS7IdQOi6KddYyj8TWCw+zXtA one0nY9ipNUed3tAC+QWMm2qZ2Kx/4rFF4fFqBAoWY+QZpLkypvai7CKSq6k8E+QH+JJLYLoy464 Hp7pVIiRAE3tjvKG9XQlgb0qyKkqE4ndv9fiX/MnAlN6BmDrwuVP2zZOmlKoZN6lFEA9P8BRCgmb dvVfo78aPzVAhE4DqQn8p2LkfcUWzSDqqRvru7Am87W2Z7RK8iOv9R+pjJUc03nazyhuflvv1krD j8DTQkwrVaaTonqmmMuFQlRnIobp74k3d73+1nj5RbnRq1ZD26gIRr2zo1frE5JhG6tLLCTPjar+ x+lTW6TAYvGcQ4reTqzQfnJGSAZd7+w8zRNvpDOTu6t65OOOfnBayYMbtIjKHH4pqCE/5yy7Ce9S suiM8JOaJhvbmMdPDDPczLLGT/uT8iDCuBk3eAt/ve++O8+iuhzc+nPJ7IO14zUKKGmW81Zhwutd VGCksgO1E9xFdcZuTYcf7977VvcV9uJaGUQeN8I898rRWbymnj6N/OiIvc7FpSvxGeHxAHACFfFr 0NJbMQfPQWFxoqMOOPnoAO8nHkZl7Wt0by/R60BAFs7IEeM68o5QEqILpgOTdrNsqzQRq31coR7l LCibML7mX+MkEIh5OB4Bw5BDy4Hu4QtWMky87uyd4CRf9MqgHzrK6olF9VurjOgyC21kuvl1aJs2 Nfuacc1Lk1ZlWpsHMS32cPKZqgz4LjxrCxyUqZO0y684Nuu2rB5xPTGtHDohCT1OtaxJQo5C0hM5 kQ2lMR31DuriJ/moKmfd4mdH1NU3DicpOClM1NK5XqRnfa/bDsxsUPQPdHZMXdL2EZ9hqGrxhPXT HUB29s/oAkl8kf+dYA1Jk/CJ4zrFlafi7GbhlB2OVrHSGPcCPIIGpu3EhHqMnBdhvt1toStnMCYm hl5C0cco2Ccch3O9UxS9+3T3TsphO688/LDGeAemiuBOSRNL9YQ2fXH05hswD5DIh9FNY2oK4KNA gCnyaMoaJnorNWmqgkPxIp/m9TQDQ79IORu0zmwMTkXhC4DJ3qyhap4ZrN8EuYjDCl6ML9hVMCgO 9Qayu9ByymWwrePQ23f7/TH/4YPpPdgcbs9IxHbchrmEWXoZrjuyP4ppTZRtqjY1S0dTPYGX5rHo Gty6U4/mOJX0HptRvmnMA5xZa1PYaMwp8z8WSOPKGT6sa7JcHdXay/Nu9dfCeH/h99EyzM3njUQz yg5CBt25MuPFTGXgAK3cxoekfx9ZCvbWQcSSRi44X7ihbO17ExbdOaSfbnoujBr/uZ6iSF5iE8Vp v2mCD2uyyW8e63M+7m6PUAa0Rb+FFhTOVJhMXz5dD8ZJiVIDxdDqPvvu+E+kj5/6YW4eyhCeNPYv DWCKgHhhCyNADjEmH5eXZim2yUtYqQd/NW2I4T/rnN/RIPGEKa1jzZxd03OK4MkKm/yCE4Vgf5aw mWmnl34Tre7UtP6FyDJeSDrlJf/lwKx5Ek0pysgbHNXrOAUdxUFhoKT1kOG2edyePTQLDrplb3+l YUbQckYQRshnK8ovqNrz+Yx4keAnoOW9maKGNKloeBkhNPfXCjEXBJWm7YE+ig/tx4bT9NShM+qv BlnnmQfa/gWBKM40zH8W7I/F6Df6bd+9gq1T+OwXiml8HWSwi3VsHRnt7Ml/HK9Z0tNJM4PEa5a4 uF2Ge6zAPlgx8TT57OsZz/0bsiLX7ALIRtQ9yls/lxWguiZroMXRlbkQmfX/zyBNe3lL1g8LCrQ0 73ysz0IxaO57pkMQ4eYBxuRvzhbzc6U+q0vF+COjHKD/kpgExEr2tSw9Yp4A0o2FpKu/0KBq+aRb yIysAh1dMxyPvmAi/Cg1BOtHXmP8xI4hKZewrBteSa7whwlhLzD8zqy0MROGZ4YfEmm2YD0uNvj9 MYKKcoLhOwWkQaSWAP4cEO7nfj45Q20Q0f2TaXmNRZYMDIhgEXDp7oIXcQ9hjuiBzv29wBQRLCTZ HzKSamiAEMyZX2459eIQfhbrQI4nr3a7vgQiYC5mZ1J8VpWfLErzPkKsyY4B4qkIGgXpLScYwGMl JlXSb6vQMeCIwVGJPVHFksLXaYGBwbMf705EVRqzhfUIIjpB3i7bPuvQB5W/47C5kCoMTDgJw9ek VEsaMfigynNUs7q6OXxriviytQwmFTCAy3H9Qvr0xWaH6DWTobSa0hb1XSoCN+pJygthKnM3fuIn uszM295imURbLTxbQj5a8c8jhu6dPE/kMLuYTUBDsBrRyP999S3+TnvSvNJGQquluu+A+sCGFqdh 17iRf1Py8nbd8iEiKuaN6ClfPma2qa9cdq+TjBVI4DJIaQgr0Pj9SILuAuZ5ZVME/IzzDgzoiR6x 7NPADLZEBDsxnXmDFMOgW4WjSYByyLpjn1ZDVCPHS+Ta+z9T3tq8YJfUYmzaI5xfS5Ng4RcTFC8D hR7OYm17RGKVifuRojA10wnPmdxMxU36T9jrFO8EsO5dhAcEMY9jEcijJ4algrGTXiqsa1KSeltT lwcIOBEUf4WmrpliWLvehkZ846AbPDi60ZuRyCzPlMBU1GYMxGTWw3NzcxQqesUFxVW1eTKVOzun JoLZ9I89n5DSDsCkwAD41x9vGB9rqKyFGB1NnFLta4YwrFZ++/80KKi6aj3Xnndx8eV1KHL3sD7v YyflE4vx8jjgUH8LWqqEFb6m6PY3hrDcoSpBLVOZR+5PQxST7NhbSdKcM1eNjdJdNwJ8ecQ1nlR6 iigNDMongKF2dnzF0VTo3ddn4XnWOchqkYVBDT3Dc5qo6yBTIk+7D8Rr4KQ16E+L6Xp++KZKcyO+ 1QJSZNVwoOd1kjPGcA1gt0voKRH8eC3BfBCPhHZEnsyiO4BbPXpikITyxtBHGqrYOvCsGbpulXnd 4NutRYpdHiOwRlBm6Aw7crjCo1OVdFNTOHJoBbfa2QvMW9TyMAEqGCflw/Eo9a9I4agw5YQ8IzOU tBUmIx5q//99Wgccl+40ABQHUqxtqy9RRXc4fsoxXctACdMBhCC+BT3F5idkQcDopE1rwO4Z6fhl wKCWkeK4iwJTEDUmm7cqSM15o6F+Mv39tKpqEzOfUMfpwN+oOP0UZ9qjAVAa69hx+K0JGHWnWo33 dkO0E/jSh0OFXF/L/g6118P1x7ptwhNSQ7L19vvIHAMs1SOo0eE1/QCyAvqBypZiPzobnYfoV5Uc 5gxGQZyCTJvXGtdvH3D1skaBzFa9Aj8mnksshQZBujyxeCQZiByh6I14nmErLYnJ9MJSmemp5dIR kzaDFM/b3jy+YYUz114xvcJ3xWcpL5ldM5LXJqSpESR0Z89Zs0b8oHtbBcnKnkwKY0N5KiVvlm5C 8xoXQ1OF3HdZR1qrI7S7OVV5FQHDQuD5IN34NmcbTqs0YAPWqAVGdlCUH6Jt4YgReJo+nx/YEmt0 uvPVXEW8e2ueez06yqOzAEeqYn7l5czsP9+3a3BE2pgaKQ+yid4u/2/Cb42Ux+WBt+0zBx2KprNW tdAB9Lbb2HcLyUcTW234RjGWTeZP3ZoLq7YEtW1nZZ2IFy8329GuAD7rEHc1UxJp01uldrsdj6/M ++6ZqjqDM2ZS8Xe2VQDbNN+wEPEx23bGXjz8Szn//I7wdn4oMaDWlmfzg2Yu49Rl+HBOmgzehRhD T+6BCWy1HW0G8/tBAnOuZsRLAQqEaKdkbW3z6LKITHNDLmkDL30a3qi/l8ijKgoF861VuyTb0abY jlqRwfNszewRGK/eZ+0EpL5FhA3EFYUoDSuln26Gfz0rwDqxDOZCP+WkM6dhkbF8MwpmJ/KOreDa vbIswFf1gR6ir3gxOUdkqZ6HoTwcaz24Dxm3NhkN8iGxEgE10C6KFnyqAwMHg4PoemKhy2xb0Uco UPK8fYuTThQGM5bBwAuAvLUpWGDUv8tgsLIsR7FH2utnqhNnEsiIlatqkIyJ0nIKBN5zbmqqhtSe 54plUB/K1uSwaUtg28OGmw/cfvA+BPFITpD4eh3r3wMQv7JEgjGdVFWXKhL8bGzXVoKPkuC+svxj FJI2mKc0gVkrxqT9Xi5KtMoMnz1p16mGR9WmnQG3V75GYPlI+qm3jiqDWhu0vgleyRljgHDcY18N m0xEJJSme3vJzjcYqXwZEvlI0btq04o8jFkPTVpu8PDfIY7XEIf6ul/sHariNplP/J78sEBsVnRI IB9K7ZmZGe6PihEXO2UyZ3pw/1l8Rrp2bGSUOdEAqFORh2CRTfKRWySzClcyPFn+1PdyR9b+v/0E UEjNincDnUfCaxtAVWZ/+guaY5heJcDDOzteidyLOYREyQAZzhShaOfdmAF0Bl9KUSoMFTEH5zny IE15iPtLXCdV8e8XA46lfDCKF96qE0o5q961RWq/5XVG2NbOUDbK1YKsw/92ANM0ojEsauZr5+rP jaNwK/v9ajBDH5ZDMbvdG8NKAxOaoHB5L++0a+jx4yr+GCF+iezt9EDzhwDd9SfeUCcq8aVmDCYm mYI5ALq6fQsmyLstVOhbw+6agC9kRPgyYwCOIIfaxsv9bU/4hxsnkOKLfyuRchaEtiaVlT2THWKh VMcPhYr5dwEYeZar00lIhG9yG8vn5ub2+J1O5iB6CZNigU2hxzs6wpTT97AiA7Bn3r9TS5aYj2vx Rg8R1b5QMqm4BSHEB8i4a08S0TFtptV/YPPHsbx5auokAmdqOZygPk0u4Jd0QtqRnWVoN9kw84tV 9Ndrrm9qOfGjUNz9HmYZg/vXzDdxEyKhPx5rwvOjtFFG/fn6rkBieKFXareNNEZIhbXJrS+ZBm5j q1DdVI0WSaUXXDje9IeaMuMJITrk8ziDX0ImxFaRBqFVK3Nfyr0bC7ULmVXS8I+pEZmcMWXVjLhx 0S8I6D613t+K9rpcD0OxL4E8IjrmDQ1bRzH4DVuaEAzpFQnLNZHIJ/Nv4DV/bUsKf3XCKeWwFn9+ erZfu4+y3rA7p32z8QfwCdELsAhW9riTjwrA1rn7Q+2KLYCIQMEk2J/V5kQlBjshVmHqs5qivt9B eoeZdpOQpX71w1pYlQDZvEQvTe4o6ynuJQEO9Xfv/U/sFKvNFqfvSVcZ9z5w06TW2iA9K1VzzcPp C7/SkusCs4ckQ0SjOtvYBaKyDiaO+4IwUfh8COWnVNAGQw//59hwG1jONQ6IUcG3uCii6yzx9+cQ NaUZxiAynujY4epWjzKlsIkZ+rBR5kzfEn7Hz3U8wpNPDQ0F2IzXrIfBP/4qELsLUiZfuZa+4SA7 xnMsOqPPuqm6i0HSbxsiIX4/VWZdheC08561ofHlFmZQ3fKkLVqVPncVvVVgBoQpa0EHopZ/yW7L SBsOUcJhiRQgftsXYahHuFf19SFEzH1aIKm1ULe1hYeluAqUhsWFIRkAVGfRPli4zwLdohaLLxxK F2VYigGyHZXwDPFhhK5lsOFg+oXLfUkmIwxRWfyGc9iq9CfkaKxAlQQvX8qKSjNyG2w55CaYM6Bc JDsxKYQXG0P4IHCUYhYIJ1xJg0pS97WKZNhWIl5QDgQOGzFzldAhcq9uwKT12FidxFPqFNO6HWWS cm2nx/Rr9iBtSnbMY1117sKrhb6hI7DF1NIK9Jwm3JJHrgxFwSyV/8gWpm1rZfRp7cdKxPp9YnWS vCUXVxiBqHadusyKkQXhNi54zRIsay1oHaXh54cu1IMmYHcMsSeE5AXh7D8hBZgMkNSi1qUWjnsm oPKbQ8hKSGuyrgi6vqACnUDN+h0kw7y9j3Hby/fBtzo6rJmQ2hawYC5q0HqUs32OvtmuKwwWLzag ppV8AJivlAnpSuYc7xRrWtrRJXD8VOu8s9NDSxg65e4GTfrkHeoghW58V9LHv753IiyhBW0mTyLl 20gKqcpQOi2wwyWCHnDjGArToPV9XdXsLW5f6jXt1N9iOz248xzY71CVScOLWCJGEwJBjBrbqbK9 Y9NCuC7zFVoMKxKoO1KNqKChyQZn+qsJB3nucligEdhyUPjHkJ0G+0BcfLelcCLavmnNPb3Fp1cE W7PvF47VYnSj0GXBmTIRc6iN61B72iaONvtuOWdOq/oBHBYX+j6+SD7dHWpVAZ+JDhr8/WRTZM6v 5XG0yYnWQ04Tciio9Vgci4KBV7FQkh0e1hIz2PDR7RyhKAONEoNvtqPcYmqB6jjVnWiRoGxqesV8 DPIVQyOrGR+oaS+MuXlwod/49F+A3FxpVhDL+RaXa1ZyNACydTUvsSocNEN4Jm1vThuJnBjKke8S 5uX1kvhye0KSlQvJ5zPaIFjeFJnx/lGNnLpGnSDK4xR0dn7nMIoEQSgyxBNLKnPzP+ANGrcEChl1 jOvNoaGuSdK58FuVSFIIZn8EiI6Xt8ZPZYFa9h4m6q7BmCR/sLRSg+6Xz8iNeoxOyL3p9QqxyajW l2kSNxv4IiRdYkZShU22zDIaLmn3kmG1g4UoRmBK287aBSPQEY9sgi6bbdW12hzQohe/4xmYWOa0 Yvn/gF6MxsxgpvCfwJ77YFK/7N3aeUuRxED3aHeskcm7UElW1SxyPnPE+dzbJaMBPZXQ2rExWVi+ 7v2/9fa1HaQ2kLXlQtTUxztTD1NagFNYIkzP23uXJIMEEDBUbH7eMlcy1cIpH2SUGxB8Fi5STO/Z hHVeJ12V6FJxBb5/0RclV86V10teHNCh3uelSk1bLz0Zv4DpLpH+ZQGII8o6C/CI8czJaRVk7rJL 45X1J0nkwEBj8n6MxZod3Lab6xxS6CfZ9KVBmsL2owefCBJ9/YeAsBSZ0N2bwBFrK9sqLp5J5qiQ 5jyuapTbk5v3Xv3lt10gAUFW1/e+w+EPbx2qAMnDxag9MyCAAeMf6tmpEn5yX3guJJVzdQwD8baF 4BqXIeKkrjAY+I8tCKFwuYbvOIGZnjA96JPs/QlxTtO+mGE2XaqXdNZ2vQebYBh4a/iLHppJ3uAb 39VInfNyoc47hdHPGllu+aXBmdTJ91PrtZxCh+ZE6yxYNuylhBwRdzBHGphD6zyFWHDnvYTrdj4j rfvpI+n3AjSh5QlYAXdN6W9bDpJVYCA30GZQqakfj5/SsWfZj17y8x8XfNaCqfnIMSCKbjd7xLrM 4x18exNbIBDBCfHwjQl92xvcywT61wovBDMBJyBDDL8F+qGJVn92PHeMCxO9CnsszLIvglkUl9ll fWzB5Qd1xKFj1i1rDSoLz9EeLYXzO/8XsA531AKPq4Bu9rMAs/7eIE1qioT2iAYl5N8Tr9nmW20t sXzAXFbR23WtlbiCTU3ZViRoAbx96aYjQzOve8Izuyp1yJ/vC+urlbJErruxbWi+99DQiv6ncBl2 vDYkfzMgZilG9GjsXvyV7hfQXxWPM5rDWaeT20Rm9CXxZfmgxX3cn9eO2DWgeYfzx05498Uw0ihh BWT2RNdKXUqUrL2afpjebltC9lWwLEkiOuO2wlGtJ/mMoNQjvJ89popnbe3Fd2UPuLJpu1imhe+/ Vl2jhwuPyrGHPuMgEfdOTqM7FWEzKumKUc8hK4p13aOLTy7ylD81n3IhkCn5Kge7Pl0xkxxaYq7+ READo19tYyEkCz8kAbO6UBfXxvu+7Pm+wmgFfdZCiWYxDsqHlxCfXcerr5PCtje5lPl/mUB5Ku4n e0QCJkaCHGUZR5kSBTX6jTVaYjZHG8EjL40zZHQB1Cq4QMfkJVxNs5/b/UaDU7DjL5qnzJr8/l0d vjPTfA0IqHAfPyOPCg3+CRBDkzNUxEVRdvKTFdrWI7K5TWxstpznoN/a9dSgXqWl+tB4L58XzV4C TJPclGDJ3/XmCbil5lyMb0Nl+ok1zWIUJUqR4pWV9MIrxbSkzyK6RCCz9hqDAkwXVex10bxp7Q1O xZTIYUqWIVdR7wgCJzift+kq0m2QElBvyXpPiiK8G7XPa8Yyn3He3+8UF/g4lxp62mQnssvLR6Hw 7+TkaBh7iHXic3YuI91Yvyqb+955oxKY563guTjea2EcXF1SK8AmUBc3EkMXp9pJKuuN6YGBcHqp dtNSj/ExqKR326rlpX9w95kKIV72Yt4Dr4fxeQtVxIqxzqxQDXSQhD8wTpK0brreei34KAgWGV/+ kVd/sk5hR9hMuBPL3tgcUYAOcX8lC9mcrg6gJIUPO3r4B1mO/WODOnBuS/MGJl7mJ2k049Edrp9d bITmyTko+8FqJaCUboyBN3ud1uSyQGD+iKQNSkm5S7E5ahvIrGHCAn4L+u+cMRZ/q4QVoe5ApjwW B6XkhE6U3YThihfogt4R4yqi5cXTi2L1KZZRnYIO6dd7XOZhQsnAOCjszL34ueuvt1j/xzconqsc Ztc8nLhWFjMH84huE/KAtYg85RQ9j4jWv8UHyG1NY5kMzjta2zbv0dgFCHb3LI1yfuZo74a96VSe AD5yZc5GSdpjxjIDpPBkqF8HR4XVc19CtqkSLzRtMovbAJZHd7ISoNqBJ5xmxTlNMSMo6nM1vZhP QtC0dH92l1AOuqRbcmb+LFj2LeXslu8exHwyWWYL8vPie7dprnmZZPtrwvSzRCZ44+PZnreDkcNB rTMzuLkkCiFekTnClkHL6XsmLjpWnjZnduKgVvQbKzHUAXh93Dh1xi+ElS+bh4kCq9PEGD9GgRY7 ZqtjNHkI5iRderpJ54zOJ4UOiHSPsyPu3JH+8/g1Pw6BL+07IXxKrkeq4CBoxX/Cr5NwZAWkeWCm t+sy7veHDGUf4iiyNPFNy8GlPUX+Q4DEuyYWkVZilYQctnvATxj5HwMgDg793cff2VfoguHtOogQ TJPvscS2utrXWk8JHJ80sGNHhMIx9GPZSOvFegvjQ0mtiui22g5A9oVKdA3Bkue/QuqWQQIOLvmm I9FHKOqN2S6xTZVwUb4Tu+I5P0uxbdO8uk+dXs2Ejpo98q9YZ1Ah/42Ho3v2WrOkSObbqyKqxs9j Lwyo4OfyAf/JZ31B0eeQcDcpGodOrfvgcK95HfZ0I/x0HxebvqZJwn8LBfGoOGFVYwpmMaopLS6z ZOgbOcCAq/Q+beNo4o+VfihxcwkF+1Ufu6LIfFztwH3gL0j6lMIhavDy+o5kM8zuoRPaJOYWY0wI KSUkHkdutz3HVCJ3MgmyDWcsFiX1nOCAW/5GTRSfwoeOgn/yCHdtT72bQWjUmylQ4Doc+uIi2zC0 PtkCRFC5Ki0YcX1e7IE9WC0izNW2ACRR1alb0gGGfo3q5FsK/2lL3SEAWwl6Q/bh4j+ghxFfelpp fpdLgMOrHPKqJQjR5LHHB1KOqXAnr9Ldm4AJM8bub/gfw70WvB0rBkg1BPSpjM8idUyytaA+jOrf A+j7UJQxCL2eTBQQlXf8HlqLewBpC9Wc1ZBWd9BwrGy0yp6LWj8eh4DySHus7izpeOyqjTDo0hh9 JhWTDs7Hs8X2ACP/swTiwIMsDVcIueHugJ+WaNtw57WxVpyQ3nQc2zUBx5a9rET5uoE01YZEWNOF PvvQSyY1JvF7yGT3dEdv8dhWLiqtdO6PhyW7vTX97w/Axswu6fIJGEBG3L8HwuP5ZiTsbvNe0W6Y ceZZVVfmcGXX/lZXIICEdGUUokbVyandxUuTTF540Tw3Jd2FfMTAMGIRVp+stpotzMMaezL1fWzf x05/7h0NP+7Xwr/1F0muENCUB4W5bHkNlWeU/zV4HRRje12PtW8EpSrHUSsSiz/OaIkqOqm70pSe jKTKFoVqCfzJTKkrY4/FvW0CSIcYe0Z1QEvwdBDRBknWdwMsd3H1hoDMLLHZ5zfWkktlQ3bs3IrD RMSCGCFhBFl6GGF1QHKIMw88Q7v/faI82jgsZN5QkOG99M4cfJlCAXkLXHvoeb141OiNZ8LfSly2 WtNMTf4UoKVqzv74D1K78p61ufD82VMG2MWWkSTHAUgVDwZunTqcgvR0IO+yJDI5+jmXR56douF2 xiZsE/85F15drqvtuPUKOOYLQnHaL9ELWq/b5QKPis6EUl9nq2vtaIHGAxJmmjjR11KB/Am3jkNv eAl7onGYa+IdxkPTV8oNHdX3xJ4KqECn5E9gyj2olpMtR+M1t7GyHnM2zIcDJ8Q8ZTq1Xcnm77Fx UJGHrYFuNqulTfWKDnhUwcP/feq0/hEAECOPjTvZCZi47tO1bF6ccQHAavmI1PCyAuzo47M/euxA 6OtKoM1mivm1dCV8gFUNN7t/tmQ787OmpUgMBIOkh03YJ4p4NOIiI6qwCSPfc9g6W+GsiZzl/EA3 DCjxJneNetI5/G3XhMJayM+VqUDKomdkyrRlLtU41unpfsbCzyWXyah7NCr0SXIzYmAHpUdxKzro /kWcAI80f4itj78/+8oDY+XX9EbxeKsl/GplJwhD02B4BLLVw0HgZ+/r6jHGLAMxNFrCwEAEb31P Cs2tU6CVFe81q8D07NZlEoZ0GTJKqj3IR6JpEKWng/HAEDiSaZxLo8ywzw2DhVuK2hz0wIxDKKgE GKgYSfmi6tWcEskmAb4iXfVQNT2efFkqmUdss+hUVFlkZuHC+S5HA77Q3kgXiVzxTW9XY1QRqpO8 EOgYvnI9+O8N6yc5/1HJVGDcymZAWUa06qtHDKmMzIVeq25BovkSbRNU/RzGJ2XIvoJR/ZwyfTH2 u5Np26L4l0lbNy+JA2nwds+oBIdNfLMO1X6+k9axxp+WPPPWyNuwlJHUy9piu6aFAYtMoBxGWxft aPcxzUsqeYQtpFTYMt7DTqNdTgPr/XQP/r5XhsU2mx0WA4M4ZbA4BpxD3XvukyfMzM07ecNsOpTn 2L3rFSimNkxohSSXAHc9shBpr1sTvy4yGyl/XU61eGH0uvh5WXwY2e9nfpEtqHpRW1PXU5Sx4WLH pO3NUjN4pe8Yn18vCO0iPNJvHWTrhZTSObQdfFIyxmCobq0Y9+7mrmfzGkPPCfdbR4amsr0R6jiD zI/8hafnazgYXbQnwgaOTQGkKbeiHugSzpWIj4U5TWNwzDR1fNko9alLJEQqRAuAojn7QPuVg7wg FJHwc+HDcb5n+jo2lEd6JvgPq4mX5pQ03RsVk6Zn84cFZ2vO+Pz6U3u1zvoeYWBmHmm3jQUJcfZ/ R/uzKCrc+rlLj22lhLkJR/vk+AYulYWR/oZDgC/4pWq48YuRhudC1RQRG8tkwRERSQvhE8zXqBQI RYy6bzmNxMDQatpKJVwvAP132bfVqy8TWokmEA9P3b5vWREO7zEVUhKkafFfPbS280mwPzTl4mP5 VkiTQCihp24cfCmVAUHo8Hb8INL483/8gp/OqDG1r6A92P/+R3jwOxyOtRTE6DiD/9aYLGMhrnMf aEWrKNqezmIpxdxMhoBPEhewwSJwfnqZj+juqQGsTs3DHohCohCdg76TVO2tR07/3pxzdLTuEc0j y6Eo+Ddxl8srseT4Fuow+nwTq713R3vdSjifEsNDzvTDCqHe1iuvo27HVy4df3X3QFp/vB1/tK++ YhQl596bs3CoXc6a2m3LRF0tfZrOCzHpRWBlJcp6716+Al8gyp6WDoHH1snyLlhlxyRq80QEN9Np gp13U2ykpiuN/czMsFyoDDPRFo0bcLT0G1Y4mKmA6jwTxT2awhXbCPwK4+J1sK3hEfjhQ1E10wLC jxYeDuIvAZ1LD+JG0OdoJ9+nERFQHxMLm/HPzybYE+drm2mV3KBmAVF/HU/+fzd5pqNsz5eaOTLD T/jmTmpNXpu5+JJwzjXfrZal7fZTyX5jmHErmmst/bzDXytcc+aM+Ra6v+BsTtdMcjael/iUBxtb F46qcA6H1FPzMq3sHAFNDYHfgRxarln+0BQ0SJZf6Zc854aoeTvyjc91EoFVivLebZ5UIFnDUA0S Lvb63DuT3vM6ZMAStWGBXs5RseBL8R++ZylC2PuQm5RID/jIOL7g62iYxSJLLZtIp2L58cL0JYCC DhlUOJrpTBwbTUxaGuwn6WYywAOq6lFgU0B6H8ASn+lLQGclABUfvnCPrKfhpRfR+pfvNMYRRX5x mBZI+x32uoIfTxmxa0D/nWKFtAYLCaPq/iUR/gfWgU8Fl/5M6DL3160+ahBp0ohzLMPu52XnybRj k6RaosGPv5aFyWzxFcHjLN+FeK2I61WJvahpeNUbSVm4ZM5GC6Cog1KODxZZ5f59dIlXmpC2NRZd zH1mNDizR8tT8RGrB19bSvY0uXL7sjhIVhM8GKqqWywcfXAdFIMP8yBj4oBdR5xD6mDHDqDKxMa3 V0tpkOSeSgF82wqavspk9saHbWoibTMjejvk7MyeM0Gxv2S4OFiUutBHbtwdmAKFrDkqCKe+ha/K 3VgXnR82xHx5vELscTIDpgUPd+g9kZWtyw6D2DYdKvSMhMr3oZUBNOxGyPHxDlNzkkTghDIRHBP0 i9CiMmVXejP0XHZe81iFGXkjXOlSfZOIrPR+LUeidsQPsmKZPTCy0l/wySVZldF0vadcqVXOoNn7 BWfcBe/eB+CWTYsfCdi0+/206HC/K9sv4rwoVeX/+BS8aIEQUT0xrL133Jma2Z5sQUUejeUlDRyi Xkb8GxFz6LRirRxIxJ1SA+55ZGXCeFZ0Mt559yrqkXQzgBb5ko3K+MkLvsEp+xJ5VFDWBY0a0rO6 b9hfd13jLyRwtmfKhFvFvjI4GmZjXJLdbsz4dl2hIijalp08sMrFnkfc/hoP6/6QyBbPdBPKI025 PyrDzoP5sdZch81JIwHOUk5arN/bpz+4kO9g1hCP6/8QL2snEzzuvGCwsXSDJimjM/5ZY2AeuhU5 0toHkxUoBrstjCCACmC36hVYCbvpOl92hoJ47dgJ9AGaSzCjvooVXCg9WmI4y1Vn+GnndyYfEsdJ 5wFtkWvX8ZP9b0Cy1sD0zLEO7L9JYlrXiryQaPjjbECiCMX1ZrI4KVC47SmuOD7xAo80VGbKzKwd kE59Ea1U5rk6C9+bPVYhrWwfSdlq1PUyX87GSNQlhFY0ggVxJUxuGsvTZrgnUFtfkyr7QV4fPCGE Z4gYUn/SWyEGmCgf/BHYFlbAzUoFQ+M6vltaL8rvPcERVtuQBQ+yI7zHHpYEkm2Udg0em7ZiM2gg RZ5ynXttoFWZWM7kSE/nc3LYnH5Zc4/QXhF4Y2NFqOpZJUhyiWf3kWEQyz+jjLLy6J3UxqXvAhn5 Ul/xWVxfN/RYCaxRnONo8Qqm7NccToRwnVjfb4H2NG0s6ql6G37kUKzzZqQIle1R39zcA8Iv+PXT f56VTPQnZvFcaC8hlrbiYuIu1m2+MAbBdu+JsN2tcNi/SgZqdb+axy3I+oV5N4gylWRnUitTxVIw EBeRmu/Enn/ESccb9fQa2sk3hXzVMskW2ArDQGBNKgmvf2QV8w4x7kUvcZwrsqpaUBuzRldavSsy /zAz0Y0/5zTu/5NkzrdfUL2fdL4nYbOkOqHdlEaKQr7BO17JsGtET+250+8bT3+WjpKWu9BWGvbH sV3c71aD3kr2yKz6yjVUlaz01tP1Lwll581rR/SAsctMSjPcwe24Ksm652MiTjY0CaYArq5hrG+N 1jwo44G6qwG1kKsAY/GtBQLvC/3NIgbWki4B+XOs4QF6PNKft/1FqBBstarOfUFuWw5SODsvQxcb GQGzRHZS08SkM8Eszf5lf92Ke/ZimrupD/DolKKHLI/PPAEhS1dDjnuQ9mlKGSodQeUbwfuxTLh8 dp3iMfvk7P+fCIxW2vMzP+gP5tqoqRQuv1OZUvhpZE2RFpKwfANzmHKKCo2kYnfOy6MuNGX8CJQU nxZXAM/x3/U507hcQKRkHF9mS265lX9yJFTzZbC8Mcefc24vwEi0hGFsBsiEStLtX+wyAjHojUjL GL14an+R/85LOco9UrANBbGSM+NhaZwU2JenYCXpSwqpUttCGkLWt88Q7qAQ/rV5uo4WgmCUxT7Z eNCxdMjoDXahYdVSRhgoLrFoAqy/sYBo8j6NbjGzhQlVu44Hso8rYaEM0qZhT6RZJXN/zfVSSXeY iNiyRATynhC5whAasnnasSkhPGoswekqmZzWb0zgrsZDv9DJuhkrJQS9aOL8CQiukjgKZWQeRTkc WTz14JprcvC9XDk/Q6L7kwDyARreYxg5ytIZv9Yy1GQnanT2FHI9V+mTEtlhox6ZNonUKml6Gy/g La3UOslSldjBGOn4rTQqcOekU4AYIUycblIOJSkgIfvsqGfvOKkhvYMVfPJBWfj/KueU/GoIMwkk dAKhdKhU3MUYEZZ2xd+60tj0OumzscpHKsM8OvDq+/To0fmkwtz9ZKLxuuFCzp8JboBzrgJ69Rnw qhA5434LAMXpd+XiD62aTLSuVeWOw4i0acLZv3fgsSzUVzt3Tuqf1sqtNWh1OgJxIy7qQBjPsfs/ pPjl+syOFTBmZ2ynjAfg+vB5A67YickHIF5PonBbs7hvVhJTaSe95NyG9QIPfpccNZtFHzqbHZqe 3R2+foQI5lXZ/hEj5yXnG/hUtztW9/ayFVpH1exHwuL0Iz/oaZ9MKIxnAkcWhiFSu/RX+HeNQd4O g0JCyQLrz/v1jbVCZjkCZ0fEWgYdtYUXmUBe1gtO6tZ8FoB4vyI7lUe1ZKxmu78R7prNfWQ+EI2V NKLgpvlp6jaW9ycJf8FcRL69uXCPmCZ0ZoDGHhrlI3aZbmLUyWczdcwful5eolLrAXrPbLfQoEJy 4TyIyYkreSZYgo9HKbn3w9+uS83XnIEN+SnmUKoObSBxIBv2UXt+TEFnNl/v5NGP+lhWTc7XGZgk 6kEhi8SkjQkhutcMBj+FpCQc9UFQ1OQ2GeL574GnPh5RJgeBvMo9wfqoYkDgkFAm+RPkTWmZHSU+ 39vpWKsW9Os9fh2g6c82+yT+4HNQClRCdgAhQPWoeABB7dB2V7xJDvQxfqA7Ql/N2+PjzlMEKBDq 9lBniQFqm/w+uKbFisZ0MvRMOW5LDJ/8SjBllZmY1AVhZuEH2/g/RYQBU5xfDBMhIxxJg2W9DFFL hqMToU+2W7TBmP4LkEbgK2CK/mTwziHkJLAMJzt4l88jyvtMPBYeY6hkaBMiF+RZPi2FAnwOpoY3 XJCnibNQEK5YcMvan65TT3TMboBYDo9tD81wlx/mlGWdTbq604jcpxJpBjg/xiiMgXaKjGwrUw7Y xPJ+qHBezayxLpFxwp7KMF+Dtv+y1Ewa2IsdWAUeHZBfPdNRiqsk6VUKeg/w1f9yI5LdCgNSdTMX rmOE4JG9xuGAwutYv0+z6mGDES03eEZ2oigXAqVK4tH8APUsnGrpGPtD+cPqLGv32ga7vmRSykW/ qcRfScurD8Hj/GznNfMwfjdGDh26tQ98uz3ju9ew+NJ/wyenQKA2sSKEWrYUEcYPc2m3lnrDAxi1 rljMRrZiXqYaZSJN1RyNHg/70l6kYu8dfjREfx8Dj24or79sO9p+k3sd7PPzArIduDJqhR9H0mtX qPmNscGuKQ1/pdG6i32CMZOu0RgYEKpN1Yht332FTX2FvzlbfwtDS4PCA155Lca2FXrfVdvj8rnx XXfOM/rf4ALzONdilhy1jAQpxzkmXzjqAZoNE2s9jKFaCgGuJ1LTZ3QrG7nZBgm+NvTbdJTJO1Wm g68weX3u7ZEBMzXNi2mOorXL5NHK7Jg7RvmsCcwv7WWC3+xVtL7plUhR7DpNaK37RKBGALVOEvPJ H8ecsV63Tufrpquf0JTy7PR82DKvi0uQathxBi0NJmtgzvpaMfKKM4g4niEr4AaFyQZw4XdATtoR MR6ZCUTwCOPmC6r84AQGGHT10FBBl1HiJDfY55hnl2NKLTKPI8HaqQhJRiQcoQS3OK8b7QRWXG9Y AAYJrdEmOJQBs+T0wdMhBVNgrg5t9wGx3tY9FRsY4JXV7Q7V817f8Y3IS3jaISoSqz6tjjM/wsfE cvqH69buT0ZfqA5Mnai0tym0wOxcYLzMn4eG66vP6MdDx/9h3KtA2iTs6b2hfqNBexNaXHaw/dzw MS1zFwezkBANkGtBrXTY7vQzsIhZmtomQ5Hya3YsvJb3cOl4QpzHdkdUeA7A5LgnMi8UKGOyeOHZ KHZajWDqe9+giO3qrUM7R0bNvK0nK2+4HHn4pa7YjWYUi+luL89u7H6XsqNlQo7xKqwQSSp+3sWE suYYb98cBS6Dgf+9kZwzyrxAQ+JFpqcfLjWKrHIt57fx4HcMOZzrZ4XD0Cnp1aHQhRMUp+bIDEOZ qCxLYKVdZIWr78CzbUBi7BkhDi0+rAvYnHFpAsyoRdTiUMGM86Xgt+y8T3l/vGAvcX1ulWI3t5vn i72WPfFXG1rzzeQYsyymUvYHr2R2ViDTO395094ZeUttk/iNVmPMFqSCgWg3DqoUKFLp9s4gvI6D 1bSsEolYTPOdO8GMtzjAhHd/1k2n70x4+bhra23BpINwz61E4fGXRSlhVm3peMadKvtmyfevZ4mC mVxXEiVaz/JxNX0mf4JEVHTiZw2TDIv4C4UX4FHSwy2MFJkAsVXEzEoYOByXY3pcoN821dekbwWZ xgLOh4oiEwH3IBTpCGEHmSVGHzEK0+uS4uHOpoMauEDt3CV15e6HPTHghMcmR/e2Oq08GvSSrvcJ AIxmd0mcIoKWFLXa9BYaEYwapvCJhn3OfinjBgFY5fagaiIiWQLA7RJ9KmSAAtW21oMc8CLOWSW8 jMi+TnDbB8yCWshOUeOdjPiqsw5+YNhpuAWhZpg7owqKOnFKmCH5sbdXeVOg3cv4Kxf4W2J2qxxc tnuEHgTn0BTem076SCXSpm/a5t7TyhO0dYW2Fp7kHXdQv2zRWeu/mR3QHb90qOhwQ7mEAOlV722v GnEPWpYjCD670kl7NrfY88w+1ntbL+0SuqLlSnlsFzd+NKe7P+2nkE3BUGdzNIXscpW9UKhy5SwV 5O8wfHTk1OhAUqooBUV3p30NwYrWggMpwgLZOvfQ/oMDpEdnawgGIlfwS5SyZM92x9Yz6o0JUTWR uv58SMDfXaUAt9t0Ybx+r7wtLY1Pv1Y7jQWysFqJNUwoLl7CbA48VvSn5YYGXuH6/qq4rtAxDGCo d9QQovWH58lhMEDmMLpnCYR30Y6rpVyr21ehUcPXZvDxLKsVWIRqD/iIn6X+qR1jEGfqmHkiJcT5 Eb2aotGwmUKNv5MhD269CkWGHkcI1DhcVYCMObMYa1hNg/FmY3z2vRQOCTHQzNXcdjrywCbejLYk N+w0RTM2d5VDqzG2MSoOmBdZWlAVCUUOgvFxZmxijaQeEVypg2mzOU6IrZMSjk4tTmXlLYZsc5Pm UQcZlqIezzgWj0m0NFfJ5lHRdDxnuCt/1De/7oLrf/O9ON64ZyOgzOelq0wSA/l8Pc8+v0Fcxfxb FkIGpscd5xcmO538kux4FAcjw13u+zhFTctHB1jY1uIhBkeB4Wvk+ElFAgk8ME56gESvx9+tklY5 j/MJPFXP7mpU3jx/IrZnlfzibb8hfb5qQnyTysk1BgONMKWWL6X/LMdmacALib6b2BYqsKUEAzBJ b70R7cViQPnIv9xWBn1Z9q8bYt2kaWRi68IfktN8uuIjyEWBIemHe9OCMs7zMGYxo4PNXBWarYBV JK5DIC4/ufNAGwDxiBH1fvXDkgSjQYhvGkbUsD3q8RLTiRa5yWUXgcb43HWrscVZVpcYA+bDvU98 7dkPNOYqwOHMRVH1yLpdpiLJ7YJL0iVtX8DriYlB9DTeQDy2FUtTqKW7y9K+9WBlOw1xciAVzx7t lO/vw/+iUKN1xoZWvQDQdVYzH0v0cL8FN0T/RLm4c1+kXxAWZxwVw312onCA4qI9NWgtL02erqfz v0R1QNqsNTqHO6kYxUgycJDCUtjI/bYAaB72lcry2Tqj/JS/GEdsjmeQzB9vC30J7oMGi/s2S9em 4Rs2j+f6rOf/E7myPJmqikVYPvDj/IrW5GlWxBm17mjvArVOOqsuE4gD2307pJZ0xxdxTI4wPDdM hgDVJbo7feXjX7u3ZHT4tmvby6GcIMsJMbCfBabB9C5njqQbDhU7xLyF7h9l72l0nZbd1meY+6fA 7PCpcLCERSvNz4kKr28YXa8pnk0jM1PuCwY2ykmiAJoy397YXdqqBfjnS5pzOAeuBNp869G/C3iD N0ZU2ZP9TJJACCq424pK2K1iwzzIqPCPOo/PZlm3yBP3243qjnsBym7YLFanwZOMX8dj66HQF+LZ /hagVzNBea0LRHrRRMPWjfhKkDeSv5MQacup732LtS1f4MidVzlr7PXQ8E1tEUO3TBYt1a/spXI2 +v1MIhFB1btWO9kfSrUiPoa0qyJ1tTcWjlFfWDKcIKtsNUcUDSGIqaidSwfIYFEXPO4hHVOe8p7r 2/ZpLx70HGIdykLj1i+8cslRS8hpsH+y+iLvB9wkEu4NOKQHvlktLEny49vHmLyELnPLzP90iNbO GyfiOpda1hr2y4KTmvEQWvb8CgAjE3XTTa7iu9j52JdXtvshJ8U3pQNvy+to+Ao/YEJSeOPHwA2O 8WMI/oovtdOlg1UZIDZthRJfD1Zqc4wnP65rDJoGSPTh0wVdvDmfOFRpOGJc0juvKmhwxP5hyO/b m7IoTUUwJ0V6KdlQ5Hnw6m97FzNzeG7a+6iAaJn6y9evuFgbKb9ja4aD0/DBBw7ghd279zfypqIj Q04/XM8ADAWh0oAT/mIz9zre7EewAifFHkaHpa0HkAQvjgxK/OZWvKd9IziNn8qHeMeM7Ch4LJZv zjYoMBQu5oMvgC43S/rZZPW3Wq23uXGo+MGf5lSiWDlkwNzZj8y16fcfWkUA64HwBn70ClIg1YXz 9qZqe85JAcHXhbUZsJSPMuIsEhRjSWWx24xaAbyNCIZx3tkOH58nMzC0D0VTUT+Zp1u4X52l9llu xchLw5oKfzJT0wEh0d3HmFojPoDjyzZgbS+KUDjggFNmU971Z1RkiNYJqytDLWGQ7Q7xjQBqE97M acOoq86EcvVc+pEFJgtJzIRdag63b09OqB4e6akEu5AYvpjF+KDAAjnyXFw6/W+vo+iqyGNpRD9H EiPupeQgaXVtQVav5OQ9Mlx9cOqCn9Xt4wIHBrROmd+JtCIiHTvbSfnm9QiSPiumvRGEza1vlhEC 26KljGhCgj2uAPHRqzHSwvveXXW2b3xjczu+yrD1fsa3s94yGJuzmEOI7nt1GfXBA7cgbD3HgB+O dM8LTKDZtaWsg0eZUBPnPccBflS04Zpm9h/M7mNr2hi7lq+ag39fVAlEqU7/AkTCIjo4v3sQ1wqx 69Oq6qxSseesF/cu1ugX9W8l6/j0/2vPCiixTmgnhoaRvC4+Tkpjn0mGWuyFpX0dptOWA9WF7wLV ozCDUbAPZqAng9zXaMIpfxHuQBteTdL46fnosq3n1m2/YuOyhy7Xq13QMVe/twefeM0Wp70vvLAN 9FxkEcT8y5uBd5jgsE03CIUg0ZO8A7laZwlulyYtJnjTDJLueURDDevtGvVsLY8UeYYA2l0HqKKQ I8cTaYYpDNfdOYngHWzhZYypOcZfa//sVHByrafC7+8CSnYSWJ0uwqKXcJL+GvB3xhcr7qp0fykC TQO5v/HeN1bndtI1lHfo2kYPAeWsGouKml4ugHpR34zhycMASVxntCdvBldU9Y2xX0E4eFCSGc5X NEnHn0AIYj/I3+2DE5d8ehUn6PdcD1ZqvDoXepqTLYhbl7fKrHL6jc4alcyxOhFtkbOvQ0+b4y5z U4Fk48HRi32+SslloH+T2YPO3a0yS9RkH1QqYEAt0OH4hmq7a4dxdmokI/I7/ouXV21edSOq0yME tY0wMHDF2YeqxQA9lVzUa9ct/I0r0v1H+xl+eTrb2b8K+rm3WUmPzMYrnxhNR+raTz4OYOrd5f1S /EtoISWSs57L6ARKPcsEPWoIdM01rtXyfhaPbg7j8jyakz3h8EixDmu+36orzTXXUd0jSWhiTPeP b91gQday+afd3PT95YpV1GSJ4MRKJeHDy//WDCN6idg3HznoRoYPQYe2txD0caWymI9OzOIQ/K6Z nopyi/ktULeAtf/1CsctIbx7Qz56xwfoD9GQivsJ61Tr2stlC2VoGnvIwfBRfoKPeWD99cqs7yP8 V/+bQch+eilysGWyzrMME8O9zQHo9rV9+MvoFuzwTePiOaf+YlN3AUNMYVaE11+PRChgh/Ccio6o XOiJCuxBX790sXkuiBxzsIFAkb8EDMno0nH/sGISkmRcScytzuv2NFBUkvBADqZXROHdrNO7WZ/O DfyWjrFM/xE6aLWHRdI3vHZyX0wms28Oydpf4pRrQur2HPoFXVVxSz5CEgW1MDJqll+jtpS2w3lG iXVgYtTHPt4NR3It1ebpl0orWmZL5AEyrskgSwXqZdByXZ/8bDJwBVANmOSCYlPu8nZi5ILI7g1a Ys+sDVQTJ/FZ9fQwrOLJkpUpBN7Qt+quHBVxZaglcuwk7mQAxSptZkROGpmileB6O5mg1VSo1KH8 bG/0KcGAnJIBAIsKyV64vOG80ND7h/7fj+iUinIpkjbQPbLfjLVaCFqKGcx8Ukxsxy+RsI4kWOKn 4mbJFK0SajaeTrYBHcqyuca3KQqpjFOEDkT1/YfwVUjnn0DemGPPB/bQoly5OD9BRfHU6JYEHcxh oY3scWKVwQOjztIgu9WO30rIFikA8zhol58igwk/TB1FQvUpX3AQ2zHpPK3PWx98CFkGOvGbsLGI u6bewJGire0bTzFeNhOxv0AcFGzOMvgZcsI6+7csr7uWzEHFiXezinzc0WSM7MF29acSTHgAlnQt y7yE1NhD5vAiheWkojVWCJu/CsZ+UEyzPu1GoEloVPPAk8qNgf4L+Evd1a5+GXVo3RuiRbgoo5iM ugrtMPX0zb6TR6rEKB70ElkwvZitSU0ZKDKY8UBq7kUjF6vtiU7iyEpfwPTk/k1sQMHNI15RkHy2 TfAilKauwQql6utcJ5kuCR+e22YO6PySc7rgu324Uyc5uJcCibFNnx8W5wRqY3xlqrAaPDSamixa WV4F8vI8laRUJdCrYT89A7JaA3LYu2AwweA4iwtf7PHykZ5OKKB1I1HinEIPMlURZ+7wZVXEsVyj 5tWQmruVhNxfsR35Wf9/lYu8Un2pt/zDdSAEwR3QQrJJy8MIw/DQvB0HBNd9SRgYlNGkBPFrvIXr eQLNNvsV+LqaV/teWaKR690DuEaLLzfhUcZ0Ef5Rb4Rz6fR/ErYZJO9TftBMW2fkcSIvDKN+LjcS ICxrUSMxB7WsrFKRuo+Y1wdIrbQCmNBBmrGe1k480M6ZMMsumDamO6zSpZYl4rb0os8q0cRJRUfX PHRcOF/nzYPDG9W4myTSVRo9kSp9jTF5sSycgKPwcMQ2720ZwgGh8gEggdXVvQTRp0O8ffk/Yw7T qCZD1GBPaWJ8u+pa6GaVs8GhbHHNe6Rg+QajS+62KlSOpHp00Qp1QFMkSto3UNliLYeBXwZ6U8aJ ytgjp7d+B7eetfeVE1/pQHcAhbywkDg3x99PKF2hqR9pQa8XSH/t3zWX0CH9VilzBCxvvO+d+sOs jyp7HylNvsVQyiuqtdTYBWfiOhHU5GqVFnb79pNe8AV0ccETucYCaG8yVYLhyIjCDL+ZbjT9kBmU XvffTLXc15Euboqu2H7MVF3JZ+Zb87A1pYTjtdppnLqaJIJYtgzhsSHlWGgRU6YbAc7+g8ywpaWj +ueuEJybc0P6737mjwmp7FjpYcLQ9JJLfvP/XtkR+vjgNFd1zotYYvOog74RGZkXbRETeDl85ame UGYCmzU3+GNMR+4bXs8+dfUKB0SWfbSgHSuA+kuYh8NO+u1cclFvuwixmYPSkc6UEGEBdZnH3hmK I8gBmHr+pkJy6hU81E71iU6jGuR9ekshyg/N+KPCG/boyIugpBEnCqXqiA8gKPwp3cSMHARUoIF1 A+X9i0LwB1M0Jzzx1y9LgxsLIUS18OO5tr/oM9GuV/vnXfWOUKSBWu2WK4h1pn5PZ4o8GWpQ3UN/ 8gt4A9C6vOHlF55yzjQdpVBnqiDFuDEYMWZ1n3y2EI6PkDfqTCg9+lRxwF2JcjFO+5G5AVYbKIIq pSlWfdZSi4twTa42zL/RaFGWGac47n+y9PMi6pX3YpzULS2hEvvYah00G0GIRiygC3T6ko8gL1R+ SyVlpxilsFY4VJTq4wadZd/l3VmlXmPQXw/J3jZD+OnlU+wCYmbQAYMQHZbErT383a+6/8QLNybo 8N0C2D8N7uTM2mfcpiYRTOyRsn99c5U1mt8LwRq3oOScJXo3uhwrMkWae29wV7KN47Uc3TL4Sww8 qBLteOUaSGPBc45P6kI7VnLlDIFkJK0DeVvELkvFJx81JIrEZVSHQiLlbjWL9+DMtgSXArRqrlRc GKHv2q28zSczBvAEqdAZMi3WEg0W37AbHW3lKukhMjFttbeLjUAGFLzyf7wapzCpA/g3G99BzXsF S845BAPB0Fzmt15UAQIjUfh0wQR/6YR7sC6MUmbY/pfFa/uWtMFnhdzDx/UoKCeA4qWV1NN5R2R0 JgFrru1lJO8mVuYNiFQiltCuGuuT7P2g9TQfHS29gJgKe6Xm+N61yHgUbQh1NCR6aYzOTDDkC0s9 sIUdnHJfc5fwWrd5W7u8RIhH05WUMOP9bKbYq1fbY/OgzwRgLkB3loevLDEXjTLTTpPVqMLfpEF3 fVHLWutS9oW4r46Zc1uiE2DYYK7B6uaHvMvTg6D6ZfSa4GFxlo3tCML8YLc20ZbbIXy0Sry/u/SA ziNVZQH+g0C+UyZEiZgWFGUpK83XtpfvwAtViJMSRy3wVEpp0JlFIOsk336Ax39AvtGeAI2QFzIx LXiKb8sg8uyPp51ERrLr/+oVx6S3+8exe58z0uigTDAZFAmAZs7TLPdEHCyv1RekO/tNNaC/V8zR 4TeZ6NOwhPlRKGCYzxtmc8EnIIXwvSb2Lka0H85KH8V0NO9AGTyR4pQm8nOIqNa14gsnYFCCV2Td /WdRJrChtjbuphY/3H4kXr+ejpK41Fbw0hjp4rcCq76fRC8ij3FlXPfwx1Lq/+Zcwo0OT1UfZGf6 1+6fumhOlf2/o+o939FUWcNQYQuKdETwePQC1wCY9sbpv+OR17/Sxa4OHXnXs9fP0aLME/NgAUzD eo80O7hw2mJKahMQRFSRbrvVt9KcwYDy22irpnZcN6mkewneR09Xu/E3Dg8IuNA1Cx37MhHolmDb 6ZinZfbds0gdYuy97NEoJQJJAWRhNvM0pKsPnhlERVrbfdah42OiklNaT6Vb52kANcX2XfK9dzCO w75leRpSxUUGaFHZDY8576ep9MwFguRxCoeyPHyuVJgMNZDx8USJoWJ6GS6UQGAe2smSMHJLIMwp RO8quyGX/mhtVy5P1zfDR1IQhkgzbaTAbYnqjHips4lPbcvvmYSBbLSXeSKHtfuhP4RKrQBdUQgu yVcJlPQZt0djGpBSh5EcD9nWJE+dDeaz0nbrb0J5dClyr43kloeXuMfcwgSA9L+dB/zSZRIXzCQn kOqkQLY/IJWj1fOA78pHld480VdmeVvnR3uuFuEayrpg97NBm6wJqmKV/n0vNgUNPztNuYoI1WDc /HTQ4sZpzun1WitKhZENOjCudww1KvmKaByh8e00zuKUnFV4JIW20ogwqmMKDF/vohi0Ab+Wc+Kc CCQMWicQTPvhIzvyT1IVrl0M1OSOah7HR4HcpmngMhFlMpsXjiG6J+T0VdtoOyoSfrx+ClJHitU/ vuU2BpNVqHVVQp9jx42MY8kakuMcpol+Gli0N4Zz6UYyLmcMRDZ2DEHm+o7a5iGt2rtxUSA8iS61 AKPhU+/6kamkfe8lWgkuuhxWXPvS4qNgPschEVJ0atrP2S5OjgNOlZLFCUuz9nrw5HUXxJGfqiFE WyqHKXt7CC8xEUfY/reZ+Eo2XUTqdStQ39cjc4FZ0cQE4gd8XjcXQdXkzZr1sPn6xGJtIbE9whQJ z1+8+rqgZsVznlLrIYq7pECKZOqo6D25oiFsf4+UkNMsuuyfM9O4dhvfcLOSfh3OmTyL2dGJsnes fFmqbm+4S8hlzQnXXbUAc/UqJye2rXgNMkZaZvu9gAzDGT7U9Rol3EDryWDCPaDG9U6nn2FjKlVR XfZbYoXhYO8ZK82L6mIsI9AUNng3T4PXVj6bzHpJ66JgDqJcxqllfst8u7T4GGqWjgPjbvdlIkm6 GnRsf2Baxhp3DdKj0PGN9+zYCZxNAyt+1iqrqDJhhwP/tSOl/g97DymHm7nJj5dAyDRBl6kkF4s3 qkMrW54peYsrpLEfTn56ER5YMtVfwtCHAku8i+rYIbfCpeddvF7MLtqwy8kiT9Xu0tFzGeXcGvH7 hdIb8C/HvGgdBH6J/plkrYM7hSKqYuHXM/PcXYiD5AfcFrycDMw5qiLUh9CP353A/v4HIPcUx2rr Z5ABWUFQaxv63WWo0426Jya5DDZqfIo5bMlme4lGoRW/A2hL/QOLGM6TOIj3vEaQBsz7BS9eOp7n Xh3UUgfED/WDs1K9Fy6sFvA5fUCb7hvPbZMq6C8Y9WOA4Vug9aNBGurS4n0u7QWJteIvf/ES+S+x TeLtX9nCaXetpdX2Sqhlpq8gwKw4HAwyENEu2M+wxMv7lgtMU9ZqNubOZnqjSQ1Ia8r3tO1U/vS8 v8u2JMlK0KFuew44ufeYZLmdtIlaVI1KrZGqlrBLz/LtO93ErmBUNiTcEDkn1XYXjJ2WqCuTrfwn 2Z+B+1BDttYjXzL0hUaqpB8PDGlKSzzkL6NcdB1iswGOvt7c4QExniJl+gN5V7sP9roYNFK/GiTD sgSQXTxrgMnvWBVaoz+IExs46T9gNBUz1iX/JHRCsmI3ft6EXNBdPCUzmIYrIEKBgjwOyrKVaZKy gIHbHrR5a2c5LEb8KJeG2bEmwiNuRjQEyINcyqTP4BPQ78UP8of2QJoXqYPLRQd7oe9Z4dSDW797 dllZPGbwu3kx3JqHU101Xc72apr1Ip+8y3rMsyC7NBf26B+CX3RmhyMkTRB+iba8DtMDx+O8KeZ2 waThcBIn+cPulHWjD7PNzjDMsdLfZAOyYSzEUFRQTIgkQjAb4ZgcC7rNnEX/5Fd4c9IGQS/NeV5w VAmHM7JA4ed6tu8vBTMGqn+ma2P3tDtyjF2QgdCvtYAbOk0xVK9VK5aB6ogdLdn1dYDTbKwYvAHx r5Mis/n9LtTSonSG33yXBAdJCv8IlU2+jyICKPMycMQb1ykjnHkcyqfhOEmOyo5eBkNr7xnOfDlv +zyxOuRkzv7efBELd0m7zW7ulgvR7XCb1RNd//LeL7Qn/oLVQ91QZmGjlQlqvTwvBw2weRiPyEFe UUok8mycrSLM6IG+vEfrWZoYH0zXbg3t4nbnVxv+UEEP7YuMXvQoubgPgpmXe9cWoLAgewgQ5yR9 PkkUEcAV1h8lnue+bUNZkm4Xix8DjcY3bPzw9BiZfQ5vEyiivC75BB0KiWO7Ixji9ZhUXWWr+/Cf y1PtFoQUVvRb8D8fu9w70uqyRC06BX4Sy3fHOFtnVijkSr4Aie9jO0mBUY/Dvh/O7e4NrP1zGNQe NWnqvESIqdCNIiYayOj1T39aT9aBd385UkJqCzBETaMNLtnjv4hzIvu9Rvq9lokaFzbB/AJ1BxLd Qk1dsqQre3OvNB94+YwjlebTKvRz2bNR0ztA/dhSIh/mRFXl5JhGM1p8AuWV/qCUkMh9EhARtY57 Jor+806R8jznV+llB64Ow4DMXCRTcN9ZU5pYajgQEB8W2rgTWOXd1rllCcFyYXD6yavTd72Y+U3w uw7G/vdtqt36zeRDJZz8YAey6vHCynoXJ65zkDmNQHExuUnT0AUOA40DX/nJdUq/wvsDiYDDe4EE E01eMIoNzcVARQLYldKyjk/LKuVNR5cp9CAuBZXgyaTL5bbhAM7kKlfzCHVzhHK1k5L4jWcP6iBx e1eXVH/3gswoHvoF9iE9hAeE0dWsfSqCOB72vE7CHJ4QP7KLPlZjbAvQyrUhjTM4k5Ao6hldZXgQ LrEsMR7RuVUAyPqiY564bRTNk0YIiCrBsoSQzEygcg18JICqgdnDFk8dMs6K2Vw6uvYg1CxO+kNC WoRd5dbp6RbdJK9DIhjzIednxGYqDqS3Kzm+uztNTQxU39sHtxey7z4b7WbiU0pfQNz6xs+/6nRF It4V1tbv6bbJpVLjMfeDfrfZdkNya1n4OXM5sueNn90LyFouXXslOspN67iKu8JU0D+FxwyvRT+2 ijJK0Pxltf13kS7qcDMTkHHOhBjcBoPs3xfd2sY8K2QwqVyma3/gQXVRHxQxnJL//IPzIpXR1Z61 C7BrlTUthA2uncW//Ca8ZIJhIEGP9YfUGviecVMaE2md7Yu6Lf3sM/L8TSNmEyLEhRusnl1fOpga vcH/x7i6mRUdI/DQYwYe2vErHjmWiCW02g/m9AdPArFDeTLhIJ4wearOpA2JEVJzXjqul2EQ0ZFD 50Qz84n0Net+yePKFiRYtfbEfzdLHCpxxAquoST9XZrAzM+OhgDCGOdiEB57vtMv7w7IsAB4CvPh J2yQzRbgHGhP5yG3SA5bO2WbWR5QHupTfXV1OG8ufJvv//AXayYsgkCrwQfvCQPIG3i3nloAFAIY BJYLvvrn85W1BvpUQyyhXPBAHEeoRl0LeEfgOz+2QaMCdyFzH1uipNGl+hHicFbrcTJDFI9Vr+sV BpZdtaUv28SrSX/CmdT7TjRwGqPilP1wbzTiPuJd5htwLKoTqXKhz1nituFQOt1yjjhzM5QT2ZZS RVtrExc2C2rRNGmDxxxNef0v2x7NfPCaktEh+JxG8WxEZa7OhnFCjxVPqn0bqXIaL9tDcLKZQ1ww CvbWNQv1+xeQZcbDiqvF/YGr5DQBWcqf8Hkab7qXJkQdVTNLlfbPAEUtHHrK7on36B5mjGoKpOPs gsz/HDomomm4fOw7uFuUKOImIQE+6LcKzLuCxHSdAF1k9RkDGLlg6keQ8VvW9HP8VaBwQv36D23X VLlfhr9W3eeADdHe/7r9JUtifM9KvyAyUFH2esdoc9fMP8nhOU+4qTnBzIjynsXT4xoih8tFD4vp GkFIPzBrYTJFpeqtFKbn4D+pomx0k+SNR7xgVPsiYMcno7xDk6uCiOdSqMjKNHf1Kt5lCzzuZeEp lvgTdfWWZBcu8IlaTxF5laGN3fNzH3fF8rvcI9UddPyj4byf3FftgUkrrt/gR3TtI+bNCs7zrAJy 22f+yEjQgFjhkeXysLXlsxuVFZJJm3okt8PzVtog94YCsrW1Cwa7/18P/3JgSeMCkibSHPhmHtUY 1T9FEEWwXh0Xv5lEklRgpFZ2jGZGTuslN32cw+sKNjrxr4U6EYnyrOBMsVdXOEX5AEMunsmU9lTZ ay7zfIgrmKnv/hOmmZbQqJY5wtMvUykZ1VLYa95MF2/70rw89xNBaahjiUUwIRpRYldVhCO8vFpe CPJc5V4GSv3k38EfE26K3NAXEMfVg/4bcW/zRkizLwPvXD1kjXKNd0L2OL1J69nhGiZcKkXktcUR NSl2irIgbcas2FAqje9xryopwGuCkMpHDpT6rRlceInzgmDDYaK39ITzU8rfblC4lgrKtB67rTjG w0SCg2VReHkMXKxWfGF0ymE0KzAqtONmABfanIDMzwftsNQv/ah8OI//XCQHoEppsF1TvEBEpPxU 0aVVgHTGzKXNeb8vlB92uTn6IS4kJZ05X9vhTbmgRlaEfKJ6JYQKJ8m5bmlfSbPdSReP19AwVuPD CZx/OCjkTK43zcbannEHnSK86MHr53QWx7Gn73w+vcS2UuWizU6XOhwsbkf9Rc7DKWvG261FsupQ WWHiSbNhhVSiHlVbbvXw1r7JdpRAPyi2u0sMteSa8TouBER94ucSkriYIUBDdRWE0UWvAg8FT31A 6LnB4aqafsdAF0KTsHzrp6ALE49sYJATKmUtlvsfzQGr2TUn6cexjlsh6q8fNYha+HGhWJq+kfEL tRLXEirK41UCAljFLeWzC7wCXvKdAZZy+UXkahswZqG/Dbx4Fo8Rsrpg8RXrYtNW9B36j1Qgi8mB /YrEZQgMAbN7oAgubRLLroALqYue+R0cgb4f25q1/BXXpjaviPmACnXqjFAkpbFdowlf9DFGNvIQ 5VPvwsZ3SjZeVrOAPfSf8FanOrIP4g2zTc61fFJMWbVFB+6uwrEkmpb2wZ1vwYsWBkRxxQWDrL2M j5ot5e51OOs4onb4z5+8Zp9flVO0IwDzSQljjWMc00XX8hunRIU9C9bZwVqagbu94ntIeVp23IgI LnkGnE2e7HY3blYxlYCiBax2D5d6qGJX7uRiOxgEqxCptgvbcvXZAtKiMdGbWnC89suUYeCVp+rd Qx+RepK5raoNUMDDTAjszVo+q4cOV1GxJt/NRbJ8MeK509RULyK0tqUw5PMGQIuXBWyOZhiRsnKW 657qY+0Opjgo9Io1lNgoohKJdWfhI27eBwstwvhDlHjIBnnzsPkco6wT2t8gTlbz9TDTeY4Gn8wv ehkR45TZ1n8wzYs68JLXG/by3n80SBrksfFN6iQ4QIahQH+rdUzoXMOcyLowQ+gjwNBdJhrbZTW5 HTt/1NStX1IKT+H8/BT6U2cK/RjQPAN+X2+N7fex1i2dZcw3RV7wsqo12jyzyvf9fO13obiW0Azv R0C7IPoO+gsXK3IW9eJjd99X8XHULP1GrX5kv+1oJYgXOOum3lN/9wLXIYlf3/HYgqrBWCDXQ2AQ 0PGlOtmx/7WNAUfBzAHaNnXtZaLkiRKHq9Q/mFh/BR6Uf3ppr5+SIuR30ZUxkM2WJ+mflJU/IEny ckWHd8vHnlZrPneqZhdx0nu0Nsxk2RggSERSRK5dl5P+TKUicBvo09BCGS+bIfb/bbJRSYlGaR8u fZ87swUz5kdm2Sa8tKg6GRTDeydea7n4IKrQw/U4INQCLwzmEzegWjb9w3vc3PLtSUo8Uxe67AqN xQwA/cS4j+FgQG5E5yhPzOGRqx0pY1UkuzdE1lFepMJSLhizYxnpNXhRcORqlSeZchNP3Rze97JU DglyUTvYO2uasTyr3AD+3xqtAJgu5LK5RmgGg+bxoRLQ1LyGl3rTlKqTeSH41t9YBkHcRb+PZkk7 OyPrlE8TGOy/bgKfU0OrTM/hMdDMZ6gEPb4UQjJuZ9Ckoa8l5tjYBvD/OpQxshBi6VYGm8L9hvEN Y2qQvedrBz1JKdlzikflr7RXR4MpTlLyH0h7Jv4MD53ePBbZWdMKq/p6EU87Qv9bYOqr9sA9qNJU e1qw+WYI4wnM2DviWEN226O8eK52b5Za6QlaCQlz04QOBgL8L5Q22NswcvdCQQ3y0SVXY75bfJnH bGK3Jvdt3u3cGl4sVkJq+WK0o3/mQucZ39NDT/55mz3vaoLTF/PfmSrLVR79/YZnWbe+d4uhiH3q 6WYfy4T7Si1UugEeSwFn6CcstgUsipl5hcGRQk3H+75aEQyzSekNzQ/VLHzcmxZ/RMDvHdiwqhF0 t71qt06f/mqmZiCTA6O4eVv+rT6XvQlowiKuXujqN8qhFbBzqTBq+E+t4lvyfHxcXIPBwQH+MTHm 3AaRrNL/pIS1iCJMbrpzO4lN9xTnHk5oA0hz6qnmSHad0PMCkf7ALZPkOksLUCouBkkfgp5W3Uhz XbXrxZ3oCjsIL6zxOziVXI2yfjiG/+N06KeVtQmNPS8BOo43+36SICFbCy09sUmmO5+dsLPqf4/U FXM5ZolzFIjOlkqfInoxv+lKvNH9wpfUCZYr3v4O8H75RY2QY/l/74hwMW1/ZllIZfxb5/ElRgxv 9lvHMtrp5dYtPCeW8BaOxMauHeN8Vzv1iYyuvyTBzff88iokiTa8G9DBUKfaDIWB3aHXo28+l6ci T6CmBIY8di00LGcCbtBuODNNL6/5kbo+zuZcs53JPwEeSrKdYvREjVVxBg0AWR+YqXoc+OsNFpHs /J09eBO3oU1vXDtne4WO8/kh1dCUvV/sIRcJrfh1neonQyfq2mSomPkQIMHiDMEPlTCxMC2ICNwv HE9tAGqLGMhf3v3fzvp2cqL+OkwEuoNOgnVgfI+/1iQ1SR3lhlO/glw9RbpqcxRe3G+fKbscdjqS o5En72Z0FepE6jRsN1EoaENK6foCSUf5Kz7XXj3jZgPD5A4UwdkczASxO3hmCFQ8wzWZZ5LxBCxf aAODueCB4udzkFb6apwLe31NoOBgY/q05soTpm5gTcAb/CplCEX7Nh4xLMcliYZ5oGkyyC+6Gosv +0JpgOVB4YdOUY8OtROcJlh8iIJBOdt72hzdfz/9dJZTKtpsz/M3g/vgQrGY6h9cveZGg+qm6lDp OIqVnp6voQbmQVaEZYEzo2C7F0H5pNmnPHgRekCkbjw4G1MSdljXst/0izZGk8qQF1zuN75N+RjK wu3t24kYxKKxBRTbVnV45WofB3mO9TPGa6oGbrJ39YdEjhYaL1cbpuWyv5jccYcb8wjx7NVX+HbX rcASx+H39H8zn134O2APQs+8ZaIsp4VKHEaB36JSFNOBUaRvGCXSDbDolfWMQmLaUyxIN84Vb+SY /gQXMrwrQcJpyFPlHfkpDCptxYEvej+GaniJlwR/ouAst83rByY/jgiVTpf6Ts+s+LZ2Io8GZIOd e22DzbFOpdXxiZ5SIrg4Cug4THBfEJkOwiGdY1YuMjXHUK+frNCtE3Afumi0q6d90m1mKJppn+1Z VT4D41CDrXg3eX2B/IxeojGpBvYtlVOQBCd01fVqHKaf6KQk8iBLWuf+xluwUGWYJfZThVi4lI1+ ITsTyZ5d8hLx7RERF0BVgGRGBWZs9K8iM5+BjE4EkBOwT8Q1SogqjacO0/PgAStefsvmRN6WWdAR Nw86LLziDl2tCVOJ/pKcmnQJroEaduo2K+OuzsMYK/UDyDWt7249pNRx2vmGp5UeUFxE1hk0huH7 NBzm8COG9SiS3zLHMcg4AznQavDXMNt06jZxWWLGFRiIVKQL0xaRxOtDr3WmpER7SiD9zums60wK SomdDtOggRj5ZJ8iaVmfre6S8+UhNdhPakILRpw64PmdMhrx1uMFEVfiYyzxeeww1sAfG+pbyBDJ 7Go7b1Ribcde7J5RdqSTJHhPhUKNs3bKxRfKs5e5YCEuYJhNd9DCGel6zB19rFDoOMT6eMGE4Lw2 JZIT5poL80WS36IX84pyQC4dDR7AeQaquE6rIpyKJggUTsJ17S+nlLWa3C/CPw3xMMaO4voRGaLM wSQTwnn2BMvHWYR8Q8fRKQMyu9pnCs3f4zABRXpLZEHw0p9V4JitGnApZCG2gCu3Wr/Yp9kN9uOM zxAGovfuiTENW9lmolmfdoZ4PlSxXGSOXT/hf8ifmzIjlOEmSLkyxQ3brZdl1fWXhzTzQkjV6vbg TlNJCa/+jfmAcfGwq4LC8bzhbyu2/V3agBUBwijU2hs0APt+esS4iV+tcZ/7IHUsiSJuJU6V0juD oidUu0b8Elj1k2BwbQVdZunVjcK/h1NLB5gyXz8RfxqIkGud5SVHwYaJxrlC6B4NVsWJ3pz3vDub gE5eh60tbc8zT7F37j0PvjSjaU9edkZWGz+zuN2IXvdUsCJ4v+iNHXWvaLs2j8K0Vd/LOgMHqkLJ 7b85FW4Tu1bfUr6ZngCf1rlxXKuU4X16ZPfu5Mxiw5MRWPV4gLdANFkgHmMCm+A5K9SOxUa0xcV9 uVmpSNmZbxEAMgvfNLSLPAIDVqa+SSaay/G7qpUEFz2U4dHgcDUyiEtR1YN0WDCvJ3yLAsbp7OoJ T5ozTiDP71+LNPKtlO1XbPI1E63mH41ZeU4c4YzEdOrtHUngqyq0S4PXdNyKHYyalR6VWUH818jm NJvP/h4id8aQSqaONsXH7WP0QY45aJ2fh7WRJf1La6VNo0ku6wv57gTMMciUziA4JNP6rOixsLbQ DZuFsoHW8W1xhGgbgtSkBOI3HfL1dBzCeC0lj77OVmSfYzqyU1X8gCSddLDXBhTXJr+cGQdCvl9u a7CZnhKPF4XrXX+L9o0x0Ph//6HhDB6VfSjEma/jrscWk7rr4l9q6GvOOa0hR56ITAQVcSJZp0y7 7Pt9bjd77GKP3qJRYbi20ZOAx2yoiV66O37tYygTM4al9YJ/56UdMZhGZQRExLeKqXnC2b+rzw1X GUegSiOHf+rJzH14KUT6CtnH2/RrtfyxVgat70eJkynst16wFiNJJLACnd8qGwZU8IXrPpv/mVx9 6z1qxrdM0K4kB4Iqwnh0+L4Cl/BCegnrAHA+VXvK5Rl5KcJQfDmPxzG2qAEBPgbHFok8bu/FB6Vy 6vbPZTPTHWTLShUyeDM/gXFE/YbnWHe5aZo7ZszibkCFnk76upUrhMR4+EDAtyZjRka2dt7CeVho djwkSFWbCJe2hPZcJjZfEm1k6rUPeO85L5wuGgqJ4RCGfzFbcDvOjgyCAaj6kS3giKMC25A6+aYp +1C1RAIz+orPoR9nN0BJd6S7+jKCk/nUK8NxRNzWbbr9RCVcbHcl2t0vrvqbwXtLR+0j3nd7vmpb 1aKo5phMn6mhZvE/2AuYo0C5zvBcm+zfRcxW8jE3DMoY3SosyE/+aIZc3I0JcMlLs2SNxoTErktF i3ZTqLW0HLjgbaQ7QDZ9SI/aACmQR/F2mHeVvS7ft0BsASOF+KWZ72ew+lJZ+K02KGCOBXxuXYfB o8BbEFxMC/JVh87GPcry1YxPL0COD1xPQw4+v6G0zuaqEPtqXcZwsEDgY7A0FKxvqS/KHhEar5Q+ pfwhAK8jISr8Dv4vsaDVUvHlUJvCJQI0o7/hYXHiLAVuRPw3TTRHQRiemwp9tyvhtDQHcCKLhGQs IRGqGJKUKW2dkahOw2ht7eOhlb8f0fCZTRfz3pmzHtHRY/xYJ1iuFBupbKkyJfKA5hv/LGeimfYv kYtFSKsdAP41+gK6BqrLXXtbWnddzdiWaGyGEPDxc4O40PAvwQ4+Wnk9mcYov1s/PiqIkrNfhmM2 3Q64NdIDk/q1X4qe/LwgsGUkwem6i+Wp+PNR0ij/+d6C8ZVV+AcYd4F9fTszTB804BEfc8xA/25q nKcQCxHYDLxuJQj/lWIsvZGmX2OARN+fj6NqQMK6cdoF1DbJ8zbdbkwTXQEOryi9DGhcQBkxihss L7TYOnbCjUSTWX+eHAo4ZMsArp0h6OqD9aDIQ78JK0JOmnD7sLh4RGYyVDx6Tp32SCpsLJ8ibKX4 q47YZ3Q0kZRKZr50mcdUgoj8JMNFzUO8JKl4GOVTOFsp+7WY26uYrLRt9R7fNgSWVaTA3MjSRnTc 3EcxXwMp8wcE+NAj40f1J+tU0v7/lA+aNgeqaZFqvaQCdcBb3C9kVbUbLWAHNRpyckExB3tBGJ42 1SfyTi/U8TBkzzXkDtZvX2FE2t1MubLfc74QTKRu0knslcDVwhDFxnP6dHiGaAsDYXHhUWaSu2wO gvO6kp+NPgFExdiAfCnbmO/vUbRMeI3QWS1d/4Kr1AVJQQ4u4RRLc2lGv3xWPYM8/sTXboB+BjHL u0crZqhvi5r3A4sEmiYTQcTyYlvade3n3WiPriYRQBTh8wHdKqJXFJbU7AuhqyW+y52Wsm5uk1fI Y4tFDPXDZXdFTrgErR3MI2fnmAREOn2DLzSjWsc+4FpVhwOhJkBp64GHpmp/l7+TjI19cAZPUg2e nEMOPQ7sMqIBc1pJhFgPiwmrsKSoVbDV4p3XE7Niyjid2C+HziV+YQbjDJFqniDawMJX81tCFjvM 8tvkKnz7zxwJ9dinJG4KnxPuP0qxZ59IeEmCWopQS1rA57/XHPCRMxZX5i1xp4JrwBn+LE+al77Y N/1Gi484iDbFbUet2QzGnT0LbbpLzHdY1KKzct4gupVbXyAQ/yyfxc+QGW0q9S/+ZTLHIWT4KYGU rkehxhmop7Aiz1NwmBP+qXOZju3zmxLDJDGsBnk5++HxWT2nc9c60qcp3eqvkiOXInLBwqY8HuQI gWEXJuJ+lBkwK3q3mR3TqxOMzwxBZq7y7qNGVGooBPp4ZxpS7MO9NoUDblRf2p04GOWCXSadbet9 1DT8mPfHcTskx2Fmz/QRIWcg6BWFsTP2JTwr6l0mVWo55NLXqDqCrkLT4Rz3M2t3JRfBkVFLWBEb iRsIwyNnoN0BV0l9rDFqS1LC6awDwCcsL3P9rhTUTIxNrSlBvvSyC6m3MJcTblLraRZydDpqnWkm 7fuqcoIs2NeAfGlc1+NiqQI6IpCR8NihVT7CCdfOdPDU8Dli4qzagi3nqwyRbl8v72QwgLKF8A46 dgdwXF8GCzHmQbkxALGhuiasHtMU2R0M0nQU0fzzNAC5cLqoln933oBBPtElJG+liXDnT1lLDHmR VMx2ZscoXzbhjPKMZCegmAAaC1FXM17LXJW+7l+1WZ2f6vZlw3fytPQsONss2xQodW5zhzuwmfSL Sx91cDnm9kzgoHc8V75LKqEybkCGrZmMc+SDPgwnR8g4myBM+6xzC5mf1+sZuC3bWVE9h3ugiV4v 9GjTdWq0gfwKiA8SKLWVnjgKxgK00wvetIjHChNBCmwsJs8M0qivcj/PMjMxR36pMoS+FM1/uoBk fYJGxmxS8PCQumq3v0CLLImA5kXYEUkQA89T9CCQW8M14QlWzgjM0yJyJkqvPdFDRnliu7eN+Zcn 5AJsIHZ+KJGS/ot0Ue3TWvWIGeJSlSRAVLnGs20mkUrRC0bcEjWPUV3yIjp1+E9G7qWwcjph4Vkx jOTXTRuHDPzI0VCN6TIkDOP1p5oaunWogrQAi440Tvb3i4tsOeoFIaB8tZ4oGBKz8wAr1Spsz+LA isceS7XPXySsuTDSWmXbaR1tduNt2HY19nWQPwM3m1khdb/mfzAznTh0pxT0bNRQQ/go3jRxTwIG cfkJuLZ0ye1KT0tXvT67LJbutYlQcbpzx156dYYwhndpwarec4tyy+EN+9IGB2TQ+gDlG/w6y/MP 3JxeasnMOWdNJGntw4nxgcuooxuoyyX4ZtPklJWC2nQsjfqFWgIvWPfPvQwW+sMcpVptToZHViK0 lPhtsvP4J2ZMIhyrQlFRsmBeCPiAaecB9UE5YH8/e5MVv941J8ejm+/v60v8Zthf+5qHc0iVOBE/ xk0WYngt6SB7caAHvVJIlre1kZpSr2sBz/xQScZXEmApiRNtVPJO2Jsb8X+i1P9EL0FpNccgzJHB 49c7w+ZH7D4oLCKk3YYq8Z6TnHwacTGUZflpXxT+ClqTZyyA6chkkya/y01qnct+wxlRm/+dg7JI CQDXg5wS5aqwNfWj7fkYbUmFvZjTPgMfj7RD/c2ROimUxRmBFsIEFrDudEUnzizG8j6IkMmKYQnA tFQm6KdbOZ9Xv2/ryEs+mBuWHBX1rWDfQV3M4ikQrfHH2OUu3HqYkGs5lSAi9qIo/SyRk1bWpqMh HS/JnpMGbBO0YCu781THRuz+byQ7kry1DM+dsjft9t5FzwlvVEQH7MDPVL1+vro9uWnvMkbcET+a aKkz/6mdd1IlGrS6BnS9vy4T3mTM/XGYFxsAyAiubmZqPq57iyNP9EZi+F4LZco6K/ZSdXyPBfPe 3Bi3BHYM/GjxQrK4GgxLTFN+PwgNpdB0b/7r7UccipoiPFBB+eiR2/Lz3+zmIjwk6B6M+xE9MxmG o6QOC01tsTVNNruymEbhXBwm48ZYRxt4FM7tH3FhWlVB5U/OoNpqW3xF0o62Py40dRESkMsEcJTL Cxs6Uuy6j7Y4/Jm+zTC2OWxfoTvtazPK7ZOjQYnFQnii1DmAo6l84u8aR9S4IsLXzbJBYCKeEI8A 2+X/TDvuyN/fLUGgclZhf7NEz1urbow0elCrzy4RntPTMV7WXjPgX0apgm9/bx163y9tD/uWCrox hsQ4Alaeh801lCYC7K0M27uAm2n18xrU87+miES2/M+op7p7FwQyf4cN2hc7gVdj3k352MbLZwL8 2f9X6JQb+IVFOOvgKM+rUrZ1RI3a7+GzAOeqg/pxZfEd3FxNOs8OGjYaBp1dHNunBr71y1nB4L78 EdHyYW69RWzlGHgs6hWE0ZTVNioxI8Kz+ZbfE6WRNPIZmQqTEbZcNGM/ubjnZHFq6F5b88d4paEo nH6HOyQ1/FRFz7x6ewkXZkcFLX5coX1p8SoG14R7lTrYUQYHprak0Iz1P5ueiCyRknLv1DrFTnbu 4lHA4YSMqiJSFgvdijcwAE4Pv6S5P4v5ytEvWMbIZqUqBF+E+PTyGvllb/gSjIudX/COHeAHB5tA iasJxrV//rFVZ6LhSA0Yj0yc65oXO5Lux5deiLTLCLrP6XsJhaTOi4C4wE2R86/bdQB7I+tMf6H3 UMc2GKeoLbyjrGkPxtFYQfH97X+V/PPY8bmq5sT1Ytrf6nlIFTgbA/soMEjXzlrxJ5TTfTQNBQeU Y2N4gVLkK2Z3ZPGbbAhhC0a3WX3OZhQ2B5IOnNCYWZoYHwYcmPDNvDoTTrDY5VRUR1Wt8sAGdXpf T5nKv2v2stUoGY/1QEedmhgn9PTiDCM0h3H0v/fqgc5XpyA76ao6NQyWnYNrs91ZJA9FO2nrEy/S zb1b4LwD8d6J38bFo0eWHj2SsZ5FnJOE7ZBxYDA6PPneJY/gJC5uHhw8x7gqGqC3WnOcvO2Gf/qA UCninZCITS6kh69COXqwbaQEujQp5FeAwSZS1dgerzW+du1N0goh26SoJ1vN9eg0TESYIG8I7EVi GOq+X4OmBW195JAJ6K6qjO2wPj+8X8oflmJD1/TibjsafjoP4DpiiswJkfUGiVOY8KlqChqmNtqh JwBavoYMWs0ZEJMdlHMewrX3jtPCinMu7rbWcy1nAkxsoyWf5Ch4JimqrJrgJEMnlRpy3BJhyT9k 9XcIQB0kIQQWRHJAgDsIDYL88DJ/T4P1YGfHjpaEdrXD6IrvwDzXbRPrwWmAuiDyRMsfZERZA+pz F6fbIH5s3O+hfFRXlOXXDrebBRM6FsGK9yCyuNStYyhQmA2vnn13uaHK9oKdKSA3OHqNTPoHh/oQ +SCtzannuOPhRDNGtnnFbqHk61DPcEtR1otTyve9eY+m8rmJ2PnlE/+uJqDOoQoOUZRz/biJJp2K fr1jknfJ4VtgJfOXfo8wKSj5v0+PluAWEvg3w4KXjeqz1W8gzysuwYRlmwbVKekdEDE+uMXz4ATo 2QBj7i4zGtB+6ilDoDbtmGcJPEzlWuyqWfY4nBD7TTSo+qXSKe2oZ9mtJizJDBNlwlN8zRgcD9pf 6l4LUcAnzCDX2Yx3ToHA8FWE3ZWNQOXl5CKuw00u/0rnOr8MoslBXQnupyRegsFvxxsikqvMU2X3 1nWTMXNHaqFV+7oTut/uiLVugou173VqEh46mNZd2VUhcMQGn/hIgrhUTuQ10hVKJULxMlWMTluo JR0KzgTu8aSQZngMe0olfPlGbo3BGUd/NmDlL40inoXdL23/UkAv/cMZq3Pr7jGvCnvrNwioqwlW ey1+N6qNpWoAUtcfRS/xALy1qebGiIklW/yBzVTG6dwPzedZQYoe/Cy6O0fKGkTQwgN9ruRb5Grd +SIVPuFjWQyO69tKoO91ZM3MsyJwNaK5ipxqMtN55f3z5Ler/aDvgI2YItoxUZj5TnZ2uzq9gT4B xoKfApnXAhxryFuPiUjxM4fvcv+u4gf9egkgPbGkP3/XYWvO/hs4b3tECHWX3NineF867YhZ08N9 smkc/0dxjx3nX+NxhDRXxUL7/j1FRFTTg+dKKIY8Z3tFvvFCRhkkpz3uUZ6rpsC5daieSzelQ0aK K7otWKcATxU3n5cX2B/WUEgqkXHbylMjkL7k/lIXIVO67zPIwVU97qq9aJRu98dNeqVoCxoIAHtz y6Z4yGhderKCZwbGkBMUFOaTIw03hPhZ2mZbMKP4CNZhAR1M18MzLYazkz9qTAp2lIyxkdp26AQ9 rANIhuP7alprEz59NkTDhrIu5w/F3AlF+F3A2bUqxJhVGeVLKUy4/VhpjmmHqvvnePaxPfXX9KVi 9NVURo9yz+yofxyJ2xuDSHCZ7UjeGEiKCvFqtPj8edwe/DjxtelEUU6qzduE05fWGA1nU5KsxHMC 0HZBl1MfQ5AM5MvdNsatiibjLxde43cn3RMl9XqwbQao6rKNdo5bSGioG88igiUX/jptBI835pWN 61bk1cAcsQwguKFfu+Tbsj57NXS4AswVtjB4UM/lkg6y4gP/P8QmJ2ify7ZpZBFRFNJc8yz+tDp2 zTINn6GS6yT5ZzdDlLcebxjnl3dJw+Wa0U940gjaLTVyaOZrMGIHpFjt2YFWlZ/JXgQyl0X/9VMO NuHi7zJgLZ+RhpHhHWsHbLGqE0NkiVmVR3eTurTAlhvdqWQGsCVffwxXZmPYfa/wW3fKPA1PXJty hPEEwLiwYlySP6a24Z617rQ1KxPlVGNvPjaEPqxwFfdmn4hQ7VKwjECy+CSqAiN7brGyWl+GwGqO a7/JlBQsHCsvt4EL0vu1HIoYVgedq1Lt473VD+w12Udf0CPYfF22M3N8vVUo0dGKaW+5AqGLZJp/ xplyLQ9TiNNXG1KVYxXgXUImmeOin6Eumo4KJLIL1DWyCvdQBBIhotuk1zzUqImdAcXVoenf3Zwx fL5BJyYZoBVwnF0Kpbgqr0FJAxlKS6yM1Cr3uYXp4TdNaTL28GckoGSu5LtOG0v/vHLO+EtB+8uw hhPDzlCs1+5VEA82+VZFUUvdq3pFsUq0wxRdLfjPOPlFskvZIC3a7cGag7ncYq2QEyZmZwl5DTRO edbSN1zP67mnabwmGiaxIqWh5oPFLL6D0sYvqtjNOnsKQfwQthFdo9Wnucsds4nn5XVu3cKSmFBW 6M4XkyiMMAvUghhI+oY0eeEnArE0JYPvha0vwlPDpQYwvchsT69A9edOuvII02DDCJqbfKsu9K+D XFxr1yXPv5ciYwLrT//BYdGiPMPyLe0ipmAoagiBhjZbNQhWi/MtQyxpnFAkMqXQRDI0DPB7LjoE 4speD+xnUgIroC/TZ+yQxSSIgXQy7xfIEZtdAdjfIOjFLvwa7TaaiZHkYW/PWfsb9C+fqBdl4U+M Z9MphzfvUMsyQw3fXJPs0bXIvFRrDdpE+jKfVO932pjHUPMlG9bt36djuxoyxNW/sHaiRqY1gMa9 AmnGgtSuNo/7UKJNqjQcGOw5TOTMcpEeIHS6q5SwCK/k7Albc9+WHmwFdADWWPHa87Mq5rmsznfT JzgjlpU/4ruUZ+R/FPfK8+nxogOdzFSVL0OxaVJeBLmaXLMlhVyUv1r88x+6BbnSPltKNfBDmTKB eRtvGnMuqDy8fQmaq8peijK0flLW858O2Q6kp+hgr1Cs6O+LK19P6Bal4V2hTy1QIlMo65ySaCRQ 3f9rzNmSLpziU2k6We/OcksIUZf/i+t3PsR8BuOy84XG538SmI5NL1yrCHClEgSds2sRMLnhJWOC xdTdhZakVrsema77pXR4/E690JK8Ug46m2k3TJc0mkhbeZJjZTHQ6+M/VpnZJqN5Us8sQGbzrQUX C1X2zUTA8ikHJw897eNsuHGL1WqeRMo9rYwRdAYJpsgxQ6Uw/EnovBuOI0xkDWjtt6FuobKPHrRD 4hNsPPEYe0z3G2qekJ5JOF0iJDm1rDbPlOqPonllOW0DiAj49TVKJOVSuw3ytIHwb2A+2lgvwwfw uqWCm2T/3wIZ1cwVLDJfoZGODLqszfYOUWpoc+tVFv1/OWJjf3ZxRyXInVknTU5ChZBjKCLrvkFQ g4Wtg4HZE9XfG9EivVoDTw2n1BVUvTQyNmfXjp7+pWk12NvM1CgRi3TE0enV0Mh7E/zMCvJqb6NK qKyQW+pxOa7qqPj5Di1NJ9KtMay8VsrqOF4/JSa4ZwW+Yt/EaAjrB5j58rpbtJxNRWEMx5W9Rzr6 rA/JAikgHreDIPhYgrKYiF+TbJeLbTtn5QSpymplnFi3i1sqr1tZ9DBCRkCVY0Bc3LSaJrpD76he QpaLh77m+CKpBho9gsj5mUdiDhDgTc8vwYCaDg7XUzHIlLrYghzYn+BJrFfG5RdF+dDEavw+8IY5 dojsfC5LP94q8wyYNTH3ise+yLwZVKCFf888YF0WFCQTBvxt+E/RvzpF9tbz6cXcnBUJ2wqE29bx oACA/Kc44OAfMnV9/cLZzxjQ+E28GJ/Wn6HjdJaMkzcpynqqa2s4dQTL0YIQ9IWdsuCvdAD0d/2W s6iFjBA5WDiZByUyGjCieeQZKgBgQWOOd+TsPqRgsvCg/xaxEDwnWseXg5vIlTW2G/vrZMwzc5Ok Zx8y5FzGYAQx9ZOLwGUKqFUE8rZCNsdcU0vrk4RI11DrZHfy/Vchah68gg8bMBNqAuhzpiNB97GH Las8N4QEFF2O1UVb/lZn16qdJs/1fNfZoLFbXcjatzBkdDlwk5stvLFi06xIpdhJFj0UGzaZakbe gys1SoG7glzEmIXFf2CyZQOwwkzSKShPvYhBt0TlcHIWYpH0W2O7qhR+ixZ1nPMqvPrOE9Ok14IX PtjESnqQEJh5d4k2XhPriM1BR6QXwboqX3r78D4XqhQt4wKHq1GOKsBJfnlPFCTU1xYZqYcRsJGN Tu6BqLrvy2LFgsnIKz2FqVHDrT2jJ0egZotkhJ0DZsO90wwajpY7nnbplQw4CnhHFJM0fv72nKrj onb3XX314ZGnMZSpulfIPAu/SD2VqPy9/aUzVWr34xUi9ugFtU3uuKbZPLmVqkEhLRm12hVLoGYu c/cIWMOnMEVJEpYuWnZJrxWuX19xg5GfLzNmecn7rqkMsjmSbnnNUFb44nHoc38KFvnCjUGMf+9J PRC4FborHpcgdjcSWOcHsqgccDLijVI2ChVR/2yTlRCaEV6xV4FMgl7Bx2mn51MZVG4fUc7UyeW7 KobDygIJB7gkcEeFbE/ZRyzDcp5oUybaazKT/0iGewyf+1FhR+0XtIhjksGXTVlH2FjOZwgwjdhL Mdovp7MklUiAmeRxwi6RkDLMnFJ18sQzq9lq4+uJeYaHZzG8dVqyuFMRQCPAdOephFiMZE3cymGz NHP/nu1IQ0JqnqqBmrAYBMqSljeFEufoS6aeOZHf2szWDTv2IG7VDueYctj09iK5n5jobV4h6CsF jeG8yZMvlR7c3zi4ZZWKudgBG7hcp1A475iM9D/xsko7nrvf/eNRB8Cak0LdCOINOhcMABTUDPp2 k40G5zhGqI5m91fGCqLvGDExf9w93gtz7u6rLExZYwD8oMPMHnch45oVX13UznQoOoEwg+G6kJKt 4NZ1UjGziw0UpCAK4MyH33mHw1fKPOuLxrPSHg07NrlhVhUNs6mUNdWmoFUccjHzncKyODkzDFKU WYKoh/WfrUOPVTUclo6syX11xKK8yaVqy3CVgtsFnGVW/G/p7baLVs5HDMtYhftwaqDnKbD5Ze6F v1vem42H91dzwHRtOZEUBOj5+/qLnwZK18BKed3C7y9P6BQIAlIPYU63L3gMfnNByWlqn7vqvuUl zUOTCo1Q6AKA87AZ1dFpDRmOXRccOoiLyGhnzMVNy7FaokXVakq/IwWXzOSfIAs7qgjQZEZFTMxg vZWi9b3QFG/1RHzAO53HlENys+1I+pcJl6CqOPIt1llZLRnFJ9DYzeeeEeqSw/t5k5wwHA5dt+Dv LGRrcilq0g/LJEdZwJYdzNWlxj4JEfaUrrJOcyk/yZvx7+eZEkqSI5NlLJrKWOGWD6nSgIvhF5ye LcTg35PbnX0mGepX4qejokv7XBXjozh9DxIZbdbaMWRE7P9WgNHUjZtmNl0bva9u6E+0p/+Q5jEq 1z7ZoJl3Qws3dqSUqkzh04yxqDdUBNUcfoyoWLC4Bw7HZzFyZcX1jPge4TssKvSEVvR/GBfCA4hj enIBj6Li3MUmJfAXbugxRhyy6HDrMnWgpd32Er65pHetLdEfdFej5XUUZw1QU/pkHclXDd3kkRZx OHbYrdcsy9dOypKxrKcrtaSoP5pQ95O93LjcW0Vy6msAG4jN/6ANwlMvaQq8whSgABncaNgZ9Wra qlRebJSTzs40vErwdLRWyGvPXvY7gwgDeRgkldYuiCqKZBcAYwaWk4vyFAhZS38xz5D2MGdqyoMI oHrDZ5FG7QyjmcSYOtsQM6p8mSvRQGZGOcbiXk7kH8WpG/3Hux4ixmYN6l5ZpMpM2P+Pj/ytrxmH YMmJJiPs0Wqaf4KeTROdzDwRbsB2cloB8OnxA3L/VowxveVFzACs00K6yQ+uHklGVh4FLkxTWaWn sguovJySwT/8/R+BDR+Um3vyT4jr8i7d/QNYzShdZ4z24zbgmBlU37zoGwk2j7TMdnyyhyThrAx9 E46LP7Gp+a2Xn957+nxoSpmjQdpg1uMqU0GuYFdQ8DhWOrhsLVVdoK4QhhY52T5EY4h4xBL1pbgW miWJZy9N8Ihpvn/mTLB+9skwzfP/qT/u5WoNi47pBkIKRcBoM25aiECJlR1yryo4tYNQaBn81NZu 30EhmMb0Z28z/rL5erYR5lIWrlcQWjFgGEYwTYDJrhgbh4LoMMybBZohFjFUhnf4m8RT3vbF/pD4 puWGx2X8D7wCacDbDgbqipk2rKfCY6wpXLYKhXzTgIyH04nSVHSF2YVXiQxAMS3Nj/J4bjzfZr4e Cgt8A5TCL0foy7Yf2x3hDr3Ts4kZDGWIAm4z5XDLfTibCaTwa9QiA31HuruuPnFGDJguz1+hvrOe 1SKp2y8hekAmqJQvfn74+f35XSNvshZvOcJOCLR67o8oeyY56N5ZSZYDb354Qfa1fL48iT6KMudi a2IK3y7tWt72UZxlLWa458bWSStpDNWFR24TxvM4yQvg+rqwqExvqsSXWE+bJ2j+AViPRfgxv9qO 2T4YSAIZGYMPbb1DzqMe6BN2YWqfa+67ZshjI1tGne6s2/coYkb+1V12AsOA2Qiy24Y7WCfkqD5w slr0SZS4BfX8bTDPN/QPqQMmYuRpKBQiiPaFf6UExxP2IgwjX6ajkKcEVQ7gPRyo5uX0Ki9QZGKZ 0nnRXsYEtEbhvNSn4zwAmFIbXFTsCOehIAWfAJvPU9/kGxAn16GV92KaE9OXqsw5TZasH3d3xxsP Cq8ko1XPBmCv5S+JahtJZwDN2Wn6DxoApzqGXe8al8TPh8e06uCLb0s1QZDxWOJBXNeETdFUd0f7 LXNaLyuVAo+ArV049d4azuH6Owm8FQhLwj6pMwuE3SeJyGVu2qiHPQ6bS4XQa5IJ3EuwpzXMUUYY MG5oBilcZsQnDsesUOVJ3GfNTk0cQ0HfUNET5gsRWxGAOLjKqU/nENNbn4rSt5FKmjD5E6tVktld Bi+GUsR8WOicz8ZQHoSBWqiAMoSwHAh/CkrMyMA6wsNkFkxCbRURRXZ7YCm5CTD9+Yx2VMgLAg2L f2jAbVbRQx13eqXAEH3A2cB1gyujDGdomFibNe78n+y4hCHBYr2VFNtEMMoKsZQMD2C7zBBwEvvh dsjQ/5GIRMpTWieixyKWoYt5urQe+HP0XC7X6Fci+SudDu+Fd6L/TvEDZg7m/RqWe6sAo4bIxfPi z2TBYWsWO1265ryOpYEDLOnsxkRvJ8ArjPQaiHtBVcLrRoH52/LrAX3ioLkMaQYm6Bc1YfRfuJTR fzkegXw47U94vYdHcJacGIapywyI2pUZxCFXi6qSvO1ZgeQRiKXbq8S52HklvMtxK2p4Qlm8UeIE t+jFcIA7BL1+l9sTSK12SHK11QH6Fq5/2rLgcbk0LpMN74yhGVlo/5DkXR3OAcBHEU9lHLH0H7XJ eIWZOiWCqbEDFI++AmZDdVCIR1TV1b1q1DJ68zqvPcK5eUI+vPzYq+gjaj6/jsS8wRwauognOCdo unb9QivnGkVYncsUoBLMuHfmxemzYIM+dDkfWR7LKWAWMkpuCnYbLMNVkVcqocRPuy1ZjSPGFx3g wGsgESuLKZNdSbcv4enJ0FYcc5b1S9rR9aZuCws8BSL8yTtcGyzHr9ekXZOwNiuT23qqUblcD/MF Q8XcKhXX0SK1tNurAm5dTCvp/utbdbYmrcWD+lUoOBPN8x9YkZYvLwC8FyVKYKHiO8zm/sYVDH+Z Mi9IFe3tldVRNJamnTtdxiapNbbfW6ef8yAhWHtEBv2TzusKHK4alAv5HYK8JSydzxD9v28yMKjU QQXCiiuZYMBDaMEl/Iy/A/wqem2Pq1prnX9ld6viDdLXAE4Q8HgaNyEuhIVPusUmVFGdML+Ae7NI Ej1spXnqAN28u6z6I42CTRtK0NIP4aDpOL0WCsTZtLLbSFStcHT5gSEkqGbrKWFq6OSozC8NELCU OfUYi8QLWJnJxJjtpBP7Qnc08wiSorKoOxHCzjjOUVi2JTC1eGu3fFv0ttyaT+iXKpFGT1u9CwwJ L63b+XF8dUl0nbQ6qRrpY807dpVCVrHhWYsPMpmzxUJEeQ4XkT9FT6c1aVMa5AJTCLESVCrYj0st nr7udKH7dPMfZHWTEdc+5YeXqKfU1v4QCKsyWMaHgLnwar62kaBz5jsm8RAtUfEK7ti9K4TfsaX/ HfoJznzjoPNFqYUSDQZQ6nj+OM6hqOlSsPKyjbuFbNN3t/em43ChE6TVwBf6Lv6R5NIWEnP+JrNu SQMIFegvtq+uGdvw1zQVkBFnDMai5BWz6orv0hJD1D/3eQOpE/9dmQ2Pa10JMgPzU3Srnohe5+Hr xP8xPcc6QgkjIneNHop3aFAm4KgeBpO5eim3qf+uF4qizrLXz1O9dhPbfAIY4rDdS4oZG4brzdSg 22dX54ZknfLSzRUcurONQuT9D2XiTaLv3BSMr5+v0LSM0/k0/niZat6eu40xNMXDBhAMxXfhx3Fj mWOcBNRyfl9lI7EHyIjLbQr25PrSIkq34tFmRe+eAXr7W2rVokulk0ECU7KFcTA3674X4QApUCAc F128dHzZWPomQDzyWkd828CE+m4aLuGWettrvJqWFvEivyB8K8ger1HjDbztT7anfNK0vuYKCB9P whDa3nE0i0HclOLRIJlwP4BLLSCP/AHXO+dlzzwz9kHCHM/fKwZ6q8MMDxe/KPOvcDxtY8Cn3PNk rOSeNwXTok0I22kQy7N5C1micoVbAdr/mV5MLdXvAx1R7d67a5q9vCYtQYCm75/dIu2JMpUPZcCx BQBvT1+6vwGx8w+X8woRmGhUj1R/JWBtzPOntiQW1PLLl6uOiLcNxLgPzmTJl6d1oGRNaB6y817w 7WXzfXqFPI/4bMmHlNpDXmmrNYTBuRHv32zmQ35UPE6GNgYO5UcGgw261qZ7HljQx5aDCk9vbsWl alHuyOtBy2NBA05BHr7Klyf5PqL0MR/pCeCF8dR6CKPa5s0b1ljJ0xAgUi1fFcD6MlY4yNBgycsd 3r+PVYtqOGqpV29DBOpF/A3r4i5jpLnHV9yTSE8r1GHAxpNfWatr0/7FNLhaoKSWQUuipE5CZRzI Kj8RWBJEQdLdytPy8TNcz8ws+fWut/ZFCmHNUk87XBoqMR66iTvTUha5LLEbLVcb3fZ2wz8B3Wy8 yXipZZeviduPecePEjKdDHJVXEh+YEUHi23KWRnWwORpRLuEsyCOuWLWTefawSAnesUzZUCCeEPp NCbVT15Z5Joocx9xZpXLR/i/7nB1ztOmdIPpNScBOSKyg0AuLpJf1SITE7Gq/U3WE7WgkhWrJr9f tMljA5vAlCVkd1s6Jzmo2ZIChrCAuN0T/QS6m+sRSygbWBF+I3jfBKiBVvs9dO0znl1SES/2uasE y1RKPfEvHKnCnB4NmB8T9cPySt3hzEZBJf9oG7SydjbRRt/GF4qUMkuku8Swtdsjb4Sp6Y2Y6pzl ZTM3Nc6mWH+PA07oaHy9ZL+T8HuRPML5XQpFe0u7LqLaRN45dkVpy36qQ1smYpyR9VZ8Hu4W7lrx Vu91kVczINds/jrIDRPAjYaKVUlBht2s3vaHBUylkvltyMHJh/u68jnSs1OoT8NmL+XUXlwcsPIB NAUHi3AYKqRlX6CVbkG5irShhqqRF98wPGmSUBehJrcEVxNqg5JOF3g4GMW5HU2BmFym3oB7JLE5 uuN5ypRLrlF+sqI2qj0wGWAzs3d/y+bXCOy2m/x7n7iQmEjF7HVP8B0FhAKszpR4ieKYRs+YRZpZ z5gTDixEWFIA5qIrS5sYkW3emnT3eBAabZ0BGGuK3+XCreNH7v6xWjbQtKq1LbuToOL58JhJkzTt F3c5ZI2Ju8hxdI7rf0kKv6HyFurvaJFHMBRLWJXWvFHH1GMIFEKdCz2IgdVnzyU6JNyUfcxwKv8V Xf8Em6LMTtweyugodMGdcMXq8acdk7tcDL73PvjMFWcgpFKA9hE8bcIs8S6Rw161WfG78SCkPay+ e7NJGnIxcL5OQXLjgmNjpSuR/FVmiPj++Cw4KsQo+EweYt7Qud3CrcwN9MrMaKgc27QZkPHx17P3 go0V+bZJAmBP8Nnnd7GX0PDAv0FYMyCUYwzs/nGkJh3txmz+XdaVi8i6xgwc1O4MsTMWW9VYOHwr 3nAPr2uZ4ABTupCfM/DtrKJ9fUKP4vk4AGAJz2WYCvOr46cerkKKyDOFiWnaHUxBY8r5gKO9BVLv C9Ndeq5wjobEZUsq0lphWIUGkMFrcby7jcNZAnW0Qo9eCAfTvJKyQ5ABQ95D0YJIEfVPksy1mYyZ 59tqoBPJpMMS5XnsBxuRLLehepQarnsTeooQSqpiPErbZ+h4BLEW36ElczAopB23VkOW6Rjrnwpw GOUIJGaZkvM11/Tiy1cHKM4ZAwyBgn2w0nkHhNVJiZa/Y5qP0w9y66JTEtgLE3KkRw+K5bMdYzr7 25w5PA/59EBADl2jKyJJTlNSmrX/Wz+MzycZS6VIwFRCZbGNTySXB3eW1FQFTExsI0udEQkjv6Yv 6yhxJTF8I5YkSk4z2fbR6TlYNC3Y8cEuAK1AeCT4X0zJgqdiT11aBDB3JnDZvbBiQWi3X7UYQXbH C273FvWDEUHwNTvKTmuBdvXd/JF4B4ERzyuy3Cb7n3SziLSTi6SNiScgccbK0X74gJOSSimYJ4O4 EoMtp+5+Yeg+lMEZF5ZFTVcIjpw1iWtxQnfx7un0aU4ACXxFsgi45NU/0Cmt840P3907xNSvWrEm i2Q60ehU/iQjga7nEWGw22eJc26kBCIK5pMT/BK/Tkn8Uma4Ib5/wwypBGoUbBYp/wWPi5QM4b/6 VWHUcsfIm3mEEk/JrB3SdccPvy5sVYp/DXItYEQfOjn4pDgJb2sy6KKlV5MRJjDwF6agwV5d+duN Za0pC+47T3GNqcCk0ealVDgLEZbLvFGOuVTlPD91+iNnOJB5Nyg1ukyBPxYzpOi4ZPtIFvLBqTGh l67NGdS/yNPLo66cYldAxaJDBCR4iH5JSBGT4ucIwEpRNN3bNTUSOlrc+I/WUOR6xRAoqL79Vixm +5v1KDUOACP51brrts0eGQco6bGdHGeAeEt1CkwWiONiB8PMfY3mHoO7bHz3HeosOF28S7Hw5c8O 2N9xjiHyL9mr8bUdAyv8qAMnpS4bqJJtQKuCHdoif1WTHL05OZWp+q0o8w+BM6GzbcQIppb05Ozk qkya+Jv1qPqk+xc1gbBzr2O2yiAgqf8Q2qcr9qRUR0fPQW3qQuWuXhP4N5EOTY5gTYlHagVPgyKZ BTcTPY0Yjej76T3qbUT3A+8+FiVqyCkWvK4qyM4KviduNvffbra5yLCnmH7Lv319tVK7p7qwy+j/ HaLAKfDnOrsLWLuzNxwEgzGgYfCSFEbOulTbeiE0iVcqRKr+ZEn5CidIYI5m+hWvNjAJ9Z78S6t+ gNGi+AGGh2D+p/H2G3LM7XHLsdx3K43P27k2+MFDY60E0oy0bnsMNW2a8Uj55l68RpZk86uOZAYb DohptvYfFzckLNycu18g+GA02mf3PvmDragd/jTfeC2Z+eL3VICu6z01imeCKK+R1kAnQEPw9pCW PujZMatUx6LxRvcver3WsoLNBRAJaMaTdaKs20EvgyG+fa5HVmWQv/ZXFRw+GZhDAU9JUKi7Ye4I QKExeee57rzSG4WPYomerifPM5MzqYag4ME8WfSG8DPeemyRAPOvH5qxYGMXX0z5nOQ872fKi5z2 pb+fM6Zg8jIiyFjo3DfXpAJSdoWvugEiLrlwpa+d7UbZ2vKDxGrMZ1MFKCLz6d+KczD3B5Nbc2Wi A35N0E/TcR9ptbxvyie4uienWJPMiaTTbiDUDGp8l5wY6KGv9oHFTTO2D43ZZhdkJL9AhzQ5nvYM cY5SDtaq1R8ZP4mHx8CkO647nDm4jr53mWUxp/Y/kRh8APpl3nzibpRxGI6cG/Flh+r8R1hu6Ju6 jE9Ro6lbgBeO4xf+8W3cltwOHT0kE4LMFgl/+7TjkrtA1z4T9GW5WJGgaD5qt1TvEsvC13oWwKlU CR8pxFULgKEkeqAVj/MPHLkzMCtiu6dWhTR+zxD1CLPR4bRvNs7qszILBNp3q6szIDIg6SRFVDD6 GyvYrAtihJ3ATEtV2PenmFjevP+GnYj0UrrU7wC3hafm87MZ8j9E4pwtzL2DFswZpwGzHIMr0irs C8tuxI+a3Q7yCMPQDNTEAhNh5LdUMY5cKYlxpk+sJRe43GzDeF1UU5kZm/D8iub63aGQCggqBUv1 iGRxxZBqGuFo/dvlKYz1rgH1lFErEw6MGJ+kfYKDZiKraWkxDiX+VNBMSC4PuR3xk+sFsamvps6T 7fVojHYzKVWfvRi6G93C7qW8xcIwAYAIUyiIdV6GA+fuCUW/T4SUGDAE1dcZUC/Y0/60Us9Zgkqp 4Z5fzyZMJKTNOGe1CdAxuv9tScrWohBcZ2HW0k+5BQVEWVdXEuOUx4pT2pNmGfWujFQ2NTfn1Ohl 5cECfxRw5x4wVVmriCC4wwhV3eP0OICByPGdxZAMaXxykkARaMcNEcGqpcH9ufQ9KEe+0pQrYoqk 536JLYEPVBITUtjqEfq38t0w6vFzs698+cwb5FqofjFFAD9WUHyIQt1zySK1Te+rg7Ip8bai4IxW 7gxiFIx/v56mY2eY6MvHrdfibnXPr555eU1g92ZcPf+cSH8tzGPu4Oc5kZ1nMxsPqkHRLYRorCdg KIrE3vDLLLfTTemDw3nEDEHQsmQblAB6e6yTFPXgKxUDzxoskcO33QZVELILaRZ1eW+tBcNbHBTO /n4pBKAQQwtps54Xf0bbv0odyZPLVaOkZNQyI5J90I/K7q4jOeCcBJOwgsKjtjIPASN3yfzBdEc/ rGgGcfnlu99kULcpD8iS98Ure9XejxT0iFUH0N4Gfdc71wbJmg311m1FOG7kg7iy85zsXsRbZUfa DcSUFYEnYV6aZfKsBUFF/rniKucMZh3PGigOsoFjmYRfzSeD0LyTjtRnM6xgAmA41cnuPZxpn45E eAeLZoyoh7wmI4yWVmVeTpFOFuRF/CFb/ihsyxr406QX2S1loydq6TgXeXQGp8CJU8OpQYOdPBIu zPTnOaUDtkzEPk9XgaRCmKDg94qtjsthkm2WJQ5n7Qnibn0Cw8B6hOsDsGd/DX8uUwsTiXlr8wRT klm4vU+epnXIUDr9E6yvZwP4PXQDrbPWKKdTsesTN9NUAlvhlsWXgVJjxrlCkaNaWNE+mftu05/M vMsEayE3O5rTxEcR1e/Bl4Z1PSk+zRnFJz0dZo3rkFlL3dTtbkxkHVLlMmXtWj9iuGA0yFE+/AKa 0qgB52S44Et4vqHuj7L/UqMezqcyduqOWS76euvx0IEpkMSGT2A7Qp1Dyi5IcW/VTQBqPlnA+sIP S2xxCouu8NPYajIAncJBaGwRfAoD1FLPrJayMkU/iYlITKAx6RmeAkEke7I+dKt5vIRp2mY2G33X gFyf6Z34aha6EKTgCpiiANss8Z/8H/89YOmKcl4zwYEhyzObHHWifFaicDBRIEiFn0SsZ64G8G45 VaCnnaDvKbKz4XwVUoWG1KFeyVVcz949vjlTVQPKF5LhnZpLE8bobpVN3HMpsQsnuCyeq/O/c9xs DxpLtXN9ldWeWgfBQnd3tkUFLWQxM/TtsxvOJTspbTGqM5KsJy9W6X7fxr9J7Abp09+5BCkhIHFC 96eRuhyEuCwGDcg3joRaXCCby/favxj7ZFZyhwjnaTLHLDdeWZjkUO6ABzvI9VY9Z/h5ffQEMRgf TWLMlUDq2N/oX/ogvXP1EBdoumLd12a0UlkSZiGaHd/Q6CoaTVXAMxHWKuXJ46o3neN6w3tgBOLS gst2mbUUjP4O7HVtdHfya9TbUriwrh9tsr0NWSRdLbDLgUkHjnDEGtltfBQs3v6fNHDwktME24iE uzPoIlilSA1YfeX4bzJdk6TQB1KGkI0fY7vm6DPMp5Ryh/m4w/cGSabQ+HD43d1Q6r7hMyZHg3Ip 65p1VT11BxJ7CX1FlZU1hvR5+jmDNRVeRlHWgSLZeMG1IJqewfe6EoQCwzqWTOHW2kEkPQtZ79Nw UDQ1YvxKFIiwqwOEP+XCWl8tmRDjbOVemDUet1qbXKknvD080/PLXdMbu1U4Y/rvCelHC1yhOdkB CkgpECbrJQ1OUAMDuTPe1vcWv/IyYlRZPa41UHUvT89GwjdUn8iajJYvF27wUlU1HIgkbxec1+dO 2wIbsuTo8civEyf6LOvh1db+z3d4myg6Z+WlHHN5/5qhndkQZsk8Lb1Q4Ss0q68ZWn5IDUJBcvR2 mY8yoibQ5buFkGLNh+8VwYqvzjhozgAK8XRn7a9ahVLNgGIejtBHzAzUO1lVFtj28vDDQLayqbDp ycp/t4A7Y7U7TcCyDkT9pifb/vAfViSrArKK2LehzSYX0lY3g2HhnQDyYFfUxsQ4rW+VK7Q7OYUf HLwwTCAUav5rcCuk2LPtbnTp9R1pUBWy4BxHUSeBo5xQyJXaMwKus2GLcuuVaKM/GTyil90E0BKe Ka3m4VLstlN0mzs5BACQtPUvlsdL4XpFtAz3lV+SchDVWzACQKc4rn/WbJDEdNYWTJUFARLJTIm5 2o1r792ccnL5bgAcd9RdHXubrbaknn1EjO/tG6naGLo0c+jvvK0ok5bFWGrBs9vwiSTusfQUj5s6 RxELk7Gd9y2S5dagEuFfst4+txT4GEsBpVxuhAw8Lp8h/7N4TF6weTGUsttxeWwBYAE6QdckK15X vE+XSVXTOjsA6AWA2iDHipuLkC23jTh6mogOnXu/d3fEd+NNmU2+yWknqzDDfeuVL2cF/7vF+/LI r3N842SYnGHLur9yOFlGFbstJZjdBu7PkKN1m0E0XMZ/eADxAUi5oQlyEtKMHBG59PNjiSLAEyue chY0+dL83kieBsJgP43EHejRWp2RBsOQu2fqh0ZTj8nUfLiO67+qXVCPvp6qpgweYDXgUpJTKai0 amtqYb+7+EVsP+/n+7hMJH5HnKswtBHqJ2XyR3V3h6umL7NS1QAxQL8dnp1HAXfjIjAXimkwzTrN b7+2xxBjnR5KWiHXgBKm73ZPe7Qu+aYOvzw7coB/UKx8YwHDYkQZFKBWrm+miVwSPYJofX9fPFy1 eayf9D7ZALiVlvnwkL+ThakDPE+NL2/tmZF9KVOoGC/j32ZY3iUcTiO1gSf1L+6fk/BjfOK1QnZd a/qWQWdT82Ur7XBQd7wN1g4i2zVsxBlr3+XLuetPVLI/jU1fIM3wuHYD/ErphLbFWE1cIM/PGfLX /3d8sGaB/1uSXEvWiBmEuARSAR8Qyz8XXMQzIO2CC4rl1eqxUIjBsr5hWzeSCI58jkkHn7DuqS6C bpVGoD6ROGVB3uegH/Y3BxOvebqp4JlYFq2oxqsnAgVIcNMxXqWNPPRKp/Qrau0FpzePTAxnx6K4 sYoMxPDKIvDUIwEihnazCRSvTlkzdhJk747RUJ3PAVZVwAIJhU89xR3wSWLavAcvAdcAq7tbnk4D ligo7oizMXIXjGgTPCfxSvgX8lZFW2trwsWn+fCjaiUYrvU5m1vgo2rbqBX82ADk/5a6ZpnWOqMO W02LLrCySoZfT0xSYuisnmiSLQrSL/mFxLgUdFfBK1fHVFSEbJDkArB8F9kNcQgE+ZLHPyTrDSjI kz0WU/opb0bv1BxrihZOnlDDlBXmPy7wSBlObE2isSaQ5hkQmyd2VVKwJoSUG8JHxXf/1f0Odmsa 1ZSQagcPkKuaGwQu/rpaYPG6ACcVpmH2p9I6+3QNmdru+Q5nbkNiAVUndVmek79Ddg8ctHRHwcz3 AjqXENwWEfAyWrTW0cWmyW8NU4g5rsqTOO1upOkZF1rirfT4dW1v3wK8YTU5n8Tm4RfBMgPgprVo XgBVHABoENE4WbqN/lcdQxoBQLS+xn9oft4+q6FJLEzaWj1Fh9FPnKNC70btErXCZPYU4cWwvt1W 8qIzbxzNVuyEVBa5xmKW5NuaEdEUESo51Y52YLgW4r2uq1KPHSXdol4szCM5I5Zu3nfv5LAHHBFb 0rf41Gf42WxonSNCRCN3dhvU0j4TowwsGHg72kraOr1V4OqTQQq/TSB06vgdD+DAx5KodGwQyIkC Mw4vfruXengdh06CtnlevgQf6gJC1iaseMYeHsDxtts9V4thZtfFOq/8jthRbTjWxUNjGEHZaPVU /lmV24EeRQ6dy9+ev9BHUeiNmh/XJ7/+AR5isF9LDGrg3lJQrEaQSK8uNhEKZxJF2sAZaPlkMqVP KDbjwumEjr7ByFve7By+vD6glcFWZzn+Eh8Yu3Iran2T6o/ho55Yk1ItZz7jetfdFKnANeUJSODy PN7YYwS2an0x3UEgYYJPsARa47icUKRNrIj71vxnCLfvRuhUyHiH7qiTR+EqYdnPtBgThtuW3aCQ YSuc0J5DeiJUc5WvoOeOzRLD//cTmKBsPaqds0u9uJn/QAXMHeMtjt2WbgJkQ/JX6iJB2KL36p46 lzOhIez68P78x079h2F3KVPEOIh3wZfaY327i+PcBzTLx/BmPOtPP9BCA3K46UR/54IN9oFut0Um uNIAkqh12XRqoTbEg8uOkWagn8YsRcovsd/T3khTA7Sa/Jlrulef4Kz5CWuMRdxA4Wu+SGtEviOc /E4Y3IGmMPSiU54b8miXxII4JHfGhVs1Kuz3y4eLQ3fzxN/QZRC+i0PwJZ9K34R/5gez+pY1338g CRmCqaB1x7UWy3/IssKyjN5oX3vfWqcanR0HQM8sKME4yTPvhCiUHCXWYy3XvTs8uAH9iUDhs/iX mCijlXemYdeFq+2eTjdJJB4BAklO2U9RL/eRsIkDieIc53ccGFVav5Z8iUfZMKEzwUEyRbI72UcT m3tTGUtC8V3u+An8aDP9U279WuAbOIINcpFn6DfOgs0jWL96+4H6JM4EjqjUs8JoiOWhrqjwydxW 6SPB+aDApsQrD+PpIEVmS7yR/mkPKweCS3BJ3fG7BoAJfWvDwCMIrWM6JCm3HrUJfK+MrJ2G8mLY Fw+3zoDrXKxC3cf8co/qKjX/QLxozhNoVWKoqIBu7/25gP5pT4PDgSv+CUzvRqSkojLmLYMNHNnR PU/8JKWoEFuciwWYprI6lqm/RF0n1Uvpo1flVKNc2d1ndqpX7Wsj+9RMUt0gVQYx/L3G7uNu0iqT jb58oXixSWCiiIw5X7PGMLIKPd94XuMrSZDG8xjoZEiq1JUWNwaH7V3uUH0r+LAs8YgEof2J5edK +vQOPrp+8h9aiKkyDO8Vk43l3ItBKP/ilU1pTEsZPBiviBRMytuM8a6yKxz9ZTjMt0YWBpkRl5Zq gDIUkOsuDsfvH3FOAVp/KGkuW1s+PegOrzrKY8BFG6JrqYHI3kSpthpGquiwu0QFbMZoG4vPX9gE SmjNAPq0Fq1tAWiYxJNmk7sCe7mtLv/zFsSoeqQ+GDON0iD75/mGZF4cgO+6Sdq34XkxZI+Pmc++ FqOHr6zwxrRsxyIdYe1W64BCJjXdP9hNUb3oelV6gu8hoG1RDskPHnYbHtCYKFgIDJbhH0XkBO/Q WV3LStWFTaAzkV1M+oGPrc8QUZlrQgorm/tKfub8OMZQXoJnW2xoulPEu2LsUWNk6GBvIJ/hM0i3 3J6BRR/v59b6Cmxz1epRD9mVRZi71fRcfOLIy0niPgkijNMjOASJ0F5JL1j/EAqzkohWGWiA0Xa9 v+IK6Jg9npBlOQa3SfRBKCcrAFD5cYT1RM1/8tkk2OMJxYsuOYnvjTGTEvQr5m+3QYvXxKGNeK7E pKAD7svBp9/I9ljjEnAJcKkpRL8KgJBAGrVKEHbJ6FnJT+rvT2PRMAYZkiRqujZS3Ro05/Eh/lON 0Zl7i2G5bZb6fzFjOq5Gf578C1M5FZWzDMQY+xUOktzSH5Ta2H8ixfKto5bS2/cgPglc1paHAGEK Enm5b3MVTb9YqZy7v0fshXRjgi3KByYEpY95iFYJxZf581GSBcvyaivj5zIuoF+KU9wZuXra4ZH6 YDMdsUPeMURlBw+vhVecWlVztfzoJeXOMXPA2EfOZDbPvEbDzaubCjcumJ0Whf4P/JHoryjq/Cmt SxvLEcG69ftCCduKR9OE+r+aMFi728snw8yzD9t3R4bzps1tYGdJFTqPQVmJYIU21pnnF2PRzmUk Eg/cFZ0YdDTAH6egZ6l8EYsydEOivsyTdccoYayoGGxtlgLEkFiRAn1MbsKM4nUW77oexHRczf/d cHjF1NVfEP6EtxhAl+AXEev1K8hnbkMxElavyagz+IS3y6ytJ5m9p6w92H/vTV9PiVW152MmelHB V90JrJr1txXxlkmCA8RD64sbUcy0wq3RKx3b8EtNZ6P77+1dWN1U2NZt63O2Gx2c+YE6uxbKSw+H did1ZPx1tUrDN0sUw9YKpWmqxeXaJzP0kGS89Q3FLL2IZ0eiiyK8DzxS1oqSKk6VWttRVAk+byaB wP29TGNvbnrYWVpL7YX+ke3xMaZMTiEFJu1HTnc1UeU3JvBY+GAu2sTdENUVW0RdOZo2//KB2WeF CsVP6zCGrNDmEQqB+zXQvuGuYJlIZdF/zdF4OIll+Oazc/9c+fYRcGLR5RiAaqgGY28fL3fDrFMw aEnoMwmvmgx7+FKnMYuOGRgayMSJCof8zzCPt8qFADuBqv3Xtf6bgalnRFwCW4UWLEI7k7znyBXb 7sD+clAHEhrDprb59yp1IMWunfwk5tiPk5R79iEhKenDLzTdlatIfCjLTwf8nx2pUb3OU3O51kI2 4lxiW/5gcqlPYRkX2iFpf+Ewv0NLdxXA9a7D7sDvyVWxwAjUsYvcdmBqmrONRRtnpKFeCnI2GVAW 74oBifRWN2kSKYjlIIh4zwcQVQsB72ougIou9XT/ZkV0l0V1hHdV8KtOOQ0+zVGNNvUh0emADbhv bAyV0fg1y4j/JNwabqU3yAJujtpKa8G6MFM1mPMJqx82CAdrHf+V1/RDEWmd6ezCqrcUVyS0opbk EST9voLS0+qcB1Uu30GCUNyrg2E19k42rR37a1UCaWBaFC7IqS/mi0LDMTnRM7WxJc04C4QSnGbK t2eLQA8loj0UWnAaFFjWiG8xbf+TCQ44Y5BeHpg+8Kas51OchvEgemgANXxPntN52lg7s9u4DkRc /L6jxcc0dZSuUiIuUVBKMZfXc5Xo4+lKZ5+MhcF+7KZNqG5z+f7kdtsOkiNAyHUuKQ2ItV3WPqMe NmgCWNzuqsvJOS35eRFBM9qKyVGCOXyiGHcv44rwfOqxFoJbiszPXS1v1Sog5ejDqPan5yrm/fUb zVTau1KkauqWXGSeHg0tTLU8TD8j0JBdK8QtHUA9CU26crsSacYKwfsSpQ0od+1uQtwVDK4k0JhD 4yNPy5fh/36j+xR94Utgito87IWj7UFktxGC+USiHXwGzrw3tRF0b5PR8WFVP3dM9csVV49lUT53 QQugU9F/UapPGiNRMtoE48uF1Ks0uItZtwB0VW2kFi1NL3QyQNZ11itTDWS/xuzfFxPO4eYh1Zg4 IBLyRZS4fEwCFkt9uo9yFyO7jtqT0Soq/SAQpwkqcH6YyEv3znUm0s+Z5stEhHoxaWsrnzRr2Hac sgx31AfP73EZtdLhsNMMRAtuVWWoVMKR1eGMK45TVdn+uKCOGplRcB4pPfoXgNqpikNUzE61qw1s tyI6lAI/9HT5L+6UWIVnBP6uU6/6UXon4868vgdZ/wrRv2uremZyX2GzgxfPjFcahHXGhlSoA1Pj rJjfCThHMT1txb4G2Krgzfg/fmplRbPB3lN5qfWM0NYfeQ9wX8zi4MS5Oi9L1sMJax/7P4GCVHUU REMwkgbPiSXzHfG5mfs0poiHFpzXAvWFlru6YlNBZpu0tsZ11425sZj3VJIbzvqRGieBb0QX+tnn MC/ufNszIES8jA/5o0jHCN9AnfXZoQaIm98TadRcHEaP+jZwP+xLlCMPVVTwDi4Y3UyoIgFLx4t0 RHaTnofK//qzbSz1UsosYi2aXXiffOFjGSqORV/bhnS55+jAE1GkDo1x+gZUOS0WrAMtv2bxi313 JQWvIoC5ysivWcyoWbvC2e6Bq6PtVVSlqwqyv3gj+4g6JJ8IUvMNE+gy/CIneHtKQny0nmTb8q+d Knqh2Km9cokqAHTKTO0v18dD9DWoHiwr+vm7GCmwrQRVOTZaZJ4+g9Asmn7HutiPUv6TmDiGVJzE 8VyfuzMk95fQiHGqo2W/IdORV4Xi0dlFKtEKnZtTMb06nEGi29QD9ZphlFu1zje4Pl+JHoroFrdb APAFUe8B6N20ulRmxjliC1IvRqkndaSH/xYOHtZ+pYIEDbYpWw5dZMpH3pd/4EwDEsMmsCHHvYrY 7ZwcJABOA26m1yjqPKEgBX5v98Rp3f7jzX7qIn4/rTymFOLKO1ZoYzu5LOIAGZBO7Ox2Ics9+y0o QOmx3rnXWWkvIVh49n4rZt2yAPQjDz/G7OkdwI+ryaObclNy3v0DD1OuK9slJ286X7i7c3lc1tOD If4EbF8ZSmfjTX36NZxNS/gLGwVK51Ue1MvpL3y3XTmXyhM9PI0JEo6Ua9msXVdKgHoI1A378PYV +VKQGhVRR257MoPEqxRl2/srkJy9In3MUF/J/lOC7B1IpXcbxssonEYgHtGIDuxNlSw0Mes+UbQ/ JZ5b+b3Ap8vIQw4JG+CRhQDQi8S7hLnM1i2jyDNri2+gsyeSuj57/ZANYS6MyR/qJYhSWifCgoN4 PbYxmfOB11uRff1pz9h87f5g4LAoTRJgCXv99jWp/lpTC2DhOqr1GPteArMyt9BjvKzeXqSHZEXr 0qQ2iaq+uPvIUK4girP7gsHEKxj0k2FzV37XnhtMSJs/CGfOGvf9X3HWycKZ9SgZRVC/fVPAt54Z OALbVA7ykIFBTQP/glK+HvBdXRSfnA/K69L/RgRTWt7olgiEitIfM8cEdBXDlZGuRLJ6RN0+rSgW /IIb4/lJYRpldFZJQ3FxsyWwna3Y1o5h0gnQTdh1pkZCumlij0V0cETZS92UZKvcvXoDs0aY86ZZ 2xxMe/PChmv/rMGBBeUSIi94EVCJnNG4Jy7ciBKbbpMKLS7lUCQPE8xritzC+rS8JBQkZFturTky uhuyOlS/rk4nlV7fkYPX7+EgIneKVKb/9Inizf77+pLRZB84+BajV2o82tfyGVk2KuUMlbfv+UKX gfJDZL9bo6R1YPenZBSnm9cNkudJHwhyGQ+C6KB6G/VSu4YMVOU+NsDc/y4fmdkASv6PL8NT+EF2 9tnQ3+gVajGyfBeSyrDpB+XsDuRIYxEN2HY8au7IRhwFJsXkeiWY8jJDgG72jykWv8MKZxUAdrdQ BmbtVOQt9Az19WJtg7sJxZHyFgSSyNKzWFL3pwpcQ3sDBH34+ISauZj2Qv5P6tuhCTn2yQdDhNl+ Hacl3pPd4PNdi5RjgbUmi51Rv/seJBFZ2DTwhNT2A0B2vu8OPHJKPrqLLdDAGSIbKitHGjk+SyNO AySqMvKf4In6LEuO0Pf5Eee8wWXpGD7682t0gAXBmPZyU+7FZPM08wwhysKQnZG1Vpx8iZiD+jNg vWoU6LrGGS+GqJcD/Ixz+q6Qt2jbtIUcZ40BQXg0i5Df9u6SAb2zYNAgemLKoaT6Kf/G+8Uc6iHm fzyvRoK8qK2m7skaPjeFIxJikCOLBmhC6X64lBCtjdrhkz9XgckYJ0fQKqca7yyLsHqN/c/fwuWq mHJ4NRFWCLEFa7lV94U+MZ0kgKWryM7QgVaCMJUsWsNYsZ6Kzb8VnFmaiCNUh2vPDXXfsV/8MmAr b7r7Ow9x0jWgVtgqmcFkNp2ISkkVBnPXZyuxSEAY+DSi5Z/77j4fTQpwD1dnvURaLuEbRP7MxhxG mPmqHpzmhPE8THDIehO8BwHAPkeZIytFXLA0t2E/8RPzDsY/kMs3xSc/k/062zmsI1trtRWUQh0l 6mrKPDJZrD7JVMKXAXL6B62K+4G1Uqln3NsAf/TJYakmefFtclRc7ZTgW0RAbetPSUyjhqTw0vEq +8id5TL7XEXPHy6f7z3Xm+LDrOQw0p79ZF7tcn9fEZpkrBzPfmrufu714RYe0p+rcGTD+9rA8FHK EnE9oI+trm5EgXijojBpRiP1kII0ThHBkuUfT7XphtNVSUll8yGrDau2imDqoMzpwbOHcmToWQQv VZRPD5+mwWauemi3eQqzHT2bMrcQlOgbbPSN1N3k/YHOWJzfAr775+hwX8SjcrDdFnxfJNVx6Wf2 oZohP+y/BiJqUBjsH1Qbjq2KVSenPAces1Jso71L6Vz0vUfzGWOA0RHXrL5EBFUJkGqforFLAS9Q Cb34WaUBJIqChyuIISz2LkoKORYTCpFzYF9AIZy/z0X3ulZnhwCxCcHd7LobxQETABSNMW0R3TvF VP0bJOr7HVaClQU3q5EacVuz3i4OXnJ1vw7VVfaO3Z+gTVtPe7qlfD/aL/ZdyOP5QDcBGyagxchl pvaPHgryVCSjlbwtuTAIHHK2Ybl4Qf/XpoCavehWdeAfHoS2erC0Di2tIGT3AQm4r+SRoWkyxrzB LfUFNqpU9ioe8+AsvOAfWU6/IEM5u8kMiV86t1FbpoImRHGWRy3MhZPGx3kftr5vxuKJj0eB048q EVQysXMv03WkQAIsb09oysuYHL/LZ+noLMBPTdJq6t1kN5dtgiUc0FXD4RhX1KoM2WWRRmjKXorI bO/Is4O69ioIGSVsmxcB14+VO3Aq4XJNeoutjm7LqBo3xzmGnHE/4Mb6rZKej21sYS51rhJrMJze ewAqM47jeMCQyxPv+QArv0aUJtdXioRxIrbdGKpr9cu7K1KQ1To5Q1gU9rsP1IzIDdgFEHN8Qvi0 MwZ36IcBQ2eR9jT7/PiN2wBAIKLIvbXsU3jkbLqbwdOotkzRWRvuTviIUHJZRTmmMM+jTno9E/tf sPsAMeEo8MMg5riqgL32otE21CX0ORUjAAi8qTs1+3a8U2Sa8GLbaPRIxdpVnkZvCGo82xlgLyyd FXZdoBpDyrE5+ln8RUaJU3md0H7aplfeLUvm+lEZkyNnmu+ZbTAzBA5ldgcCwuieEHUhjm/n2Z27 FEW3jyAqLT/g2aPD7/xkzG0h3uXUQ26NG9G04qb/Vf94grvn3KYyXfsDfErDTgKXCy5UFMGG1jit n1Z70O2iL9T1ofAyRJndUZBfVpUEE0xPvzlxRicySbSP6lwW9h7JoS1Q1fylGguucWZOYibFClUE REmphf7MksFj0MNZlTNW5usripmSJMXd5iyyerqA9BGhfeBU1Am1jc8eITqxSHraCHUWMijm+vp8 qEXbgT3NLhVJ7h3CMKUfI9/IpBsfMme/e+tEgANB5h0yl9xyFwwKyqvB7cZedtnX0urrVA2O6Zf5 P9t1+MupxF1O3VkoIcwYW/dZPn/jigBpADqB08uaVm84eT+V9CvHwdCPUx7Uy3rW2LS1QGBO1rd2 Y87D6GCLU69nmqE802YIwQ0dlcgkb8olkFyCHwlbuPO+2k7RvLT9Jq15VhSH0sVhbAiv0r1AGTBl LGhsI+AqqaJnbSzDiuQu9gUFdzy+Vhj22U24sHYXhYbyiidFfpfVq2teE+guvOhSOhRrcXmQPCc1 yh/h/M3AqWrAPTS1MwMvRq2usZ/NUbA2QLuUqvJjUBbSggklfQjs0nWZmM3uUKmZ1SfxBwBF82pX 2MR9j8U5scHZ5jDRqhgT3ykkedngwONLm4hL9YvHX4Uy/uB9OzfcWCq7R1bjSE3l6fzxyk9XqL+J kfGhOTpwnfNzjSXmBUUpk2QswXHh/Q+BfF88Rtm8ooRUwppU6RkJSy+eMRuDkEs9n/Uf+V+B7Sm6 xiyNbMg5mvI1+8A3A3oDWBi7R6EssE37b5nJsDQKI7fMlxxCr9gprRYH2PACvgn403TuP86AfhAz BB4UZNfiji81ySOvYN3fH/eiikKsxf2Ky92TpQ11J4vZBqt9wDFiFwp3+XoFZMRezL3kwOAV5L0B Wytb4IP6lAyc504aQ8HkmJ5Vog+ZQD0w0YRh4VLNF0ph3tP1uvdOGLIgt663Xruz5G/g8t2gXj2A D5sgptwjYqmxgTKKnP1iMqbKiqihldyiNoOrNLP726VNQCCPaJrQjeBoBxrKyBjrRuJvbywMAVIM VylgjkFKDyv+RY8sH5gKv6pD4ZQzL4WSs7sgBqXwoD5qJ4JSv8zN0VthMbf0hI6V8r7qeonXkbcc /7C8oR/ddaFusnsFW9Ap3uFOr1R4bQW+NXMCaA+edLNNrhXC06VnQ3dPTjkn/jEsXd8Lx97r1Tnb d/3CB/Bf3jn/PqbyVszp5vu52jHN3IIYI53xw8p3c+wIY+oiCaJQmdRBB57JK7Z2M8FM/P97bFCl XIZxglr28ZhW+YEMneW302i1olxm2PQB3AtWTSqSfjWR+T7c8QWR1OAISKUfE2cm3A3Do/+vujUj lJFOH2xuhGMNcHRdEwIfYTYCL0nQCloPKy0lw42+IlVG8WTPLkB+vy16qUCa5GM7692q9SOXxvzm V1P+BmdJ0m0+x5pqcx9rHe73cJpo5IaJDTNGzWhkLReuqbddVKy+dpsCxdzrSHNjLTIeBkLUc92x MASwmxwRoHiX4C8jnSSmw036gD+dZExrAWZTAd31VZNxdAYKHbEzcyTF/+UVjg/vvM4N/s0vH5/0 DIY6ApqHM5IZV117+ePR3ZfQE15kQmw6zBiM4RwXLQkr/2eLBopG5JzfCGWZ/kUnfunipimG2MdU DQbnpF7qzaE71WPr8aQqFSDM+bzsNBa7s9NKu0zvaHEd0ZrZAU7oc6YXooMCFmbubkGi6G0FGpNj cySgI5UQeg9+fG/SOzxpyaeyXfddSiwcAA7GK8xhoCjNUklWnIfKLG2E0xeWTw32Ss8ROvsgbg1P 51UEwFEDQaKXyrMqC6IdafKSaNl1tZB7Avdp/BpkoTF+5DKguz2dD2JA5cWZ1Jl3Kv1mKnjFn1q4 vtLbXpVatFij53T2gnOT4wIl+o9XjiFEuAoFdL9axWZyJ74BjbF1wZf57+DzrOAnJKBAspj5BMFq PGoY30iHHiXRHBhNi7lwIQoODG4pVcLmeWumYaiZPuWXqFn4BGiXNhYWW7GSeeib17ADapgUFmiK 2HjNLOZTkzp2/9OmmfxNZKRASt2DxrnilxvSBaXgd+h0voTZcifNmuVmTAiZREfzLn0/JrZ0nm+s 5+FRU4iR54jNllR3VtsvYmpoAWxalR4jp9D9smjWKkzH9MDrwx2F8eu/5LQP5/KCHloCAhDopp3k SlGt+4ScYa5/OaQwtvS9utBER4DE1w0K0RqCsT8ojgQD5lRQflMfBJvnKFJKAhGPA6wtBSdObx6f 3L2jESVcyHTD6hggchnGQZJ0BVOY3eraxKmoFEsCcn5KdS6fq3IBVyp5819NgR7Q+gQhes1IlyJN xy1W3lbj2tyiozuneqPl8DgAoQvh71GFMooEVL/VBo6A70EqYCwnjKDpqVDmZrYoLsP0jrPUQcCL WkWRCUUpgZkPxl6vV4bByFqoBOjINk7PAmbReefYLbxxf0y3k258DFOb8tXRPuh3KPaeewXKWVzs pHj8SBqDNeIJ3mP9JZNYTjmXK0u1hY3RJqHffcK36hhqD9pRbUyR8ipWLXjz4HP50CVcFI/s6Ai3 ZZLSyu8jNyEmgmehJ5CzgNPnoRtwn41o9vgCBzpbCnZnFHtCBqjcLEKdc6f1yuZ6o7H/K4Rnqjtg ivOVV0Xx5PKSWaGUpKSTb9P2r9k9rJ17RYHULWuv8CeNOd2/S/rc9F9pyppsw4X21cQKzligCytG Lfkdy++4dj0nn3N5I1nKAYX43XSx9Mzxg3JFtGsc02GmDQ30JudzgcGU7qXdOpInKaWxLm8yzINa TFI+BPW0KzygmKRkVy/RP1+fHpiKM9q6KXlU6UL4c6CGoFXNDDd9pu4CFR0I6Sp1s2WnNnXoplzN WKaGxv4Hsl41fmdGL2vG7oJ6v7jDXuqkTvNrpNnMR2+G3Ovn90rosymUNPOGzR9b//WuazkjLvU/ /Lm6RdOsnO+2wsj9mYCrvrxmNngI13pH8BvWTkBShZQKHuGcKRdDpom1N6PQS8xuYQJsyYEWPWOz BHI7nJIvzGJ7NIvFPmLI8S29sT+0IU4O31MX+cWxsMEcLkLIPYJM0b5wfTMzfE9xH8rOFP/azHtS OIHONTN7m0OUVMpBcNXqT8cY8cB5XbLzmg4OP8GQB3ukg7IOPvNywik//8dENYtAh26bmjn5cKss HsHYTgxcl9Ok+irJssPeMECcFs7yOc0Jx/zF+OpWFFYu2JWWB+SxLaMqD0KDRD8EYiaBc7ABXWJd Wa2NLIbsVgAu6//hTptbj/7ysNpP65CLCzzdmXfFAVcCiRAWVnYA+ykPO6zQKneVngKBKnaR6A9r fivFvny/VLCkIy4J5YCZh8gRFxq1gE95QNKCTatE9ra/3viJ0LxPIPzyIeZ56Z2/R5+2DD6+AnAc kaTZLcDOtKRvwXDK2X4q4+315cSQopT9HpvHHRKRkkQCtVeb3J4XlqSWUnux015WF+ga7dMFpE9I CtPW/oN/LmVzdIdrUL3DKk4sVQ7TSgI+3NWGnxp7DYJIzMtnyF0ZHbR3e21BjBXtYX4KE4YolUto o1MRqRI3k6oLbRYKoKGCA/M9dyNJnfcQ8ZBzWLc0blSybW74bS4qwQY5jXsA8/6noDpnlb1q2Tk4 7JKfGd26pBUMea6Ya+8kMXLr+BomxKl96dkcx1sVQRh7FZLIW6DDaTe2otBALk9h2V8ZZX1Lk/Pz xdgWMrZDrYef756NcZPo6sm+8XdC78pDRR9vD/MozlfSnetqm9wNTSvSb8xeuggz8oisiUnEUn1f 4PeNfP855i0MLQRJpiHrFqY5kGl6RyZ0YRtZf1yKz8w+6+ZywWCexRrPW8vz3wRtlnWYroz8RjxX WZvZ3U7K0M9DoVwCkbXI5VgwIiI+N+wdcEJUgOSACqcQJHjyjnayAfiCqFtmBs/ae209QMIgtqhT JvAkv3hA5v8a+Zo/GUYvncZegq8UJg2FjaTsEIkf8ZSj8FkI9meNakgPoT7MQ/eUIBsOTJbMsB8r 9nh8gcXWe0CYjO2Gp4ghxNSvB4YPpxAZb8YNrnanyUF01o3IOGLYqbPPDwlU12+IH/7sBSIywSJA iXqEcNZFqIdYuYhL9fCL7y9YZyAAdlZ6L7CGTyxzEZp4VeOnXWA5B1wEtmigTxjed2LXapBkMLp6 2Npu39/vYIrh83Di44QlB/mhxLXIbJR7/MLhHOiNc+VCWbc8SzJ0IiLGaMaNYgZLS6Duv3W7t0ND 6KVLAD6HV+nmx6RlOQy94N3JR0ZLlkqLnyaEGrp3+PbU8S56NCaXqg5TbV1F370vIVHTUrGdIFMX ucqUNIOWpy888RKQsXmTz3ikDc+u97HfJpa6D0xNBqXvu4BfzuVQ7Zvre3gdyRuqVl/9viwTrnyM fR6PevweGuk1MCXC1u985Pg3Ppjgi6jGWlkukDbGo2FbwgVpx/NRMRYabH8gYIqgEOjcsQhad5Bz 0hDeJhNFMOkAilB+jy6crQXj1/eGrmZEKXwJvcet7baur8uGCjS76y5COvOPnGeB0H8fyHZBOnRO oJHfGzHYcwk3mVhaZkXVURKlNYTnZ7aDP1M7x50tkCJdAKkWESreQenaA8V+KNcu+VeckdUufvS9 gHDzV948b93xOm6wCh2RSAlqvlpLfruL55ciCG+khmjkf0ytBAObp1gw3fC8DKeIhrh5eD/GbuBM /2q7NJDYIj2Kf+E3/NguEBPKtbny0ytuHJvrDur6a9OWJJKe8pZbVs8WD1/c+7xKePy5CuNPwcPV JBMg3iLeXfl+gczXeIuAz91+VBY50ZO/e4T8/1QJ6NSqr2mlo1qHDptIZ/5jSh8D+3kIscFV4u5w 8JGMqybpJjc/ijHDVS98jxWO7oSt3IXzOSBSezfmxE4VU2VbgoHyK/y/CIz/VGk3id23mPa/iifQ 5NMdfCoAA++nStW8tl86U9HN1ooEY0CSeh+J6I7urq5CZVPeMfX8BfuO4Iql4P5ovW0dSfFkr/zI l3ionILaerij6gSifzpPsR95I4/Aa1XEWZRgcL7/L+GdBQ+cyVVLXe4jQa53hujUyxZP2H9mVKgX GcClYT79cn394UGEkjRzDRKQoKORiVRKVF8J5Dhe1CLS8Z8Dup7CBeAOL2L5vR6KDeJyy5hZwVbX kTrGzein6/GPMH4yv1m4bt4bf7j/T/0KpciOEN/PHdmeyvOGB1X3jHIjQet33UEtwROg6ZfcpPr4 UEhUi2Iyp8D9M7qjCsrIo8bPTxmaEOPYFgqgwZZBjkpGclBMP61t2elKCpFgjX63zZ9DjGUzQvu/ 6GsnHdeuuLLqJ+LqDvXCn3YWNKR4OdMm3Xi3tRwlIY2EBFE+3KAdd1qgKjTjxzmK9wLntUYhfbXy IpfEym5bSx29r5Ew6oQwNFF6Prm3I1UaT2RS9VOP0NoEwIRi6c2H6FULP6wSbYGYwPzjJVk6Yxvc 1ZZdDSGxraWMtUExZoeYpQ8p1Vb0Vpw8t/9x5/XITbVqVhgsgAhMCr+sIXhiWFfQ8Fd4017hV1NY dsGIQCdQTv3kewB1NqLmi9UZKw37rxHG/dodnhz0H1mhH+ZxSfqcIL7AmPhWpq+Uq7uDm65or63T zHBQQQpqzJiM0mwapftODwDFvKb4LWlAq6clgAiwqwDTDTpcpdMZMfFzKzcpOeSEw/8gGVTFHMhg MNy64cKVy2XnVr+anxq2xKy/7DOWBEqK5aifgK7itAYBzx3RrCeirHxGzow9v0ahiwUl3STTG1Mx CySr4N6OwV46ntJtku8X6JjHHCx+XfPfik4yJo6n55Cs2ezDNoPl837gQLMSuN+3NVme1nmqd1wt rFKRvveb+GVpOFY1YSjrAMZ4g4exqM6ti7pm8uUfpdKPYi1FEmkPK+bRLCQs1RF9RhYFfFuHtHWa fYBKERO1Bsj83HPzhwSc39e9o53z5y8LOS7tXqmWb7Nz24v+PmHeeUFg+FIjkUBMydj7SXIdjgQi VuFtE7+waf3AP1mmKDshAI0A2AvjwHPuKOgRlo7OJNJAb8yxJD/y5m61nuuPmq+/hbmK+xmH/v95 3QOFvpdz/Shvxa+RAFYMFCtvl7dOcwuUrQJmQ/30tdkQnxut8x3D4JeYcCrh/Bfbe1Gy+5kgnYjx y+IGy8Lz1cFVF8R5ziDMqTgJ5ynjZ8YGwTDxi841JaC5unnmw2QlGr4NsXeMJr4CDonS/cD2OS7F 3GiS+NOoJZSecUgeBN0DOXzVycQSex8xtbSjRaeCsL0F+5O12shMJwkyCZlux54Sct1vqhjhrkhn jhRUrPvNnKEvK/U/iIQh/Ffpcyrlf+1XN1IAFPcPNBF0XxEq3ufDtiTTgQg3yBh5rBzl93YswWyP vqjMO4zu2BbgxTyvHPr0Pm7UCdpoythbOG8PLFIvsQqoT2erkIfjlKCNkpsHuyPlfwv8Bk/pYCWP 0oMqFwKA5NmV7zHHp4tpxjuz0OCgTSYvqnL7qF2bgf97jAXU59Xvm0z171vE8ncL1U6Y0liiwB5v KwzrMSFeAzNqTPKl5dTjav9LE7MFjOXw/PKJxdHgbUPALAaR8Dyt9XpOWyYINU20pQ79nlprHTcn vo1GvmT5KCFnvsyxhaG0h7yR7FWKCUc7ky6eYsxP6tj/J9pJCX7mIyWK4Ui7txvGjZPsqhAXtbJ4 fb6GF2G92iMnP/wLhH7AMXHyEz8bEiis+qEqdJMLieYu373BkpgwgELSxmz4DPbXW8RLAAKPXZrl VabY2MW/JveGQVRs9LXm/vgDcRDKwRqGsW6nVY/AUI+QOVkurzMCy9ViaTtZvbcsV+urlLaRX5mC yhCNtxHGeF6FdMNviNe2SMUaekGc2RJ6G+tUb2wcRtVz3upXUEFv97HM7c/oPA7wBGfJB5iBn4M6 87kQK1gejC7D6rezKOyB/mhNw3rgeSZWpY69injEfuXSqymaMCkPnzkzPs3aVjpudSwTASRDxtlx XSp3U/4xqKHvz2FX8DX9IO4diz7tfOgD0D+1Hgyo789MaoBjATW8HmIH8nFK+Y25TNll7zxs8wNv B+uZKedv4CRRmnqVViTfHykaRjLxIqupVrKhZHZNfmzCJF9GH9S9cqjn5F6wMkGiWQea3pz5oKdB g2jY4ZGleJYyw5HQN4cXaJtr25V4wIeAqa+6T6sY7GLcQ5ec/WOPY4HMaePozdMA4Zyi6ibWT0jd oAuwlGbeSnUAquDZJjsnzhGUXxEFIpxav9XjBWqx34Wr+fBem7xc0/FLpScOMlSVUr40VEjbzI8V ElJny0n6Via9hoGZxFNV7bfkue8qNb13THxPyLshz0RrfjN/ogJjaQoig5T5v9WjMEk9EgVjDrUR lSyXB6eSoH6xXg/6OyMJfRNA+YRfOkT6xAqcUSOcte1rFLAWvAiH781ntcDfuWsrQZoaB/rpzYM8 36iglwweLK4gG8D8x6oChKKbcdhg0cBfbsER2AfxhpzqytyNY9JE8Z6Yk7FAU/NG2ZviNXjo6syb lfSAtUqgd3Hdur+FAaZJyYARwE5RKzvaL+UBlZYjSomwVEsDod9TR1ZC39NQ5aNG8vPmhXPKv+oy yNzBOFmbQZJMiOSQ4WF5s4oC6IpOqzZtdMhiadAIX1wZF1P+PeJ0FxE1f6O9w9JgWTudScTxCxP/ AG9F0or5yugXPbQWRNoebMGDSxetjJb2HroMHujyfZKxAAFqKvOHqZDuu2IGuwgnCbguMpu0vs73 0IyQCdp6p/MktmMYmTHDsWbvoYDZZlfNtZJ0BC74n9vvSA/nuFIwANS2Qimzb2S7/a+gruw2cnkX HyOj/U0PvvVLg4HmBVix69NjZrvI2NuyBGjwLcDqySf7k3FfMYOgaJNAeNbaXwnxczxDxz/Zi6qn 4AYRG7oPRBBhnqTrIbbD1eH18GoHbklLTs8VycLgY/10OJeJ59DV0WqsOx0ftyMdX5cCD9imrLtz aq1o087V4nS7ItVeonQN7sicMadwOa2Wzw8fegvQNKFUMbuulv8/h5Tj3ocxMxR7TJW7+6cc43TL Ms8oVAg4Rt6QBeBfINZJPuQBigTDJD9YpFt3BrrkwbAISAHMUvpZB2IumA3dohVxJFWEFT1I+ghO IbIWk8VW1PscE0QijSXNTcAWpIkPboPCcbq2CIkW4IWQ0iC0sfPfONiCwBZ+2JWqftSNAGQvRWpY +ZakI65IDytP/E29XWdeonhpIVTP/l7Bjl95c0mhhA2JrCmnxA6oeNQ8SP0qta+mfMuQZFXUDFHc 0R3ugflxeyDMlJGfnN2P9NvOFj0a58Mc1CQWVSY9ctW9RlySMAU5SHdRW7doLqZJiYAfhYvYwMf9 cvDZ0Fhc8dB/jGXeHWj7WqiEyQyOpieJrN3GbnTW2+RuWmYYzUShZnbuKEagOpQwDToedVtUEEmJ i4xQi3CH/YFGQwJtivwgqh6ADIAgchlmVS2zOYdN/GFa66qDY35YDDvg5TPQNtCbjvJ16Z40Tml+ KLaTcMFGLbdZnTWb8taFmkqZK/cluHQjdX8zlotoy+3mFQR+GSluGIbmLbS9WfPzeDVGAdWRppCx GsRrlsjHYojrIh3BI6KxgzRhDWn5F8zM8Gh3K8pcK2/ZkarRiL82zovfM5d2RnUSmumWwjFW3jfO 5i+RFPYF+IZiyxeoXz3EloTmVkoS6CA8dSaKTKkwT8xzgIi4NBqTXPQy9mzsG0ygbhJkS9ip2D2s 3jR/3kj9oVnJTlAejiNzw6jNUzTta4FIeuFnfbS9iJpxT2CHSMJo+Miin3Nw6wolTl0SlRmSyq58 SyBwJVyhlNpbS2LLwT2A+rpnYrXUYdamZvmlhss+VSv0HuwQNT9IPxSnM5yMnkWhIrwPq+0PJxtt zXQrINxtDO5me98g/YlwAwUMYaInh2n0aV6XDrlTORFOVmgBIPc0WBMpPkN+uMGWNzlwd//l3Beq IcB4XsatGOUq7hc3xvouYb/6yh1zYqRIHVmTCxcVEITgyU+cXhSaD+k5nSYWyaLMXthaN6zm77cW mitPY3/nwQE7FDPK0EsmM4FjzdPL2AIYGWzDvJM9k5QwcxfXo2aGl/71qp5nIy9Zvo6dO+KFdqPg zhTG8fuACty77gqo3kdpVUMocXsAAwY5Ogk77yhOkaqxhTfQttbo4wyZ27/9QV3YuSchmhYjQ+23 Lz4WhJiVOK1+I7LWK6HOJJE0quflcMp2btIA6Q9j3hNgJ5W6LKWnT/kWYcNMuQzQU5C45yWd37wZ +1yETbH8fvNNW/r+1mnuh+k3c7gSleSE7FYkdq6XtMX7QoaxdWjFLorswbHlLPW3k01E4b4KLBAR /e1J8L1u/Fv2oEt1tEYq6HDn/W0vuozMjZQYdUijNYaNpnxKhW7tgeT+kqwIJBufqf4npomhgL0q nLCjHCA5imLC7TqTr+7XZFie0mziga5jxCwKV+sVNGF5hTHyUdvknWMzkiMuwoIbzJwX2x46HpIQ YCrnY35Qv9kzNF9/Myat1c4rtpGb7WzHGa+y0/X6KJmB2ZXGVn1RNRntbGN7ttS7j1uZERowbRlr j5mOGntTNtN3DB0YhIqnY0unHexBrM07qAfNxPqThG0r+lYNHc0mwKEkzwxoenrf5I88l/Xw0BzI XFIN/1hWdfSTbH2r8KeUjnvzSvxfGe3Rfvn3Kq3nJqcholcH+TQyxCV6cMPeLrr8XeUbIdEK/nRP YhlGGUFcdkx97P78A5V+C6lc9inGOhtdvV3zPhSBhBsuycdhcqjjYxC7/2cWqfU2Bl9Bgf7QC30X ZwRpcN5ivK1xSskT01rRq/VksTJaSicw5P1i6RRr+vT/d/gKyVajzarAgY9uX/CHciIks9/Wa3oP VcfLWTlSCtOOh+TwByUN9db17oqQYrFPSwhZJ9bUs1KgUvcsd4T3QJb6R6LbnPm2pEtfBNp7OsRQ ajH9QWfYCF6iv3gVkUnKX5Pg82ncxS0SjhIOSYX4FubfAh8HBu0P3hTniaJBss/6P87M6uqcKZbU aqQpSHFc0NCWy7cTuz/MHjxp0q8PYEZy6BAhBS//QO4fiqAg2USvr/sTl1/tSU6iC5KglNKkt1oO LNvWJBQbWHjciox4kT2pcSatSlvtyS/1vQR2x73+7KthRN2o2lB7QTwweXoL7J3RLWoDJmdsL96q 3i900/ntjcOk42VSpQdbkVojmdBtK4j5jxnSuoYLxOdejLo6MxVTPrzSXsHuIxLLHuq+KyFLdx6n 6XGuVj8lUON+VXsuNkezSE1wTf8e5HcpXx/5TW/OdZ9qh1crhpz9Y+MwkhZmbn4ShuNMuf27Dpz5 RIksVMjZZcm9XZG7tbp6R838KDOZwbmnVHg1zK2d5de6p1uFbXsyoM+VSqbwqL2Q9D+yCwFPan81 0lGL07tnJqOoTevfqPDgJZbo2Gv0zxoz5WS6O3x0ZnUbBVTgSGVx7FAgunpn50tyROMi5dN+dV2d zE7hYWuZzC3BFcEW0kGLEnKyhYtGdNT4uL4cqoLr0a4QEf4IFwRMIynjx1M+4L4n5rJp2+n68DoU S6BqJ6BXFaDGJCnEb55rwMA1LsZn0EcXiA6K6L10ENg4PchFTCP7yUoKsXM1imp/zQYHBAlApO2+ fIKIzi5PnFFJNw0oBZyICo/YVY+yxoUeho3hg6TjUgfpXkTL18W91H1w+gm7ngEyxFZPaJt+GUE0 ++jJ+4ZnH4gNX3aScPaW/71if1FMquwb3zcy5L7Ps2+8d1N7qVwz6m3A05g+7vGN5Kj03HlYh91/ ScmR7BNlwoWNYy90wDnygw/qfydaPbDI6X3r9lLe1/5Xx6uteBWn+4BVYMWWdYIXCg553EmDvulJ QUSOu3tKOaW5jCKcRFqOZKyONTpWFKuPcEtguPvHOTsSyKy9GHLR05QbCoVrsQKoYe7Pg/bYBevO FWiKzp7EoipepZnz6T8qOw3z+ieZqG/rvBXS3j7LOqJBBl5H2YoQgse5UAgUzQtWz+ygnhcPWo38 FoR/4cX2Vk2k+mF2rH3ZWUC7hb7oKRfderaqiF/ZJoUAZAtBWGmsEvDRHwaf8uthxjZnI9Q4iZix WfS2FO4jTN/NsRcKNHvs/rFwkqg5ZgtchXzTtJiXW11yVXDMPJ8I3H2Ht0yqQLV484xJzVr+05Q7 aixXOiMKFG/YnIgRwmZ/S20+VkXkYDChNAMZMeyHYRwFg/P3B7ceP5ywCR1zPoANilA0vsoii+7U HGyIn913O22xCv4HY542zNfY0XdKJ2LcQpiB8DGAQgvJ1b2jq+XZXv/7sw6qlGrj47xcf+ocjEvB LViWEszFBpOBBcI0fOeCotVL99S9+3JGhXloWr11CJDEWm6R2eBu1XLV2KIYTA/6YYkVOc5BxNHG g+qc2RXu8g41Fqqxo80aAzlVhrLmgt4BjIRwy6y4A4d3PQoBEsRt0n/nAua+G863QqSJKgeMVf72 GMCJTHZSWF7d7sLyx2qgFvNHvDPP83t6p0lf6JAuq4nzqkdJs1BfaJYUaWYV6UbpoABIAEuGy+DT 3wGfdQZH2pTmd2I8AhMG1W6jbjjrnjbxLRlJytVt+iK76hZ/qN4VdXOr/6HueQ5ZylQmQ2oQ5Ao9 WzmpS94ioj/cMxSrTIxeSEcxcvCmlwsKcHNbIRsl6tLAVs4ogR4Y6XJrY4+4Bmr5JWDYVxfhIMaA zVmKodTtiN+QSr8/Xb58aVohGJiNJlCl17leWyc4Cgd2Dv9sx+LqpHsjPMaMrEkuoT3mUFqV0dZq vW4JXopG14xy68Z0SdhLWyw3R4CR9NRaT7LG4XNXnwbIDTdOoNJMTSEiZNZ/13B2ON+T7VzSKnMU 2aMd7o4cgxqEpfv7N7CmVXa4OjQmCerOFA3vYoa9DaIhS28wtNgfY3NGQvOqDNU2TZOjssR/0cTL mhKmZlybULpH/2UClBClKZOId0WflHjiTioK8lS9PJa48ihe3SVO7BrxlBCGhfoisSwUktmMu9ZF aCKMV1bR0QccTo7wjjGVMERYfTcAPXhljwgLKLEhTVF7R3OKIkLsrChv+kcnKvVl1QxOlN7AbXDI Re/N4LCMDHYxYFLaUHlzuOwV3mvaX2tfg/YwYQA4X1zcFD0h/HYn01Q3p5tRsB547QmcV0CGoBCv DtE3dr5f5encJLXy5VHn1XAXYXy+7oa0VwVZL1cvL0Ejdw0Zoci3JbpY7TrWCdKc67WRU/URF+or U98Cm65k4ow00Y55C6/Rsr4VuOIjBK9jvmTrTNvNFkPrYIM8mBlobGRNnfjkBtd6TdH9hEovnPUl J6Jb7CRSFjaAeWY/CTzGFq+FGpZnSs8U98yY7vHJ0Uz3Z4RInL2N0BQCLb8JN/g7LY+kjhx+DgAR Fw6ig3SRZORozL9Bfvq25MnZFSxWkMbuLyXM/3YmrLuWZ/VeWpbzSFNRSLhLLqdc2ndjm3kvcJTd +2Nu52J6w38bQtEWSBi95zkYjIcqXKc/Eu1EvGOZAPcsiUUwZffjes9yLd882q6/GK+R0/P4Y+O1 p5q43XQGxyLVBBrAKQLvpv56tu0d5iWgNaZzDJhNcmVHLx6cBMgKE/pvkzvhrTWNjyEhylk7cbtU dhoE7gL1dqVcPMYi5uNr7xX7tk802/0Mtcn4cr+srNx7YwAf8rbvm/0aSoEmhlVjsB1Sktsw0DGq gqbSWa9f1vH4WeKqALTBEuexfnXQG9JfPvdmwssKtzgvPxGWmWxIutL3hCTGL+Ur85b/nyOHbfkk r4qcf10EIcV9isPs1/tdiOAt7fVyZ5FjBa7LqarDcFCJ2OYlmMF9IKs6Dnqnq66Z65nNrXlbKE+F Us2YVyQKU4vOrkMRq0FKeqOhFDHY8G4OZ0xXVmQhHaFOrqEG+XYJxjD8TFy/8CNuZZj+v4DIr1L7 6MShOLFGtA23VyEvgd8+z5ELMrx3Ggfx5Rk/oy46CCZMbOSuB7qusBmEqxSNiRSj5veHwdxzi1Ej E+mN9WIWGPrdQhQ2xrU3j5tRcP+fGi+0AjBQZDxRVobSvlC/KqSoPPmDrRm0U0KRUL6Cnb/ic4DY bOcqLk6bpoZt9sosBUNeOL1kCfO0tGLQcZKBDTiI6KzpuLU9X2BtB9XL8IcfRnm/oNGeXKT/CBvd mL3sKPtJjUJ+5kk/+PZdgWDoMkiy0WV3auCqdT4TFSlt9whURsPtKMH3CykAhtXjg67Yye4raEFI eVUru4ZKtBh2/z4HfAItV7vBUAT5+m44OpZMguhE+K7Lw44WSEyFWrYPbPNRe97mTxaI5q1hyr84 Di/tbrPAw01PUsEj6XBXUVemNpm9WkFuQibCWCDjbntqkPLj7/Ctj6R24FkheTm+ieyoM0zMPiio Xk/Vv6Mz7tGYRv5haRsM+70LafIoYdOvqVC1SXbyZN1qtbfJ93R9VkR8EDLrL7m2ntN558Quvado sqfvUTLidcceF68qcmPbE7DtMAWnMhCi5VZfJLzVnVF/k0nnPU2IVV/HAfMpPMMVTaNW+Wjcamf+ GeNyC02X7WPHpeKxCY5+SY6nlBT1der5yTPnOo4bWwUK0KzWCuqs19pJbgbSH3T6VMqL21mjHmhL vFNZwTRNkcO8cRWtaBmD15uPzD0oQwJKvC8zYd7OKLI8PqE9Yb4FZHi9J5k/BnyPmgy/3f88QMW0 fKXTVCy+2Bsy0tODORqQU139kQW9HwoQUBbUHdifSBeByDkyIQOxHRVGbwmRqyUnJkHz3aliVRdi QeilOJvYNxkobxT8ju0uWbJkJNxuQ16yMH8FO89tRxIiU4KweNpuo2U7iAzn9vgKC0wg+YweVFt/ yKh05XN708adI/zPRhZovZVKtTHpTAIdb+FPULj4ed+hylCCrPtOYF3BWI+4d37uOLXzbsSYw8qP fygNVFklxR62obPkSnIxuZOMeC16zuw9k+A0gJbqzvSqMAXXoZk5svd7hk45nU3uuKZtK8xGvGF8 /h49jjziSVCee/EY73ByCUTEY8B7NOHARub6dh8BfAEpFa0WhwucepVsPwbx/05IX53JYl2VzB2Y EKI2QymLiBpnu47rytMeC7q5bB8cvGM5NzpPZgw6Vk722y2kNU/x5Y7cUfpbbZSX1W2Y+5m0jGd2 i18x2zs/5kSXxLP0csxfoVc9IWKPYBzHRSwNTt21ym81dOY5t87mLCpo1yBYZGVxw8BHMnt4T1WU ELCYZWnU8RC14cGmDo4cJ5x5l91VZy1saSXvLroCMxxbjhml3PoxPUb5KxXI2doGV4wvazjcTZs3 sqa1sh7qs/LO837Dm0YhSFmBHba/oxf9NiaqQA/vSIHSBYuIYMsPPBAVP5VpCa6ylH/7aPYKVdwY 7XK+txURAeE39eQZviL91/jQU796jvmsZCV27hkZPy0LpzRTAGBIYWGLCYxL9IsZ7vL6aiaa8b4F g9zcTd9QwrX/Dc2c1K486BIpNct/sFK8AyaEnIzeqXGfQqKvqr18tFX3u1GK6kYOFA4GSErkU2Fv SqbETOAyRE4Wn6UsTNDsEyd/aGQcW6giTLVqkhf6AsdXlhRroZ1emv6Ex6cQeMNYRJInhP1TWYpm RUArC6tl1uqZKg5u2QORRR1xhK5lep8ZvHQet4dzXCnY7gFy6hSm98Rb3A+UxxtV8RaDTQEGvifz MKI2K1/2adEzvOfZ8nxiGImk0eAWy8VigonJS4kGEI0ydGyVJYe3iFhFTO0iMW30vbvriy5NjlyF 8zxYWuj8yiOwB2Zt5apmDn/kGOomlw/1y9G7JntxlGFaJ2taK8bSmWTHslpb05OfPMGoFfgNz7D6 TJCFQTyQZijUeJyPevHlC993j5txaRq29HpRWvG8nB3gvPWrFA/0yM7YKTwOIX/tKXa7iOqEfFcW nc5iNQgnio/e/J47j9KqSuwQsbQGT/ZsFnzKF4ev1Y+1wLbieGRsjTVppYwKEv5z4b3ofbo2aFeL 4oVc5UJQmT8h59mR9Hc8VJhLTnq6DZ/M8Nt8S5Cj35iCC2ySU7h4+4VqWIAQkA63WXRX9+E3I6Mc FR/kqvDM9180Ki7fpq8HRA5BXbJ1Ic6Awzbcvc+zFu9VRQU61Xm8IMBnaOC7f29RXS6gPk2UqiE2 KT331HfkBhV0SE3pXnqUuOauV5E0pRImwnD/QqAx/2JtSfewocjgQR92eAD7VEKKcroqWe/SwKWf AFCBNdxDMvY/U7bak93gFL6c5akl3Rvpi02aVsyH40khHCwh5h5IgrQALdRb41GgOTrujd0r5z8T fowJQcUaS/MUeSTaUSaJI8XMJO1KmCe1uJkgFkFOoRt7b1lTrKFvBVgWJ2deFOvA8v++ijFDp9Kl q5TMwxTHBVjiSeGp73p9e9aKJ9AqnT9PIcMIcnYCskROcn/UfoMn3YMbFdMBEXOWxMPPWUilU9Rf Y0varP15uPsaKxVX7msPtKVUzyixX0uuJbQqsGDByAJCsODSf6X+SM3PlWL+wfbLQihyY9IkT8gJ mJdsMMsh1EnTmAZlsa56Y0ncTMl8/kL/LZafc1NQOfKp+z44YYF7MrQJ48UGN50JMQ5TGYAOhkIQ LWvaW/Do1qKKlNzH9Tl0ndK9umBnAZFfyogdNWmvie/cqJR22Xajay4PyFDKpqHIhBquwYWL1Pof XKkZK4mBJMZAojnDmV4w0u5Kql0r5SDRj0ajHvcwisx0a+oTONL+Pa2C8zTfooyvh2JYYzFeex0R Xxy9PG8YtNc63fsnSdtkMKFMAXnvXJxqNB71vac43K99iB2xz6VAXqhF2Ut6DQsW7LCIaKI0FJ31 8KSHdg2OLN5kQF4sEwGti3zPKolqIV2M5mcXCppJA6tnyRUxSJy7iPORa0/ZVNN/IjZJFYSSUpRf V9yYMjl8JA/0RxYB359dEGfudxXL5kCZeRVqcUz/LB+Sl3A/rTMVQ95hR/TSGZgwJA+/Jw5pxFfm aiaierCSFsLeNeL3mYnP19DjzuLwPjHO8elqmSeQ8sJB+eVqWUJRGqm+ugsvTWjISLNuHakVSfk+ leQm/obv/bi5jewLdS+0O+2cNp2FgtwYf26VexNLohpCn+GIcOZQlltrIJWFJ7Ly9jOz5t8eV62i fMVkNLq5478qT/QfBhhs9bMepnC3t5s5gIDNgy+KrpejuTDDxPFfN2rvIV7ncr+/yyNf4rTT/B7H +u1enup/fmG87H22uHlt36TWaNqQWNgThPhJ1m7uoPmaeM+ZQHQ5JaMMPw4gYFL1AR38sZ0HHXCx 7yQd+mrF78NW9nJ/TJjChtDG8lzIQNDF1Nun9/HJ36I70l08fCZytOJSjdpWBG+g/j8WUyUygdzc eSIiRgB5POcC5MSdYkmMXVtmP0d7AaTpDvdIt80dV9mxfKxVLuNN2m/x5sno3SOHaabJkudHQgNL c4E4myzYsuNrilG35ksXfvQ4t+Gfi5GQyrKTvLzM/aLVKHolgVLW+4/le29NI9mvsJcQRvUdkopm In3mDN/teIVFHRZsR2dXsoXdIPuJqSfpJn/bSmC7E81q2DWJy71DBIbmSG1N/AmyeDxbDzNih3PC bYjhj+2YD+spdxpppwpJvKwD5UjRoA0DAI16INN9QaI0VvpXTw2Bk7HeNzEiPLy8C8ZGM8x72+NL D44CJDi9Ql82jRmWSKEoFqAtcqPJaFW4SEDt+wdjxcPDAWk1phEGT0p2eoxIGyMgAVYpy50N20sf ELLRmFcGku6Peymyik6GCPVf7g9d2ZL2RISG21wcp/Nb5znwPf2eCYHN2aFKNdT/vs6HjGwSpUZ2 VNrg6DrIkSPLuJQXan9pecYXTwJ3l7qZAoRs66KTMvhrs+6ZzEE0z1ebmlRiBFnPNcBnV8I+FGYJ XuzaCZ3KRbEeBsvj4F1EYZ5ggN1LW/7tmcyJQuy5H38WbSySFL1Hteth7heSLc6+636c7cyoKHyh VAd8JpcgXIDhPbx+9r9hecgRVgRN4s55K/bpLSaWFzLQZSWe4NEBkFjCEfygudrL+urJTOHgJbLA LGUcN3ngx+lYoJCAXXWZ1IFH36nAsEM3MJsKPovOTJWFdYg7eImjhdU9X9I4m868cxci9SakDKD6 ra0Jh1Rr7uUd5UG9uEP65ouUf4RiiLnUVlNEcSArtK2BughTPan4OnUIWGqIIvt5nkONQrzbglHn URlfvNAeBWQMziLom8w0kEf42L+VouNV2vxlP3Le84eNFixKbbrVhXwILEKIU6wPa5GXSJLVA5aV j5EQwQOROshaiEUW7IkcCTnYUpHeJF9/3CaXct9MxLyXtE2Ix2+aAFzlIUGBRysdd6iKrbR6lr0L byYWfOejgAWLzM+RCXwl0dCy5YI+kqYBJt4j820sD5HxeYSmS2Av4buG5NfFE2UJFZeUffRs7+dg zX4wwP4PStlFkNHf/7kg2Is3l/mPMe1oE7PmNnf+44cAQjBhb5Qfhc0O7KpTLjwePPDMwhA4kANt wAvdQHCsh5ZAlVH7IeWrmLyoFJRAbzIpok0CvaGEY1mqonGnIrWzBaO3uSV4jJmyQW0Hi97GmEhI bh6JQutxoNY7qXca0ULWF0tkVWAnOeA0Ysfyw7qD9Wfqjb8N1mcq7uTYESFwhqwR2zAE9sO1XKVQ oC8K9N1d2zlwxMTvoCn4kC/+YAuL7c5cy68E8KOTG+euS7j9pc05+B+gRCxcI0XhseLND4laFdxa zRCSnbOAGyOn10z4pj03eiAr0yMD0saosw7QvmOwkIFva6cCwcS2FnI41hd8lHuEwc0DFQ/32OYn +VEeBIW15JKBif/MgKFvSECLEAt+53DD+JUl58Ua/gGiEIKvzA+U9PB9fsqlMah/q7kjR8s8BMGV aI4WGDl9Ojl9PJnpqcyr4YrE96iwDrLMtUWzLjvefphuzsLx57KdAsyYdgFozbuoCQw9f0LKyjqx 939G4E6OrNY39pJolLZRgT6OWsInxQC0d0gLSZvTIJ71ZLTAxGtvYGeW6Nk97pjbppCkLtp9/ejO GkqmYl9XDWjLjNXBajotZpbdfBKFmrLPde2D4JRIsTFozqpfrTnopEZ0m7LngkcS+rHuccZvshlN j+YxZI/SeYq0nluHx1FVbYGA8E9An5IsarzpwdVnp81mtsWqR+CijYplO0ufaxiwCLUyCXXFy2me DIFRuZEspoJ8m9MagQG3kEahFUXus99/jkh/eIVsDyVFuFyTNZ6OciwJphboy8+DMFYM1FlaE/T4 682vFpOjCV3vjAt8T8A5KbpMq8Ebieguf+wxjRwX+8bSyFu29XiYkE4yH2+HY3uZp3AUbZqbLRMW 0KdBCMMlQjEeU/hpUNcnIQR3woikcLTni2jNFx5259l/ZWgL/ucAGEPU9h3DzQOBD1q5fUaownGu tHaWeGF3OYfPsfixEFinKyZwkEZvWD4io3RfR1pPRKll/IqdRH2uaA4O8DI+UdkwXKm+3q9yMWeQ eU/tGXjlRov2ges2qWhuVK90vLoXYIIpeHJ8DsS8kieZa5GA+YAzBD76PYMrW1Ix+VlTPNISTI/n JDn+WOGbMdG+3chRO+RTxZi4KxrstBY00lRSKUqGTr/t5+pNbFFvRiqF+LeyoFr/+UDxAzwKXb7L daIMdUJ526q+DuW9bkAoE+4kasNIlJsa3IOh+Cs8aMyhetDzgsmZPNRCFIW2g1qV9VkfDJUas3WE jmk9EDaZEdTfuook9ShMri/wvLnp97RPzk7dRhQXZmJUmEJcX5lm62QX+U9CzHM1O6SDYeqV3NFM i6P4m3n/NH080uNo1mgrygzKQfHGw8eF3r+JEGUeGu7XrULGnA45lPmccJzmUd1rSDMQC7N/dbSa rNMHK1YnskTXp6278yibblCb6/0sVXN/Sjl58pvtoG9/ZVZpbjmDEVEGGl/aGBEQmE/nLxQD+9LL xGn1B1xW2s5MzPoW133plMhvD9dzZUU2f68m2RkpvtqdyW1SjaT2YhnJqbckMKYTmDPIaRaCZGoU wbqXOCoYrbT+PLpZeDraCg4+WPeWkQprde8lvc/KKeiELiR85WVdoRzSWu/jNkYNF3KIgHbJfRt6 Qfs4hGQYY/w2OO3TdaTeuqj5DE3Dtj9ycKZ+GWwmTlLeQCWpQw1Sd9HPc9x9bP9nCGo8sxqcuQxl BOREvh0xZuoUe5SFGRJB3vbspAPyIzbfigV0+1mR75F/9ma3uLmDM/BT5R9dE/l3KRGC872lO8Fd GpB+Go///J8BQ7yKeYguDmmns/A/rthlgvs923WAQy01MsVb/vpNQG3RXsJt6Qp/fLsNGFIHUmtI FNb/77ANutS4Lmd9xXFhTILPnVqD9/kvm6B4WsBE51i7zy37L4sKTdGYgJk4vuNVzCHfJFa0yLRb 9l5CIEBQLyioC8XolAC++1FJzUUU9ZH8xogckTvjeKcUaTBWHRk5Rwt7pYDV/3PXcKb9kxFh/JZm ZOecTkuoBAqpDFDTLcoz0r8gJJ9Q1r1mPlFJ2EWpLZCdrtEvlGxt0Ghc0bhjjd1ldPKzrwOkOlKu jKmB4qrB5lnTmH24t81gd/OhhQHFkK11YeZwtQR2TwTA75iw6LWN4cHnIoG9f1C6kIvfqCtHHmZa ppO499iuyz8RcUkPJMr+cAd2pU3U2sEOPMwXv6LKlOZirr9muauHbmFNwfhtLGRWl/QyahpzBwD8 /p8vaXsZqhFg7WGoxp9SJPYeAft6C0v/Oy0eARJReML5YVB21kStt4ChU8NEOhMHO0BVGFLAK5gY CmSIK9Mh2/C5zc2nfo0SKOJTxZ71ZDcnFxC/8gpYrqwzCuqYttdjUT1/cCH9OK0YvOcCwvB3wvNZ 8BvN+2O/JQv8G5sm4hMZKiQS2vq947BpHizaWCno2GIv550hxnc+d7joctwsbFm28+2Z/VcPvAis eWbpFmqKu7mH8WhLvx8zl/ti6mfJlpCox0qsmoQ2ek0uAgQJdHRsezMNC0/72zX8sTvNOZKzPnU2 dRbIE5/9MsuGsfvSnqvHNX6j1mzNBuVnAo8d31cI+4tAdOAA0Bg86kYNxQdnbfT6RunUe2DChf2g OienRHJo5KH1iaCgyTl5nx3zCS9ACud0KJ2dhKFjZ1Gc/vZ3qb04UKc93nxz+h8Z+02446Zq/3sT c1MsDBi7Zid6uuw7h2VNz7iu1z+3o3sG+PLLnVoxVQDoDTBVIbBt33KLO/5uEf3knn5T2IDB/I4s GESYHgDKPJP6DJLV1B1/6Tkfxk6P3iSPzY36nFWFKfd9Lc15Z1juOrSQkqrQI9FRpPzDFNABVaQq YxGHjRrgztxRntRK8/a79eq2vnNIAo92xFnLzfWbSrcDoW3MfOhlFYAWPB1yFYmzFPjf1eoXzvSg GhyQiDYBCvTCA58irgSCGJzDoZxXpUSe8URlCEeOoY689HdCuC+5mS71uT7/j+THRqPDju5e5y93 7Dy5BQh5D4zkRcKc1PEUV6l52sttdq0svYpED24pF1IKmcQLQS+xG6mcN3E2ZDcMRESVTBa0GyTy ZzyL1x1ar1ecSouZaP3IK3nT4uLfbHZ9W0eSphaPg5YVgtXYZk7bfrbDGdwuRgQFl0SII/WGkCIg 6kokT6E6BgbA8boiX8JaDn5LuqZRAjmNdoqpzz1ueVsLJADXSKRfnOOr10OMjzD1tvQEXKWsKBLb oFwKOzf7c6/WESZjTuXnTrs/7Utn8c+NXAE84/51UsHlRMDzAvQIXm5YCHGMPChHDEGC+a3j7z5u Uc2vo3K1DJ+IyVCbZYnnqshST3XszJLCT229rOU/0ZzREqYNTGp1AI4UfWIx9GE7qfnZNXCSrBSW l/jssfRE9vEIlFG/cuCdaXphoglJW1YmvKWPkGcQEctF1L1+q2PUBCw5bBeOKXgaIIDe/tJKrBLU 3YX17snMhnkfO6rcakdcS7yspWRBYAkNstBYWkhg1+SuDcWcpZdkevp5C2CIvGYWJQiH33F8/IYe gV/At8bszzjZpPAUM8iGSM2ybefioNtxc7yeRqz0cYIJ7SmuE9vcPDbTeLpc3y6k360TQ3Jo1sbd Qe23NTfPIE37TnPILSKxfy4PDLbvyELArS6C5W71mfMTd4OTefXFCmaU2/1eRAGEhcp58UmB+D1g dtBCrCtZcrGlYtawzZz0Hn0IraXCvmRKMtQ0DcoR/sFjizUZRdwss1A3bsLl+h1Oc3++A6tNeOMP TGRDPNpGOXHb0Vq2wknwoUZfzHXhheZ8MzokgYWC09S/dFvmnjVVtL5thA8OWWh7piMDe5A62ZDF 1UsIYs8pXquNy8JO3g6ESXqWzASOTpk9nbkYWmvIj8vNpppCQMpekMT/juwYAZnN52geG8WFwmNo sYVOSnaT0tJZnz6j9u3fRtOsaHAbrcYN46po08Pn4/cdkho6V4LAsYK2yUl0UOo63eRemeLVL3/J RvfjemzFi5F5dZc3JzIbsRghhPKqxWG9HQc6hMBqwQMu6vDzf7ozrSbPTliwxePqwXqoMc8Ln9VF bxBFUAg3wWE2Cy0O5XKc+0p8Orf5TqNbydLPLYxjgJ1arpYqxOthcUjYsotLFMdLBiyFB68Nqojy nnFDItBUzN5pIpcFYMpL1S+6rOTQXgEBGHlgGVZq6Oh/y49Q/SOTsWj5l49l31pUmx/EJiXfkxCR 4TNX6Nqb/LJo5eaU2EajM1xu7nZy8g/iyrHTgKO6kwR3IhshuidGdA1ikG/zrarJsZufbXjvZ/k5 k9YKOkQkGvoZzL3fbKni8lgd21Ci8eV0k0QA/nIXaeSOwgySPmVZAclqE/cyUag3rrcFdglSr4+H 1s7qf6zcdr1DPiIMUn/zjaVGvTYok3RZqDnduLhTdEXM2V/NArGryI5IS3y9jFWHIoaOKFrY8wnd gCDgwmh4z49UNy5vOpmOGpCVXsXag7C8kOf/v1Sse5CVk2OYNacfMni13qKqBSIUGbkjqQ7uydED 7IFHww4MVZG4GHF9i7shHtKcinak69HoHs2XasH4zvaNgji0uPnS59J8vDdi8B42sG7w9jb5VjOH 6Xa7KT+TX6W7spxIFhGakWdjH6OVbjDmv2Ym41IWSO2iEYLY8IXy1uXMto0H/cjZQYGxhVmmhUvW WJFXLnBpkFwI68Tn6qhrbFy8XwoB1+ady2iv3diMf4ny4NNj4KJHfN5ssV1YtUJ/psOpE4daExG7 dCunnWtmcnDF/I5Vb/PKvm4EeM+w746ZPLpuMJiQ0t6i17CieXe87znoh7q10b+PZzZzFc6uIBWS mDkBGtXx5Ot9WUfwjPEF6LR9Li5pm9t79V8dlORWma+xcf3EohOR64s7lUgndHXUp+JTQWNMY/Jz KVAhSzPXzAAG7YLSKu2TQ4Nl+FMdQpa8eKsG/yDT0+MLQy5OUUGhIlfsY3uYgJ00XaYFPibrq6m3 PFYQX02rTfsuLDj6SHoobyX4SXscplNSlDmb+TjULfGtJVBJ9GrnJFM35KX+0TS0eftgm3VPXWur Yt42t61gbKPImv4hOrDFGuDp8DTZ7+ZJosZuHHIs1SWj/HZJPk/ihkaySBDIYjzZQUdKobmNngG8 zDONDZBjVNYqBUJ3tl8CyvCZoKn6GYePZAxdHNDVOgKV+MLPBOmi/i9H3vOXZlXj92rL72Ep6Zq4 Zw+52inqUsuNQraMw9f0RFHyZSRhgysdQG3lJpSC/en3x6RTmT4qy4Gf61+Mtnxnqe/M4JRyUO8x HQ312RnlSpmkXqNqZiQnbRhO4JedTAalDz8MKj0lM0bI5/zKxuB0gTmAoQrhObrq6P6tY2qnsVay jx/rqt0l8wjujIX3a79BaGEztC2yonKqQrs0H4pVPh3rBCNrlAxybFKc2XsOYGpDuBxKKyblnfnI f8V8aBpSd5GBcVN5tQIbMG14Mqj4EA1FD0rqqdCx5OQJlRuKJ9kGj/pMm62DP3Sb8Ssg2zTGJbV8 7uat/by86W52Z+EAghWeiN4DCK1ahlMRKtd67xxDvheVdm9ML2Ksmmkwqw/A7v5Pn73ZNvNnhybH EifwTaJy0rzHHxtNBhDVusxbUGyQ6qClyRveGWPHPee7CqZzoTNz8KRA1qw9PEDH5gZKJK4tlYQ1 3oHYJu4Gk7wAXjgs2GzkH+vHjujtrhkI20GxZ2PcLDk/luyolKJsKgKJS7lv3TkZuXJOVOymvoLc lDNgF/o1FLMxk4JdYLAuttpoThoYPV3VA43EM+cfzID5DFuBCKWt5jNYYdMFq2guE3RSb9qAP/Xh cUqmsvTkF4WP0V/T1Hj2gsx80j5hxisyLGFbtd8YbvNh0045Jv+wTi1wGbv2csdp0Xi36wXsRX8N 3RUw7CIvwQX204Y9PPIxYxVDqeC95EHfIkQBYL2JAMOgFvdYBEcLKgv18uxOuf9NGvEl0PKMzXg6 Gee5+T/MZ9eH3DpYmhALQfrzx3rvueHUwLIr+oNNITzJmsZRl6bdV4kNtHPCnfTYTEobz0NcwZEW lrZ6oQbCqPSnRXE8xmXsj4aZvSWzNIKGYoezXhTxDI9bcfHLTeTGPVyDlW7fupmuVhs2Tu7kFlOB nd5yCA+j4T2YcPO2iA0a2eQPYxCKmSGb3Y5fJUazpkP2jGKEt81HsQvM17QZhCxfjQyUGdbAgfIT eJlSxfRuSRSKaLMeayaEmQivx0qYUytUhr+INL19zA64o/M/Kxb1hV+eFaJMedy712ok12VT35gx mhVRFyhn0ii4n2o1emrtJbxdSeoQuwtscxdamQYC5Po4qOZnb0Tg/hcsAq+R67+/1PqvtSGETDCZ MEH0+c4eQ/tIa3TSfcbR1fOo4cGZLgdnPO6KNiREdm8UKeC/kTa+luAcYxppN/HFXzQz17jiI1ky DJqTqSeQf0sq7vDaAoDgiyPrZdQEOI+qxUcHb8fv2Dc9lYHsXyRjRE+RM3TM9/KoVzdqigHwWYW1 CK2gkex7DtSVcswFpMBEXvIUgVslkLi+PfnylESsdXZxb7LLMzTXnOkr3kW5M0ZuFEy0JXp5r/CW BKjk7ytFb+vkpSZCFRcZWj9cSqitlLRKTDko8opyC1cUb20aOiOK4KGfFqXfdfbE8etDJprzVgSR 8Q49PK4k3qbhIvFbf8LJ/gTPfe20qgQ4nXjxC/n1p17S3GAGPzBP11DfiVB1FFYRpROsUUFmSNr6 YHkYK+bvEvVZ+LWqtnWyE7PaROWNj6rCNMT7k1CCGfO9RiDhXSic+LU+0QEikUivEMQFeK2xPO8s whQw3qtqxmm3PHAZaX3O2er4LE3Vx1UpL0c9pPJmPlZgmazP66xktm0PheFRFyul1VlOzIDmSVjU AM7WOOsBVudaBgNp79V0NfMGVmbz9jcXAsx+UQyHSzVKKJeufeUmw/2vL3FvUV+oLi8VJeZQUANz 74fdvGUjAwqrWO9dOHc6xFSG91xrpkZLGZzzw8qkpW/0F1ZcGVQ5y+vYSllJg40Ke5YmgoL5wdhn 0iB2HZNwH2dMcKjvnI/vOfamIjxlru7um08xBJQUbX8pM2spyq4r/keRqmf85RcG8mOQ3FFW4gWM 5SbjKKPn/akm3co68qf9nqteUhA73PhzJJvzBz8ti4raOWrxSnUwhJZI2ci6ySHYIkuuuIxSpwjU 6ZHVMHgkcukjv2SYPrVeoSEXp4Z1/rwKo3NQa/Cr2vgzBd3qw484PHa8Pd7F15Q0n1amm8Gx1V+a 5L99qowUuFfUqfI3b8lM3kvLwqoZlVyYvRKk4Ol+HDqTsttGpOXB1C1zE1c8m18kjUCqCbU1Q5Eg WQ+dYsstpP/MEkNwMv85pJqyQa6VKIJb4sGpcZh3pEkICy822cJXdhj5yzzTazIDn2UjstCx7fMk npDsp+SwKNNZxAxsaMr8sjWXn6YVyRSgiWJSX7y081nIbPUnjqnvW8l5eGjJ5tYF8OnP23va1h7K hVSGiQA+oy00+oVfDDIV7anF6J4Uxhi4zkEIHn5Hr+l2psxfadokGdQu04mw2eySl8ahVhy0bJBy eiitCI2/YnOi5sGiSd8uzGXxrX+qfYHqbUkILkYcXQ1oY6P3oY/TYhyzs4VKE5kc34pLjsMWjv66 ZNxvJ2XKDJqtqy0n7rrvZBszQPEvMzhyktoo1r/caEKS0L9w37wXMh7AGQ1RynZkhGhxeaB+FGVx zVmByoditGKyZT+2+D5RMaO7uHuncOfRDwO4qlJnpQMrorT50Tc+jRjFULoigKSomPfNZr0VPYWA lslZjZ21cBLwVmfBBLrHS4XI9qAWM96YbGXz63F9D1090BMgAoUautTA5060VWdYHEIe+ZM0sDSE /BMNHuUv6P/VdReZG/HHvC8tCexTdzhSxsZePsMTDs+4wsnrgv2J0Qe15PTVBepD1sca66ID9bQ6 UoeeQHZOhTPSuMc1WjAo3NzzBEih9y379x6MJI03Im6doqvM1hURMwOIMHKL9W+8lbV2m9nhrv7S 8XQl588kAj+q/qWL6R8yE1Lmqbsb5jRywTqYz2fx8jnkGuyfSBJ3mgwOmZqCYLmb52kAUDUy2vG8 48bjYwfhU1xK3GPUxcbfCE7bGcjNen9WKskHZgmDUyW24igCQl6oXnE/KBqbcBZakoMtpLkD0Q/D s74KpU9jx1vv9UlmKuUKETNjadC7p3qU4WlQPxXd4Zoy5MwcMerJMTXDy7HoFhYCNneqTivXepdd jOIFrUULVeUrZtXbynRStP6/hbkQLy/J4mxwhqelsBcQJ9vK4RbG/ovAC7CXKnWheGyaOcAPLbHa ajxOXbJufI1svfHPz5VWY6cUmtrakN0Gp5q1aNgci27ZmIs+fbdCn983S4IL/EQxuvgUZbr7Vxzu 01O9z9iNkHw8YBl0/Sxz57aPY/J3pi2hd1ixx5zpAzHGqxcK64bKgbG/8CtxoT+uWVHvPJT20XSY 9fqPpv5/l5i0E6gyN+4emWQCsmHtDmRBqmhWD/KMC+c6E6kSXjbRWNrQx6OOjlMbQ6b2vwidon50 PYyroS5GCAQwNHKMVZupjnyncRdcWrNPaEqlw77AkiHd50zZQaqnAQcWR74A9Vi83ff1eF39DuKq RmwMJjUkByCEzHg9DQvXjFgeeDMN38Ak5B9eZaw/BfVLwmhaf85uotEDmqIlKBoJDAhYOppUsPvO tB5PPnQ6HZgIXPctrrR8DtlVK0r7fiuENAwNm4xJOsv8NuqvgJ9pS3tKep9sUToqUU0k/rYTie5b HKgdow23isvAn9nNrUB8ECSxAJKzZZYX/bAnCRPZzLtBJVPmRjgC0RfVmeKcL8x0PgZHtSW9xgzW 06CXpcjQz8J02goXNBFGe48AwOtNd2Q+Yixt+inDyXqsvrOzxVGLOnIfImLJPzP0x8b6mah57ysl 4ecuPwFdOoK7EFlLMWNHpDWOfaregNaG4iP+0c9wRV2QuqA/qzQupDJBqrM1p12JfcmCri4ojf66 it2YAwe7TwU0ih9MmuFL1RvuBIBt1kNckS09hV0X7HBKcinMKGZvPa0jAul9YgmM3I4bsNJFaGh1 YmujtedZbM+R2GNR+GA+QYlZOY4x2JV0r7/CiyhUQxCnQObynr5hUtIsX8BxkBGRzp2tlmeZ1krt m3IcMXuw5ym2Rdxw4HPw1AP1Q1h82ES4fTtPbkeNjSJeV9kNddrIn09D+gLPGCxzR1ZBpwaqyFnr CDw2/QzB2XtedvUr6WqE6O5XwYNP80QHL+s0GI4cOPucDlQK0+peDbCdoD4u0scA4I5WyoI+K9yZ Q8gN92Xvs+sEdK8gMhjeM6E0QILp8mM8rk1XB9qtmKrAjUKRTjRVqeFkiMlC0A44ejpUMunf7aLu FWQAyQ4zSkog1QW4qIL/WZH4Hk4GBE7aTAKUA0Kwt/zXA52YZaa00FdzV4Hb+mQvA9vILDu71ROG DOBjORdL2AvDb1S2Kdf1ik3lxSmbQ6lNh04xHHK8n3N0NSQvxrxckGc/ONwIiSpuCsjcWZ1yXkDk 57ZDrg6s8a0KXD6ABHpXWN1L/R3ok0GOlr3UuLTjx5WIWvFRUSa+5naXw8YgHj8RjfdtVNVyMOGg 2g6zWFNPY4GeaaK3Nm4KD2oi7wHNLKB+T5cZ6VgSCjL6kzKU1w1XIRWCbs1ReuJ7eh2aUybWL5nm ZROY1l72z9ufhaFc3jla4/jqDq7BkGotBd6cqAP9rzixRQwypuuupsC+lMZZ9rhKKcXLKU6ssavW 50un/pf9X2y9Ndavg3bGj93a5q6yyNqn0+ivo0pEl5361u7HnRdYu7yk6kiRZi+hl39Slfg8ddpy DH9546cIoPnK4Opzqa+eBmftnQiK7MV+5fNl0p6Z4iaoTpjvAJAMCxDBggyywVJibP+r7sZ7Mhb/ dpE0FN3XODqyJTUvLjpP5ICJXPeJOIgLerG3bBBrKERmxYosKMCAuQhqIHXqpEu91b6/fKMfswv/ QMcai0PEfRqnOS4Gtr81wxVwtajIgjFV8xbDFjodjDMf65zCfMs28HaA9NV/YOtCW+6kUemHNmJ7 LYLuOYZhuBdzyFrDKyHSB3Pb5g84xRV5I+BxxHkeUbvoN1TD73PKP2YNVe3AuH+hQSkFFLY8UX0q aVJ5fsnGG3XvH2P6snrMvUhk4u0XMtotYEP40gIlMDInHV6tfx4R6amFJQB5jc1rLg6lAbBXcF5/ z0Qb663YyjrJvtA2Hkebbq5wX6Mq8P8p0+tHCR8ExsXg4jty1AzV6wyIjyzBwXTCWJFCQbxygsoz 2YU4DNKSYyDw6+hEV5dAn3usQY6l+fKKhHSsBa858LE3CKveaR5C7d3ziP0sW00Q8lF21H0AJOPi yL+iKkAbXU1UokCXD3hPtF6L46yyQdZFM5OToCe0ObnnFgPN8ylBc+zpKXwL71r65/vA6/jORpQ1 HjhSZN19WluQia9qnzqprv31csdV1EJsYV8IV29KO3TM44+/V4wPU+54rIGp5QRv8A/stLT50Iqo bATeJK6i9tqb3zY+GjPqLJvuu5BBlyvQsWRX6OBvTx/Z3xHDH8K5KBoHMst9CAO/2ep72+oKyZ98 7llow1YFJc2owOfV8AD3+VsYB0pEW0NwjryNQy/jnOU+BggovP/WVqkECG9i6J1WNk0j+ZRb2DCX GMvU1tHZBmOSTllyTnlZVqg5gA2i3yJg7tXtq0d8JBJlg2u5oD3fGZhBvbJ1WoJ0QoJHPHBnHvLT nW1zfkDoTov2O169fGcEpJw+0W9iy1twi+DSWZga22nLQLxvHD82P6wjlYpsPkkSBo0Py/vqpAnv PfvJNgjRsM9R93xbT9mqc/2UdwbbkoyYZXvmW4smR9UHe3Ys5QqxaQTcChX8v7ONxfIQhh1bucbr nKrWB7armVtaYzto7S0QiXXLKgNEj/bVzb1GvtRcwhix91E2U9QHWgA612OCkZl/+YmKnYG6UFu6 c9lqwGepH+Ui4mrfjU9fMMguI3t0KUkYUY72i32qs7IJYC046mHrYsOvls5t+K3sG9ArXKhmAR6p /gCIuJKEoHQLUxxrLBB4FOA1acOF/rn9S4KSnT4QgdqyYy6xoNjgTYQcMnzMq+L3NltgcJsH+5QB or+01dZbnMGbH7ZZsGKpQzy85ab4TpJQFYSFDRNf6lJGe4k/X8J0ASyVucjAA1b21RALRpHuXt3E DhJMBriIuTT/Ugz4UqBn15Qqwn9dpjDBkzb2gI7ykppjCVzfKReAby5BLd9xjOIeft1fSnl9yUPL MCGDFrrmMn8zwNbBLp+w8w/1NJu3qzib6QvnOfM0kOD2N3oB8zut9e31XjQqSVDtNtNs5oKX6lpr Z8o/6KsCPCskVNLUeh/9PavzfZG7vNTSAknmxNHMaPPjzuNRM3ZyGE16IKUfaJln9ClFJQTx1oJB iugLQWEHDdsSJElkdRulJ3Rl/mCwhah4t9Uiwg3AEI2VNfHMNlj98F8M+Cdm4mB1n+M3qPS5PRLI k5bEnCCgtiwsfRBldb/5M9lLVZhgYREERuZLT+wn/keL9R3fCF19+bKTXxN04eiTpiR02gwtbg3A +ouRAo4fVRWRL8Flx0b9L7k0yX0CdKcLKYfYIHzL9Dbk76sDbExpo5KA53dqN5cg7/daj/HsytXl bEeuE/jdVYrdENgf1U5cvDIPyKKDmOncp95zcjlmVO7SJVSjLzflbCb/WsrB/npKsyK//zeBA3fO 93Rmd2eOrLpN7+guwSTIDrkOqg1z3W2cW4HOm6+FkyCoUww9DmPL7okC1jYQS8eDGO8aFIZjjLuC nEsMDjD35pkB0FVIflayZi6DaXwQyjRk9lwVfU/E1XQfnkkQyWp6/821/EJ0Z/fD9qtxmRKExSWc hbye9tlC15zNqfANUOOa6X2lQ9akJGvWqTQjGIFlCjQMhbYva4eTAN7pIwqAbtLMb1m799iFn4KB AMTnPJGClR8dNI1jDsVu6/dEMnPCGSw6y8EIXjLEmDwfMClbGy/fa6dTIYI5ZWJDZDYmIv6yqf3S 7PuQLEIr9tQGITx83Dh/HIDF8kbgkBAiDvcg+KDcwB38105SaRnlI4kDd6ETvkLbxN0yJkBN2fPu nzkKSNK9QcxPSrZC/NN4YEc9RAToFPqnih5CT0oaLF+qjUrk/z3Wq4u7KssewkjqBWmZoC+Pg0Vu khNcoT/49D1mqc30wwjRtC8p4YgR5BlQTd3kaXvQnsu84tjOevO6UFBa0RT2aIS+exuTmiQKjHIf zuRpmFWvt/7OwVXVX0d9qi7bRGngvst4deXMQT3WuU0P66lkL8ip2Kffi9sZwWOjqKspwcJp8men 9uKkXZS5do7GYWhYnoR0iEII2CIuZ6WkdwBEseQRGKc7u9pgU7smh0NNK02JZCoL2yWxNKSizALj GlA2XtIyP7cQMXia8b/ApB9fdI1lBk2zMw2IKcG2SvkidckcJzjxgvTdjCVrncm7EtrvpNTZmMzn eIWXla0PoSkApMzdxYCBxVrHnShHK6PZ7iHsuBlMe4736Wl/y1xOFce0wj1upCV3qvc8u6luzwPs 0VM7bmSZnw4zxnNEuacAUt6u1pOcAQuJgsTWFGuL+iUH6iC2g8WY272og0fMAafxPfnM6DIy7UZL SrxxjdomA9ZUKxOAABiPKRv+fDQutakzxAlwtCSr+YQfHLc5/aKsC3TldHvvNO2Qo2T5YyppCchP NVaUHQtFEck606p1PPNOPa7FcTXNwqA3RcYDipg7pkllTY9aIYYuO4396pzMfVYqq5YS7OsiWXrD FVuJo3uuUTD43niYqR0tco8K6Bh9GuwZToy6qH2KZkyjFmuwAePdHgSdZQB8X60jRlj5YnyJ2gX2 kB4HZO7+3DWE1PCeFhDqX2TTlQBzBgDuLcgAyP51rd5zjALkf0BekJSb74QT9a/1+yepbHfKG+vz c7VdP76DEpZP1eJKd8Z0b2DObAyqd16ut+XCMrNn4LPXfqnplM0uVgGy3xIShtZp5BNLVXVtGBWB l6w3gk4wCmID8D+QfUST3X3+9EPgfbTjFlSW928+9Q1FVBDaJ7S3DMljMnwtbUj8WhoHK2dwlHBo dj40uYc7g92FEVp9n6jKm3Di/1VLeIIz4Cn/8k+pCUzMZWGlPCQTH6DaUWaIAV9ugcSePVHvhris 96uGs/GQJdSyHL6F5Kgoyvb+yqEYNSvXhr6PhqSTkXDGCUqxOHUS5kiI2meC6uMVioskGr6ZsAHM elMM+x5kWpd8vRQvqZi9kAkSabZtz/LPrZxQQ1PZMB/WgvPmm8TIzEeOtS2S6VBtn2GypTZ6KmI/ ohksYC73vZwHGiBarzW+tPr1Ujlv/kQbHNPjDz/mr9wd5ienjoPk4piIWns/lQKhXC5cIO/eoZBr zzi315z6o8LiiltTR4uPSKa/m6fpij7mI1yk+CizbjZDt8xyaJNXhkAaf3zq4y/I1TN1Lc1G24Iy xnse9pidqckcGVv6aaqznf+yLY6LxM/HM9lyo6eEr9V2G2zebYKfxXv5CGeW6SGrqAkLdnQ35s2X NM/f8jFnUR9s5u4aSP3jT/m5/hBObqhFR6OTRVEXpB17KDuxI36WXsZtvknnBDwGwC6e6htGKWn7 DD1vA8qvLQenY6HQy+D0XXt8ew0uVAlfELgI5ah3BDgpgkFfPECLTxqO4c4Y1Kt+sJSJK1ojo/A1 RZ1YNMqaCb5o57tjNlHkPMFMP1XcDglxmqMLkbRveEJ3MoMwb3uYnnzNNcbMvsacEKanSMyQ1/Gl aK3D56kgQTWEuUzIVV5xOhd4zQguFgDR/UlEoJ0jYJp1ZfHHNiklKhcDJvowxUUdZNy2Gt4fsbVN 2B1826oa0J2iykfoNeVpchGOpdz5/wgnpx2xJ8hbc+VbHwwOEtXcLgj02qmNmiin9Oq98G4XN8Yq vwQbbk9UzSP8/Q0L/0IEN5rXI/sqiGH92L8uNIkJA3rnTbWTjPpGWUVhIaRH6zOvkafsH8blOB4e ejoPQli4VZZ16RGfYuLZolFxrAWO2Ohja0IE4hU/C77xrdsqJ7qEz3coBQcyJOxdMqzm39zzgt3K 7MxkEsn8gTSVHz+bbpdOrwWOMLjY/voYBRGqdK3c3G7P0jiiUVczHYud1QALn97dsM8OEDe3YinO U5L/8TPb7IZe97/rnoxnoilUTwAVD9O1naj2bn5IjSMMTs2rid/oYFToqOoU8EpNSXDD/NR+8Hvt 5jJw2U12vWgLC+igq4gNAv7V5/rLXC00rSd0dLcXc8hp10i6YoI0XMcvU5VBtw3w+7ciu4jkKM9N qX4Wx8LbyFJ0JqYeuCCDK/gFUWENOeiID5PBOP2LWQ5+QMYcIEknoFiZfzAqrJY+8zXZ+p4et2aQ LohtNjqRsFG1CBVX1HyXJFRmDCi1EY2hYUQvcGzJVSOcr3p/PUi61e2jMnz7TVPQSS2/977Z2NuY LOuFO5RP8S0w7+ZXtWAcY4v9NFegLJQ7i5V0iMtxktfL5GhnFzpzR3+2BYp1hEa4AtWhIJJhE9C9 vfrWRqETd40DBB4MAqaN+rs1RzTOK2XR7Ch3G9HfknFiYBQfK/9q7JVN/ZRy6nXuSKKcZofYGyNT 7AcTIXz0YqBs9oH/YxeTADF7OtCH8dwxsGGBOqn8h/bJrfP+yvcPQ/S16Gbk4pZgf0bCtoqbO/Py roCZa/Egztroly0m+eMhEVAaNLBllQYKDORWqywBC3wlP7LKZrvLl9jcCOUK0rtoy7zey1nIzYxi c35wkTNAepaj88gE760chifcj0y0N9I1CJqTJJSSdn7q9QK2ifpGRO8pBfQ2Rftlb7AKj8qLWKyP XlMRKOfZZi0O+cLkCcP0vm9RGubVvZCA9YJLFWyJGNqHSA4EErOpUdihuvofguAZ7NuZ7hD2uDZc F2AFtyho9H84DDFoiyfewTj0SaxfHI6GBDbKcMKOz9mOjpaIFXAB411IevnJlDRJZr0bO5mPp46+ zdKILSpPJQu/bQoVKxy15DO7s8uHVjkMXoTwH8FEdxhXlvsbXQdFvYQlL9H5Bz/iFWA/4tB9iF3s GWilbeSZu+GqoPT6Q47kHtRB5aeE6gtjLmkcD0yRF4+qv7do4O92TyV7xOjZBd+eJFYrgWr9oOJU kdytWKvCPSQkCDC8m0aQlL4BU28y6zzY0VoG+AtbAod0z75oouU1buu8bjGPRk5YuRwbxsHoAms0 PvNepl1O0ihrPVeiDuPqHTAAfyNzxFjXy1yH8X1sP4TypJgGsLpW8e2e3avlUH54wiTclnXG+Ui5 R+fNLHdS9oqvoL+D4sL4IPM5tHWPgsdbhCucKQ8pIE9Jy8Ly2CtYaENBII2SOg3Pkd4HCzo9f3F5 O/9nANERW5PQIDUqd6Oo3u5LZlZh+xKj3CK8E4RCzZj7kC7InpLiDcsTuEVND+GMQFe559ZPC5f9 m9S29+ISohfRdvExdpzFrdejY3+AMYf5TBRkgsGriQ04YzAxLCZwo/qFXohID1/dxmzQojDGlBYB Whz8UPm5T+BVjHEfms3BKHTMt8r3weJYZA17slkqS1+568xavurN1vorsTQw0Awc/mqccNpu4yZu GYLoovkgkRdjXkUEsuXLTe7n5LjZKBasRirmD+Bjui6Qlu0fITlWvIwvriO5xvA2pnywfm0ed570 XV5+enslwNvm6vWSeZDGXgB9Irzuz68t3i0WH40qWARoXmQUMmrDaqTIxKki4MwAmDHgnDhm4tIl AjEyf4L31g+Xo/4LDgaPur6Q5CBAIKHsxS7ujyZSSUpi7Uht9Rt3oXh5LdVfmxyta0gX8VMyOvkl kyJq64Wy9WHM1Zt3+OPUdRVBtgBvNGUx7rN8hjVucbZT0/g5X8Ygug5pL21mmXOlGWihzKe25aiY 8ugqMZ2Gcy8sQuZiFRCTr0PsTA/HVPF5oCsrKEJcqtzaGGjmKpco6v81JpVEdZXZ/AuP+NuXc8Bn JIwcek2DHp97xYLnHApLrxr+N+pLVXKuV853HctOD2ZLx+P0R3u3vNUOQ8rPkiU1jtyk3zo2tu0o z9NpTnQwYMWVvFymzkC3+UxkfhxNGHa/GcZfr4k70x9ZVc7dppYLvkOBiE+JfxzSt8vpTfIIQYRS U6GOtD+Ez3HckD6pMl/oPW8Co+k7LewdjxNy0tY4Tihi44X30PmmGLFzaa0e/Fn900GRAQ1CFjWN qx41+dOmGQ4+5HYitwYZsZUcxtulxMPXit4/HbJ6n4R9vUSqHVkAsX55c4U9kQMzw0xK1x2PG22n nvNLbtmP/8KGUkSMDpj6isFPUpFkQFZjnN2jrSYBkWl2ZFRd7+fkuKwtF7vsLs8EV8gCuposzmxw zA29xIyx/TA2uhvRE/Qqoy1vEUEkO79U11RwoGRtD0+3UFzbUECHZNAcj7YlV0vhQYC1ERLc7R1l ZUilD1Txr1dDg7nn/747uufxrB3PZ94h8BO7jMSSFJp+4cylkWGscyAc/AB2A5Sm9Q0aAUyAB8kI F927VcvEs3Vy+lMiliyLasmskNLPr43y3bX7CshQPNd7y2zDzpxs+P/EdhbiPgMckf6lsJcZRzMI 9mddoMpxl+P8jWkMrwdWAHWpkIkenvOeQTFkxgvyIk/bfwy8RkOzLoZ/2AbaZKa7jDGN8wH9GWoj rpliFJQSGKkhvH7k9/G/yiIxOfzd9LFp6J5kaBBzcj7TF4o5JjPoFXLCL2F009Bf9qR/OextAII2 amLOSiGaJX5r4ck6p2vaV2VrUNdtRedQcmUrctBxofjQCCCK2x6TZ5ccjH1c6rqyyduPisqakcGO r/i0f/3DTci4jqjhha88tGnNzvQnJbBIsuLEwW4GGvci4gEkqiBDZcrG0XsCWJGZgWxfL+93j55F NA3Ngly8GPLy2ZQtf23fx2L8+6jkxlMUvNl9bjLlfELFbbC7NkRc0cODEUaoFPU5USX5R1eNrARE Cae2V/Fkm0qBXGNpHeRVjOS6qxImB+DxF275FXoIyM+43NOQPRhLBgVJqYn3iCZfoU/+5W4mKMIc pJYHVK5rzI1qNJVACORamqJEGODQcWEHYwBRpGkQcsTLxA6hcnk9PkgOpC4NeE3YWzqDuShRQhFj c2Bg3rmy0oWu7052IlibMh5qkWL1v8aBs3YPZB+CGxPzHfZSchdtWzpUHwJYidDIuRvcCWiPpsLm jI7TQ5ilxnixFz4EwrHMRic8ZftA8l/luROAF6zUhuPXAxOM2ziZyngf3jw5OzdhB+99dQ39AUMd C+yXYXFyHk+ymSZ2JlTphKBVlVEtFANc2JDyuQEmDPP4sl2H+14n5pUuhUuTulUvE15Je9LMGAE2 DO0RLwDYugB3iCQrBZ194QNBPDMwD5DRXBHLg0jsiQh1PosuAZBTMRyCimkhH8zDhB9RtKeuXk+D hG6f2MwIG0QFTx3qwKx9Qzl1A7ChxDsSOGscxwYmX3GuYZZOGGoktY7I/YOcqeuT1L2xA4YeaXOe 8DFB4EcXVEQ/TRMiy9jbUqnKqol7v0a0FJ6SNl81nthUFM5RA5trNC/0DlHMoWesjhRQZFLlS1bG SpJusfI8ITf68du9D72luTZq1iEQ+vdValg5OXQpTBG3bGE2WfhpulB6OX4TFgjQb020ji/YjPQ4 b0alXtksRyVh4uGyWNhQHI1H7n5A9gPzR70RBKrME70iM7o2fMjlQZ1Ztoj7FKJJ5248HnqRNHA1 MrMnKDVMDl+P32iJLpEmGkZQ26IO4L5jZprH2iNRbX/EB9g4idPwSLhy6vCycjg/TJwx0BcocAgo k2C8FiXduP8LFBNJPO3984rN9KzTjzOitE75Ejkng8oduXAwq4+va8UVsJCbi7MdqWolzgvf7ltd ArOojJY+edbu/lOBy3WQrapRFBB4Qp8QiNxJr0schOrzIVpaUbxtPpyP8V8DjcrNdg67jj5TD6PW cIxXpl+pVD8omCWBwgwQUn9YK7DUKS2uDGf3tN5KLR76b/370GbyOT9pTjh3svCPMzE6BPuMavim wW7R4nmXICjRyK2VeLWwC0/9bllSI25C24fs0AUJeW4pyEU0a3AguHxqO0aw+3ExP+7SI3Jso+Cn AVbAVc3qVd/4Vtfgt1Rwl1Jiu72wN3F6s7Ng7bwJr053WcMXHbYALR4vcdfphq6P1RZllrnIFp/9 6CWfSBwsn7RkriFEjRtql/UR9zdDbooMUIZ5QRN0Yf1Tr1ayhLYWOUgRlr+pm8WSK9q33Q8kzJl9 9wgP3cE9CmxV4VA5gJbL3lmzwS9jlYHdVL7JcwN3zCxoqsIg5nEIy65gSrJz1eNTFPpmnD0pI1eL nHtjkwJzNAcnebP66ROIrB/KfCvNGQBCMQZ3Ee3TJJHd5KX38lWFZJVFQuKHEpK1021EwmZ/iwx+ yOWraMKPnIEcxyD9d9dDz2xntH9g1dr50RdhuGBApvKij0QKtyqbf5BfRRH60Z5jIwhp5+O6lpsr 7nSPBEt0mjw/sENwcHkk3xOWVJ4JjXeoAG0ouDuwLRhvaxzqG5pXWIniN11ssvoK5vz13qaZ0L24 JM8zczyqFdUPUsVwIX6P5dWZrrfo/YyECCjcCxVtHU/5L1yrtx35BHfukyGcmF3dcejHhRBSCH8O UGKYEe0MtbhId97wSPVNfhQf/n4RCbniUlojjdySb77BhzhZdALvxqQJhI+BRo6N0gavIOCgDUAb LfYSVmvv9GgvSe+d/EXlDB3Cf8JfG3g2aWw56I3zgFCie+DyJl1k6z3zLDwbxU2E0W80r5ijlV/L kECnlA3isJayNvnD5pqKxNyT1dFy5RjvH5TStaVK3thDUm4UJ2zjvav8xENGwUO46g6MAJJDEuBj E5ddRDnRJejqa+2YNfHUXsgmgDTCa1FV7YOcYtaprKguvLyZpj/n1b2QhOZ/y16x+rBj0LrP82tO THOJQRqmhMl3AnZ7VLgr7/j1TGtj1o/Q15bZim4bw0KVqxYc1k0We1fRShUKBmsvfhkRM7D76pBp FvgY04iQ8N9gORp+kRgF9Z4LbySqaruBpJO9y7QloBiPFJod1jeLid+FuGg2x3pRoTOLw2IzfN+C sze+dhVlAcyrGKh7zDUS8MH1PrnWtQw6QDCn98ydbVz0YUqLfgF3yMrrf/SEjlRmk8IkBE1c/K2Y 85HMRMQS1I2EsM/+6WMRLHANXoYEeZSwGFFFhMnuvNfvay2BP5XEmR1wqVqXl2ofjEGqkP7Fy1sM cb93fVdzRUisnVyIxYONPts92Tc2YmilPKCDO71IwGa7fvLWT96PQpTVnF8AXKeoxQT5ISTKz9qP iVAljYeEO2NCkINhtGTlsJ2lW8QmIiCmRbzWOVduKu57ItUDz+HUpl6QCPyW+beYEuV9ko1mv/a+ pqZDaVXCnu1LTAFD5pQVjoKA4FXyR8FPsKCFfE0V63vk5HvaeZHQutURfVyjAdL9Xo9Sf/8zyHk3 yB1X9mzkD4qr+U97MmM1O/JA2r16CqpbpaZ3ztooGF9pXdUi9tfHzPPURv7eO30AQpeGf9u2j2kL oM0iauYsFDBW+/AIdgGRLzpVEq7PK3bczrNn9LaLm9LA8Mf3spSoGvw4dby+W1q7BDWJWMPeoJYS HmuAQ5nPgdMV22wb39vIQALgFx5jWClbtg1HuxEYbphb/MqD5zXsh5tq5feP4O+qrl3yNAdT7qv2 fipqJGLvlUMSQYRSdJQjK14jzO7L9QKajyMMdRtIHtOu3qD5Qj+yDftbtbtf4vhIstW5pHD5Pqen +4JfVOfMRNpkltdC8K9ZvuzPyxKD4rFJ3qCBJT6PHvlO0vY+lepvFnBjbXGnMkBJXtC7JUizHWRl agjhTp0EDuNdLwqOl4Pn7yDXaMes700XG9EYI3y/1ipQOAPeeOf+kjhIRn+20OcRFqL0QTQkT4ek TMp5iliSfiA2mAjPcWJ6Zx15Hbw9e/2gzz+//tamc9QQlzll2BZS9mjUOgnY6a8HVy98a1gAc2V7 UcsfkCjEal515CfQwDlkXeG7aohkeA5U7BDZWCh0I0a9Hk1E6yT5/evX/qf+iblXiY2csuplDia4 UmgP9WufbOFpD9MJ7ZnlwOMQ7qhd7ISLirFqqVVmw+pyRZokN2gb52MnsC+sDXnXZ9JiAtLKzHfr 7SFhxX5VtsqYd557bwxE3jKPcyBsCNbBLvIu97sdjNeLxjZPxGVKzzoYiMYbIGEwOh5m7c1YBQSQ MDlqzU06ZHXwxlKKjZ982Cr4ZQO8DvjuRkLnXMsLp3HvsVPHUh9f1fDBqFs5g3kC3v8I8ry20sCI /hh9RpQ42R56dg8hlmYQouBWEcj65goaBjylpnHeV5KRNnks+JLTuHtTUsOGKBTuDbJ6nmL/DNfQ N8+PqjNNEegqvKKbxsom1vxWbIaD1MmlWAVPhEy+KKrdFJUSHNDEolT9lq3ESk/gGrvfMFroQ1ZA TDQAapocjURzpF6Ue5EZzkyP/WWdOHsl4UNDpzJ6zyoOc4oqGCNojeZ2BvytTIfWmA3zBrNADcUJ xkN6jOa1OytnQI6t+o8dA/srpstifSnsKVJgqJHpoh+CO7zUj4Czcr7rRFFWkAEl2KpIaCV4NdLU aIxT9uWKzau4MXfJ1Ax0koOM3TFUA7Dsq5gPk3tEd1STrRFBM9x6jA6hCY3gXqX1LukijvvqOhJn Wkyig7J6IALfU8vuz1xAyYnzKIR/FdI5CV9sMLuLIDUi4bKAawQj+Va/9lP/xFCosKy/05U81h4i VKO2EzHVmBEdXp7JrfXFRPQNF0iXqnMLp3bEBkrQIaahYycRqdpJY5rTSirFKachJBVaz8HJCprA Xb23ZkCaez6dLEzF8z2UIN3OAlDgkZODr/w2Nhr3bo4LTlBwt3N7INvRwWcZtjoQjZbFq3oN/tTa PwVPa+CV9S3eIZjx67H/ijAYkQ1HMPHCUDUUoR/GTOOylvfXbYHvxMFd556+D6B3OYOWeons93hD tidORGdbvhebzRjcMlearjeFRP4/LoLAywRBS6WHeIMBl0q9xsW8AqnWPVqLOzTSfrbII+ZKXZ11 OB0Lm2VdOVQEa83bNQLVuw4/srcdQz/KgADwUGDImUQDJoeTZJ9StW3LE5VFTxpDnCayfqE+xIoX ZFh9Pg7DJgu6Wdjv+YdDLYJX40HbiwsCglxoIDv1/MzMh85N9ipakA4QOkv2Wz0qlZy47JjUhy33 klyBHRQpUR3nfvvXBb0M995Zps0Y1KY5KJunGx7ef2FcGbyXUHkAUf2sD/anea3d0lm6NfXhfh/3 /2eND+lzIqyy99XBSMF0Rk69K+x2Qm2vZImYYExqvxFe+HZ7Fr3Sg5jAJK+rDSsNuRI0A9TSo9gd JAJ2XwDno7vhK82vlZdnrNs+tG0LcfpRb0a0gfq76hRIB/qc+z0nLUV/IxB91fKXKj7LjXeBMSha SlHMRCRjLNpklapYdjnBitKSX6kmeJy1Z6J/Dy7k/LvPFGJnMcA8VKi6avmA9/UcawVDvgAIurp1 AXhR3+IKKg5A3auGcXMJPhOjpRPqb2Nm11tkhAjjWrZoeBDV7gsASpZxPdjzSmR+pQP427bKuoPb wb/RWYxhnB7c0jVQYIB0RqzfnGY9KMsIhFD3tKK0xH21QnBjZ9BiAGrIBlsroCJwca6qHIyE0xQk xn04nXJGqDwyqhJ1Kogo4VP8gJ/SYrgUbYBIOgo6R0ETcW0hNnMuKYqdHZoXFiawX/ady7coxaNA l1nhgZvFL7P8rN/uZ/YAMgZ/7kHkRBoQwYnuXZRubQLRgLbSckOOur5nusyBULJJcV457jSZCHoR xPu+mJ6meWPuJgQe8z3t6vuEm+/rtvWD+KMQTe+e5hURqPnyDGX26cnp21PQkRg5wcwNZwkKWEu/ bnUgfNYJcYg6VBP+InBCCC5F7NMjbLxEHA0jk/bWs0VdPSegDCFeG/fNctCO54L1xAsUolrEr62W JjOigMiNK1p7u88/sqJDNx3MhniguCAnl+GQjWB/wJYcdmz4I/OeS+qbacswt2JouM41MM1KlVGZ 6Cn7hfinFSIH4x0/HrsXaXMYbN8+U0oJInN2AFNXXFden/1vxV658fxcqNyokmM+qoNqJWp4A2ao Au0sBxId8xSdA36903dA5EZiZONUUw4CClEricKwfbcgUulP864b/w9QR7n9S402yL+hVnz8ZpmS Y5+ji/T/LqzfZhc+y/F05LPgJ0MDkGTevyqpNxejXLLInKtbsqdS9DzmVWw/Q0kmYlFxiskDdiKD tjmCq2wQ88z9X9f8mrWcyVxlrVpRAXgUYyO28xRhX5v8pJ/9Vpw5fPoqwQ4tDzlS2fA5dx7h1O/l h3SQekPQiRgROn6wfM5c6lYi24TDopdQ2qKtNL6ZhQWefxV4ALLutdL+mpWvi3ppM7qTUp1Xn1iB bwBBwoldODygGRotzpnAWgsLFVnGJDPFCYlJ88lyQBArkUTSEEp5fid5+aqQVI9Hoyx+i/cP4bmr K2obmuaXvGJQYMUUBS9XcWD9FWzKtN5TyWA5xyIEFtatnRrLZTC65wqMR2TT0WZWdGARmo6Ie9Ff 9nJwEqEQVSmhthf0NyJVl+gWIKVgWVbmyjbO3HXMoRQD3F05BZebq21TlBjb5c/W1OK0Unlct5TX ADxYVkxaLysPrsys4fwQmwnZqbgUdbmPf3acetX4iYLqo7QWrghakuT1uNepNy/T/YGeq1eU6sq1 Uz63oIY9UL3b831OQA7TOXs2Oyb4NOQiAd7E6EC0/Q+A+M4cH51lAEyAvnH/4oTCexcwXQymTRnH /qW3uKcphf6jpzbqBp/6TPxmiUA57fq2QAyiAtVsMadmdKOQmV4XTiXUNTh20jkAHNFzlM5zvyvI MJinCtbWJ9lEi0hddQNV5z+O5B5xKTA1YWbyb/qD49LMHkqZKtTLgfXAx8r13o7nTD2v9sum1iV3 l+LgpvPm0vY/zZ5YwjoY4efD4ppba9NojgYnAfc0Oprv50WfYcSswhYIbvwxXiGprggI9G/meRpi wDL1sBLhdrBDf8WrGIrcfFwFthrNep8dUsDsSk8IIzW2P3WBKvfOwnbS8PMnLr3Yx2Uyi5Sfr5Cx U6q6PGPqozKFXR/BfTj+CtjA3y3cbusUXpRaOIkMiViB2eJPUh8IydbUULOpP8wfOOZbzNwm4yuw JQXpXw9M1WWzdllXOvXsQtYRCxeuR3nLIxluvQhoyegcBzGM4f2y4Avhzmt55QDdwW4jGiT6uwJB +O85ZLJTBl0tT8gD5UokM4iPHe88+LiXXYnUJc0yHrSLB2Kuk0RES3gHLPgTOzaJtg8GyI7ToXCX s0xuaqXPaSZHX3QxzmixEVKt6OV4ErwrM1Dg8l/4ebzE5kQ9XqInxGEnC7m4yZLzody7e3gp6NwE ZLR6m8eefXgzsOMgJraDrMY/vJgxf6vUzf7ksQOPvXQWSGmy5vW5P75dEq5SnibHlxGDw4jY5SLQ Rl53ZmSRrFFC3oBwXhyZ3IuYJc6usDHNpYZFOCUAwnOMyp3mD7TlVAVX9BHEj1MNGkKGd0JFGlxR YswX3sEjUlvXfYu/pt4d5vPXtI4dmO0sj606TCNRgyTJjsGyK37HlrCQuRCiNNwckJIQZpfFJTeO LN0ZlNOeDzNz36Krfn3bWqTq4yv/qyIxwsfVyThHjEq74+Qh6qcuNsimL2YMOA0PtEREKDxN4gYI 0+3ec2Ww8JEzyyZL0Hpc+JTWPBJC+gfavyNw+0/UQggX3cMHcQeSf7A65guhta2LV3ebSXapTGTW gX+nq9Rjpkwvm9rYlGCmh7CmEXBVdbkwW1QO9lZiR5e9nz6tyQlF+jHXGdK3fZoZNtrQ6SvZc4QM h1y03fM3SKOhAcv0eaedmM1WnIrH2G4hCfYYkRsTitIE1WgyoCbd6EM4wI6udOGQ//glUO8IEp9H FEmbQiDwDdtTncPBe5nN+7pr/tPPQVxt+IClcz5rdmqIYdb8nyS2TmUKvl5RVciktWTEIALqGt4o dJx5AoW3cOVxiHviWf7eLi58ZXzWry2qtX6YQmDXjUEccRopgkDjRkiB/lVO5GgEDMYnejACEXFr /Et9/uAf+MgB4HwfSStCkfjJ2xTENMQ/XUY/blGlplCVN3/WHncIRtcAg7UqpcdTZY6vbor4yPQq NqIXPSWNSO04L/6d1flOSb5Iu0710hqu3zhXVdFcUs0g8kPXxxp5YdwJqbkL3sl43IBlz7M+/cma LFADiOAhMYFmlYMxrR8XNmQH6cepH03w6oppzIe+XxTemDZnU+vf8+DsghmJ4X8+kbh/xd2eV7/R ik9/YlslkBGs0TAQScrIyY44Sia1hgGakDa/hkg3T+QHyBXe4mE5W+Cbd2sH0p3uqxes3jCT8dmV NWE4P0a9b6rWCb/eZATyHv9mtkNPohL6fD2BpA87ny5pbhEPBeEfE5VU2wBJNVsAdDgrrYPjOBs8 ChqN2N26fQn96yaStP6/nruklTP5nG/BgJNhD+COAX1aZ1WnxraJ3Ug3EmD+yQkURMdi/n/jPK/1 0yJmuEKutYGnACV8vB7gmljXCm6TfmKR3QSNWNG9dY0OzOBD6vcosxsx3qYRs3HhaJ2PQZweZyGt lQLOZCN74v1op+LdtGP2uXpoAwr0g7+37AOVfs6QcOnc30FTvSb1Zw3WZ4HKpBYS64o+bYvUm6DO 1OJ0UEMSd6xjXJxwGKgsYsyZuJobpwnOHHy0UJOsH9V2B0rEJjEAdqqpL4aZpylMK6X6RfoZwY0o EFWPLQMQVemaq5dPLshvTX+sQZzvac4qoPa2wpJGwGOUsaz4flk568dc/0kbjzrJkgjsV/kHK5YV Htefj3CZUlWT9fkpxEfz1DOol/IcZR/DWZLZdoZhK7l4B1+M4ZomXxstleVrRMWsvwWE7eaDMGme yGyyj6g+D2cH2zYLGtEhrCKGWfEa4TvIaLYnr3UdQ7Oju9nttzXmET7larqRMrvut+NqvQu4cuy2 90dPdiPgbYC/gQXfyTAskOe2eY0uOgeZZmy6PRjnN3OeXKzh4PSDLyWOjpY5Mt+p39WYDqLbjidL V2HBZIt7f747dQCzqRsZ3+2OOvR3D6dTovvxdIqRdsqdcOD82QkFXGGFXhOnr47J7mAwpD5GlZqb zbDDB3AIEsR73JMyW8dtbDlL4TAJoSI5t9d0h8+vdsEWkFgS/JidWuw1ccZKLssPkSYVh09q5fiL e0j1XtEKZT2GqARz2h3eNmxDLWrc9WHjLXMCLURPiGt8q1rQ3XOO+U20Ysa7LfjbpwjtbjCTbifb 5Pi966vTThEIy8lPBEOi1Y28VSUuBPqZpgInVTeL6uqzXb3uS8ARFZfKF2cZHl9p1gEeKehFxboj FsF6Kei8LNgU8VYUSgZu6XjyuFVKzxuMuvYobnzPVZdmifofhWT7ZZR72QTjega99/qrtcNr34ZR 7o2+mHN6nTfFqZl5cMXnjsVlrBCind7xUDwpTUraDnJz095VyjqmPmbvTEBbbZzMDJ3Js85cqy5c cIl6MS8jFnR2k6ME6xlo8sGLK12FCzJN+XhMGFseGd7aE0eiZfaY9O2xdU22dqsazdHrysJ3gOYJ yWi75q1iwg762OSJSGgBAcENktrc/CKr1L8NV3TElB4bpTsTg15Nflg0IynjaM2vu5ns0Dt1P70j hIbcVuJCxppAKj5b+WeqF8MHjnAG8d/24fA1LAdrQl+KFsmDpM7h95qMn+dnRdj1EvOFqjssL2WJ 0dYIXFPiknVlAzL94/SMX1ulOFarT5y1rMvBV7jebEYhp3Ee/ktnwl+RZ5cWYCWr6SEJ1AkC5iIQ 1foPRK0jnuNp5OkR/OkRnb2Cao5GK1Uz+xvNLk6H0LBLP+As/2efXECc7eGUGJMBC0PC6AKhY7oI l2VXdaufrlESWsjm5FyUfdNM++PfgEaPJg68UTFeTp0TxAIES3NhtlpIGK0qPnnAAqLeB9CGwULK uq8nWuUcFlGR40e0XId7NFPz8zOsDBVo3MfR/d39WGHWH9n5aoVc63FrTPTNuzE7tuk95fboU5MH UOYH0xPQJdtwbFxVkn9YmpRMHCiw0ugH5ER+kh/t0BgDZJelmkkuNiaTQ1o8FQ37MFDDrCutaYgh 7IktNcREEdsIEjQkeD/UPUi/xhODvKmGJCUvd8/XGK8he1u62bFavFMLV34vLYF020uuu0tqHCHA TCE404KD2H4ddVu+keSqKBJ7g0rQJEKYR8KV6I6yfSYfwJ2oH4KrH3qefthxXCUPgLn+OOatQR80 Y1Xe8ykGi5RcDcO95tlVwQR+29WHaBdX2c703veeXctnJ6QML4x+mQHzTnuX09VPMLzwq1tZ/LIg hqL2eE3PJBtkJ3e5htADs3vPPeWPFF+LvEFZF+Bk3w3DYIGAIDy9U0UcxlA3LliWqO8TPvy+6cFu hpWah3H77Fk1PAEex/Lro4eXR/RBEtL/39aHJDgMa7VsISg+dB+4mKSfuJlu1mszptG6IH14NjQj 7sZgm/TpB5Bas3tSBiNwplKiY4DJM2ECc31Pq3D55aT4Dq41Jj+Sygb0uAIVNtpvY/EHyepd8O8J +JD9Lbi07pWdW+r7ojf+DndWuEpXMzL2qaSMIfIKucH79kiebZEz64YavToYqETiilqyaTctizRd 6JX0ZsjBzNJiIbHGR31yVDLJnm7gn/3igByH4lYG1yOYhBxqRzQF7C1ulNmZP/sVfCarn1bOtEV4 wPMv+pJ0HxFWCRzdOkgT64C7QxVnDWqzwewxPMFIc8F8jA+XJdxzw3cme2SIY+LFFLasZHEI/zMh l9qxMe10+Fhuk1pbebBCApDQw86mJh2J5WSuCXfdq0r4sgZcO/GiXqqfvyrWN1n4Qva5b05kWn+Q Z5ON6I5QHyyHpjgnXyKKQVGGAqbFJpgD/Hz0fTPJ0cF1deV8RAVoUVzNHcQizMDAHQ30Ae8+ADvL aOfsq9LHz0U2Rc8Vm4I/u2dgFrqB1dq05VVXWjrKfhLk1nzox7tzy60hpbG0If1vRHZAXgv5pTTs zBN0exfb8UNuc09AN/kMPE3OMImGUOrQAlbs5zuxoeJZ+sRJ/4ALlCGBDvFkAb3mAKeDw+nxp9ZV Mu/J3QW31PVkbv+PKBmKnY+tya6s8CwhSp7VYMtMcYihAvzTnY57c4Zv8VthOEtTm2SC6ELSkdno N9AI++zxzIoA1QUfst5N0V7sqA5uLn6IsM5/UH4BFdIWWeIHfP9AtoBLkaQYjHZ9qaA3ddmB5ct4 e6EX8IB3J64PVdy9mCj84X/zN/19W3bxvONQy3xhTUfB0kV6CfE5g/9KCu43KYM3neOf6CT2XCU9 Gs9+fJbQwx8KXenON7LKgD7sx4x8iHkqyhjGP57x4uGZmoYka/G3Dm6eBx2ihO1c4IZAy0pzSI5B 979fSMr2NXyCapd6tpYXRyCu4U9nel9Gsqe58p14wVjP79wSmItQl6UZaqPOWYGZvq/LFOAljT+C wzFPgTsW/crHi5GZn9dAoumjM+4+u6BAhJX/bKRjO5hWS+GPEpAD++o6Y0elMYe1VqdSJjOKlQ9E 4Yx/IUFWcEAXstNwECD4/oJ/yjy8GUzM79p7RhooXJv53LiMiS3e5G52x6+EBaPH5/1Fciq0rQRr e65qmsSO77RonaqwgUV+Po9wp2z3S2UA0s7rHRtOFrmW+v5yYy3WUY1g+/sHOPheI98xEBSWDO9G gXzxx9hZg/g+XXoGvKvZEVCzZpgSZZjXWyr6bT0Bf5XV6/kvTwjQCmEEiMfOZVJO0LNeXg1gAO1c nNVtb3tRvmicDRxgiKG/jLXzYkxHH6UL7l8sPcoaobSqK2azO9Onb8qpKSPrEStvJFunC+ih7GI7 hQUwKE9a3a5H2ea+RgqAyBqkEhLI7GG7u5UWE9QfuoXACn/JMOAoAyfvvrXdWYfCVKOFNW793z+z 1ue8UtQ3rf71intsaX9AuIIQvvqvH3ytYCUahZNwMWeY5PageAh8OAJvog49HJ4uzbOGPIyfBB1M Wr/+d6llBoNcBtdSEv9K/AzORn/mK24lpkBuZ+SZsjrmNrF0RZdPIU01XwsX8RsX1DSlz/0oihUx ioUuJ5OlqJLQZBw5ANODUp7OfkN/T5qBwa8EJzxKM5E8MfEFl9EV0jZr0E38JQ7ijWWnt2lsI3VU w6EfXbPC2dG0yxK5chtNyENy97VWFfE+rj5OgVbzoBTulfHwbbMZdc08GX5YuCkGBkqJci63J45e kukMLxWeCh392lpQDoiC1aCtNXsjTNY7yo+cvhSAk3QWtIWjxUZgT4X9Bt5pQlzPBidufk8qklL6 VvszS+uiS+MlIwbmEFqeZ8nL02YpCG4xx6ePMiadmvvq7Dxc1VcescGHUvgq1156mZ2Yum6PacMg 3TIs8PySqGPhrxqQEiLieWDbNmGAAbgpMNl6uwbX8keOFW/UtWpDpebueDP8MT4zuOh0nmg83mdt mFQ6B5GY/3szyoL06Gd2lpWGAizrFajMSukbFFm/Mi6z67tQSAzlNdQ5Lk5asqwGMw/ApF0M3/Tr Wea2+9MYpws6KGkAz/ywppNWde3eZR0jMnm3WWaJWszomnLeYh1M6mpJfLRhBM19TKVUwOg2+evN RzzVo/mfjmi7XBDJUoH62YA4xfY0wLrP0n8PZX+igze4XkzQqbs6jmrSIhYicFXpohbHZ16BqV3T D/mlTVfB+1RJSi+Pa8Vp24Yi+t5PL3jFWGaSBqLBVbu6bhbLKc2/rRDJPEYIqBEeP0ml2x63vhzB IEUXfGQe46cUk5dt0n9uYd1KmRSRbNGCDvBnsbPyKTBx1EgjCzpGISg3uLzVfj1r0AzJKWy04B6u P71s0/tsLA0DXKCvq/Uv1d4CKoR0hbBX/Tvmc0IZYCCeFPJNddKSOuRQGcx7+OwEjjrmkKiRo8e1 V86/hCXvboX0xUIFxgufB/7fN4bXxJerlXtF6UB9mgJvo8ADeQjOVmsRkpKMYm56W81WNnMZuixG I0pIjfWFQsCJbhIK9R+rKDGyW5hNgBHR0omN2iElmzlFDQsQqijbM+hBFNAkoR+cgERbabQ0kLtc /bQZLcTOOVeAK0NZAgKVBANUQzYtRrA68bem3N1ixKJetahg0egyhF1arJYbjhPohwEB6sl4SMBA GUEOT8dQxte41/GWo3NRnDBln+pYAD7aG1xX8mA0rPd2of4zfMFZ4lvj6B1N0s1e5ep97yqD+7oK T6VjBSjv/UDDS4Ww2fVtyhr0zj1m4pshkKDut8RCC1V89SnuJL0OdFN53iaYMy/wCjT/RmiySD4J 2h7bmJ0Wa9Qk2fj3gm54/QJswtruMjhl8H9P/EUVU9WfNtnTc5tyyVjiVXKk27VnE2+uQ7FolTCw 1O0rl7nngnbmd8PwZ15Kds9UDyKu0C1yG/7ZcqOtD8FEL1KwJapSPbv6QrY2njW/sfKaK8nmBBla RcyVSuJ2L+UFs7COUdM/8qiDrTCbOp3F6PgIIV5xWSrsTLEEwEs6IuozTrCYZOfEf/tSlggJhehF blWghGQk0cFXT3dtMs2JMTghysSHR6UHZxBGDVpiLDqen5KCBqFaXYpD6CyzG+GFl5WSod3ZpEzK xqFXF2M9z7fLiPxGAaRaMaSgbXPeN4wH+mEldfluvDlZoS5WnLfHXDr44UQtNipSXSFczmB5x41z Q0NxJkRN1K1PohZc31060xYkaeMFH2B5mjxyRLCyFO01iB8CiiUferMbZRM50d+Fpfd4BtZV8vmC 9aY6vGy4H4WKnBDv7QW512R9wGW9QcccbDSuRJbnWpLQznyNahtJHE9Vqn3s3BvkdAR1bM7ynYpf tuXVyUadr3zLylVvP6EfRBCwZHRq2pp/yYTiqh2+37yNgyktRQ11A4U4ahbjJvjxTW6iewxZ3sLR soimZehXqVNf1M8dGh2jzs/xFHZsIxjmiEAJph+5CE3nQzTQZD+d+9eMcdFkG2qCyajwhz9fSIWd aPMNAohZ1l768Aq9XZZ8O3zu1du2Uy+oGY9rOtRwDBRlayZWjy5XNou7FN7dcrjZkf62EiQCVn9X VRnV1ebLInqf/slgausp1ncJjVorSNNos5mV4BqHUiKB/mDpQ53MECpPhzLpvx95gx76fKchukiH v9/PmQCxXIgU1/EaZOOZe/uMDJE8M1xyEMFiw+nDwozQ6kJ5vNN+7hZ/6w77jYrpqR9nHnxJBRt7 +SnfYqU+eO0C6oqS/Usb4GZUZhMqJPIuTQg9j7rCmZDbbp+HW0KXkXd81xmOPmOzjW5P9v3LWVDc Bvkq0gnny7MBToVZtgL/U/4QDY1xYCPW7/lHdhPulCuBJ62VDY7e8JCZxDKnYAaDvaZeZuzllQPP vYbiL2gIS1Y1+htdT8khxyhxkCgTHKs2nsdeAWHbBCizjZCLLr3cDDdT1bJXrHGfNUwGWdr/FfCV CIrMeSltHRdQYpAcNy1Se+g/VMGG31iCFPzi8ZVm0+dYudKx23qfiX4tDpG4YgZ7PMsl0RVInSxN DraoJPyNehVzbdHvBV9pS9Nh8R7zOBCK6uEq4CvUlx+izQUXQTXffEbjtLjC4o0plUmbAQb5rtmk t0LUGyZAhJT7fTzIY0f+eEE9Tfuod8NsWEODz/Ita2FgCs1m+yYzMwahVVBcFNYDAZmEs/W1ZrFZ zNCIu7YFNLC8T8W640S1B9k793Aw7wftQyCF0RK36docoHuhs70VCqL/iR6y4TdLY/HxglUTWSKs Uw+36mOz/szXJdHdNzYDtSC0QKNJgeP4JRRkPRlCGhu12eNUrkehwJlq3QqjvoIHosaxl9kRxQXe hSYdHfWW1QEMYAEkqqrGR9C6Ir661wo6ZdPDJD3n+UXWrxSgy4F133xzTTAQP0ZrZPg5vlQYKJ+x ffKYzZ2JBEWFNvpq7X6hVUQH/fw/hkEoilAShoW11tJP3y9AuaHUMtTwCIIh0Sc0e+oQpdrG4MXv tE9Zc4alchTTQqvmOsUN9AGdL1fxDcf6MzYjk9UnPgh99AySYzMqkB9YgLKP1GM7VFhTQiIwwA/8 aswdwOb7fwt6dPBYTnQyxuOnqeCQv3I2S9d2bEvBfjBeULyXsj+0TkjAUv2X0t86BH78/cEVyaKb J6x72Un+F6Uoqpb3mz4LX0vUq/Vul8xlfify144CJ6JWfH/WC91KwB9GxgBTh4mgmRKqF3uTdQLy 9ZbCMcwQ+tgSaOvpuL2o57xf/0Fzd/hbcer4kt+vyi5yOVRfKZZy0xsTMfLvysUlLeMMhNTBzdgI ywNXSFLRTG7kWePE8NcPCnp23fHiM6V4/0RcrZNG/AglCtdRM8Dd3GfwiXwqxNw+ujtLQMO2rw9Z LZ28NNJ6ZflPDZbwE5ll98aoA52xKu/dyyXRAx9cL1HqaOCVjnKBKBX3qg4mSAiizS2iu7/95o2H J44GbvGVwcDbm1uyoy8u5lYZBFCKufOv4aDLsxLMKiPrfIopicHHhrdph7vMWv6IOSC9SjGPifXL iEmyfhgxsaK2mJP7EF0tzFFge0/DIzSJKKKl5mr1U+g3OELk8RofX+qam4Y2FCuWgSgIGrABZ3i+ Oe/02Vnz1PXHDCiwvoCXpa4n9a6rw+UUBVtgklgW/x9d/XNcUX6/H4Fytu+/YTqCNUTMRYqrfQ3n p6cAer05JaKwACVrH7zedoBqoMWcdWulE0u/gZ+ILlXAhklHbGTqrcGpL57PtRJYkDdsuy3vxPWE 7yWZ6RnXH/p/VtRIvM04uR/eRuOkgvR056uufES8PmQSFTa4EJylHhCG4l8IKOizdq3qxvxtrQmM 5rRsGWOK208BwUq+HPk7B+Lhi44o7ooLxxutbCxKTnwbkbwZ//2yNSITp40eGWo5wcqC+OW7rBJ2 SmECyTxpr4jJnFfbODVIWqVwEQM4UXvr6nPpC7zweUqAqYeVraggxl3mszTVZsIkbD8oo/Z+kyN5 1E3EWvuFrRC/4dbhd52DWnMgHY6SOjnXePr2sXDhyCNGfQBXOY0wndqCzH1WzZN38x6M+hgt+QFJ GgsLhpO1yGPfRCg20zB9xTzACrvoN/Vq4NGqwiV0Si8vPCUspbCC6nkZxCUMmdSQTaKL11lxdIuq nOeMB0hB71aXdQZUnBAI1D/Tw/pICVnk60/iRl+D5WsiuSMdH1Z9tncmwsQIjMlwFnJ6VtwQ05Ba XZUyx5Q+5dlZI5Y/E1iB4/Q+39bIF8ozMhavXafwnNv2HLM5tHML0jNV9EN3PHJCJAtrcWxp0bJI EfPfYoQAZXwtwW6tpkpP4GnIDknDLUqp68TCN1tmk+BG0XVaUbZ//UEnSCNM2URplATfcyL8xLav lRYUEpYqrOx/jY0nNj8I8ARL43ln7JE2jdlULs2FbjvcI34yOKou+f7Ol25eVhMI6CGRS0+uno8Y aeD/6Etyd4q1tXrBSX2IArAjCcqcVGhjVpyKxd6jvcgHLABXoS8/n+Qv4f3D17dm8wgBBc7SA151 KQIBRVKud6hGRaH2Ub0Tm4cRG1pDX0FCmokoTS0TV6t+T1rqvtWe9NZe+RYG7KUov1llfM9dfGdx STFMEDspH5XIBcQNjtOnvrIr604H3tg9qNLX2+NZXfz5HkB/Tx9xaVRERVCmq2hdZKXi7rCC834o 4QkkSee/8piMUrAYZ3cYqZL7FEefe91HabCw3080235d/tArJ/LMqZeVkvQxmA8YUq0YvybeH0AB 2na6y1c/i+5DJi+tP/VYpePyTe/NIUeqHoJ+3DGLRb6PAA16iWhkDx26WwYVne8gDudkro8EkvpO RJHd0fNWH6Mf8o4Syuj5XeePoukhBHSdCPm5SG6+v69eNSdpILqxR2zObsQEuD4V+9dQyHIsSb7g W3ATVoTea3gnfBOPyn5+IrtTEqEL8K3TLl97IBPQXOxp4iWO6rMDAl8HB4jl2dlpTYSk2nA4gpuV OW0nqxnTSeAdLhCSNDXfwMocVJ8ciZTjPZ0+VhyDR8N8J+ShYbwy5oRjCOzv9ZlEAE4djV+msj35 sjcwrn38fefz1zsOA0cj8Iyp19IhyswDuPwxlK8QYCtv3/3qw8Ue5CoY9M3Q8Q3j9ElA2Z3AE+/M SLzzE1tArwiTQ9RS6JTTi4dP9qGEP8zuoXQAiq27nmp8aQbLy/qgO9WMwvkuXsZFfkir09ls746b /CZ1TMeo1WGwKGyibzT4sBot4Aw7Ge13dvi95vB3nWPaZnCkHvuaf6Zdf1GE5pRkyCWN+ZDbdeg/ YZnEgEtNwR67zINSvGA9IkAePVRzE4pW4sWxz5Mg6ChIm5WHMYN4xHDLv+o79pzSRsF+ixIPA0UA pDCMK6DV6sz9x0u2AJ4KT4nVud8Ox+siA2iev6AxwXwjhEvQSabYYf5MjSzEi1V+7GQ2h4KLQGdQ n2VFMcIYxGoyOhJU3VtU3fB5tAU1PsrSTIJ7oMGuNefc+++SOkUr11mD+2qYJqM/xz0h5sPEZRv1 JAemrNMwceRzHlmoK15x6sRR+5E2f9XIvyCTvxRfpIKQvfBD9FgV4TW4WCJ7fbRzVu3hFWLuKuvl JKEU0RH5XoQCBjDk73BmHofzQTOFZIInoOzbUVnGcFa0R/8wwjEs5syWpN81JI9lmNDsvwCX+89J dIKXYEeG/YwpBvxCS/djC75xr42oYvq+CtUQgvxqSzKS+5gXwbh36IdDhya7jSx3aKSjJqpz04XP VAHjheklUj/XIq4imlaNXQTIdmbAFT2Axs3jPRAbFG10KwBqURPCOSDnpWydAfqBO+1zKPsbSQT0 72aQIes9G4QvPJE0HQJd/a6UMFMVJzoOvaIGSmga2wo7KLCzIAAxlF9Xu2drQbOGSL9jZdgcdn77 jKQq5rbXwjVuteot9QBpJ3tjTX1RS/OF4b7HJhWbv0itqAqPxSpo5APcA3OTghDzHJ66+TQg0hWg UisBd88Dh54fWhFAbuVU47a9QrOuSbVPvnaLpQ1aYtf6LQHZEXHisiTUxTgmWfOfo68qMLYqjfPt 7Xs0QUCrPBM7enSPwh+qiCOhsshFmncoWektHAJBm2Uhh9vYYRhqq4RE0p6h6Ntd38aO5cYYL0dt 0Eu3Fud7PKNhPIUmurszTUj3QXlr5gQYRvxzA55KU3jmcN7bvCO60rbJrF0kn2rvNjWnQ47seb6o VitMb+jXFp1Ur2EP1t7/aV/TmDuDT/zEHOxR0c5qeV96lkLPR8hSH2dzQVgt7pO5YEBXUJAAhgcG fmrSHf7Hk5ZxOjbNSulpHHF1IO/c2EStG05iNFvOK1HytJCgiTR0NWBh/gf1Yh6dex1GL2/zcEvK OvFPDIDpBTFO9WaTi3ES1tfihqdePT5h2K7qo9b2e9JIhJ9mK8jUaigaVDyysrDfhjTjRrZq94ER KqSY1IkFveU+Vv14uhby2WDRHtGsaCE7Du2t9P8pEqbYa7OV8RPJE1M8MbUmJKoc/RuJyN9lV8md rcRERHARJKb/VfUI1/WmbJ3y//KaEx0/LOSibFFzCqYdbZe4vEhND7cks7yKOnV5xcdBShP30YD/ I1aiJUEg4YDx4eoZsAvgv7n+Tt3J2MD/ggaJtYPN6tNVGNvSa4/Ig/ze4X5dzIJKCjZBLJ0iBH1J xIOnHmCbFxMh9eWh5Br9MgmN53xTiYunSjyedpFDDF/WhXAr/y51d3RKQiQ/j4XkM16bLv+/6n/1 sFulblwV4dBSolI8ZeHvJEHle0LS/Wy4ScxHpt5GG5+Z+QNtLIU/d65o52aUz82i+AheoUhoaTXZ twHorKlEMO4X2j3tjOzhKyNRV9jITw51GYOyqNcpOxs40NhX8z4oxbQ0gLw2BOvyy+Xya8Q9wpwl juJQD7Y6Kd8GIK3G4JGT/gye3xYijZpnJzj0h0P+i6AvL89kgSeNMhRo1HCUsApiEacXOF9gDW7p m0qR/bRNykXijD/nurSKVIQaYvvNKabBenUz7QuE6UZnz6LHsdleZjCbv3bYIZsrTtGrFhYP9h9e t3mgreqnIM1CPeFgz79CjupBqVjPJ60mci6X+YbBCd7J4K6bXGYJ6fn7pHAo/xPB//wNcaJWPvbZ k2/oxjPYInWXNQ5uNsBI9vfj6qye59wPcsD8djXkYxBlkgReFtpCn09DwcNPmznaTBOpSXlPd03M 23BgV5mqbAZmt23MMwKf+QHZwSrMQEqE/7tg/QnobsLNbWeiXnIGA/Ia/iG2ORM4jvlqRvNkSZUl KGkCCH88VD/j61Pb2zK1nlck2UV9lAgDeHIk1OY27eLfOgMYZJdYa+lxbf9GLMShcEHv/pfERSqV WRUeDevlcdbTaQ5m3/GHZbRL4RwaWBae3Qj7x8guujOfnY/W2+YaKr5lsbo88vpAH9gKpmtryfSC atQW4bgcMaNqiz96EOcgAdr4j8qyHSs4+XGskwpYlVMiCbLx3IdYq9ygPXfG2U7DewfW8nL0YnOw 1fqwVgxsaLqcokyILzzj5JfgSTdpIpfrJ0gwFCqOOfRtd/1aQ8lW402Rc9UrbP3ba3D6sSXLz40M IFdRzOsV5WrVpxA5A6teh4kD3clP7rAzYu93prfGXD27XUXI4huDBpA2EKCqd28ajGtafIvtoEVH LwYPD2enNM0aZPiH/amJ/k8lB5IKrXynUiH1ODyWnKszH9Bs9Nmk2nlm2KPg5Bp3g8+bMclBmWrn bC9dUAqcJLkL8fYNjC9ir7Sj27CyHdbHWycBcMlAvAqkf7XecJXOF4XF5ZWpjtqTwbrzA+RCoBkT jNBdvk6D9OAP0+vVAGyFCAwISb2sYbsoeden5/P8zTtmIKYN8t0RcG2H0OZCljsCOSdyJX/2fDME /Pxqu7kbrp9oVsMOEx6fFSF48/+/8Ci70RktF9R45IAJp4rsELS8cyJiM9I5jbKuPFkIBuRg42xM 7wUhTySWFXNLp0VeZ/kLU+gXNCyagOsILkIRgx8BohOnPCfoOO44wqL0z1KU8YSGFxV3JkSgsyAt wPIu+VrE2WDaRZFz4n4JgP3SULPj4IspYL5cpLKOjuuCMbH/ABtk98Stop72kVPca5e+Rp2tHfdt TAyl1RBfYbRG1zlq747+ld1B7ya7ftBPrmjXl0GqYZFj2OCg3LrGdoksmp/9ZC8wO/nlZb/idOgO WYdphXiMVMl/RGJf3FlgZlDu3eJsjp/K5rtP9QM88WePVmY12W0siIujJln09cD8Gb2Z+CbtL4Il imqCiKEAbKz0VOayzEziCZdoSec9nAG6hXhbv96dNNAB8qTpJpzHpN9Vn6ldiH4ZLPNA7t3rZtk3 F6UmTU5qkMd2Va3HoX3zrguB/ulGoV2UP8X8xHerxXNxdeYC3HlrHT/jovsilyWr8Qftwdp13dua rVa8ouBrEgO/fYwNd1HkWYvfpdP5dbqgwU5pCaNkNfYItay0zblDmtw0Q2Gxng0b96gTM3j8h93c dZJdrgAzZ7m2Xo1Ehd0dRZG3H8QYXWKlWkYb24b8ydHkjmJVEwv1kt+71nwEWAU4Voaw/N1WAx6x Ni0FDRcNVG12zFBiiJOJruezhsb1554TLJg5TUV0lX2EjBFB7qo7WDkJEhrbL1qkJH4GMJFVJahs MLAKqEWN2cAmkgjTs7Fn+VECJZ7PdS9ekVIKLqLpbTcasu3BJ3x8bEayV4p5O0cc09M+D/13Wvfo P01WLifyzWKyhNsnevT3QIFfADLIc++W2R1k1LLH+ixQTr2jOW0SYCoj37KTsCxGb3bN3cFrzi5H lNQUvVIzBx5TV8c0yDxRKIWcLwRbCcC3e0N0JxPd7R6dOFAwmkMwfZafhGU3QmxayVr22wYO+nA0 oKdngDglwK0wJeNFM0rHd/jQUKOcRbg/HPStOqIuHKgIjnxVHtdFdwWHmlFXs641F341gx/wOxVk 5aXecuURcw6p3JJe11ujgvDTIL4PA3gSqvfsg7NIjmyrHdG9JkuQxysC//r1FZHyaUYegfyeP/HG 8XsSz611c3oWHBwsInvqAlaAvuQxSpnR/5mUTAZGa578YiIMCIxUOb2dQIz9ESQUlTxs5CMz57Hb q09E4gawwzH2GeAJw4rZC82kVgdcTeFIwshh2xNrDY8cFdc7eR4nB82DI85UKkZHXP01m7pIETKj kgZNKXjKOLMNsn/O7uia5D3xZ1XfzX+t5JTXcVwUlIxDwC58c2vpqM1CTLAgVurkjLvYO3x5ET4P Lvudl6SGwH9OjiE0MRe6OLf2Vd/UPI2ZqL3EANk8WTUaANPqlImP6D1iuWqNGX7OPQ2L4B41ccx0 XOTRz9VKSIIpCsKCswZYdd+SCDv8j9yUwVqJ/cZ9UEmZKMkNOTKyz/AMlTIxLl+4nXdjklP6RGXv St5IvMRSUG9aXiBLVtCbTPrK1MSrts5n3LmlxOI+YSOxBQWrgFvfk1lVQFPXCpxXe6z7YNCwj1us b2P8zsytKpxj+4OBvcAXx+YhkHHQq8oIvPTCRbnPHbNRqnwQot+LnPxFArLjO98jTAZl2BJpHKb5 rB2yzEA45d0scUZJ4Z8DxoC62jyHLq0EZhGS/kOl97c6/6dnXNQY0C2oaL2wog64Xa4esruRTHpA YDuPdI9+g8zD/7qfGjXGMzvNKuUBfFW5SmU17MF1ixXECGH0dCSBWsHOX4OQHsfPeeOU/Ns+N2CQ Q8gpJWEIQRjqjkaYLbpAH9P9x22xuYwEAVtQKQ9pJECO9h12PZTzm9UL7xQFEyWVf5BNefWzro2D EbjSJ3vDbC9ylx4ODABLyF+4OtRKXfXe3dki36wUxcgJeu+jA1WBXuKxOep2l0LTjajCSN3QEu1f EOMWvTA0qEG9/17dm1MRVLUbqj5sv6w9xPBJZ7A7F4fMXy6P1VGYqX7wq3VW5F5Wvo+ByaC4ijZU 10k9hK/p1LAZvOKIH3URJJHrVXzn8P5M0y0m1IPXkLx58OmvOL8524IBG0VdRCk4zQdDHMvw5uX5 ZMoycdenPPOHnwdRiq5BymVnkcanUJggvmzhyVDAFkYWd1pYd4n5QscFxN3YRVw3MuwQ9wsMbF87 Bydcvq1MuFDB+icz3V8VZ5OOvH3mEKWeID1pxKnf8uDWaTHYmc+ifbGnhjYfkOlv7UcbUGIfwuHC QY7ev/nTOsQJcn9dks0RyvdkmGJY6MYK7aSHcmcdx4peqzdcmp+DUM8AylxqeHTEICfzm+xiCeAa KxxicAI3xvT4RmEUlhttNodEYTg3p4Fdk6dxxWr0oSrQhKIdtTrKHcDgAHAwo2N5qex6yKHk2bON H4k2DxJjFWeOswfgDN5dG5gDZxXHxrci9cXcdoPGg0lIaKzIWkObJsLyjh+rUS4Ar9YC0jOkg/oX yZu+mh3Ox59FpkmpJcillWcLX0uPZzOSM4HCycfVUJJAgNcuGyV+YPc/WXloLtuQWgjLKBK1lRnU 0QYxzeN40GMFYK9LWQq4mzxUxcHHpGPSp6P5ixNC6NDWsUOrRfDDVUFC6atV4vyWCZuIGTfG3uoM OSso478g1if2LzrYXTmE+0Rj2tveqUX8FC7BI9MqMbMF27YIAv9q/NwfVswcFsMZEwKnKb4qTzBx II6drjpjnMeYxuOB0QzXNJnFzd0OTjqZIxkypoFY+lZ7m88T+bhCut7yxGCEeEsqEGZyGblNcOJa Zwx3voVREVI5VurbBAsP/d52oJMhwE7BO3RZI7Nb6/Hg5+t/EDiXIMpZrqrzFDcZb+JMVY91Xnq6 /uk4pin5YR1wrc4Ukro4lu/jqTckyHwPJGL33AfiFhooOrVpg/l86yBDZU7CKjF6FObfcQUmPjAA 0IpxhhEaBzQ1FjywgXxu6ccT7qEgQecuiEP6m8qIT/qTN4k47JtxC6F7cpmRh2/t8wkHOz2TR6B3 5tuZiNvuSdNKTCW8ZT05xMIxmKhSirjQ5a4jACVv4jmWTsT/eAjuibkGBlYgWRVPV3xIpO+gncwV usp3DjjyByiCoQy4OyYJtIcBb7FhqSUvJy+VR8TjDcfIoNmttZor/eX6ovMLL/w4OE/zxBza4Apc g/KypS28Mkk26cvJp6jlUwhNz/uqrbKHXbeZgPI1EtPwlcDEsOx8XgpLNtpe7uGHgZmGpqzL0nGg k3Ux/JGbZQJA4M37PzKVYgNFHxPfxaghnf9Ns3rDuYNWr6GzITB69o+r99Vx3rAL1d0z5lqz6gmg oqJTX1pw/j9OxvHSJUW5nVxsCaN5094euVkoDDtUJbMpIlaoz7oG7bvml/9LiBy4Y5aZ9Q0XUIQo qEdv/OhWVrzKN9tZRzCWXiDFnOi07VbVOuhpzzEZtGGl5/n1sKwINJWkrlIVEK1WLSathHaFI2Qt es2AhdS5hORcQmuyycLwFL4IIa+IMpp5dkaI41Y8SltP/va+AsmdwOzVunQRMJMy3RvWwxU8iT4I bZAcVUh45JXh9OSg6ywi505UEqP4USphxec7U3YWRMkL/CApokgJSLBZYdOTZKGMfj9BGsPgnHS2 qL1zwQ15BNAdGIS49Uj/IgQgx/v2bvvVVWo4iJAywv+dHRdN+4e25l/Qplu9bVZ3rCWQO8t8TwPj uVQ7cURxxEKj9X6c60pEebW1a7/tS8NppFnVnc6n0q4ugVbs1j8SWiCMPJlNr5jXT4bGNyASITql sPFzgePy+t+v1ELEM7kWKigA6/DpleKIJYN6rN/Rg5XtgI3if3dKtikphYNpx3m7k9mlMQpFBjAd KPJMg+YuaUsV0LtXdNA5IW9bTYDoAMuQd8/xixPnoyYxCEsdWckVo19wf49lJmv0qEugl07aFhmw m1qxzAz52sMDetMT+VjLPDMNDZt2gVShY8gC2bfuPa4tNF2OKXjf8MURT2eL39lnuYV0k6dER5rO c4dn8eB84zPigBIxUGcETzer6X/wNhPkk2EQ4puyEfRFti57tUyLBe7ACKYuU5wQZWF2zoEeQM6O oBpDya4nmKe3C95Pt5tKR5g7+ovTVLgZJbjU5pOaMXqdJ4giL7BVUQd5/c1E5dFjIXXn2l5eEV6g UGPaJfrr9GhUdOFziPZBnompxiAOwvC+tTgEbiei/rElTfkzhUV/4sqevftt9qvccFLHUJF9NbcA eerdMU3UbnsCju7+VfIKgHSV9CzG/d8eHYUYTBYk7mxwx7zo8OCssxsODFNLORUQxlLLEGPzjSRR B5pta51Sbmnz1IQjaneMPIpTkFJtB4DntjAmqWV83CeopGHNmYoUWvNul3rXsDXfnPKDuUoacNip /k/QwQHpJgUKMlKOid674ncnS7/uOSp1JlY0NYLj+BIFrpb9GY5He+R4weiwmkmS10I9DRyURlqu EC5oTNPU+GauG10ProDREf9lEywUxpnyVdMOOGSFnSgSKxAGRW+4oiDFFsnuiqjLNwShMWn77KmI 0Bz2MoMybaiMQfOO9Y7WKvnfY7EuGyK/78dAQJ7jbbyRdZFM5BUGj8KnwD+47KP+2R6g+c9Tt3Kd vcBEuEPzPZPJHsL7fm9655LidGfEPq8t0oR8zB2MneGAXabsDReZgTkLAybk1Z3RKsoxrqAT+OWO Ttc/gd7PCQAAHcL/sBFKvrWGxyRMx6AevOC+oj+dIHoEfNnmbjc24OCOhTsHFYgpTQ6cENDRLE1y Y4dST0ibesL5wgNLT/Xr0v3jPrBQ0gIaCY10rN37y3SXPl5c4xh/O//IjgFYvD/+/QdcVotOxRfS 80N+Ya4cyeTigJ/mYcQD7W1k2MpXqx0/C0a+Mpvau/g1G+SB6/QCv8yMf+tw030h+5paxM9UHzoX EglYPLqT+Ym7T5IBrJP6vEWc9kZJGx1i1wenc9WMci7XHt1VFGg+uzXhIuC4Sdn15MUFM7NYJZ+0 QASwj5RCj47XxGhZmqO/6+gjbqOM5nlAHVZHKeJJXxVM+jHXriwt0neqhiYinDlYBSSpMCL9v3Wq dwcVhu1wImCOCl6gMsFvy9fwAgZwEAHdayCZzNUOoaNVEGodbboXbHe834k+9x8TJV+I9L2pI0pf WPcsgG10eTZ3mzB4KT2XGOz9EG86kcCJ+EuWF0+xFExqx402gDg43QbhpfaeXs/2bOO/H1mj2YlO lKmS6+v6sn3HPE/gTrcoyYe/Wh4NKBhziu1N5DFUx0OD2rH4iLDpfekTyl1ScBibaWcDn3ywDwQM /qRaqfdOXyOsTP4CldCwYCtnBAv4W2H3qRIgPRbU5DAIMgc96ig/BwS3WMN9l+y75plkBkcZZ9a4 vR6SbHqdsiErf2ur86535c/atC41nTSGSy25njxHWOMrUVsc+ziMOpI/2smsqqr00hH3caI0Rn9D YqcAumNxbpGAdUAyK60NiAHb9QZQ6BMdnf5RG/r5ORrKEAf6KLWCSCcW60y5bty/nR1vXWjZktfc tiuNJGzTBGIQnT2PRf1WR+i/+146ZFafVM+jGa3quu4vys5L98j6E4uQc7P0tQsLdFISZ9vKtEC6 Gqmq66JasJqjXRe6cKy0bw+eOUT6KQ0YSIXwyJjIGxaf9sFKqcUje9fuf61OJ0385/7trpc7ZcSZ m5kw6HwoOwbLSJfkwBUlTWj+E9rTUhzztBYmyaK+W/Hom6QgNfQzrfNUTL2/eI8163pI0e3M4jkR d1MKhJvOyhYxjaGC7F6GaOA9oqu/7D2N1f8NAsVV4Nkjrl9UM1End/2AgnH3uDHa6olJ0iULDbvV 7kfpD75FzTaYNum1neraOX2oC1ZJySjKoe28M7xcBZIoiuX8uKxfCWtf+qJp8pvIbpkBgVLI8jkc erQF7W4dvQDlTrp/9n4Y3ybW+89lcyiD/26utzJnRcoG1g27DqtpTs+7zTdMbAHd37hDDLouG6gK ku1+UuBnuWgjvDbW6tQR1gTLjFONeQeuCwX2qyFIX11Q8msIz9ZMJ+stU0YH7Y6SC7aMRrCL8agV ky8+SvD8jVrFsvVJoL0hoJQy6M/mTajS/jEx8xYoND+rU58m71sik1s6gMPETK1zI2CieELnkZ7I c4wbskJNyEX1gv7B4nzW+dl4id/jLkuma0oW1Exii3guNeM8FqfM/uhyc3cypdodTKolhsdMdfO/ Nz8IWAnex38NBX3MTaze/K9Zn26UKNEVdrdQD37DMiPKh81JzbVF1n9ACibAI4pdVN9v/Qi6HmY+ XO70X5AXPstuIiEDmwbRfyQIW5EPHECJfzrCKhoaDJh4xzEJc0q0193T8X/yyXNlOYC5F9f8UPhD 2FMdHifDEyX9sy2fhOrfigWEUddRPyej3p64ev+MX3BYjgzHsQa47IHYIyk1Y5wujov+fBZzZjXR gz/wELtY3xxcBseuP3YbsPdGnRpyb//Jw8+YpYd78QTXyGIyGgGfoPJpBcfvtUrxNmtCSZwkJilq cnnTwHCIYfMlik5ceryOfBmOis0SVGZPZN/WTkuYdF9YM0ePDDV0Dd3HLutMtjeMD5vVa99zfd5P mXysFFrSQ2wqGQJSyrS+cPk55TDJ64Ty84mdQ5GFHII921Ik0ARwuH7txEdBAYiWZT872vlqvdI0 W5YESEI4OJ9Gx1pcR4B4iNlDDLbqUxGjp1IFWfirCBqY9BeAfrY2+dWYIpx6H9ugRT6lDXoIdHuM wKk2b9SuqeEVquo+mEzBYaI6flqUmW6HgUmtTe0eKK6UeYe2sBSYuKuFNjBEa1OWlS1ARur9fOTs p8skuP5N/u/HfJt10UjaCiefJoeIR3yksFT0r8SW+91JXAdatsbhHrUoGE1hio2bO1gLEmlGP/Cu 7r+C6EmD00ksvom60GnZh+rqUBFqfcack72of8EJXe1c75ncdOwmXUgd828uJdxtIhAPsU4Vl+GW /d+1cYT8NbgUDByBLBSwJtJVwQAjxpXfqHHJKSYVXtAHH4FAe0wgLTHxzHN9+jTufCrcxKVLEuUB HwEU0EmRP/IEySmsIm1A2GtrMetWVrve8Kt2IkbQwC1RSU1AzRAe2WlbcrIlIWWX/yzyWAfZih0L Ot89HkV46iJfZXtYxgypR5sExkgBq7g/egk1GnEDZqdLtY0C2ts0GFLelYqMEAQ/oaS8M9xPmQD0 Fl4g47t+T3OSTmzKJyZ+N1bvaIk0ZJk8jaVyD3X9UnuDPbb3B7TeexZBAoqqu6lNMxQZcNkVPlot kC8vkGZqae8G4qM9mb3gFU7/dQ4ZQtxvq/MxjXCnRcnUhhCgx+OJXw+qXYGCvW4A0xUqo/3OByOU 2WjM6EP68o6aTm2VGFshqQJMp3VIydvwTnvMRl5U25VcbPTH/kgHRMQrN5xGmFFhC2ZTdMgVlMfV gOsU3e68AFBeczD2erzQzty5fn7VFsWKXYznm+sBxhTb1cISsXuY6lYq7nAo7tUETvAuJDhhrCAS hyiBMgtpahP0NCFvam00XxDOhJ306VG4oSNzerVM1MCD+UovSmVbDkHQE12RP1+YqzBiGHr6ILZN aQX+/8gKj9bStNOahp9jsZmSZ/KHYFW76v39pjy8Arc7Fv+JU2MIDnVE6O9I/17Qk8XoXZzdqm1E FG2uh7tcdqai/kKLsirW/Nz5PVM7HYRsTwVm4/PfEkaEEvSC96FgYZClWHhAku8z0G3/K1iyeKVl bsVLnOkvcn7fnf982+CqTMLpteqpVOhpaQ5ycLbbH6ih1j78x+5w3jd9sTwM+qEKui7SNmGCbTSU COGi26kXY/HaBmhciHSxxbFZK84y78e3syuoVhfxo/C05t+Q9Aq9tqRCIr9wgS1OEpfp4nhx8+QM uMUzOs1OioeZosCOtjPtcPQ/ipc/CGFPirxDQUqgpQA8b5pnUmP9ExAL/nCfgxkD66ZBm3rI/qq3 8Bk4Sd1LK+dkKTGXldFZ2ctTp+RA8HLjdifdY6qjYZEu+DANhRNDgw6MDs97PaoKEE5dtoyPLtcs DIp8mjUEufBdwky83jphEGrdFQ1onbq/m4WhMd8MUMAEItoehe7LmjQfoUtQckfFoNNJcITxFXhp KianvFg+NUouRztOQ0pquHhL3kk5TR/YjFa38FpVWvJFCC1gc0JsGJJb19m+dE2hyRnA/7yyVfZ/ qYuip8M1cFR1I/210JTwEzf62iisL+PTyVRaoshZjQhKU9keCfF6xs0y9tne7YDlc0llbBv0f3rC XVxiwWIS8xIL5I4jNY16J4GTdNXc4sGt1PJMh40CDbl7Rugonex8WQGE8fXA7rf2F7+vgdnHs3BY eCmVaessoxhmPyD3C23locVCvcD9O5JpwGNPjMJlfMPTBJK8RfvgZ5F7iuqYAQ6pw+FNNTSn2T5j 5pu7lf6nwHAa2kSnNJeNxunPxQ4b7vAP6uAXSjCsoaD+oJo/U2VmfwxtjlfZIuCgwqvKc0ozTX3L vRYypBl39iJoH1KGgVWxuaxFv1u33pEJbeHCtlxtCsZp5Na2c1rQQnMh8qoVPudMd5PjgS8biib7 hnQ+9TZW6zdeWFPM7nKdciiLqbbMEonagJxSgcwFVpDr60iExSLSjYNcM4MRR5K2hG7b2Dw9n2mG sLCH61F9z5Qpjg+7DaMqm1m1Q3XjB3l81sqshdrfly8K3d/EBti10F6Gg/80oV8RDHZknU1Pk2ok LlEZ1ko8yfwZMjMiZt/ldsbhVjP07z7nHHY9mjrF2o1loAxX0f5oRs4lFN2QfjUB0REvMkKpE/uw M41BAxqCVouge1x2cZyk4vXICoz20F5qpPhqC3Awmqzrc8O5fB/+k5N0KzR1h9dmtlBEybuiclzs F8dpSyD+Q7XBNFE3Tk0KGK+Wsp5Z3bzn/7eWIsrA35eXZzI/ovJrXt6WvrOu1+DNduz/QXQj3Cc1 CGYXMVGJeMMjJLJHhAnUoEOTvoDujtmXBdyjJYewAVysYA6Igbr++VB2zkawABYysTvuvtQXNi9u jkqcMYMiErulg85wubJpl8Z25BqQUyNAQohhoPQiwO84v9D4iQjwEfxzUjpeJtUgGO0/+cv07EuY rNF5rGEydEMjK2FqtRvWWl/4hiPKQPDgZcFQUV6pgE2wUZl62Ga33E2P/kFN4YnbYBPJdhjYHjrB q5he2XoPNdVjMAPEjEvldwHDbLrNyKcbOMIpXdTZPfrKPkzE4Eg7N8Fi/KoToZ+X0S0OXf659UgY dO6SqLQ56IBCo9rWz9ON9YduKYQlz6ZH3vWwf1XL6KdNdXKuREAe85w1VeJ0YgXnWuwlsQURBIJJ Vn4iEjfZL1rdWTA3F2Yagb/evcXuDeW3P/Dh/D2xn78v8usRiojkw4CiYprfmVC1o1XaaqMw3t/1 cQp3QIo+VXH1EGiksLekAjGXaxKPYohrmaj/4YvCmGPVj1UjTMgEkqamfvmKGDOdSkqMzKKM6uWC sIdoeGSUaO6ybAOMcZlZu9R5pTdq+dCUBsq6Dmk6SAX3y2PJqW8dbhTc7/slnWm21X0oMuQ2d/AG CM881QoUxKLZXhVnhw8aN0kpHx4sstloBbL1NtzrbUSQCKKByFmhR6un6FADhyAweGRQ5vcHzLm6 I2Bg1Tbp21tTxvWeT/fiNXkzYKQVgv/6YkGGwGcR+rMBrG2H4IhOyTadiX1iSBw0MaM/1UAKOasD jg5UOhhvSr4D/8iU3gktlc9TgPlInuEgwkOX9WqtVqNKUSZ0vHa8dklzaED4qJ3Ps0qGNexalM2H FLSWoMnwlaG3xUH67oJk+s79ckv/9bDOScjFKGbzHAN/LxPrmcjylcjA3zS3GYRefVyhWC1oTx51 +4TEO3qZ/skgGQ1ICUU0GhIj2dGudRHUAOhz1FJflUalfQvRRkG/od/pNfdDxa6ro3lwIxKWZjSy kgJ/INls211XPcY1mtd0d3BSFVDrEzGdv1PJ7t5TVEWkPx5yOqidDo3pqbZHJJK76m83Am1ggN/r LcO6PwAwO557/32+XX+aNa+p/gHvlcb3swxNggswtt7lgcthPm/fzc4z3vbXpwjOtsijMnB1n9ct PzmRzrGv6Y1KL/OlQ/i/av6mTWkbWf4UmHKwK40QsH75mPC38g0qpuquqXC5NfUfeptVLs/lK29H zBnOIFMV4DRzt4EzZz02g/KRVAtpCacR8wIqFsRUP10i7YdZ/tAJT3DbMDR3LrB0xVRcovR16g7X P6VCEAraP1qlZGMQrfEV2x4siAiqHCE7q580CCYAOn6uuY7PTFwKaAcvlHV35CAou0fkxItyW8E9 ufZ2ByRlt8JvWJSm8KI8+0/zMrP8ysOT73qcxO7GoNHB6Q+269JoYn8kflCxQ7MCmc7z2u39840s QNgCx7Gs/fN4lgBmiRMJsAxoPao0rMK4F9JJ5vsPa9f6qFv/YwSJUGGykDnBfwIC0Rl9Xbc+0V0e lKjSN4mTEMvR3apmdnOT0d87MeG5d6Tm/8InyG+oxQaIU9bt5dhXQoIRopmF9FZ7a02C9U5/EidO rDSXUyRyH+FdmCsLTb/+4guqDSEjEHgZMVfezMbf1lesua6X4DC96vWpZEPKCyxZklRPivxfmHkL X2CvGwGBiVg/SeBG2pvoK5S7hbnRTrEBJ3UfTYt9HNZlM5H/0C4RTYqCUKjDg9f7FIrG3mYtcrTI eKgVujp28ZLQp/GLx9/gUF0zWAHZc8OEMJz8wRCWouqlc6X2gBiSqz7UDsXzMzJ3bB1L5hJZvR+a t/32BswiPSkXrMf0E3DqjIDlvwg58slw3QVbucMoTb4WMU2gKQiOqB//9KTRzvhl2j78eRUT30UH fXuCJkzTCbmG2stnAhpfuYVtC43P5osErpkehZNBB7CkElj+i+yiM8pLL6R8dDZ9Qn3s5vEH+4+y DAhwxz2HICzYSmpkfaBUY6s4k1u+AOu88P9tUfbbCa3top2Cfu84cFJBx5ymJvII4uDWb2gjQGRp m7iT52DDO35UiNfaFF7PdJuuJHVdgZFGQ3rHZXp2n63rpkq0lQQrKhcJkAGfEOmbMTdZ9au3a5dJ DZUnxqEmLjOxjqybTdvwUhk520NNM8eJsWvWeebRHucbftTg06jV/tIVwiY3T4T3uCWNMnaduDvN XhqUYYzkmOWP9QgRyQ/34N7KLDjn650mPCKERXi9mgc3nmzOXd6aCqOWYu2cdjXADzSB/yqs99AE r0BJvtbt4b7tisMH19bmvemUi2BZo6XRCHeCE5OGzsXr9C8szV5DwaPFqGSvcHAwGgKfhSSZuoNS uQ25Q78LNctWoPXq0Nemk1jlbc6m9Th0TpXOpn0L7t1jHvdPmkuz99ss3S50isjIHKRi2YykHtWf w2DrPwLGX1ikE0sP39CIv9P5NE7lSz/RKemP4S7VamG/SY7W9cj6tt7QpiiwxkcbFY1wg4wz5Jgs QtlIaCTOLEBkMFEkUkqoxnozqQCWvWORzCVTD9hKU/WltEPDu0NEKxUSmG3Oo8yDjmZeEL9/1rIO +iBajridavobFyRMuRcpZTiYaoopN2Le7tvaIhKReVpP9U4BYLjx5hCucMZEHrV8ddSl6d4Gg8X6 R2J4EIeSiq34UMqH9z8XFf0TEAy++fXb21ALGsWer85cvVWl9Z1s/nIZVwYUXE7YFswitReySylw FCbVd5q23Fc9Zp+HWaxBI4bY7+AoF9fWKLISkiUqYL/CAE4x2aOAGlS2JMVkQVzvJYwD+NTf2Y0J 9mwZeon/y9STENplV+ioba/oBE0/sBrbf5zLoKLKmJAgX8LV4n0OImBcYG2+RaFUaerNfXC0PSkH f2vTvMhHBRQReEnwPUy2aIVUwNQzHGlPeU9y1/boXyUCiH08T24U5blNMB9e+nRzzJSW5YGxto2i Sk/c5/rhNyPR0cXje1GXv6hW9Z+gUsr2E5Hsj6BLxb1WhvUJSdBCK0xU2agnRt79a+Kf4bjk747z BHV7ppxYh1XTyT/Dk9Io3TLFvqx2uNbBRN+Cri4ygNPcD/QqYPwdq3mEQDQOUCra2cYYtgVWgbjz YXK31wiPoca9AXUQdzRg5tgDRJBgJ4J91TlCJifmL6VgOQl5wp+tpGOaq8usPJec/T6CwZIDAr8I 67gKfHpk+H1N/XsOkntpBUanwE41nc4gkHTyjHkGkrUSEq/488ZaAoHTYfdWL/anPOTEps+QR4gt tGNxJ+0r8RSv28qDrO9EZk0b7FR7TkkQxzUsS17b+9b59YqI8//ux5jCKonBCVc2CNRKesg1p8rg t/4REaQg8V0aPy2QTf4V6uLl38YqNqKU57MRrZ10NQD6/fBwL9brLjmrG0nhtSuvsR56mGTjo9Cw gTGCMh1wV7/LUgp85r1A7NG2LK6Rb0ZN9i8mCJVdB2dMMM52fDxsU1AO/0eEEPOLNzlmm7Rind8l ElcQsoLjX0HjK8qdVNoxZD5mgJu1HVtpfPmyeKNSdEfCUhJM/cDtTx7jdJA74V63zDk7zKF1i2et CiFxPg9gobyOvE+gzvE967RLrsribRaAaFWNr7eayioBiJx4eotG5OJpfYhUiSN71ncEubbnfVV2 X0+jwtjZvKnHq8ebugDiOz4V35LFCv1v7Ih1VVKd4tvLsobKHtd1zddGug6Q67KbRK5Rhyozko/y 3RQq99jyQPjf0o+7vtaFgp2vdkYNAU8p7ynV15QVg1kGXPdcznLVy1Ezn2d7cg6PkYOa8v8CUmf1 gdjhMnx31KHq02Xil1q7TmA9xciDJFsyECL8K8a0qR6eqv5BGzAHv3ePJWdwQKlV0md9UNVODyvJ 1BukiQiw7MEVHikrJu7gtpDRLMxlibfXTkGxcxHKTTIw2Bl64QXjwnqcE0QspfIeLP1AOp2UaL0N jeJU7DJHAsKLHfMyT1nKbk4IZx64VSJiFCLCzuM0YPW3JkopmbGjnprOt5KkgaV62OFMdeAh+75X Igr3V8Ei3/aCG30uqOqzi4P+AhsVoAVncpya6w65eycA0UPyBo2yjsSED+kqzdRP8naXUgzDEOxY e6FTR/NWn6NUFUUsjUV6ttYySYoxCGT0bt618hrKPs8RgU2JXb55RFtoOyyzT1szTGZ0Rv3w2qkw 6tJTbsRVsFxoD/CQjr67ya4lYtkoHPu8HsILU0V3B7HvYCheEDY4oz6LNSXHNQGx03qkgV985PRL t4iIoCD0cqAzhCeOVC8T8EXC+Z6Ok5GvnlVa8Te5j2Syd1DeRNX6QbXvoPUA97gyIvWwVMpt8cdb 6+zYcGhQGPqg9a0HTTZZ/r1fA+qG/Uovrde+dippBr4PFuXSzswMmgAzjCM5w7j7h0x3qHjUCynJ JADSMcLQP5WkWBkFg5HxYU6fKTX3IZoe9FNlIywa9kJzOoTMRhpyhHKozVVPO6q1V7qY2PEt/lOl FO17Nf5JPpi/JGbTjbSGNe7uKPcEJZuibIVVrMgicLxvqVMz11evdT4H7/qvWMK6+5LHU1JSNQyU jOpcigceu/QLjNQuLk+FvLqoxThvTRXmQgs1zPKlM6GxMYK8gdM15F4V/jdGHX+XECtzYItzWyNs /stc5ipPho0b3spjo9YtFOThUEAydvMz9KVyMVsaJB/tnG/z/55fOuWqX3cTRdj5rQJZJNhFYGNm wMNUeG2xcCmSTFqYbbnwkxzoqu4I6FIYOywj3p42moz/6lUUYZDFg6zUxPbru0Zf3MjorgZfZUvh lAv8a6erZ6mzBgf7OfkY7cWc5gWYYC0+Z+aIFhwP9nJQU10ypYsJQy9UHg5ibZnLhylRQR0GmDG3 4vLlrcdMn9bh4PP4fmpPTNu02AObZhME8jYK12A3WHe+uq2fVKXX6A4sc4elHnbplPuJjkr99M/Q VG/H0K6DlICl0wqY3cCj8YND/ZrsNCEAr3smF/o4H96NZYBY0xjW1tH86JFoA7l3IG5VIOaLCVQK vj6AfLe6ykpQd9AClW74uGAaropWd3b1rE8yoit6tNyIl+3W90M2mM1UIooj4n/6A1AfGRqccx2R g+ofsv0AV9BafdAhgw3s9KPuZTPVtkNCbnI8MOXbZVMxdam9/r/5dmAQo2cigcOfEJPQ1vAeWfBt /xot9FsVQnF16c5HIcydbaSvqvo6Io0PolSVj5rLE875AzcRZVM6SsNP3zQlEwO9hiKHVHnCAQi/ TJOWluEJlmOrYa7hTIQ5uSSOQNtsOWMlZQXeMHxVve1RF+27MoQdby9UjS5quap7CpGr/8sulGES +R7iLgsbrHt5XZrnBBzJ2jKw0n2nNAwvyrD3daG/sWCyBUrvWCsVIbY7kMXbdcLjLGjHUPuVpBNT 1PniZuAZDnZTVPWPz+GP1jaG9+aym1xdzaodU1U5dwDgz7Vd4BKh3eMyQVXdEcft0rdSix8yGoAA zdHlLiSzPjGTPyV7uvZq3mKIo1WrtWxE6nl2Iw4kCJQI3Rxl5Oy/mU5ctivRz6W4b5fbTsWAo5kT mo+qjnrpJInb5oibLp+3r3DUWRlZZFtrDHgXMYKetpV/LIAiOtTe0VYW6AxxkHiJPPMjqujf37wU eDa8pIsdZI7RuKXu7KG2nbpMEtBoZyXIbkJBj7DOUBlmhn0cJqFyOp6uSvNCbzA8+R70B5dGpzo2 taEXp8ZOd/5SM/DsLm07tCnF3BWpxpZz1AeLJ4nfwT7sLGOjGrm6+PSth2zaPFIlShly1bqoJFyb O7yG6dEavyPfUwi1gKlJlZd7UpZqbS0yDhENlNxXHju1xPguSnpf81rvM3IymSCxM/a3wZzs8m07 7Idc061+jlclGHmc8ZEcVZs9EtZ6mqEGpio7n7BKX1pSEbbcaobseh6daiJQVj8BJYvL/zAtqa+Q NGXvqGI/oTDwjai9zcCXb0lQYYUbFZLj7ZfWYRikhXshY41FQZklLyLBM/UCZoCcDsAKjymeu1PS T82x4NPdFJVJTB1XZGgfcUhD5rDRJ/MVCb6a+WmuUemuv2GMD91my4RN5kxo0cIp3LgMZA6Y2wMN 9KJVzcqevvQbiTuwkpqLrVq2RzyvtpVO18EvYn7j1Br7UippcJujasUPyYods7m4u+bLVlW410Bi t1EbyoiZltauw4UjBfe18eYc3hEAvuVaSaH0SFkJ6t6j8jo5C8W58x59kqawFscspSa0nhleza+G jNq9McJR++0YlAywPSYiS2Hgw5hJjD3S3mzD3Feo6dISjrZU3IQOO7ntTyYCKPyE/OghjeVtudch 56MQie/CRm5qNBfJboiW6xqSgYjZUuSSw8s6gziDywxclxYXbswck+ZobN1NLqe56rxqMUYkbaxC pYfiS2zhBnJEXqfisJJXv76vjzRla+RIVEa75TXOb9m8oo8qxAvCpU0XgxEITwPOo5Wy0Fz+A1pW 4ApZ9MJN7dhRdSBTIYrHR8M++aWYkNbq8N0BUO9fkQnvhU6hSFlj4NN+HVzK6fevgS31xJRQ4xl7 4+Xcllh08qSS6cpu0HSU4tLZKF/odpdQE6M0LIbuQ/DxOyiXr2Jn8cVJlz2fFV3KK9X5cq04sAwP F47tjxIIr197k6CH1aZeboqmaHD7AkkPeX2eau4nQ6wcFcCEltcL9+UndxEgjTLQcezybhDoomcU oj3f6jnXBWoF0rgthvTl7GbEtKVDYRRfgZ6CVmEiE9vGi02jJiq0Au0AXl5moimzgklG1YtXpP/c hBHQTqv30ew8BM1+OY0gKp3Lb8kb6D2qrZL+afnmKBRvVHKvsLBF7+MMsOdXMl4xgnCxGu8mCy3t 540MLq0y5197Hatx5iNRUSz6H8F+yfDTOmBgehVx1i3Sd9X4gdrtvzFXb4Dk60QBjVWu79sS97sk KhC/6DJ6/AE5+bEEiaC8YQJngw2PsURYfz9koKSoXDRrgLEeVVW1jNv2N+5SKFSKhAojIyuI3jSq p4AXYJVzEWqB/D0q7+U5L4sT+IsV+9GaId7dP1JbWNE0Zo9zWzfmufQk8a1UA5PGhMb1qu4ELFxh oi1cPTxaeZnEJu6RKz/7SP/SAYtOeQjycgPq1fB/iisf3xX2zpr6FOy2Es1WDYi8JOj4EAsgyJEi RrB7SbwJc1zx0dLadX0cnuJ+9zGFyjAYuI1ae8W6UIIXsFfJjGM5cDigXxYnT/l3HQPGYKgQnavS 6RIrjwmie1Oiaj6UOzt8Am2svUgzXAdXabPG6tgb+DxXdrc7CwWFJRBcJytvHeNJOXwT1HE845qT hP7nHWyjyZch7NkBvyI68U7egCoq05/Ld2wnw7c8IPKwHmbZxC3GYg5hSqoHO4SrYS+9MwFtkZCe u9j5Tg8g2KwgvjgkLVsATE7e9VpsU0Lka3kzv+qo0ag4bJNiT1vl3SX03i4hXn5iWykRpsLPOUBw /c1kqJoEwQjvOJ8W9Qplgi3jv3dBNnswHqlsOV+cuK6vh7ib8BYSV2UWR0Zb4KXOhWzRRvvkct97 b3Dw5IW8VgP3MXaTMJfGRqyuAD32K6QZP08YXawhQtsVCwFC/xRhMY3Fi2T8CS4i4UCbzj3nQuci iSSUjY2+ds3xCIHAsh1UAxYq+quXvidj7AJljkg2KJzW3zhc50V1FHhsjez1A6BkZE4PT8ydFRyo YI81Q4K1XqROZGdwp/+R0ggnCVcJxV54uN6aksxf4nk6aQqksXd8qWFNZP8N9ifOdatJTaut5Xit DQZ1TNyL3yJ8WFZYkcGQnYdb2ecQCHpNWTRgBIqUBA4Xh1HcqSQQUMPTc6DKm+Si31tE3S0uWKn8 LaCdzpYfGY7N0oIgVMKfLBOccHuCIrkaKvX6Bip8JXqjS6xCHSE0YSw8qcSsZbkbBAatzjFZrnJw 2QeB9DPYRDrkJMmOtgAObkZqVK03uMJApdIkN3ECnhTAzk+9hwoKK0JESzW1NpWEUUPvBWEO0QUu LbmVftO3XheVA3yLBPKPC58v3ZzQ3AhG2s09znARlYO2WkyW8dN0k5H/+f8jVbwOmiRpOY3xT9fK 8cNvLaguE/6g31JoCWzx4LKtDldiqkLPQn9dbGYtGugSa24Ye1ldajYs9giP5tF73RdfNSfsBNfO WqvPKWtF2/IXTlHUEwmobAiguF4/dNdbPBIIydXJMRav2Pw1IqpRjf1VhkO4Bd35d18yffk6iaZh XJkKJCTuTLEz6VwTsUQeCy/C1JHOOXLQkHTPLMp9M8WuzWibmycPP1UieElkVveXuwpMk6a5P+eF ga4vWgXrTGnYvjYtysUWtkcw1XHJzoV9PribEg9ICfLAGT8w9+0G5ixskk1Igy73VySie7k0yYDb KNkBaJt2ryfU0Jewh3Ln/tTmxkYgZ1fh14cP+9FANATn9DLez+mPhvIGtsthKqqaTyinNdSFozcK swekPYg1Gw/wvRaAaN7Iirv/OXIDnUqv2u4wRBBTtRlYeEFn5DYUNb5tbkeDFVd+NhzSZ6JkYgcx 2rlc1F1x+nriDiHQV87GB+WxHScgpfLJjPbKVl0onTgNxsbka2uIkAjb6/iZQqzDoC36ZDH0o+Za Jx/CXQVEDrCL4gRMW/QAPANJ/AsnL4s9AfhYB037oDzTj/+uMglbP6eVH1hdRxCUhXPHQ2V3rUzu Kxe8iRS00eIbYTeCA2R1EkZO9H4HjuSUFOE64849PoYbBKY7qaLQoLfD4RbcyyjTAMlO3KTMXrRj 3XlDoXyPUc8WDIyvE49U1F+ObYztYF6I/0/Nz30Kr4YUC7WhuBOk4JASvU1BotF8s9J069rWwYxk Nyg2oKSAsrnIY7ohtOwh54Hp5wq6O+TIurUCGfLUKg7evuM9u33vPb1ZHzro6NWPbzMfDfgNWiKb XuPfe4JorVohd2xK6WPolJHCya6pbZS2q1K7PWhBxyXAGIDbiv+nb87rca6kORYrA0I8JrxQFVQF lrKgvFMA6ZI9foYmMktgmr1N1vHtFFrIk6DviMzOJ06PL2igLWMGxq6w90x7C0eu4BVJvF5nESkq eLZ/PmvMkor3GkN/P+ZFyQYJGCSh0i74ANFxVj0miT5Guxqo46OmBt0DWJ5RwJlCRDK30W6ja4VH JjiaGYXCGlb4Z4M1zuByCqn6KDKQfFWijcobfhsSLA5h30cwpSsXDJXQNXYFiG67jfxbruqauHm4 mLXd/kmQQBi4gU2WXOXPLLU/VkbZ3PeDq5gMDVpYQ4TuI0NcZRVBoPJh1BBEPhIhv41OUtbS0OmU JKw0DA0TpXhop3w67jvqkmNqaqDN+odvmegj1mDWhYZBf+Re8eWhxoqBgDzoYOIvzWv5nMJi831K c9yJlQVkbU6g0DkXICszCfDxKXnoopDSQfIXUgobUgpgoMwuG0UGdIFxxQv2wGuVOUhKUxJgSzKh OiPMOg2/8+I1y6pF6A7P9JfwTEQkwHInfJU9aOLzsyW0aDFmmppOfl0nOWehkN5pc+HetMBmqXN4 rLymQan+xypxGhwADWhshXs4eFm4BVV3gaNEzENr8lPOo1ryzAYDdgaNJgYB87DmMox81KX4w2fA rs5P5BmzlVeLWsIVGq/pTxHWEfKwsCI5xIjZ9HcgZqhPhoGwhuaBwj4mQKlq3JsxgoeMaclmY7UL Dr8kqwWG17FpNq1ZD7hINNJCX3OM7byscm6LoKqGnhaezrssGv5wTAU+QK4P/shkU33CYQZHsqfp 2dNNQ2plAHgP7qjg2IxQw9U7vCuYoZxE2jOWBTbXcaDFC5jbZid6wpsfTV25ksyXk6QczcABQ77Z DmhO2Z8drMOuDIzVSvQhN4pxUFyBn/AQbOIYxa8D3h7xIuaOhGY7BYmhSskx5SiSM1ZRTcUaB5Hk ZYXZmw3KUevhOYz+agCIrjgg4YvOhiT+2msQR7J+MZC7tAHEPw1U2JQxroRaxJWVyebX5d624a10 gNd/HBlalEiyPFAsmi86OHgfXk5jA7fHsW23utNQBaiR+aaTKumIGN3fDrehmQwX6h571Spt7NDz WAAPiJDWl9BI6g1NpMdMBrY0qIC9boB5Wt/E1UHJBWvNkt3nBKYrIiRFKBU9AbiEktl5bcKt4FF8 kPhQaukCevKrK8dGQA9KDQ3on/i3Qt3zpabAVzk+C9VKxsBfuLs/IIFqdsFclbPqNamEafA9UksJ 7WO0Kys5quTqitut4XCkAR0PH70Rp3Igs8s7NPAHXJwvG1uA+ms2RDJ/Kd4yUHeqX5tLeFW3T9Ps V3ScBp/JLlRWw2PAtBLvfalHSUbMN4AlpsR5W8h66JdghOPWHDdkasS6Ajgg5WxSlX0F+yCLZZ9L F4ENdSxzb5p/6A2jMv8lCduTNd0R0mZqgEieI1JsjkfQr4OUBnHBIfjUK81frv5heFkq1FlRPAAz iwq60kWEEXP5GXK5mpHJPacdfoqbY77wrR5eEHOjfi5HOy6NcMBtRPIBkwcmVDWaNzF0Ryx9rnG3 LraJs0rWfssFBh6/VEZWbZ21127D6micXMdKAMO2skkhk6xqKSeWeA/85wv6zdu9ATdlM7LJozlk hniXKn6KK8BROgAYKAokgdZhc01VfyqsOMGSh/5prxQ8hKl1bHD5hCGuUCXOv161iWnudUk8WzOE zL19fbWwOtoXun6fWUjzxj21fgE+lirB+Vr50wlcAATbwO4iBC7iIVbdhMbuxmHFq+tO9kolMSh5 oEwrHfjY3bUgatY8nQsWFAnkS6AzQRbPskOcm0Anbna3x87JGHWVohzDNJPklbBNmwUAwwluYKrr /178CwzRM4ApSUrGI5CEiIobQnLvVJV2HG/62yDule+WqKpKY7n1OA3DeiQSMRPtiGk2YQnoD1Us uWaJ9ePFV9VLtyyn827qKOWqrGKSGjwgEaIz9u+tEq1N0Uf0ZeY4+MrR0CZeAst6tx27bpTYxr1f MhJUc6x702fLT0Zm/6wQLtx3UH0+9Ftw79f3PYO11TsX3ZFH9xJkufBT9bQe7NINrGyb4BqjH3cu FsRSz8VlI4yicYl4nNiGXEwLrVTqekh5PnEGXXigUHomAe5q5XB1RJ4V1Lh2sS8ZC2SoeV+cmo1Z EGPicthQxRK7ypn27Fsh2Gus80jzf1sYmAx5U7CLV9NXYQw9lcNeh8l2NTEkLNqKW/DmZl9chaQk fgPd3l0lVLRzsWbHjpXCdHFLmM1GWADxmZnvelvJJsK92JzSbHbxzhGw6VizkGRBP8E92AXjjc/J NuAjG3lN4Qnd8/PYchtZ2PwcX0M6xeMkjoy43s5FL3TQDmJ7LrXD4F0bfmfQIgpIRkYh/iwYn79K LMK4XJTYl6CuYlBghPsbaSF2qSRsfNhOiUeqlGWqsE+ZFTVovrhCe6fsok9zN2kzeb5sbUdtFvbv bNNNaZ0AYxyhCC9hhTrDCLQbGndxl+FAosWZkGCYDbmMz2bwG2GNqD7d2Kh4DSSy8j/tUTov29C1 plI3BVV1aRXY2LL+Q7/aERVTanyKPbqKwaAppRhofHeXXfBVcAgyx7/lWmQgxB+9zZjU2GoPXUDl tAUCYGAI3PlnNuguJNgOhZQAYxf6Xz3pKFTyMtpV4I++yo1moyeFF/fO0aOTCkiezUVTpUSRcw4P MOGcsjfRb84aE1NVpngiwgt6zLznPTzsMcXD0tVkOqI0pG/gOiODChzK0tPGT7t5/fBRtaC2vPYq e4g/G3KZD8NAZn8oXvE29kD9+PnPfMXyiMav/KZK3sXo+QfAGo7Iiu97peDa6vTdWbD0HPBG+eqc T9JiMYyL54oZMgsMjhDcFpZB8g1xxBGlmMUbHZMyOm98G0JoYcpPR+ZGFjje7rVEjtgzTIXqGRUP r5zM4rPcuryu1bFMl8wsuiWn509qosvrfAXD335AKZCFO/nON/wLXk2wuAP0xxvijg1e/32x6ELM 22ed9PBaUb2DesNRKP7zNFgERL/BIskyja2C6O7QpzxkC4kZjSwTkTPC9nJXdsBxFbtonqDxR8GY TABILKeMTkNaegSvuOgxiU8tC91mvCZC1MLo/cmHQs8GlIGdmhWsJYIJ6hIaT2Sh95YAArlYxADy BrW6z4JGunTM/pdc8XAFWs75teKWRckm4MPWDInqybhG8cJ6/fcgcgvRg76SW6ah+o14aCIDeXtZ noSRpVLjONWtxQCM2yNU38Au6c54cBzQdr6e60RmMpFIvlo62DHEbrCE9149smQt0dhKJD9gN/IL OO23CDBJn3sB9my8Yzta8qea/TnVM2NJwT110VRr0L1jwWDUeN4d715uEPtejCH8StRuH1jD1egX zO0AlO8lWG5Mxxcfd5j3sWO3adQ/us2g1X4TpCcZNfgPFHui4D6NMIhaiMNMHC0SoSBsQJ+7Sc/U ChJjghOnkBz1Lo4snLL8S8/YL1/5fqBr7K7nkdJdWUp0X4/5p9bHQ2Tc3yUlThsGGiMsYxYRtHD+ toM1R2SSZJ1pqZGWzGngtPPNTnAKblZeZlnI24UxpdWKCoC+ph49cdLAfnEVC0+fvu3s2GH0tgLh e/Hv//ps96WBaur1FNb7Mcs7rBNcFxfiztUBELBXY9+oyVYMI0FEyOSjUyHq18FQl+QUwCSgoR2X cXrxNkNo7HJAcHVQ3LkaQRCa18fUm0qf8XxR05ldISOSnywCBxaI96EOBxCLoDI3gEXHzWElKfJT /8cc8EYywf41FUFOEciem3Px9DjbvdGkDQ+WD+byaKhsd/uTqbErKgDAtjIXWviCERv0liqkSDjS r7miG1nWXhNcr/POMzskPmbN5OsBjQJ6Crwr5F/616zHh8hIt1jyyRHQisGzrP5UJihpqhhAmSs4 ahR5Qc79ffppi977pax6TECR/0VXA2Fnc8OYZItoXLekKNQCLVpIbWv7EdcsZTRwMB9/qmW6wFvw VBj3J0XyFhTfMO7qto+cWQdUSR+jMTlKdT/Uv7WGH38d+1FremyObVOUyRFamrkoMAYvDJIA6jLx 3DguxdCve+aAQMpnYSixxjVm/cIFB2x3sPjHdTU9eSg7XB6hIEyJYXdJRHU5iZfcb2nLAlMgFOTa ChjW0kpocbNT/SlWhKrHKuI8yRdGzxWZMZ4a7iZwJwGH2CHr2nDrnE3X4rwUbqD81/RXzqx+ct37 v5FE9hrBEgo49asi/3S5Sfm5nGfKdJP/mm6qdwfHrocxIDvf2zqfz9HWp6TXD7xTMLMAEWESTr6L qcoBS31DFPAnLeHyloa7YPRpFrcMzp6nyn0Z5FgY95TKfx/p6FR7T5OTqXH2HdK30L3ytOwBWZz0 IxUHORhvkfHatia+J71QY59e86BryytJ2CDQYY8++FbESXEwQDVLo7r+0ZmOsKYN0wI29vd/Xtyr +lwsP7dImSjvRuEmSWI/CyWMeREkvJ/nGJE65BsGp3+W0+zgXFS3a0RrTiHNgc1Gdu6R7YAJ6NE7 RsdpgXV5w4K1OZ31ozzhgdFVWZm/kjX87n09mMGxPYqApfzBpePITjCzTr/0oXowExIl2Ce83gQ3 mzgwFVvsqncj3pnkkWXctyN01G9aNhiUFy9TJNsRH0UKHDso9iK+BBjs/5DVcpETJx6KGnKYjNov BPH8/81WhNJVRjM8tLaBje81uVV4nPZAnUFR3DgwCB87GC1stg3HgyFq260s+VFFnD5RbEjUhT28 zxMuJ+c6HDIxywG6kx2hB5wBIWYgggPySF6c0MWBS4V7fsQFqoRncTx4t86Fl1x2+iZfGK9G6xyL orYUtr0CKtwcI7O7ak4k+xbb4wS/hfc+qG+f+IXVdmwO9tHyVFotdVDiGkF+P4L8BteGGJkq5eH2 jA8sb/FBrYx+3oUs1MZi9fWn9/diq81fjL9G8cy2Yzlx9dhIO8dvUsIQBxTlHq1AYgir1IpyYvwD OM9HZkrqRTHHCNX0m8WVlnjVo0NO2kQWBmYArCzTIPCMpvRFY9gwqTVNG+AaQt2Ke62OwNa/3ezt 1pUfYPjhJKDZ11I5sv/yWI6PqECscoLMzZVtFf17KSwioOx0PdfgDs/XgmL8x8LhsLrv+5YPnBL0 yuTdUSd/4x6kOZ30dtfqQuii9mLIRbwRgSysubn3pxf/5QOWVNwJMdd2fvK2wXcBMCAmL2zMz+et cvaBZp16NiVb7q+uf46KhQU3yAW4p521VlRBYSQZQQD9tKALgL1T4iGSYgWWsV2z3ORpm+dmH4ns 9Z68uNIM+A8/zZ1yQJD9x2DMEKFvxPqIqXajDxmtsq7oNU0opY+4LQfbuWO94deoBWoFD6LTt+eV mNlmPGuvIGXfyOLUpHaaCmKvV93DcrCyd0w7r7iGoWkr6VgMwDlBbNQi/T5tD6AK0SqIikNuuDkV /rQ4ujP0pifO1n0GAgg2izaTMVzoGOEq7VkIq2gMP4HSPPfXdqpigR7M72X7qO7M/c1HJXg2CFDo EY13Um5xHNiE1IzBFuZ+BtDTNiCabVCs20lYm5U2hCzeilqVQHHaF6Hyf2U8xyEXankJR5DCOzz4 A3yu+XWXwHxPS/tA93UvPt/AhX6OpYsnEANYC3Ox7M/Baca+0lLtR5iZ6btLlzvC19/JYea9s2e3 2wyDKaGXVbdXDpBm4FI5crYHWPHhJl9aiPEW5+Gb/L3c1dQWGR5c9kjFxhlRNDFyu5JDdtvWegMS v7EK4/8SBVnLhxqkKjquvREERSAciJogyYK13WYrHoK93pxhKSNZ0YXAhYGgEJwHjmQ02sI7lQju ZZr4xIyBd3Gc0S6DCw7vxXrnpt/7ktPAqX8fM4/DF2w/cEvW4zKPw1PAKstCdjKvgxYqP6kozxMU 7fuJuIY+jSmCQP0L5jMYC5OCXVTd11DCBAj23CHqkSSHmYntSNLpxN6+dPKvbPYYXiA3SsLgwzYY JEcMqol8ufHVd0nCFx3n8w+j4XSlvcJnna9XklSJcrkuC7oehi/M/4hXAOAQiCbYJ88MqaN2e6Uz 7NnOVvRi0wd2FBGvLYuI62iU0G9IUeZraJPd4ILRJG6Rl3KPvELGMxP+5AnfAOtBh3+T7esrj2/e kicbcCPygS+B+1fj01QYH/O3FYVyiggnNNB3aOvxkTe/wa5QGoXnU9vOIt5ViNNEYS58amvmuaaV fLpMgVjSjv2zUu82rbDrKGCpODWETnvVa4IuzY3WMnnCM+fpSjsE0GbN+NJ74PWdL2ppsi8UkTby 0e/MRnXPEzsNLmL5I8+PYFM+tEiE/YmUS+wHIwPMpnBuEOkTpkNLe41BGRLWvpjnPDc+z8kYaGBS 1YrAX16S+2DwWRtjmZJB15LtM1Qf8hI86fm86eOCpqisYCOAVynhc1BD2C4XpBG53RKoOrHyp7gn QtOEQy1W+wrXkvoX7p3I8P9HkIG/amG+d0LkjYQc4ianXXJ8q5POwwbBioU1NgHteFTiUENnuKiv b+ghUak5DaPwlxBUHOWG+Dt8Pspsr9ZgfWgzxbdjj1FpnXFk/a+p1p0juFySMalhS67QAimu0IjK R6xG8cl8vQLV1Xt5CadICnl3hgQElWPoizjwulNxcfTYwMZu+21ft9nzrID+2gJTl2y2yoS82wdG lnbJ715x55VCO1N+uq4eO+HtG7bhXP+hA42y+JQsd03q1/Th6CJpTXqXupKPJJjYnwuzaxCsDbto xbc6ahktofTR8i3NruP2nMBSybgqa+nogRJQNAhOJvXkHvBFykR2u+1mk3AGtjddJ5cJ+enauzxx e+FpSgSVgiDLmpOfw/kgTNeAQXpczeXILIsqptBFGeYc7+Zt5u3Wh2Bd0kFJZ2upD8fBU8TCPcDc ons8cdA5jC1jE3Have9pAf+Sh2V/j7GJxrHYeoHPlvLxdUw0+cRxDEOO1a6e4Cig8/UFa3irrKqZ DII/5NiJMVFKddNCCF30ShHzA4LANr2DO00FeTmy/jvZGMAU9hDAgFLny/MwVpmmiBkKxprqGh3A bTBL85UhqzDqqkX6T8aCEwxtSO+s1llJJSDZsEHV91PMWdaJKcZ1k1yM4LnXab4tC8u+nHWNQLiv 6ZFGHXa7nh9JDdXYpFWinwyouiO51hiIXBhLTdmaDNw3OFuL5qJyObZ2700dik165DupVyBKqyzi 5JK4GZvw9rURpU5gnwcaEjVUIyG91r3446jfK2TITCmkeIIJLkZ7oEOFHWztg88NbCGI2IS+TVhF ywlC+1l5f1ENef7+7CQ18+C/ogjlSrlLA90cg+iJ1trdJQk4EitUN0C6ieYLRTCb0zR3cJLSNnHx BZaT2BSyT/r4U4HE0D5h5vWHD8MLRh0rXtN+mVlGDvmkgW81i4UprfQ8+B2MoJGsA6PDJTaYgrzC ffsvCn0u7fVzaXSOFZWTyub2nO8N0b/F8JeMRNoMUtIsh3nVnZPmukBDl/RXP7tfeTLmX4TzvE8K hep8PB5czmnEfcmfMCkR/tjdHLADsCQOH6Q+2yVgf1HbjcW0Qn6/e+e+lrGux1tSqdmhzBkB8rqc SK54VSJO5TmapauWdogd84aRu3giIPFu3HqSnsakb7i7RbQW2BFMMnh9HXjt4oNFQFOPupUhWKAJ 1dET16bJWOTYiC2Cti507s5AnTttSBTQm5ZDoJDrPsdmkp8ZfgvQJxUARx8ZUQHSDbdDW6PBsk8H fl26f9Jxo8YDZMAlxt4pqEzfEHU1W5TWPJ8ffvqpYM22kckPAvJSo6EI4X1s0mQp9ZxzYqRu4M9L OzhVedXHtOzCR4gt7nFwCsVBLe7ar2k2AGVUk7PdMQeiE10V80hffMGJCrAhQV1B51YcqkL62Q4M oxer6/quL7x6ibIGn5agTEoI7OIc5RRsxlKvpPRU6binBO/YkaCLFzygyHuXSnAf4U4yuMY1AsV7 oI/RWi8T96bUKnPAFQlB2aCPXeFTClDAiPlNF/7qfake1wKC0TIISL9ns27no7vfGvFmx8pSNYZ9 yzfVxAcDUxMEj6Ah3yY0isLG94LqnhgNL5Yfq0giAw6RJNkc8MqWo7QfixsM/Pb+l42kRx7DdS4w fRfvSrMWmTRadEpJCskNTxsqrKMKx7/6QJt/vAkdttfILAsNHMucJgYumpvckY4/evYrzugPaYP4 +3JEi1hqAMdyAdSQ7zaRf/4aGrJtxeLgGwfVkzACqZuk0+Yv83T49ItkFKheaOukKjm/sqJrQABg aRzf+ng0v2OwwBc2MNn6wWqcBHT+Y/QtK7USmoMhI4AxkEnX2GPzrPU3foUNaT0gDiZrz5rEOQ+z dRILg1LpqwvslHPZsXrHnay9XG+wmUI17ON7JbEAMk+wXcda7rOoXLnwOJMkiNVM4Jb37hcxY0uW PQp1fQNO3/gQ7OcAseowqDdFwlx6EkiwJAOcPkkCJJ0VY3YSSPL1sScAr4P6Hg9LVfLSM2pH1M72 Uu3K9YbdzWC6VTpwBSdwzSfl/Z5ImdqWp6den18RUOA5FX3B4b5IVZkuMG10K/M4uow5Vg54zg6i U+3N4GNEzHqZweLDYJAGLYfinvtDiZsBwaAZXxnukEl4+9h+AUV/e83wfYgd/PM+8VDGZjD/wuNT eqfAywoEmYrDaSLVbx9nxGwM5Os/L5qTpZvgBdV88LVGtUZAO2IgaUrzPqms6N7iPGepFF1nGx7M 7lhNXmzw/zAwW6k0xukvf3zER0gthe2vwqDVKR//XoVaDq/4UVFV05x9h5/U+3sV1vMPoCRmnjey wVSWFZCzJ0ACOGwaTaIMfIQO+hVMAe0ioTw35PZnUwRO4ROY79E29OOjGja0RGigAO3JrDlvt5m/ MsZ3FDIKkTDBniN3NgMpSMgVAcRZ/duep19TYXhV8ZhXwiKRop37thTglUPIHTYd80MEtrgXoEle fMuj00pGSK51Z6twVso0ljRCjnX/2Y3wrmgdPsJhVbc7P6EGSIphmBckjVHoVe9WHQ9r3r2LGtD+ hyX/voS+pWpWxysPozvGLYeGrpsC2RH7AfIgq+jnhA2YWb/NXFexGim+L1SsVY/HF8iJBvYJj9bV jx0f4cxHv9rzbCnlsXZK8HpJZ+Ptlut8RO7SedrQ62dxNHyZxYOGsd8wwzoSmIXKdygFlBw7JiTn 5ZUTnL8LzmeEuLz+MDg1Y4bAyGVjz9BWUrYcDjJmS4kmljEleXa+x2FasSyXlnLWAVvVyYoTP4zg nTHhOQdZ5WzxIbCjWN1d81nEYZzvsAgzY1rsQ/r7j4DfKHaExw1SJaK6RZ3rXIjkzsX+DUK6Cy0X F/UwCdsqUwakI9PnefR5RyGJXN7mwIHQ9x6pq0eSCbY9sWnzQkcdmTTMnddrIL+3I22FY3mk61Xk F01txZFb+YyyAYjTBXS0WdvzAb3wePFG19GwadmMubWEPmrd28wdNXiLROgJzR5hvqSWgNCnn9iO 2AW9Uhb+DDV9fFf6Y4WwCuFklKK+3xFIifr9aDPxG+gmVibnm5+OxfHFkY7yYzaVD4JHpI1VdPP1 hhvl988W0+wsXQNdMrYsY60MHgNBe2BMusuLjjWvnZZGrVPz57vdHT/FN21bO1h/2jQRS36x6Sew 07hvqtrFqV6u63tK48f1SIx3hPwgaawEmg1OrwsNDYkwxZPl6TlH0X4HVoQfkUitJbvH3im+e5io vI0YTLAm91l8162iXK1+aK48oKcF/vaO8ianqog4t1WwNZ7RTimyC+2xu5Rtsjl017yfQ/DfgU4Z w7Qor1SeuB4lbXHTYYAbAR9tmiOSgUfdD2YXyuyVsmX2aOct2zFjk0NbdCvU+aZo5fIijh6ZV9+i nuphgzo4ljDLhs2ER9mADDS8bKWVIo7DB5pRrJNTVL5Hw8e7l96Gr8F7VODwFNCT9yzvSbIWNUop hHAx8UhV/+H5h/1qFsIHrx5Eibep4mcgDCriQ4qah6EOM29BujQKGYBBhlwvv5yUvvCuxtX/6bfP JasG7/jgL4ht+7p2F/QzI0FJkUvh1h6ijMdNvyOwkV6QxUF48COwZO1/Gs5HY42+V8Td4HhXrIti WwBLRvkVdYbT0I6GB+t0TJaIZ/jwSEBA9Qvo76bOUFEAowOP+/zxN7CI5wDuPhb+W2e2G5Dp1U2R gg9c6Cg2vPopml6c5WYJrqOTNLrk2t/mAtyAl8a4I3spdb7rvfxzuL07uiqBUNz8wWDJ1sGghNxL 1bvcMXvMA2+tbKZGTdIdokkqZI2mJ4Ez9Sr8qG+/ANW0iMGhQcEZvmm2DS8I7S2xxqGEp1CnZojy wGKfx/Z2KTfinUped7OQcNU20KP0HpJ6TAtdxDQipKI1rwAFwYbgJJnHjlXUS5pd9NHMnWz63Zyx EaedjfIxoryj5gsN7jPGfgd+2YTH8YESsDnHc/R52NdtU5/0sGkR9nP9RP5j1/ak6ZicawBaMMZn Ar0bKDlFwXb5jG4mYbUU0w34KzBTdK3yEi3eyhSIjhG5kfSsdRI580VGiQusdv1rLgFHyzI8Y97o A96llnRmBYjE16kYVgkrczchHPErbjRuPrJ5YXuSngahM26LyPuLU/y2Hz9DTwEHVmfq4Y/MJ1Y6 lPxyqoClcO8OThzWS18TzmJpptMBbzP+qudP1lBRoFEDuzvto1nvUYqcdjs5Jrp1UhaQUndVJzu0 Kv1WutEtOyT84K8FtEU5ug33NKr0+JrNhmRI678NOfaJ1bC334V1btY1k6++IMvJYCBLfXmrXN8L ri1R9qaVB/TYGONhncQE4sTgDWcrO08No5il2re1g1tXcS++5O7Pu2uDOuJpzWIZWttUD8BL5XQ8 FdQ6GsuDELaolepNq4JfI9YzJkx6FjPUOb3Xat9CsE8r35muiZCWPQIU4YDI89/VEHSCLHEy08/7 Sat7ZGvQF7FWNdazbhYLsjjbV+U84GbLZi/SVYFgCrR/wyEnWmt6J8FmnyA3eekdWO8ZUK36dX3x FK2Cxod6s5nsM9ZT5dNF0AUqs1FSYPEaXAmdKdUDMIvOIhOzlxztsA1Ns5LvI6kG44dUlkj1aLSt bK7FK23Zde5IMhu5QY1Bg0185Wg4dkDiuu0FJhCTYNjrbXYi9SjWZRRkXvIgKgjGb5wcvCk7pBSx ow7nDRPOGs3bGbbGsdHVCEmdSNDpx7EHEYUIXaw4OXTkLvjPgINFJj5VmI5+TIYVzAbLuuGyiWGu pt5pGfYLxD/hzavF3+6SfqAhBOvu9EQ+WVfnS3+9CQlGjtuYee9QvFr1/xWpQJyx875yTH8ZyCh1 zGgr13yR1JQtH3e4/E8wDG7o4eoslqxEHCAoCwaKZiXPfj+ks3333mZyl8AxHAeXhGjbwdrKwVnj GlVTPqXXYp/Lnt3KdQNO08zAYyhYL4sT1NmXZUeys3F3zjn5OG7n8sHNHF00Wp/ZJXNb1xMVREBp hXpq7PddWZhsKfQDp1mNhzKSBvExU5iTLB0xcbNhRTbCrtEnDnM4FwBlEE7QvFHEYybJv38L3Z9i Q/jk9Dx4QFgOm8mGxw8NOLwNJyckdsGmU3Rbjg6kWljZvaIhq7apL35rbyJNxUis6Crgtfo1L9Ld nP+TDMwWjWFJ169pw7Byl+cgy4NVvRorIADe/FREU7DPBGm+E3DXG8B280Jp6mAd9nfCbevwRKJF Uqb/l7Ng7BIF1VqjKginxKdcuQCICg7Vc60k05lxyx8CA0BHZx5oxltJVnfD3BWNBcOSiXxkR/aD /YolKX20Bh960c3wD3GTYcJh3+A8d907XMyqSC+MhUWyKflKBHmAOLJuTB0bcuN1HU8c4/wAxHy4 WT9PDPEB7k59q5sMSOP9ygaP1Blun4VIIptcfl7B2SBOfj4/WHgl+mwiZ4yCZFcZwzIQdVhZMNQq K4H+kICYsevRJlmfjF1WTesQI1o9Us2Hr7QoZYF0IT6V4vpq27EZweUE9rnzfNG3lL6+SCYb8ZYx 1PcbdQ8XWTaTzyZ7bRn5AWihdpsbAYG41xrq5hOIuFY2ND2/oIN3YGfRewdW1YhlF5VMk1JbF62m fhkPXODiONaxkQXe1ALskzLIvWX5VNvhjnE6lQrkH0eVv+4bDET2D6bnEGvEwZ+K5D+XnmijHURB y6+aouwzVcu+AeU3haTh4sLMx7/qMmbVrxtDDJYs3EgpU3sf9Y2HbbhgwNlhuwUt1xxFoexRD07R uosrhaiI+AkQoUj5v7fyYel0MJ1d7Pl8BzMn9yEbThOQjW0fjfiITAiorHp+fGpm0q1LYApg01g+ JHARiRWnPetHBjvDz5ogEWzzadA3WZtpGlLB6GQUsOBgXeB6N4kFhj2yicpT8LrJqdlLKSzfdpDg MhyeYy9Cl1XGoz9DmC1pNnugAlnV3v/uj44pw2wXOA+3jqA7UohimMci3SdoJhjUfF7yUsCqaLIG O+KMcX2OiOuLF1eCGQC9Qjworok2ka+uT0QN2M9d23i+x6C5PWQwxtGo81Rk+X816kVT5+JcVVIm c7hksj8znTzAVaeRPVc1wFj0Iqyaom0XZKd4YgbistuPelz/4x+U1yCxMOzqsWAblBb8ejFefJs9 qEtRqnYXPk0t6TVtqFsBM5549S2DyqOuivMSGsdR+sPaDRqonEbTAlEWqtDcPmN1DmQcfjy6hsVu DrpW+2lT84dZLFKXbMfDHLul/2ehuDen7p0xnVcjah2Va9nNDKIQAp6k7ruo7wrHAMOQe6DLegc9 CjRRZQQnd5NePTyLFLb8Zu9wGiqOCG6OJFBfjldfIbInJagsUTv6abeeTBopLpZIL95JZ0AfGhPb lDTKgrmx91JvlFXjEnTMbJOpU1jJS/+D5ChJbSuA3mPqqi9kesmBjUtXQjuj+bRp6YuHZw6+7Fbk aipzfx9SxOry+HtRwqUD0+34vIG9pWgtN/utssftUvIdlLbzOY/89zkahcmF+Hc3puWNQkrzfCS1 dK0CRfiRxjkmF5HeDy4SOBJTv+l19lAU2vAu8MCn+lNen3unkkhgV7r1KToyZHSWhfxRaAISlf5a bCDhcIMwPFujcJtnrm9ouCdu47eyGsw2Xybvc05F8ewR3U/+ga2lRT0vwHrVK6CrtAg/034Q5jno MRF9tcUdcEi+Dcp5TYtUAmCFGF3sTL4UHLCyoC9dtJoeoiDAjo7smzN/xdLQNjo4iCdZ2oqJLC0Z nKfigXilIhETyjEmXza6zN8w1IpTCPlam6Jt0NsPvW2sk4EOTaE8K3kioKKIXrropF69xrnhkZDQ GP9VFzDXofKvOhpb4K4NfbKQZbOyRk3nlozOK5hfh4QT7AnbrV1TYh+N2docv3OV1HjTlBYLaTvj Kz7iq81BcpYF/KDpe6kp9ioWMNzsazI6LhU1n8MpljdX7rFnOuU6ct5/zhIKxMOoy2b682HKTM/g odWzcNKeO2GbV2UMZF95IXujBox/7Wk9tw8FqAmxVFsR/bGr6+vKmpsFXeYSOnDR5xc3dROV2Yu/ 33FFxLHxDoK0N0+HHjqAqv9dzpUipYTswiaBTTtlCbwOtbPZU/olhf6owGizn2LePae6ylf8I9iY qyWGyQ6CYFNqXQr4mqMIO/9TiPXl6D6WVZgV9nYHIqX285paqVJoTgzI/NlO1XnxXpdkMRyIvZKU Z47l1+sVEMNtKw7S2l/LMOIf8WiVFlFIOY+VAvpx0DW1gUN/HrNb6fppe7j70uLMVgpiA9lNpSsG NMGi+r0EJd38WOBY/uEjBRqx642a5nWRF7vdIjq4qufxcSC8Rfe+8EZAnqj40rTxU6aUKPTRXNG8 Kvp3Z/s8xwoKeLD5s8z5P/evP1hJC5XsIRKPYIZMHmR8aqYnMktTDgKESsFPXB0cF1Ts91Cu/EPM IznoA7+RET/BmV9k/Te0JmeAEAUb2e5dbPV4LYdKrHAAfLep4cALazv98ERiqxsBOj82ZGYZz2eB CN2T2PYA87TZvGyAPNFhWBR2+T16uHtZPdmUAsKEzUff4skhhgzFZtvmWZ7ZNKSvtXPORjYpIciv W2uR2xYWFzZOgHeQ/4ccscVIS4N5jwME6lAIcXkhNtT2HH2UBDrB0ZbWHZDVDEhFosOdR9LXSTmW X+aewlkbKKDSFc9JvM02afIYbHg8Vn+Cypk/utEa86FlaCsJhpXSXHBZgSckwMPmwW3neacuxFod j2hiXK0BGhH0rYaZ0UV+PofUNPCQpYYlWAoMkqQrapm9SBXEK9UubR3BwGC1K0NQhJcQXjL0GR1r bQqbKmr2XTAi/Wb7U7TsWpdxDvGqX90oW4vhVktnXSnbTivhT8dO6MtKh/VmzXHQzHT50a5g8wj9 JJ5/Nw365nSFMjG6rJgSL7kaGGveeKgEw0HOUBgE/Mdkeox9xcxSLHt5GKfIhtrrnVww3DOYWfxD 5LPcytYHIbtLTpzCgXZr7+T11epyjuHJVvyAIiLvnsalo2EezhdM9L8Do3Ml1VavbOGexzwq27/3 +HHXYIrUspabPWMw/Li2om33EYKhxRh7b6/T9JImwEbE5BkYXkByv0LZ+1JhiXOW7D+it990qhFk /N7qc0xC8nhrjOoy6woUH2Mjbk6RMLRm6Gw7BTFa7QkRV2dwYnCQ2jqs7/afO/6q1EGYzPFuwoWq AhmND5Q+R5Ix7ZwuZU4dvMiI2hiXW3+AZ8GGmSmxt692nBjbkH29GLa0c685lxHdiVpq69GgvHLa nuXTUVL+nyABn4FeD2GWrmUgr86jpUMqvrQRPHXd31hjENuajAIv7bXzTVwiQWqyy/qINwn4KzqN Xbkx2WK/Pamg2cnhTwHqOsu9up+t1BzB8XHGPWen7eRdxe0ohDoaMFxCaY2HcbZcJvIfC+EJpov0 did1CSmH8OhWax8w3VFyU9gy8SsO4jTpgiXkGsJrQiXa6I+tet0HD+rfNokPC4/lR1vxhHx4ibsA u2mIYx4aRyEF3FsVLB7PGTgWOQKXcTGTMbWvKZ6EK/xuld78XmjH2DMqqUIRNGeWe1w5ZnaEga9i 8MwrJTP2jpnwp6581E0Ed/CknCLtWnGmXqAy1irXZ2DMKyxVvFG23OTZhtXvnd8SE/27C6vpSj1P wxIp6xlMTnVXSylgDJjcixT8pzrUrOfQBE6vwug2xX0YOquyauPAAq+3ewDQ0RZ1MnpNhYvE6LkO fFzcpm5/ZFAB5RAiDpQZMRjAUA1qV6t6xbIE2yCGtraZedLD9Y0rLDsqzYmU82q6xAMC6mHtQYra +PeZvU01kqGAvTehEjkThO4Vd8+Aw5VAJw/s8ZtGde0fZQKWKdo0A0CtURWpFruCruc4+pBJnknO da/d6eJbJRxfjVnOOUK2aLzL2Sx6txqfNvha/qHpo5nFhwWOpq/qLiU4FgzV2ufjyKLoOAe1JdZr /OVe/3eW4tBe63qnzxOfAXpOiN2bzaA7uhwHRrkdibDe9KB8Of06VDrG5oRAtUYqb8ad9LASbbYO OjwHJEBTfBXgbwmfYWk3/wYqPQB1N9he+y3GksvD2pRc+ya/rjh0yYFkdwPlAvzfVtJuTqe1ejsX 9d+0yR6wBErgO7kjUEdiJoMEI6wOycG3xF08gp/f0AtxiGSRERn1h3BG4RuKsx5EyP862OZgaEXq MEMZOmJvZFEDZPLO3Rsx9ucxeWt3mCmxTunUOiOgE79Ry7dvaRzVNidAPkxPUi8M8coFxfAGqi29 G1rf190J3L4qY81U5skLjEFkpzUunYNJm0YoHgeL8V5+HMHYsH/1d6f6Fv3j85uykKfzDKgtFQnN 0QMaGX8zQwAKLdeDr4UVCOyuv4LietWd1mTqmfhme6WlH+N5B8upVmbE1ZTnwhV92ZZJGTERk2Bw xBOEIA6rvUzMoZBRrBkyPU0Qsj1sXu+5Peh+zHNrhnK/Ly472XRrhomcrWXX00CZqQ6/oebQxnJP SZKmpRAkgbOaBDnjD4JBK3KfA76Ha5i7M4/sE5VRivQ9mrTqcX+YhQFJxoZVcXort61vh111Plq6 ojzjWS+T/V5F2C8BeFX7y6a/vRXdO3zlBIS01trghmNT9axkq9AgV2Bktmxa3y7c0IVpqz2mrhky BD0Kh+LX47R8wDJZih/IQSiIn7lTles3Cox08rNHu+8HaUa+ty4nLjRX74C70RA2bh9V58m61a14 WWT4pKa/7kOPWbneldAxz/9aZaovMMBmnBlLaSCPfeFe6kZzbVHZZF+seReXNni5nUhw+vj4N0Vf Xh/eJnalN/uldeZabkhjQPjwVHxps96Wk7ZBYUU9dcxtdjbN5pdSMWsMVZyj1ODYlViPo0sh+D5o vISpGty4DG7l/FqId6kZ92NyUxTFcvDhzKX3XxERU5BI6sLI0s32Ewce0Y+ow233p2EhVwAgKPrP jnULcs5MSPChGa+8O5hPIybtouLWba14pjWLgBlJzGW5B6+fntFLGSNH7aVV93a6IVnRQbR5nvzG H3XTRy1gRATrKNIhKrgiVzWXQV7UUKZ61y4HIH8/eIzub1Pka1Aah8FV/unpy13Cy5AS+eEYL88t metjbPIa7QtCMHlBqDMt1JRZ9Ky7byVxpXzGAFds/DKdCYUZc/2q/aj01AXZizUssEsX66GJJ4HE UeJ8fw86WJLmx1kuIUrvJ2LyP7R680MpA42mBYan52EY0f/NWrj3aboDJPyu2bVbtLgv+/8S/dRr 9wuYSA85JLcEtsshBeuCIC30TMYTyosC/yHKGJpZbwHA77ePctdqMT7wvmyXAZ/f3aNpHBa/PmHi 2ydVnWyQZZhnGTT5A4di1M3HxHBfY0Q+FMNSyyr4S+5FOLKt63bYBBSgvxhRIb9viJVhmbuVQs01 q/JCF+oMIsrWD5MpAlSgvw4O21gdq0f8F7XBUigEJ8gDlUI5OChM+jUPLci9M42cBvzTVdC4cO+g GPoIBam6vq9Izw6oByAg6xpQeLiAHg69jVjHGIP4dG7iRpeBpDrpfltt8xqAHB5hjVT2sZ5qDs6g d1lqI+m1D2YHOBZDlBoJ85OcAnWMQhFz/5AYqvXBe+6fBDocxO+P2n1vWGpCBiMH0769HzWoFnHS aDid3Ksjfn9lvgPnKgKPlNw8+pwGBrnKnajiK1EXUVQZcaVFAx1sqdg1ZyUGfrr+yxo6l7C42SFs 5uh3/M7wQCAKsGvC605H858EVe/xO/g8PsovCi1o4ZTgUSZCn1KZExTLNPLWRCh9G3LF0vvOZw9y +ZCf2GfSizBo3Lxsr9LZahjneh2aDvIhTlVeSKS92Sm+FiFW/UYl168urIMdOMz9VrNDCcW3hXyI zO7Er+/hM9eNuoTBuujsEk1c3ukYSwZYngl1HixiHmaguPL5XGq1bdxzRb40SlTKOdLO2n5eEGeg 3oYyUGxa9FKRJHgulc7ukZVPjrlWsMad4UgSz502BMjXnmg9CqhIpk/4j7hUflwkAFvk3WyWI/zb 9cJQ8GO4JqXTtybtqqJiNTNSe5OnJ+vDaV5jN+ngxJUF/tf7WBa2bhBBQSIm6f/NhNyiDwPwDQTA Y81Sy8qY5luB9cpBHFSBn2E//rN5pPd7JZXhkJIcDMnMeSILvTYednQVHsS84IEAFChz8LtU5mtt 6T0sJCippty6OkbRFSj1yrKwvhZHpm+r5/E5NZFeFFtkY48Jb3c9dJegJFeJvHPGT+E40uqhAocO k+faOFLYr9yidfBtThuw8oC97iajGI23UkVXlo3Zab2yThilncZYwA61baTqgWZuD5d/w/3yeAbM amoKsyNRNiHzGUvktMFK7ZDg6G2n4xCazJBtIe5ScxZfZoySTVOv4f0CDOjAypnqBMDoVXsz3Aat Gy7UJoR1J7fjQrvMcCu1SgI7b4piVQyRSp7sHJ1WzYNcdgEdGrvXobjnXy/lrh2VjTpg7rO9UVE3 O30I1ddibVk2zYopJBw+aIJ2hfgEcbwe4nmR5QwozTX5FkJIkDoeydUVMeg/dCVtRDF4mvHLeFd2 uq4OcbqZOtYHKg3EN2vkjNu9jQGk5LzKTddrkwU7uvBB1ilwirt8RNL8TaozMrFp5XbTy8i1hd/v jYadk0KTYoULP0CbO6t8chLbrT2+8UDGEjljjnix796yJwzognscNrS+xhblW+KrMqR1gb1YHlST 1LwzCWEfQXk5qMskUc7qhQS8lhnp0PMtPc8HILwasvETMzWXEItkr2Ap8oxpMsSGPhXRGFa9MWnm h74Wq4jGR1LEp1agAVEH9865IZkL9lfQlH1SDTYIgdMx0EV1foqOjTv7PLGkTCedFG8BxnfWACH4 46fidUz7gtYSDxjt4Zkey8s4rZI/bpeK2ueOi+G663qQVd05ghHNBkGw0i6Ky/bHC2wOVSEtBRmn 4MTTFSkw6+K+7SSSHu0znXnLkwONbaFYpLNZSXR5qcT5YljiR9lMBnaZSRFXMzIxT5E1DiY9WhBt y7UWtoQY6Dl5xYc53OZaSFfUSy6WNqynKaiKUKKkRNoxaSAwkNcg+yzAUaA2LokZ2gh+sZC2XBMa GX5b4F6hltcQbxf5dA9Z/Ojl8SuEwGFpayJEmSpJ1857uHu/LMOuQohzoXVjJJ2nrO22+m5DdZw9 t6MFDcgZHZ7bdbmguMmZGDGkl6aZh9mrbxA5eueC6PrfKg1wl73M2YZa1LmZAnuzDyk8Pk5iHWNH MKqkeZ8yWg4ERy2clrwuTfwSd1HRJL1IriMVzMLDqQM9QHanSFUNz9Z36H6PXyOSM8WfZxuHxPY0 Wg3IOwm8EWbg5RbQY+GecZoflftfIrxjF+RURZE+L5q36MjiGOp5atwDZTV3ndUpFndi83M84M+H 3q2k0YbqtVwL7J2z9FjM3DDElO6yhv2UIm2/zdgNSMBomIyP6dHG1yZmzcdWhARq62JpiAOXO42t xxMYgXg2AdUzNLIrGgaWncYEpA7eLrn9pJVSLDk+Qy07vmxwd82SHDDgsGIheUzpEpGhV70F5ZH8 723x4EqKB10n6fiXcvWTMu7T06hUm702Hg9OzwuCyBtyWPTRoZlnxI4M+J8DvhyDLunRe1dG50Pr pzPBjsSHLo9eKXP+p8f/gOo97RAbxZKl2INcqdnpxGZT9H6bpiuSleoEfoM6kZ1MewdGThr/Oms8 Tw8G0zXwvFM569bN3Eg5hYRn/XfKxKukN2pcsHy9VS7ga1qu7AK5oCGl/xK3Cxu+NEnpy7FfHxKP COlPOo17txPEJ940fog896jDVXQGq4G640U9Zl+3vEC7QwuHs07aZjPnVzwUxTliuH9eq7XC20Dl +2fAbklRnOaNEREHe3o8lNTcvF8KeAUnzYQpmyFItXWHb3LXHQ7oFaBcj0l3gQ4GcHSEMEI6TY5X qPo33dkOkpajYS6PK70V+GzqnISOxUSLcIzxdMhl4GIGdeksmsvkpD2eyne+Oux9m3gheJ34Pgg9 q1+nK+nmRNi14IITQcpOZA3MdsKPlhlAwhBLMb5Px5A5mHoFwa0CzC5cO97DbNX/Z03Mn7pckaYy 2bkXw+Am9Xq27Pxr/XenIsBvIazN2mQtL8A3AdAsSr4emUJ4mTAQZ4OuCvrsSHN0/9tj5SnsWpB0 R7S7IA+pMv3Ujvs1TVfb4y/DpSAPf/fzaFecJMWevZhNiiqrKJ5Y/n19bmDeweF9o/CJdiInU0GZ uJuA502UfmrcLfmKzcRKDqTbhyIufX3rixlG6Sg4962gvNE1TpOHCALSbKX6OdK8h+o3Q8HL0V3W 18Ssk72vNAZxwNBX/J4APJLd+L9cRDmIVNdrDllVVlKeA/iOPTYv27nt4toxdHcApz5+WhDyAEmF QEAtkid0zbGipm4ya6+YaGZfBQH6dBBBLQ2tRCID/6NaQyV73TsXzhjLLOn40Eu0Gax7q/Nwljfa Lkxawhn2RcCqSLRXBN5Lu6b+Wu3qtQOiUvpM6SVZnlDWGON5FiPdPidPm6ot1OzMl97chZDgzLnN eEDr0UMGE+xo1pyVz3hiFOOXIhcNLf60AhkCldBcmcPa3vUsgJtsjsRJgdNbT2T7Y6xDplL1BOFJ KX5iNM7tyiH+ru/OKntjmzM7RjjohbhJPJ1PJEPHLZ/obiGfOJBTlElz/7tfkpfLnpYLohylpBrr l2qQtq3WyANUCQGNxOuLyKc78kkQm9wMJlbpVtosJv7NRw+4GYrIMEUR08gfYD2Zj2ZA0+U0GS6G pYU/Gay536P6zQ0LiE6Fsprg35WeG5CJsd5hZ/IBwjV0CvbibGYr3l5984w7teFO9unprB2ChNxE Fgnuy9B6WroLT1K65iz6o4tYtcdr09XTIfZKVFl6WUJxA/xFsBNNFxvoNGop5VioqRw6fNnzPicx zBzmEcoVRcOIAN/9RwPfQTDVSsm9lr3Zrnj+0giDblBzg+JiE1SsUAV2HFKnmrDogTYSOAsMH3Lo 5gbI25Z/9qwLEhFk1v6W6J1Hq/juTg9yaDLtN/Q+JEnPd9IjYVZXKdhmv9DX/oSHhKoRitQH1xtU ivFof4xP4FNlyGyLxUQTkfVs616+BKfUy2twyVLEU7vb1lLSKzoJUm/ROdWU7cBXBdPCh2Ih2gdT vkg2ftSWX6renBcCKRM4ulOodEvcCi8CPmnMrI+malrpCuZbvTHgOfRDBG9JWm12ehrkOtf8/6Z4 tpLMJntobY9D1F7+bm8ipvbQn4PzCpoIQHhpUA8BdOZ2nB+t/nP8ZO/w1qhaoYzVT6/nSSgSJfa9 lTvD42lgD8HyjFDDfku6wDOVFopGbvFm92K7f3kW/kulznrNm0WysGBMjoKcZX9ePnqVhZ6NSyLt rrshDg8RhxpCmYr+aasxwHnz2uZjlL2TB4djWkJ/S4A2FHBftyYgv1vwBZKi8K8OqUe6mooVfpy5 juY3BpdDN7WkmfGL08EC+kaOTkJ9yGYA2auzsrp6VhYIChJw/2liNGKCIX8eybOh54nR9JtAJKbI rhHTdgUk7VBUUrJSyeACil0PVKkloGpFGTvGIbbEy8ogGsx2OpeDXfz6ShpzuybhQ4nYz4hDqe8s c7RBWWzx6O5v67KUvVWeTvpsivzVooX06CJJoERdLe+V9EtUMZ0jSP0ek4LabVpWHgxXYWNvQWqs M+ffTQ/iEJWmnbGWEUY20ILimRTOn0V8g++qdicRreSdycsl837vtczclTAvxgqN2gwHbxaD+CJT EuC7Lz580orzLQ6fK41Z/riuzjlNuT8pU9nYzGfjMwfoFu2oZaz+tHOaRq48GuL8pzyvASHSyvZG jB5AeFSv0y6ipEPP9/55dVZofnwY47jy+Gbc793WoQlxoymqtsgfZ0FKfynoT04s58sW96GBmjN4 PuPtMUBrIhGSPqkNYa3BpEQPvBkYDYAX54uOQbsxXah8VgUkR8HG578a0YW9Tk6WZt+YlRllmscO odf+CPE03Fg8pwAUbQygJH5FHCqe7SDXFTpZQHMIIAU7a/UjgO/acmpB1683qrrsJVvjGwqvHLLL VzZAxUyQh38xfYKMpXdPR35y39i+JGXGXpjaNCIRaSDRPLNWXCTLPwvkfsViGhxDlrrktUL9ECIP nRJ9t0irtvwOx9GbJ0CU9Ynn1pSEFVq59ZEv/JUaUQI31xDbBmLuZw7FD1Xi/Ot6HiEaP8weZ8AU kw6P0a2Xjp3/5lWkuXPRE4ia+gzEDSOhj9kq9EfJxPvRTjBRMnDOBcRqH0bQGcjlXjES/atC6ecU OpJjzRVhUfutSU/j4x9zile1CjTrMz/RLxNjVtKE9as3r16i+oWF4k99beGTLvdOlTEwJdtiEv8H i7kHEw/2yUp+NyDKB5t5H3Rrw9BxoJmaohCPE4QhLUi+aKeWc1IHn5DP5aUhMONZuDnQQXKWaWJI U8o6IZom3LWOUNYIjIgYxhr/73NSzC6RPwwHm0Fm684jAPbXOEsSmS5VDHE//sBN4Imv+Zryl5lx hdsjqzYEa9nMkvFE9CVYiT79iwj3CBNwYeJUKVyIouJRguhsxdxqml+LtyYvvVNFuSNuWptrd6p/ FEW9bUO4ePb3mB9TVJ32Pcsw/fkwk0dAkxY6IKamfews2/9QHCsVEH0chOkElW/p/MhAbtjG43HM kcWRtrtkPN5xVFdiVfk94bm2tCRneNA6VgQusQaWCTwH8ebiOcwbhizFGMDIOR0oD7Y9DShB1eJt nASnPshB29i/x6sBMvnf7trmFzfPpGuUUSWaWrtwakWVPldwvSn7CoRdvLWeu2K2EkJynmt2fkX3 ooih6t0g286/n5JoLrMh85i+wvj073PWXUCezOzh4gYzO1l4nANAHdLQXLwiSiIabtw7K8M6MgCg 4ujUXjPG1idkXLHtqWRbmn4OCuGDyMUWo9jFljtzchrnjC8ZdnVCr51VutLCEHzwAFu/0ech3Jb8 trgc7GQyCwYIPDEhba5EwI0WHwi97iJu/pnJwxl0RcLy9k5DxwuKZH7PRoO/eEuvUfsYXXVx4mYb R07Jy3eqgiPEqbPUHCU/jEEBbAh9LAAlYjAfpDNpTTCCJNNOAE87ZcTURfZGSApmQuyF5ZvmW+cf em6bfhI1ihPKtb7Vn7v8qA/zGqJltMTZ4bw6o7igv1B69PErhVBqvFWQc2FwpXkPVwySCtEt6RxP 0YPc/wNMEzBl5dnrqcsiuLHCfLt3i4DQ1G1oIg6/wms8HRNFbPV3+OUprdLMenHIKCeMcOHiQE1H UQuE7A9/6mTN85Fhq9msN/ZqF18AZl9Qg3EmpiPSeN0GW5/vyvyUI7X6UsTd+sIjaBT7OdCFTsKU 7l1GZ8lh55Lq6IcrKE6cIT9rYO2+Fv8U1P2yUFMl+ycAtxvq9gm3Sigq+sSBy/EJotGUJG5cv8LQ p/Vc5WjoarfiSPN7LwV4xADy8aqscPiQC0V6MSjsdaeXNRbQkYpgSGqchZqpG+4Y6yUVUaBjs5yB QgGf+Q0BN5EWsaln1cnxe0GiKLPrzDVoa9d/0UmA0oaqtTRm8g/pLfjLLU85YECaVMN0a24++Iip od5cdnNSG3YfelS/kokPaPvs/yiM2bl4FRUzmoR4HQT9NYto8qA3flCuhbui2gdszWMpnuymUl84 VdpfN2g3/sn55jmj9dPOQYLPqxfyHU13hlfkBASB/3C4oI3SHUyJ5Zky1j0iW6axbwPqcWbq9L5+ rHAa+XqsT3aQu6CgQC58yIvNMKadaMsLYyjoL1GWiiMdlRW7nM6sdlLyOF5YXvhCO1nlv1eTCk3s nYfwl7mYjoAX+YMavjnPUwbpsJc9xk2bFR0RegypM8PD5elceRqQLVA0mXWlH0+Na/6BWNkO5jGO gBkAELo+0Py7OTL04a6Si6011Cholv/4cv6et+8FbhNDC5C68yx/ot012VlUV8aZFJuxUXjWdDl6 EnqDSlMLSXOij7OjznfIiJT6y7mzX2OiNRGhypb1F4aoP5KQ95aurw2SdudzKMmqxccIRkNICVom ntBzmTGZdUOViYIgT0nbqEYS3ao/w5m/pr97nU8pF12ZSyzpcmmV/h7WwU8GOFe0ZdYiU1X79HyX GFrUu2eiQaqz9y29qWyGfi6C9tLBd0FyK5rnVokDyKdaKnFR+oDbCiLyHLPqmSO+GAUaZZ45DdZJ Z4fBNDYbIRAXPyBf/9BFlrQy0Dz+794RlIMqdf1qLCh+CGupUOJLb4s/jsasW6Dbv9fyEGCKwrSR gLkLRzqWp2UfCfa58TtvzIzPp55zOGA0tkHNn7MBtvUh03VaGaslGvWAuI2VtOw+mdAgl8FUrYHd R197u3VE789dNN25vWwduY4Rhm0CEU2h+Ujozsykq13r+DPpwa9E+zos9UU3iqUvOKTaI8NqN/QB jPn+Ye50LD0tnswysI1y8ER6eLtHW+4tUaN+lQz9Tynbuk5+DLgUkCE5mmF1vOPZli8Qc63BsZ4N eQJ8Pn3dEZOcz/wwbuUUCLmHh2ERC2AwXXUJibvPM5tnYF8he1Ib+laJ135lZd6ki5VfwJh7bJZQ 7kkzqnUwHY4R/0/3IBAHliXw62IoS5uFMxsHDoabEHMi52Ghp4aE7xqLRZhqODtcyGldhJA68COl s+vk3trt0nxlxHwCa0EVY6SH+tRci6P+L+pswEW4EAm4CSWI4sb0kwn+aSc49bAD3Qym17lIdzNI WcaKtOZJmgZO/Fg2EiSgXH0YskQ/eMULE9BpS3mMCrIfWJom1pLSRGcuSBoC7GlhQcoUEA03gVWM Xi/FCbX9mBed5TDdLIH6NlGbO/Joh2wXu9NGUUwpq9JfrHmNlOV50mGpJmfiHDLQyW85Ko19Urkb aBJ8qvo1pdNcrYUSIWtn3qLzw5uqshlODBz5Hd9wpnn0LhNlhD2GbDJEJS3YNG7q3XdWxMI6NfB5 7bpg7stfDUcv0ZwwMq2zX2jLWvccpXTmo86aw2m0dqLAWNkI5FyKmBwkdneObfa57aJxK73H4Gqs a0JFnrtZ7vrzIZRyOpcUxNh1kJBjtDPSCuQKSbprFnPeyJD025pPLNFL0WRTtwEbwJBAYzKD0gqY jqyWcm6JQj+ibUu3adst/40uvRgWACJaeSGbnjiLDenKEWMsIiTP+Q0NVB2rEL/6dghhVekee9Nl fjUuAe2g02aytO7eKdUiS/Fo0yTaQWe3EfdwN0iWp2vuaNFh+8BIwjYJRj0jeed3+g2xz86gD2O8 HYSLf641+7dJQolL9+pc4jg06LI9WXgdOOx8GzAUw1s3huKEG7n6c5IPrNMZQK6vW3viOcePMTcb Vd0B81UvezLxAusPa3pXgaqZ0EqUEXJntnubd930FMxArhSE4DAW6gQaXxTjiVtIWp6pt5lm1mQ+ keZ7AY4Ic6hbJ2y6L7Izw/o2Hn8ecLONJMnfNJZI1vTh3zcDGHY45Qn5s4/pDNhkNN3mpqrBXp4p RBlCLPLLJ2Rytgm3TSRpY7RMQrH1ExLnx4NpN0No8PtFXOcqMFqBG72B5G2VvxD8IqN1XwMygQyS 8u0AgDgB+AsjNZdAtPPPxIKUUYT7NeEDr5DVg997BZr4J3TdOPjJCderaxSqflZl0lMV5rZRO7af cGev+vzdKXXEJJY5M3H0mUpDh/VXjg1mKNdeyqr+12jcjY3BfgZukEz8hNaIKAciUWEY5/GCjU/S VsRJ9UmSCOBr35YWO5J+3VAm+hlQFANwqXm4xVtrhTX4Xv9edwsMJmPMCBkirlhKRjgYZqTJzVk7 5/0cmMT++lo2xvjkyqjpVy+5bFSnswFO7pc9YmurTbRc10JKZLEDyfAErrPfTqsBWVkiu5WblCz/ XDCESDn/AJu/3eG8DqRDxafwId9Ah5bNN5Z7Is/6rg3CMJEW0pKgNOYMwCEwL8EyTMYyydXuTcgO lgz/bKVK3e+DlS1RpMQFEYpUjYkvEm/7musGScUphf6t+9cza/pmAw0YSkzAqL9tc4iQBO56w/c3 VIj0lycpIcqNjjlDi71Jr7SawwCoBLsEJNpZUvxoytunXO7SC7/UfN+JAaVbuCapj3P6LBj+FlgZ BR21n3/KY2kAjdPCcqQRyfGoDgxyZWOYDlho/euPHJe8ZsLSsUpxWIYgK9dz2NsfAjYGuzsvirOD Gv8S1lF7u+S4XgmEcL5pg9H4KeliIzl3F7VmKCWwPlYrnapGHDBgEWYA6+lEix/KCimPs0U8FZS0 A2Xh8a8/fJqOR4wKoqGMztTOGgKRj5HgseINcyu3UP1ja0gxAcU5LSqT74NWzSyNw34QMQBkL9Ws sjh6HGSY6O7h6jw5svj1xLKq8ROOkfF+N/XvA4usSxNwHlZGRIs0gRdZtnHWy5sVZCHYJyCE5SJe f65wfOIEj/yE94st1X0zrLyXhIHHe6Kq4v60o3TDP/A26U6ujLQM8J7HMPITX7++4Q1n9QqiDmdh xmcQhIi7ZIVnOpdWeww999GCTvVlaF+yrsxYp6myEtq7d6jc4oekCXKP7I4mnnnIQOAIlO5fHjNb a12SjlRc17lfwEIWIpuVZiFbDZXSAtdYvmiYIZwEq31A3RlexhzppYbviznLwhIt66fOWZZIIEz1 v/EOX+dOZs4dhfZz+royov6oyd6D6BXab7c1mq73LCDRHZe5vTROAruvlv3aVBMcPHsor8vI71+9 CzeqXkfbFskVJg58ANyHzNPjlpBXyCQk+geGWcZ+dqYntuUDi9fbyyQHURyvyfOWH76aPwqj/fr7 id7dZP7PsDftx0nXIZxpCmjGZR2wp4H624LxKzlLL8iAoCdPlX3vuIcWu7ulj/LkW/JKxqKMjXsN bNft5xLq7JqtAoPSJ52O3063TJiu92mW7PVWlI1nj7VMCZrhY/rXN2EkL+fCHzvzVC4wYLflRFA2 BF5Y/vD1AaqO2wfWHVQc1DpFC15R6Gc7OiXPus92J6Bu/jsg1cdT/+05OAg5a5j5UXHOBb2RGYqX 2Mf7hQ/N1b/Kr2L2iUtwpqnuEwZYjLLzymzwtAelN4tSN2ym8J2mbwoM+Y/GlkF3xZb5gqtT/JRt tamDZ652zp9i+wk7WwDjplgTVyoy3yfwNP9p7cRgv9rOTOQ/Mj3YpY5N6MobYUtX7MWAPkW4Jx0O ABXaDLx9flxasDWN5YNf8sFi6+5+dXmB6gFvSdOxKjkuGRtO9Xd87bueDz+bbuI92284adHx1axj +WKvipaXklSUMFDfHzisbcMLoTjCk5ItQA6PsVihLZ3wUVfqO+Sus92BEgclv9SBr6J3BU/xn+QY qUfXg5ka3n/oEEQY0kVqn5rsTqCF17Vyiq8/49oy2X3iZfnBTy2z/UbXu1T8mEWjp0Oa6CncRQDq rQKq4qzH3QJOpjnEPTaE7hJqnN0Kxgpdu5r1eqpuopbANJLtZrnrb0r/3rSkyDW1ltVNzGfYEPfJ UGENMaT+lqUrXAtpIjzakeDmWaDrJtqqlkEO8ljptkx+CI8wjDsi0WNSaxSQwE7o4tN167VE6gq0 klksxlcuceOFNukbEOj3Mbu4kapT3M5wPzexny03t4vTL+vya2aUBnkksRR7gDy+hFnxJ9kZnIs+ qf4QVLOAJG7Qp1FXQPUxr4FcCQc/lhsugOFGa89G0XI1QEP3dCiBvjQ3aKpEqa3P7qSgT53wwz4e xYifx8XIP2NZrQrbxNQ9mUqiqML5nVXsP7Bj0SOMgtadbNE5WX7cCwjb02HnFfIuczUzwrUG76mp FNKqUOdYhIJho+jDTlXAuFJRzLbQvnxxeLPnsD8njUd4Sxz/K7TYUzGTJdeKI6UL412/8hm9pBcW N/oLf5nZWiuVXqsvrh2YGPzsCyxlnXrndLLhIvffMgguykzKW9vo0J1Qkiss4YsmsldTVH9sxqhQ Xsg4Pb3Y1UOv60ez0F3b9l0EyqZXhiwLncf9mwE8Q3j7H5bf343oEI2dk7HPRsXZN71Hw1evTvxL 5ShiRxcuMIKZBMegYnnnBBcdRp+fP2EqOJGdAAD/6aBCF+gXvkp0oxdJwn20Byo/+uGDxZ8C8Kn3 bxvzANN34Y3zCJTwVPmFOqEbvBvZnKxmM8z3orbY2hWQgfblVg3pTlsRTQnJ9yJXRVDxJg+qWAHq 61N2Voqy6V6CXj/l0MiAXJahmchGqbeQUitpItFFxk50hP6KsizEzuC4jbrrCWvnXIAMr6ntCZ1h gUkqJD98mv6szpZpa9YyFCiJreAn0DEuBNg3qTvtlN66HWk5+uD2Qssv0CUrRFu5QUUQTKsVXlYf jtmdD90cx6le1S/lpnH6k18DRCd46xfsMnbxo9Za6v2tBDvzBt6Gfts2CFr2krmLDa0xwHbTjFyC JaIpaEeEgfmVWOWYes1QJf7nvuWRJwna4w5Y9COJQhQrIlLuDfVEb48S4AtPyUKW4EXmo+8YT7rc H3BSjIzEkuPGNaI3EBRtp/lXavJ9IL1+pgvvgGV9xmJa85P64cxrOT9l9bfa5bqu/T9yHolpQB5y 8AhDxoDFjnZJYKZROQyYlNVdAzMCogd+OqybZ8KqTwgvuDQ9EO6FpOB+6ld+5AzsigVML8B5VTv7 d0eaw4tbMpFmqtpBfW557xvTrAOfMjC/MLuZ7M5lru/8pB3uTpklI0i7xNGbwvkdy7qDsnJV6fil minCYb3Egsz+Ic2IhfGiGexfQC6F7B4BML9vlTJmIBo52riTs0ZPjfmXQVpL13QV09DCvZsugLkc 9dvyRGk7BHLW6yPVCsOZZv1T8JJELkE3+rlQctCXdJMPgZn5mIo4YhZq8zuzR8Z/TRW/v2sACgry pt4vGkoI0h3hkUDgCIqdidclYRy8IY+gIaTII0nFeQQ0MYFKvEKhYNLs5ht0ke3Y/asegrv12/6A PIc7RtvjeU7jMFyz4NVz1a+T2PnvLftKooFN4epkOcD2Y51773B/2kqUg41CjELmrJMaOWb16flz qE+poAzXmRxZRBumujCM02x0Jf3PtjVgVnoBOErlWpDeLBh2VdZPTofJF2em1gIf8LCp7cyOmwrf Gw+vR/DpW74RYa9i2z/waMLUJd112sgj6WyMEwdeYb1Q9Vkq7S6WmNkUN7rgrGpHulV3mT1J7ZwQ pT7wUJuYs4tQZ1TmvmK9MCqogtIacd+WCIU/KBNInj+knRizCnZOqFJXhb0+1y/5pwHzyCvvGN5I UJ6fktc1j//m6nYYV3/pTeVJbkh2z3RrVSKkV2L2e0M4VhyggRA8O2yAA03vvra04knrO9E1t7rl /t6TJ2fgEra/NjBCVmG7vg8pezsBF9WYjyn+NI+QarC9Ni7O1cFG+497FqogZX1poSXH4ssILkwE IO/XPCofkwpGTNjq03OhX0umjNPcu6OsrFmjH8YxTulAtRp6a/tZw1gEKadmJi85k5lLXrWFs1z7 LPPHmkHWII6u7YmaxqQH+jbKf1Sa2hmoCPQclZr05x2NwaTiOW/65cFsnnP52j0oUmtMJ6Kveu7c Mi3slInChGu6veNDw7H3K4Jjw3kNAfCaQmmR30kEHWr2rlxaIGhcP/FVaEp7PG9WtbXbuRjcowo2 347nNmKADdBBVSsF3bDCBsaYjuOErSulztZlyJURjQSl0p/rLOC9dbyusfv4jpVOZT2LT4xm5R9X uUTcPXRh+hf5AFASls7IPiH4kyh2Eyg6/cGhvw7vTEYPuFPQvzNIS3hM8zTJBgzfAdGTJ0qZYWkO I/Hog03Zv0xS330XtWd2mjX+O2RwRyfB+PPKnY26iwjngZCa74r5MqFJxm29M2P0j/DVK6dUTLiK rBNyn3jmxCbocbflvl4ASvCkyvPDLCsmHCy2rlvCFgmi/MyqDA1sjZLafFl/e1PsLvLwg2reHlH7 FEGKzQuSyMhLoXVGeOZOZSletf1SKqruur1PD5CPR9RyxqyonL6rmn1ub04sykCtpy5SioEwCFw8 ACO8B1rpHQw+Hs1ptsMHOIjfqv4znanG6UhAw+Xqs/uPGp6i3VBgYwb+OETT7PXDXRZUw0e4ErSV XTW0Xzt51NoVRO42ZuJyr1qI4AgzK062iyU8l0ZSjdfFikSQLL891Z1osiwgWADfAgA6a+C3QAUW 3dnEF1Bttu6psYzK7wc3ksolBRrqjW74K5YCmIcPq4h0YW56DT2pIWEc716UF5hoqTZJCJ//g2d1 ZgGPGHnn/7aaY+dqRFnYrNpFXeAzLQl3fpJ/OuqYe0D+Tgnrl3ZsyKXlgPOz3cEFxUYGXuPK9veb QeYBD/ZErwl+Nprqhgc7Cyuy1Y/5wKXe0SwUIQQrb3/yBOpsXXgoMA4tAHaQleqEY4SJZkMhkJ74 +nBJ3O6Y02E9NN4W/0FRsdsSZ/8TzGw3x3fCM1XduZw2Uw+322/Y+VHNVeQ3NNNRFkxHcXvDAaOc L1zDOMgotuFAYSb2Zu5tt38ELaNgT+5Z03QoMSnWaFsyExGhewcnu3oJe+UUfD+HHO2Y937UVjFU I4O1Y7jYmrqG1m6Vrah0oakmnvjlL+OnMQIDOcT+TtxnEdHOdtb5xG7uq9LJCzhMVVE417bkXQo3 fsaqV4FbnjEXlptSPpOzXRQG6sV2aq8X2V/KlAOCyF1CP3nwhPM2W9ej+pTa7SuGP1x+Cm9We7ol fYlNCaeipADjLc7XnbIgYyKD5g8Ej5EQheuXM73A6DP8R5f8adhTZvtZvzB1/FQ4zwHisHoaBtQn Af5yceiiZpQWeOoZBmcOHfb6SDva759TS6b8ZHeW/r14FDF3mXE6GNQOTzj9nBvN+jbhucmXUuJj lr4AwxuU+6RNSocsDhRmXmqkmJUJ7L11IK/CSBjC5nqtzc0u3K9hkjuKSI7Yc4tHv2OIqrFCav6u om4DT4EFFkAK+OJC6tpfsiByaLi0XyDDY7qnibNPzA4Pw6+Ak18BYjjixxqZAlkwb6MeiQY6TPvf OIWA9E6CVog4n5xtmGxIb7bdtwCi5nzCrXKCFU/vfHd+TF2+yxswF95Q+IDPd7s8HNUeniPq3JD+ busjJPfHfqzBuOFdJWGFw1oGyiXShGkJzLldNpRmP67+ozqSshlvdmkFJGDXP/SeJueKMkUBDzRk SM1uktrqVcJujFnmCRycT3dAN4qV6Rltde7gbf1LRKEFLjYKAVyBeQJIt3tDinsQGCc4Kl5MuSQg kzI0CHdA5D3K1vccdaL9AXGhH1IaEsoSJlkdnDUdTMutggS2pCLF2S15PLYGrRSxX9xzqO1gWLV1 GZxKvKkpUpIqF3po8GvAtns1Z/+fqYtPkVD3c9NS46RDFGMozqtWa5nTbVJtXa/PRPP5mESWPFbn VO69HgeOtJ447/6Y1G2tW47U39mVIm2Z2azUZmacRVHR1/uxvrFuKMU1w6G69TswJ1gCt2+covM/ 1poEjqklcnhydXrQX/IkEINrUrnH5zuqaLYlrQrtvMfv2ZpAcMkaCDkMbBN5hTvQEzxFVSNQUQFP SfPIvomvGaWnEbmsRRKdhg5gsLLrkqhyp8JMshjV5gVL6VxlQFWS+OURTvmEZHyk+QuGadvK1VtO FCXO9Cvy/gQV4LnVsqW0O3w6FK26F2orINJcDbIj9TtsQmS/Wr2Io6iWbhRIXHuf4hI7vYy6iPIx Th8VQiSodmIXSzYSyoZBpfTYrmSHLHIo+D3MFu7Xcl+W7WoN/P0zopJfJ9iMapfj4HqkhSrpHxHN 5PucVt5oBqdb+2dE7ldhUWEvXtdnkEvCbMSL8Oo2d4gtiY2S1n+I+KtZwlT6K69t6O3ZI2HK0Gvt afP5BmRDM2cecH41yOHbRQwcFVjCI1QlzfFfJ8ZeF7EHf2lXtQYg8Sps/brHHrZf2xLQjwnP9iYg tVdE8NV0lLb1Ec2VIYn2tR9tinHruHsHdKRpcAti71Nskg5IxLRuFn1DYEnCRHzG6qNH/Bsb+Yfn OnSszbHSo0v5FDTwLWZtiyyG7+35EnMaUpiCZKSgJ+J+Mw8aVLQhKPDkC9sqIrtfI7D83L/qU0pL ImXbt3dkEfUPWh4/1hAuP4OiLkxxGoUZWUjYz59IMXmBwPVE1uHua+mGQLThGuKMW57IQhEhOlbU 0mBLMkyDcVjCW5qaIPvCRLnLWKJ/1Kl7dC3H4Py/PTUHgpWKdppN2hk1lQlM6WKvp8GufLA76Uww WsUKDTjJ25WJQzdRAiN29fI06YX5O93svMbae+5R7G7EU61ComhH9oDBLlO1Hg1oqX9XxTG9syhj DZpE2iz4zM8adbfYiUEb/O8MZSjNNdCIE2UYdeKqJM4a5H6MUiS9ard9fIyCWVogrD+IyppX2g7v wOQA1hwhKkEvZyKcmR8yGktJfviZ3Ruu/WVbFvS1ZH/sCEaiKt4jUx3l8Xf6Wsb5LzzXErw7gB0S CKiUc2xVMHkEgGG89xnC5Q6qzcR18OwA1B+5LV2tuhxhmglsMX7IzPIzRZuGfo5Ux0EMzblneImd WDpXxfzgJpyQzSUP/8A6ak1SRqwM7qc+OWUFtom+2CnrObr4X8D+vYCBy3JcmSRePYOcThWjT3qD iYazZe/tYJx6r+Hr0pH4wXtvIoE6L6wnl04kY7vlQ1nwkEsApiaO+UXB2WGOh/l6eQxTm8pqwW3t y06egf3ACeFW6EV9WfKHI8WDLpcHrLxarIZXpW0hAZGd9LUSzHbhJFbo915G8S6m4G2IdRLua71E lUCZeUq8T7s7QB0kt5tySTNcWsrbNumpmfVe49OwbjyaofBqnlm1lATEgdqfmf8URdlsjya2S/iQ D9C9pTwr0nv/C9ylIxB3yeXbfHs+5iDOaKRwwaxrXkX+xRi2FCYllCiCk+OSwhOgng8MqggULrgD xCM6u7Dwfse0WaYlMLuYSq2TcGQ5UvsmhcrhkZ5oELNkC++6yfpwud6Q/hi3Lnto9FQsoSoDdVJI ENQOWiFBlvL1Z2VEVYNwNMBZo803U08TvFWbTFBeiA1LooL+8S82w6fgdpIrE0Dzx3oWhQW+e9oE f94J31FmhOFEU5MHdQ9U/ZSda2YSiXvybxeG1/xTeoIOmeL4HS/pxGQ0G5Q8WKkJAvicucVqIYeD OvNguSE/QBCQ9blJpV6keqImVX9rz9zsoOHiSzzj5/0m5ReQimnPS9ogN6+NsiJMEFanh07dJnkA k99njAKOdb0CpNV9OXqZ555j1TSXn7wg0W0cd3QTjgTZq989VGWURf82hCuypvB/tERx+8LqwQaX 5jv9+Lxxjm4jHXJu7SEaTBQVSP0U7LbZry0zQUOWmZj9xoXeHJdAMuL/th3HcHwjzUVRN0a4juUE ImDkfhYz8CFD+1XwcB7fOEh+a5pYBgIO56OkBPn9VOHaXTVQP33tv9SNq7/CgD+vi4AX4q4exwBM kzWcHWlESADcKiBN/l7rz+qN3BXmEkGDH46i2GF875LWXkd6ix2oEReGwKfZhmX4slhfgjTLDEXi cnOaxe3KQig/wbDXtedoNad9ymtl1wW3M1dnH27xYmjxZq4uOaHHH7pRmMYOsRXVGDc25h5BBHEc T93oAarAK7LlEvoZ06o3PSXt9Odjrxe6Bf1INUeENa2knwaARmD5Uxpomf7O9IcjLcZ2vjAqOiHh DP2TYJcywn/ORH2yx405VchzH6Mfp6Jkv4xW4/Vrve0jKcUJjMjK2BHasHPdnm0ZzzFtmwB/Gto+ L+B5byyrgte8Ax0WIFh9eCKkG19Xg7HJgSGaeBc+Q/30nvyLDi/sdapAXiP8bAC21y0+XCgMAF64 bFbQ+fpM/e1aDhbpsKMrasBy65SQXajhTZ68ki6qdPsPctEBWyoEkVwluRxvPlfa/sGFg5i2MOm9 PS87QMMG9lHj1tl0uj3ylmbKh/8c9q3aeqk98deUELH1vgP2FDsI3juIuO3z9wdmOL6ZEQDISs6K qY1G1XgFNx8ZR1MjDh/g2wMPJYaT4R1oY+Gr7k/LkyXwt+Z6lMZK1mHm7sePlS8lX382sZuUo6Vl P/v/g5zKoPMluiuB96lvzXJvACo1IPMdP83jwFkYJiZDQVPUIVYwlj30VtJxlPcaDthW4E5pwgdO PYsezR8KsJQHINzbSc1kUPjPJlYOkDnxDW1vZ7DCDy3jPyP7zF6+YJAlph6IvzfbSF1kJseBBpMb dYBfsM/Pk7pwUgP2Kjtn/5RY+rmWp/MRDa24ijjcZd4mFiU/aIKq9Tc/imc51smRtOHRRQNVK332 QXe7ea9/Ys9CdQWxoGIWphLDPZJBJpCZwEx5MzdimBiVcEiJA+ZXtyRIKF3i3v+IOtTHWZqbhT5A 9Du/HQH8qBQTUwI3b2Y9ZNDpMeNJdpii4mLWbqyLRtU2umOqCgw6If8OwGAGBlTxOujcd3wnhisJ 0nyCnTzoKLluzK8pVCwMcYbaD9nClMSrYbk0S74WZCuWQn06qwFlhtVvHQiY4QLn8g1+i4fP+1ce nJJJP+e/Qip7QbL0XxeorAhYKCE0YjVvq3o9mZaU/W0fFooV5M3FQ21DXSupuLEjywrzEGTB2EQ7 gTve/8otgX0Wx9DyJZWigWuS483WsdBvgdkSMLwR3OmDeADqgJsSl4t7Qxr9s9iBzZMM4V58BDUf OEje+kmAGhX9KHMHmeUf5CpvCiJ9QudRk6MCeJna+yYhPLj/HG77iXUUqjNLL27n/J65FnSCCdOd VK42Nx6y1+oXDW7VWXh8xhPGpcOka0UPAMJAT0YD4FI/Dpihgo51qg2fV9OxU5w+XATbhWHjPhxT HHeafSQEBuX+EOeXjN6ydaqZPV8mLl7TItt1Djd7NGOSajEM2APuRi8eYFf4LABFcwmNxWse1+8L 0Ee8nAeyIAYpx5dkGATdPugnIRWquHeIFyBlTcqRv3IwuLQFoWp0reCezKFPFD4KL2BSRi2c4WZJ +WHHOHKNilvrDwi0H9bpyjDUZAMXHVEJsJWlAXaMfdzqe9Qan2MeoApulZWUFNabi/cdEp4m6yBw Wh5FlQHAe9X6xGijik6Ppg+cx2ePXHxZmrprjrhJvCAbP3muZ4xDgkSdc+PEdPikJwg9lbFAz2qx 4gIJ5Jt0v2vrsXu4govkivr/Qc+uqWHDYnchxLjJkFYMcHQ+AVCY454Nh8Rn1NY2693uuv4s286S RKlpG5i4zyxQtkJfI9Gjj5dXapOYGExA3vJ/Q6XXxlJNu5xLA5al0Nti1ZzO5v61eJE3WobnUO7i iIZ4jsbLmcDNwAfF6PHCR+VbworeHwMx1/qlj1KGDUjlcSqTqVPW+jQdF9nG0hm0Og0vItzaB3bP WL3nol4hpdfBhbdK6Xg0TYLNR1Zc+kEQg5ZO9iA7q1CkWF9Z9aMHd7F9ne3Xpo1PQ0FOHkjIBDG6 VswMey4nhldYLAH69fa4nk5glJcQbUx7JbcxrFYeJUaR9eC0QEiDmoqC1+qf+Ih5HT1bkyz6XNOV L84BH4A16Gi73WCXsXErQoKpCu+bsiy1T0kws6yaYl5DdrMDqnNipv0x27li9tilAdPn3+1HGM7f pWiSTkxWrtmIsHQfOMuvuos9PbTRyN9N18zkVhTh/P1VjvAbNwf9J4eCiy/BrMRQgURQJDCv1BTs AwhjwJlIdNbKY+BQ5Pakl/kLrvaixVxUCKqkK914QdxuzdbFBoD7T6bektPXhgtsVx6sSIJcR3zj IAnDVRrWdorlrKfdwUqaPECtjIXqi8lqIwgKKGmVJmUkM7sJG/He8btQr3SkVPUucnJztqT76OB3 GUN8qhBVApkLa0qe9H99TODCHzOK+0AsR6Lgroan0DL8x8cXhDT9Awaf0er12o1gwFU1hjWhuzYJ ppsyIAKQmGZB5IoH699KF17CaO8fr9JHWf4ft5mrwd6IyhG7f8GaKIATrCQWqjDpvUaHQjpPYTAz WTSEqszWlHDRIPJ/nBOm/RUAa9vSdKSMh2jRsHqgR/ns1uOO2PSU1YzIJuZZhBhkkDA1fPqj2hPA RJFcH1LmdZXQ/DqyhlQOZcmM6I7jbrlL1IjdaSYPHH4SAgfVPvCaYTB4M8DvCOIkr5kIRIpVFC0v zjP72fE+lrrc4jL9UKCaUTgQM8MNvZtLiNPqozM5MU3ntZ2JVUKfEIT6kQq0eDjGL9EHgf1b8Rp6 4kNQgHptF2B3uThCrWIW+01RjAF6MwPSdrw5LjdFE96O6qNfiRfxCXInW2byYlXG/fjIYOQlBLW3 Ad+e1g0JC+NP9GX58csMJmttrYq7b9QlQqBMpz+6oGFIUnyBwb1MRkWnm8F1Z5XfEDM7q0WOr1hJ /f5ciu6DSSy1CDVxoqN5RnoqraLVDWCkk2TkuRlblX0XZ0aDON1bMwtORTkITX3lpTiHQuQpfljd iwfFvA8U2qQeex4VUd8hHxf8RvrnsgyuB/9wBdT+SYEBXUa1m1+W8VurFQn6zzz1+VJpxIWMk5F6 1TK2Kfp7QuZrB2nQESbaVB41PwqfUzdq38+QbbwVT4xe4Fhz5igMPXlv3bTZBHViOFd0n27SAgGK 88kRlIz748lyxjakHCOBb9ECFNsyXWDdlU2SRf8C26kCSBjP3OxGNdl9uFdNq6nxvktdzebKjjq1 AxjyQsD0BZ8jcKuGFOMeaH5cJh6Sod78yew7146AXzOqlAzAxKRJmB5oQ6BCDuHIsY7zUaAdNrdc CSq/2JmPi0yqMfGW2ykda9R3SMRCCGb4eKOU9F3M+uNXVVGvkwkmJIyb32zHvLGZz6SjY5sza0Bi HdaLpMtriKPUG1CT/Fql6O/fX2Zc9wNWhP0l5ubURPAiFQ+oui0LNHtalql1Ux3sJxOaJQ1kQrup O7wHCA4LC9lXzs+qUkX3/axwEtwxl37TaqFgTAk/6SjmFmnCn5IyEtjDArwOSYEaC2QpSvUAXEx4 NWkxm0fh3EIzKcrlzbaXPMA/WtHZesOz+mOmNRKR1YyFFiQhHyfp+cb50zqdDzZxGUWo1hXgDbiH rH1jU61976Ny0Zzb9MCP8DtoM++XIAkIzBZv16majNmrejMPifFbA+gwJUHTrbcM62vU2IE/6tdF Lwd+PKeHqYEDkRqtDLMQK8kYHzlH2QsftAGgl2IScy7c7lF/Gu7R2J53qrwTTkc5XpaS61qL8s0M Ha9LkvcHjIY8UTOQexDTzpy9xLet0w70fxbcnZLE9DnbBAblKfPk2/wpMBbZrefb20cnygEXyCr9 MjrmGMnMyKaGRAmcEW28TkFtFCcQvvVIoxUgVLl1DVyuDMa4Ikry/mPMtv+UEYpUnN6uN7riQbLc ncscKFlEHa5yvlkU4TheqhIDixiHdxaQckSAcfb5qNg2MwX5Yo1WgmUKB12sEp0JVR8lmc8zwFQF qlux8Rqaw6jgvmzMnUtvwYnW9BpdU+wK8p9lEh+VT5RArxqELPLkniNWGThvQ/hzJrwgw0RL15j2 eJjhB4J5D2LKs88sm/9epHJFlsy/hYEq4CeTfA8I+ArXur0Bf6W07HCvsUoKEbdLIlPDdHOdbWfR gJOxXM/gZdm7q2KbViV0SXr/1frjJeSYbcAiNHPqVmHBwOuwOMFdKhZ+uc3weKsTg9cCZOZfA/US HJ3nxQfteyyjbE+paIe/wb+27F/7PSqUerJxM7x/CvKN5GeylqqXMj/d4OrTBWN4raCYzcNlfffv Pd9abqCLHn3Ra6M5wVlwYQ2ac3G4ZEPM4f1lCFvFUFyJcSB536GDxlxMc7fA23UW/QmWJfcCbtH5 F9EH3yq4gGzPKJm+Jla/C6utNNSFt96vaxD7RBpxuKiWX5kia+tGQgMRYAcexjspmejx801olfCd wUOsECKWkEawrDBtCNRoHNir8+pAX19L55G+MSU5tCrF4qBk3NmXLRoVHeivCYUlRtQhCaSdt+Ik nLRRndC+hA1gPIrsIAXpIyuTWKzEZ2p8vcHWgVySTjEXtye6fwd9WTiHgzDnNvqLsqfQsNnXxZt5 1/nDEhhAiqPCpLJUVEftQoh6KHmoIdenVJqhOkY6nGrdg99jGbkNybk6qQPfDov8w29ho1ABx7tz WAusmVozVEDfr4w06UpBT9zFceooMe2MPrHg6oQ/MmsizfKXKzRQ1c3K4KI8XA4BxKGeVh2mtsY7 h/WfjMEQ2WOxXHml0vgzVwEaEDJU1bwKHpmHN/SxjE5qVCV2GkGi7yXKK/b/6T09m0CKw1fA5WIC jPJwrS53cZ2be4sWBGQ+JyurqWZB20fMkHvrlYsiIr5WeMyQdfdQHmcZnB8klwFrQs+naOWvSuy8 lnGKbOS/e4ltB2XyrN297bJ4iyCtSGZ2m/q7OfLd7lOjYrWfEEhCUB4wmswQAfAQ3OdA41Yo28Gy 3W8dteV456zTKu2pHlix1VcQdj/PnpPheWn89OvSAN8/ucKtqGzdI30sMQbpev1XqpnzD5Dfh6mF 1tVJ4NTaWL9lEErv4dDcdZS1JEvmeuVyj3uLqeKOpczE6hN5bWkG2l5KFNNWg7eYwhuprsRiqHw9 pmOZXuIwjsbcA7tkGehkxMHYPzz9UFl754BGvTrbPeqH3Vx69D27TM8+i2KGfNt1xZDhEOsw83/p M4s/4418FBgaoOW8yrSZQ3DdybpefRCBm0A9TGoVsGELZEziKUsoOcwdTnnPfngTY6o8kLBZ2dmY nF3JQTBgFsWzPNMB2QrCEzGNSAlPxKJeMZBshj75kdFEbJYoH/OTTTNIaKHn+7zBUXaPbVegEbL7 qNvHbVjIInN0HsN2g2wzIXLc/GSk6xCESuSjMSPH0DWn+MVnOcs23NdFZeyomxs54hyOJjjD/Laq 8fi5H/MBWUVKd1tlyeKRRnwQSKNJV9GGdI0b6pspTqRapZskwbuJ9Pemy/gHPX8N7nuE/1iI9onY hsc7vACEzgo1g6cboayETpmDDXF5PeeM4Hzsj5ybBmnU/cvcg01MT+O2qqBlwmj1Xbn+EVXtvpiQ AihtdMfoZRQ5Kr4gkBvxehMEhf+RnbJG+wNlQuB/NQimsNzms2YiJ2e+9q3AySfbEs1r356NpqW2 URyTrTTMODKmDAStOHLfOgBnFmau2Z2ZzB9YJ5ADAVjxYad61iBhabUVaAuWP45QkVL9rGh1KLTH 9wr8z80cqCa09V6vKoLb1MRLIuEqBAGGP8P/6JBiUQXCUhJT4gwAbLaD1ppLIyKCS6ryEHvqWBzA cmVBeFadTIkYvM+wZJ0LigJ3Siv6vAE1A4sxA53fczzEkxqQXIODgwDkY5VYTvTcMoE1b4Qtz3hP 5DNGabmHkPbFmQnxz5x0YIWydhKfmKt0HRGwLAFdo5EDxD/iMMmmInMXvA5BBf46PV9HxE4K/pC3 dMbC1DCelpzeg32R3MpeWKxE5w4hsTd6gKPo128D/kFshRXRJ135HVm+NIap2uFV9HA19ffdzj6L W6jQFlDc19rArtZGZVZYv89vHBK3aH4yVdgWFUtQw0WC7R8ca8o1Ye7HHkZPqyhM91ovu3Y62SCP LAPhjfC4iMgZrRDE9kAqZOtjFn0Z8fr4EUzYfeCvyItdoiyiwM00ZN9n6risoiK6e1jEeJGqNAit V4kjVSCs5v/3L1shIMrZLHFZGh5UNqf5YVb1o4yB0q1JtUjw4JKEz+3hhomNAmsq2bSVkhgMqXNm 1KX0rAJx4b0UlmCdrMHzqMsZ3Ox3jVidw5S7ml6gl4MhZtIGZnByAEuhUJItE+40np2TfTa80wgz Yvc6VL59cwZz5Qm6xUovaX5oGxFffWWhAcrEf3yH1Tpu1ii0caJS7YjJtzGaob2UNBCadwfAxTeh 6EuIf81g8AeT15JJOdojNofussszlMH4Z4hrctdWwrku6Xy+Fz90r2pqljuWnoxTJPk0jWQpd07g 0ecjr48z4M7FHKi2mb7Wk1jdDntfrzb8eFM/C8MPhIAZ+m5zlosm0GRga9dxcQEhxbFIKoGb6BfC 8bCtLUGECIfvng5PyiSZ1BLGLRxTrJEpAUDI7xuEII19PE3dSrDgdXuAQZTu3Hyg1uhAPqb3CApX VnNIZIvQmAPp3NWQdFacasqPHyc46zo9bzq7wwKvy8DAkxvsTvVwWmhFYDyl/F/135zzeRAJPOTP eagPT83r7+T0JTACH3yFMq8ZvT8GspVufo8rWrUFE/iTtkcrNRZG5G0tiYNavixismL0Wcmbm30v MoTP9t2P/MCtiHoexhg5U4+MStxz7K8gPAsbqNbcTg8hMvYzhbvh3kGz/4Hdul2uPoq8ynoG64Gq oFS7a6XEjyblWSKW96UYF7C+/dOdWznbvQO1T0yhn0etTj8Pqd/IN0toZzF3jRHuUHa6doyf1IX0 7jXgTVSxW4vj2tVOlhmAseHZ7+pSRxY/4L/bA5p1drgr5Vhci719Hr3ZgUmnhHFTb/lpPF7CgQnm +uqK4PckBJ64zyzEwW4AhnJEfAvBOQExBUNJf8NzMkl1COAHOwGFmrLuN4r6uPvJciuLLVRaDQcp OD2DF3uyKchtIPIbeY5vydRVK8DrC9RFc9pU6/b8jrTpGUlGpX8Y2fDuoXs3FQ3eZVAltjsXW2mr kCt1RylY2VdP2rz1Ztp/RFu8HjGfjYfl4c1WSCIqR/hJIBB450VXUuq5xh3oQytPeCj8knEDMHRI nrm56PdxSCnf3BBN6Cw4iWQuJVwmbU4YFyg65s5C7S7MI4D3XcvPE7kvbP747nL7Wi52akjmzyoX BLfXIuezK/Gd8lt2/jPdXPAdgvlsd3aaBDIJlm3ztOXA3HY3T1Wni+FPuvQ5qMQFaWvR6Z3Bu/LK NgTNEU1CB6M7tZ6pUPQUkWEqwsOFYUgIt7oWmkpxrAvcDahu4VCa8PZF7Vubx3AjcK9p7QBrcG7q JgcIE9ZRpqhBdbCAbiD4KtJRmcyipaaocQBCLsdUfsVSlKhE8bFt09mG7UYnB4h1x+BevOcNxfu9 Rf9p4l5x8vy2O6bwoFM3BfB/+hG5wypcwoAjhbUO60THR5dPs2/d9pTjgQS7112Zwb+xTwRF7inP B1s+9z/9Cpc3+p5ohi1lcQFXSZc7sjp0Ci7qrhRy7AgCLtgeibYXdutdRQuF20dUlJDkcY1f4WyI jjhPrQnwctPk3cJhlQJCNQ21Q7ZuURdCMye+qEWWdTdT8V/bHoYCku0r+R/KP5G43uE3YDbnEqB8 ZEGUBNF4NoEvGGwEOWPRBCXKQzYV7ppMTCtxFU5myCXiIl4mojAuOtDuPlg75S1mw6AURSGoGoAj E1VSMUhbC5Ah7xj22PAmKuCK8cvrl+uT/dPTnzazFRop+CjG+zA3XYtojhEKTbwDtzq0eaKl5eTd h/ib4UiglP31Pks1l8/pL7m8PHuV1/c81Q4XQlzUCJeptu2VCdc9fiQLZmdaieXOA+r2ZV33XDHz ZpW5c995RRHp/Gb3xh6xps5Asxfz20Gjt0/atO2Ma3KEfBMGyX9o1ZaSs+PB0bO8QDzR9otW+TmG WHEYNtN5aI9hd9KuFnRTNoioacmfwPxYhuaaqAqHiwhsh61Ws8WWFTeZ/8MPeCZ6bZ0Bg4gEqw6q YlN/1hImWWH0YQG48yIKBdarbP+c/y5TWJKzJnG3/2Grn8f2eXRau2clD7wEP79XVqUnYDEaC1q3 BKhHe83J3syDK1hqAn3jBjCjB4ujwkI5IHiq+zjBlwibpSsAqtHG931ya/COBenJpyrDvvaHnrgD Crcr9s4HsBQOpNHdbmhcVyOjGDYGGqiKh4yWiPbkgJFzxlLRpDZdBOZZE9iVva5Byhtn/YsdhpEd RQbrPhWIHVC7Kwensn9eE/iKud9aygHpJOqzqHEVl7mNt7mbs4L/zs/3PtQ2SuQRKaCTW7bQOSJh 8tDaaDCwU+hhtLRlsWATyAUqWUnnnmVAu2JloD7ir1SvHbrvLuOant9fLLiZlGgogYzGbJhF5Sev 41o1OoKrxc9WSAiZb0QAArlIdbgHBItUDIHlAd+PzIRptTnBPQT+m16wnLUJWRAnZeZEO81O3yiJ GISJjAheeAjz8gp6cD63QmspPhfr6E+hrvAnALPgUSTyvKpF6rgln1KL85cRoSwQE59meB2GXkNE uYjiajEMSX0Vg2oegJBItF8y7dxJ01EXGdBj+sNFGtJbvxKsJmOOj5JG04zXsNAp4Eczw04I5qL7 021TEGbogPeilUxoqgJjlw1+uM2xOxFVDvwK1NJA6PsJQOZSnZCMMV1SdpKLvPvKG557+1eif0ho LY0bsqbBuAVH7YIq92V69w2dukQu9v3AEdgSUFMhRt9AdjPOte+L+mTSg4lDJaUQh3jK7XCg4FkO OTjaQqBvOTPwfImgvKUUcMsIbPNEsP44gecI4sgwIJswUBSQd4NVLzVCvZJYyQZLmeSU0N86791t MLNi3wudCzjo3N/4BEEs8+Hb2X+EakKDL6ODA7tHmvXPCorop5p24gpxbhHCAKRlHwIO3dsWLqdR AESevNwlcahpwgKvAh0WdWCUp8UzE7VTUTZVNVi2xtH3LUotG1woaFxrv4kNC8ipWIc7s60uhkyj RMDbVKlU4NT5xODZf8q9IXCyxx3xlob/2pEe8MtVUkIVQyxik7x/1FycWUysv9dcIB+NGB6Ou44l SmkQBFcGIYRpqxh6qHCmOYo31ryN5TE41AVwPB96pR9ifSG3CB4DUcm9ToX9tOspE2fx4cocUXwq pfwp8GesPxNMcMDfHKaJbwrMNvCfjmsz4uIEYNwrF6Fnfdzb8dbvO9D9q3vFEXZ6O5iZsbrVtzTR jY3Atcp5es4dazv/aY/Fr7Zl2TFzfCa61B85ei0ukT2mvy3lFhrHpLxGnQB5uUQXnMjtzVTOAn6f F76jKyyPj5KSK398K6hBZmuGbalId4F/E6Za7RwxgKTMBxMHSPEGExD19zAd/BU4TsANE1fhLXCp GN7ITr+xVg28tG05PJSV/JAntliZlfJY6nyVbFscReIcEaBI3fy0oNX5bb/jWw8sbww7a2PTFcF8 ov9x1mozRLV4Z7q+P0eODqD4IzOA+/SuGAsslXmGRHnYJo+s5gzzKKWRgAKt8f8BIf/lqoEvSO34 SAJq6FlSV1CPg38Qw4owsxRfzqSvTlSyD/CiM3kbU0tfGV6HyV8JLBbous/OPTcLlxhBzZds6Ff3 RfyG9bQUH+B/8moKjQJjuzuZBS8xRuSeDr3bC75dFNOWPfB/fVCPhsByVBnkovYwDjL2XN0gEYL/ Cn7xJWKXO1JYaJ9gjepVeoSDKKPmIBDzdu8WB60VPxnsmeHDx0cUL7yC4vQLm915H8u3mMj8FuC+ azunyuT7c7zcYtmEW8uyQHEBq7y5JAFfBVlFBYQErPAibbL+3AaqPo0b3rvYyAWHZySZs2XfVMmX YgqSnW2HE42KWvhig/FM2X9QqL4eLFLb92vlJbLbDsFduwa6dm+7Pbob+nPZRuWrNuCW0OROsavf mnGifJ8nXbmP3BIU1+bB8iS29Sc7L48qFGdONXhpzX1xJkk6Z8ARv1YKuPmSCwm8HVDGTJH4y6T4 pUYAw80ftcvMhjreZUC3Yd1+QcenTrBzner60U5L3u5PzdIFoItnYNvmQif3PkoKI871+giiVPtX /8Fmi2Kv9heiCj036l5kHHReldebPBX17QA2xchX+JkYmT6XDD24djOf6jDpJ/Sv6wpW9MWuW0X/ i8H3hHU3gO3q+IuPJfK33JBvLzDmrgiGW5g/DBCjmcTRpJ+wkv2x+8bbooVm5hbpbPeOsDbO/6fQ Ba8H1jRDFRhS3FobXUS4TaDvnvUlmsgSdsQVrRTumREaCBZTYkEKPti8sjewIXfn7RiAMeX6iUnl xvNs52+dsmQGnSavDIvrplHrqUo6sIIyRsktsw0sdSKTTPCTQx3vaCw0mw7B6+NsnYiWq+Tw85o3 d7Z+AybMVREUSlNFgjE8fpXZTHJgr6r0OL56K0jDovnpADeow5VB84EqC7Gx7xSVY1Qs1SZf4NxQ PLVIZgX2Q4qiLwT8JiJWN00H9/qEb9KHuI1ZvguV6oQuihIfUaKiS08SyEOkroGvjhKBshXIXxHL ZGoWxyY1z0hjF4LSXd5FItRlFbQFSs32BdVli+t74uVLDtyCaq9/A8t1A1N1vV5IuS3h2SfdT0i9 GSL2cA+mHeWbOsdKMA1ezS+Wwc8mPpG2uNVNde8IdgLGHHTWOCkUEdcldIedlcA7e9xV3Uea228c U63r2QxlqoiUaLlEUl/mYz/IJsdAkyEy0ydTYzqhGhC7Mh18vFLKaFQL1NZHU+UCQ3sNkElGiA9k QMF3WY3fDemBZ/piEWxPfR3NHEFjpdx/cxCFLqzjL55i5kAMwe/iBx9iUOaFDT0plfm+x73mot47 UheygNJFiJ69L3bO5J1bjpaLgjE3tybt3aS5TfdIr/RuFmNP0YM7ehwHDaw6x9EKhrJ1FERG3a/c NrIGbU827p5dKbevExK7y0uUbWbso1N9pf8l9yk6aaVZ3C9Wn4I72NzFhjXJMsEOwstabHrSXKoO GY3qKeTXt996nBQHjPS6qCzIqSxASXd06+1Xp2QoTAmJnhBLcAUTcUskBdtM+5NbBHYDC/+mnMFR lZ7cP3CVYZaq2gFDIVMgRwFwD3Xyy24PWlJDii3rrksTkNNi3iwdKwFQkM05d/3xUzsMbLXd1SWW Z42jh8VOU3rdAfQgPdzuMJNhxx0UIy+VshzbT/fpCut/YE6wtwI9IwZn/MYVEFOEZsnlM2b7R55p cwlKIQnjYpl8Vp5RK4TR1JhWj4dqI39U1/SHK2O+/+EVuepFOIswRYd4XBWyd4TXqbAFkmxs/R1l 86JdvMweawRJ8tkE6L24zw1nAaGCePm2WTM/dslluVNS3T4W4zl5uU1SQPpuJ0IVCmXB/feZyMtl BAa2mmCRa9OEVYYZE1JUcDesCeGsuTS1KPKZgl9dm23J6LAXTXpbY1jFrzmhdFkM//pWK6Cs1EN6 rFdlMJp8L1gN3xZrkFl/1JAgPB8Cd39FbxMiRrXFG5rapwE6xm7jdUMe2hGKeGK1+DdZtkUQq0Qs LbYPUdNcen4LqdgsbjlQx671wE8THxmpVTTqeWotdN0Q8fxbKMVbDoAySNyJ5PkFlDY0FEUJLrD3 7acIe9tOSMUppiV9okuiHocKFtjvOtH2Rv9JoceeFZWP5doRbG3pnye/76fCHYKl6QOInKj6dTPS iDF7PBMY2YEKqSLRyPSh19W2jwoMLHweVQtLnbmFWUuQY6lhmQgMrZzVbGns5FY6Rho4S/g6QSaH UhHrEpvZDe48S0VzrFjkyOWzmV0grWBi0ZF8FoXuD0tliAKVNPsTK04X09itRUx/8qhxgleclDgf S1eIGEtWx6T15fwbf39ze0da5KcWdDF6EDT5wJZGRiw7qvcWWrLIuYxKlQZotDRfXC4Qe0LEF3o6 GW2akdksD5UTi3LLxMMjqrRi227UG9TuA6MuFNsOrU2+2CsYn8+jueY6yx+H8H/GW5dYpl+yD45F ZBVECPxFiGuhZyTeyl8bCO5WRLISAbOo6tTDBk3jAjauUUqjEg3Quq3yNnEZ8peJOGMv1xvNtUov 3sao7Ho1b11opSUJSb7BxaXPAffd+EicdrzZt9MuVeNHpYreaYNnJMQzTypJS7Qz5Zv7MZRJcdH8 ZyZpHZ1tJ8HuCjiIPtalED77ik7QmJ+1x/5qgPiiVfXkJdpPCsY9OUf17ck1RYiRnNqzCX3bhOt1 vaWxevNIuSl3vcX+3jQVQnE7+WFLLyGF8GglAqR8LhFhYoBVR2rv1vQQbR1GoXRe4DO78fK+tqHk 4HeflxITE+8NCIHbYp9M6I9/QOYJSJm7Ut9gU/nc4uLNukAMZq9qKrgrB/GaxRFdfISB5+i+JV1y F8Q/lB9JhlBd0Od66wwBQQF8fJawyabcGj8N03Cg4E22J+GLyrNMBQYoMxDHXboXT4yvooDU7kDE OsF5FF9/5xWEXXHjX3hmdngNprZedMlFIH8MvBryw4AVgRfoy5eFksUJCjB+5+8RdqOP3pJgOXjf ITl+A6MiTAbg31+tOdgPGp8jrvsDSrtqSLCaXnB1FqMaJ7ETVmCKVV3BxFel/8gHBzvTSIApJJZt laehep/rM0Vp3Y/o4cYGVo3ThHThS5Ig5Yn+l1J0X6Eq4An+xEH1TfDD+luGlxl6c3Q1wMDbW1ih k80j2XEhgymHKsr3KAKLyZpflNH4EPESGQef+U5fZFSKFncR84DEjY6NMgrIeTL77NySj8hoJ25U QvXYIa6HJskQsOFFTPYcigFPFYVW8vykBkQipZqqBkL0kcpZC7qIJ46GXUvQiJTzriWexZJFsgWB qpubEjDg+9EHiJOp78EBxBiPVWYdoOq08hB6qUz8ScSoGDbEYCHQF65io/5lZA7e2gy74Tykr7xU 0c8lY7+GMWp3vAMlLTu/lBpnVRnCKJNQP8v7bEjd5BDxrOsdsipotvhx8aOAMUC14BylutxgBSjO RAMVQmtwXMFJsAiA+UsjFDTS6zlj8f53s1S2xeIEBXlyI2UI1NOcrWvPSHovDGbirxsLALU8v8Up ZHXTIJiyyWOf4I8j22AsAryWys4jDaSfzGxaFzCOkCBfYi/v3aegiH9VXNiTFg3Q2nThnDIzIAIr uGuvYTWwX0kQrqaYrcRF5NjRUU3cXr4OhhrS9FYfFNt/s5qsPPUaJGjYQXG+g/dZi8tTC4a2vqMD NmizVCKfw9JrRWAPx8gg5kRyKef1TnRsFV14nCBR2tEHH3ucPbBxRoqNRujd+jOWs/l5iQOSxHUg g5kkxc3JeyBp48/0JeM/9EAug5rPqkvRNyVRvfje0XVFzIQvE81gdfxP4Ga7zi8EWgpOr/w1KMuG xU1y7bc+Q/I1dh6+qPHZhwHrcR6S4nyHxzjvtLNt9uVE3HVeEgyDwFCbNZTgh001QJpcWpbf8HP5 egD4OSwa1iAhE0/tFMi9Q1iA5lCMI6x11VblrYXvUUJUnl6dt9QvCY2R1HjYY44FmEVXAfdRge60 +AXqD9Grw6yC9gkcxgTTQsoZQvqeC+qsb6Rlv1NP/ns3SpVC/xHc8xdew8Q4YaPIBdqid0FIssDT LJTkN7OOxHT1OJcPouQEM4WaBLxKfIMxPL20Us1wgbw6OpH125GYcxuyhWIuaCCvGn357doJEe9A hBvFdbf47NHbIh+YdlvYtJIUPpZGFvu5yXs+32YI9vC+VhHhEJsWU+gh1Cw53UAngBBfR2zN0NJO d+w1DxL9jgO8+FRlrnZ86OJoW+bicsvgvtp7Hk/Ty5SBtx3iS8T1Fa9SLSOoHxsfgR/gonrj94Z3 aLjk1jcvold3HQfhxv7DL5Nx7Oo77OgwJTsioN9Kkq2+y6cRLfdXezRVoE3MlSd7uwsrt7GkG51Y NvzOCauvaPC1yoPAtq5iP51PZLA7vQq9zTC6XNZh6jSMLnvfb1Gt1HVWhhsJ08lyg7+9C7EYzacZ fbqQWXK5OrQzS1E1JZQyf0BIH7mvY6+sgmDAdG912BcxDOT2GTINts41cllgz/kGEfvsy3oL01Al pC9RMHk94wmpk3JSX0zxmPIPz8weRnQbiTGj7mV/xIP6JZUPRxbk4//FrqyyZTIRWZdzK9eq5eKK bZ/hT4skDEl0MTOfWBp/cMoWZKr8PavAXqyXeJ9zOo0e0EDCuL4G8bvqUppoksb05lSUmC5v4Lrj udahfvDSc18ZVz/ItHbAXJMpLCiFUMHvJDWoUkOyFdURiOFb2y1e0UFHrYIHgJ8mHLsR/wN7Ng2n DSuSmtnlqCCcCblm229n92HW6DLwZo4mMVEPzgdmQW7d+zLkvfGirraz/KSRN7InfndRP0PktG+o U98wDQ20Eh7Qjp6WAxaUaqmGNBSCQ7r0xFieIqkCUib3Gd0AAygN8E10jRY4vAVSjKYTaDPR7mIz FrZy3yD4ZvD6RV2jxpbDRjAvNpdlnr67hqSaiHeACKrzCpjo65/xk6Gok5DQOeYowUjxf9+jZbS1 K9n/nhawDIt0qWpbfsu7jZ0b+uxKM4R0340RL1e8ciDlnnHbSL9D83SXkSAHWB57kfqnYYE2tAhY 4EkN5KQxTbr115I17xVc+ccNsJZYxsaCCFS2mE5zejGUFzPggMWu4Lj44Y0oWnLoLrFtsX63wx8t Ed4Tlom+ng//5GjH10CZ3okFGuB7EqCK/GD/vAbyA1xTKdbeGYcug+DF58qylKCUQ8RSxEw86oWc B3e3oRR20iKpjnOO6bbusrQnfkxx1gnRjmClHAXwHADehwq+iV89CXNIenCctPyco/LG23eS3foU 5MSeI63viaWFpa6A0zLGwH4B6PrmLbe0nWgJFBJO6Y1tMXxDk44cO0Ft8s4w2UaGUCHfHvoUx7P6 cawnSMbFj5XpiNXcoyxPnOC23d7aJzGaZLPo+0QFubF9IiP0+5hehzYEgSo8cMh18BK6ZI+ZcXmm p29BL1w0IrSxoNGfe4JvCoeMswsntqnHFjyir3GiolYkI6poRFr2JVky4F5LRiUxphfo2+eITPJ+ Kasz0sM1kf0OXGet6it9aeCO/Dk7y3U89c3Xzt7EZcepAO6YFHgcAfJktphoAEgAwvDFctYMgb8D blwg6O/XpSM+BnmCPyio2ks+kgq9KqpchM26bgLEn3/9Ck3mXg2KPNlq+ep4Uxkc7OMC3NCXcjNE mhjL5n38dtxjajDZB6NU8/pij9vIbHcRqRi9UdJSDRixbhhj/RTgwI2O/nKvhTBNmmDf7fI1pjcw bPnLMQi1A/9S30jq/kBZUkwr2rePu+3A7I3PAEzD3mixhWst6bhVAr02cO7IXlA0+ixpHlPKP+qe NN3wNwtaHBSPELbOSOJ23ckFu1iuoyOlxkSldy1PVFg3IAHOifckO+MrXYhEl9fRKOpgfb/cSjEB OrDzgWMbmNh11bhLGIgpSNVQgfGnaonU9NphyT9ILXYKozqY62VxwkPwu67tj/Gle1E2AVvp2/hW byX1WqM1kESQU9jqt95rcG3BkZxt8Nw4qUK1zTu0aqLRxuH7NR3M8MUL61ZECzGALq9iouRqeZvF 0m0z6EZKycIevVJQR8GIahJYdRmdj3j2MttYTMvZWYU2/ZD74Co/VPtV58UDxf7ZzoEAdMtM8mU3 kechSnWAuaIAQ8/0lakW+n95FG9ae8BY3ft1VsWADbmD1mBGPzxDi3vCoSrMVSpqLE2wEHU8gfNd Zg97Z+zkIlj5cW9vlbS6qYBSdObKdSrGvG67/ETEc5dhBJnCy9oPT9mOd75YV8cgxL8WAlt0G0Lk v95zKb+6KlzZOJosG4kQhhGdkPSolf1cT26uXUlT2DsssixBnr8VSpW8xMZGsekXy4VXbc8QgTy4 5vy0iPhAfediS7cppPrUtRcck4WVBJsYLlxZ4kXuNlm4ICSk+cCL6xBSihkEIkq9sQT2Rsx8PcbD vfRlxTWX26f3cFeeTgvU+fbt/f9oix/OUNptkEP8w/h/Sdph7vNjBCy1y+lD5IXDN02VeoqDZmKn 8tKl5h1/prk3lz+01NuS73cbBbUOWwlFE7i/sud7BUWJbzjx4liP5K48JNHjnwurRYajmws3CWqj shEkNxSULSNdex6efSY4Vx1qMXptDHhffZdBgWwhJND/9K8bNQjUm8xVAwpfPNqj+EITfNMIXywE JP7ANfOFdh2q0PQ1i6ZvbL9EvNy83pWgd8dpgQBQ7ayBg9QUfwlXGztY5EjyJC+FBA/psSYi4K4T /kpO/I3pFsjPWbC0Va1Px2g0YHPu3Tt2GDRPUbj3LtveB7TyNwvXVOa51/RD5lMTFjS88JJbcRfR nph+l/xO3h8teUSJOuGLhD1QqBIpGd/3Ui/bGC6VtEFoEBcUivT2ApYTHZ1FQk0mfdixCo5saz+3 zaoA5Ymro+3D3Gdzy1xPZ6beMaXWupRQlR4LJz1ibuUJGR6wd72DoYTZNkH4/ZzL1JnqqQmJejn0 crK/Zeb1N+DGqrBoAXiUadpbtPhMynVV7z3JWwqVPMI41j2rO8IUARAmBgVBUEvGvU51XSfqY+em AMc0Lp75bNvO0Mlq3tyFi4szQM20Il9NSMV7gstMScHkDyyIu1tY1auiQZSUU9BFkioXQHqOTykP EGPEwqWZd4t6N17llkXOAM1HIc27KEjhJJHMATN3f3rrMqRQH4C+Da8+yXJaUpVzzCrjOwYWz7gK DOzMJP8KY4MBFjY7nO/MpTyiDkPUL/UMg1NAsWu1O20EQUj5oAn2FMGIYSUVmU7sWFqt2aFuIT7y BV/DbX+0ylUWpAbIY3XI53hVXrc+SiS9WoQGHVtjqTEKZDb/LmUOICjSVW5x3tTamQ8gRComMeth 0lA5g2zWBzY+OPR/MpaaTSzAzk9gvl+Of8oPCo+6eOUfdMDgHPSWUUNBQUxMnXnkDDAf2xfEWWBb gA1UEyJUPA96bW7SCKX0sOPUZ2QH5/J6aQbsCMf3B+9mhHpAu7rGuqu/uAOaBGC9kQiR44J5Prw4 sewnOL6X0lyQFVlcnSoicjXBVGBy2s9uIp7Im7qmPNPrtCXxrMuwKrlzWntsdHGanJM5qFVFGu4N D1Cx+5PGBK1C/1jQSABBuM9WhaFmEcE0ppT0M0bRL42X6n3UiLP0pUO3Y0Y+MdrNpU6f0PC/vVCU v7AyCyjoRuJvHj9+znVtw0Nv5oQfaHPuVvrciy6sOVz4hXziMjgh5sbumFkBIfCEM1nj72cfNa6x ZezUO+NieFj+rkV6h056qiXibCDImNL9uta5sE7/a9iCJh+rIXEgz9EnvX6iy38tSR6s8TyrI7+x Zw2VGrjIUowjvFNOBAFnw2UVTYLmJRqUCbS0KYy0WT4KTd30cImzy/YN3zcEZcjpuEuadSEeu29v SyEfh7ehT/X2FFskxnzvmqlGuCec3MMxWszJMu/AiOtqm2ZULl9Dp+bRkWxvdYCJ/92IN55CQxMo qLn07fghXWNnB/y37oDuhnpJIzIk4if2iTSk/+X2QpGYIa7T11VEtteiUTWtuFmJpyLd1IAl7E6u vvYNkxr+YwP+KHcBNJqJ3yWUkfiLF3fYmkDjYp1h8IMfDiUtog08DxJbTXSCZDDZyV2qCl7x7Vm8 GoTvdP6hHZtYTZnVpS0hvcmvp38JRU7+t81F9JgWRuauXvxowesgidKoCGAA3OXDi5o9DXCBBLSx hK63GQ6CDU4OpfDiYERHXQ6wXCpdimPyU2qpCLs+lhjC9os7AAPP/Ps9FHcHfAAkUlzouyqOepFA eyXjtY9F0+BirME8NvcXnYl4hNq70W13ILAc+vtizieStSxDOuaetOWi1+8q8lCZJy0PlHeFAmDr JID9lVDkZORPZI35t910jbVvhBJgGT9Mx60Nxub7GjW3qzsOTMq7T2lj62vj3jluBIVXRgpBFVff 10PaFt0ibOCk6gutQwFu6GLQ5uJYol5OIFmrZt006w009O/0xId6IU7pvKU+x2gWU1eUFcD14UqN Lv2BnBRG6HWl8MedhhGam7b1cPPa1kFK6x+aAqx1Zv6IR6NyFRjQ/vTJU2s5+SGSxbtmY+h8BfH+ M6lFENfWqHmVOKekG/UIxU30OtAscF9fNT/7YUef2z+iV66eZnUQov6i5yLnr1AtGrmHcX1RxheA gVYosC//9/vyh4/dKrdqXa1kYYrzku3TL6BnhOAKFr1vPH//MKM9/L/9K0sG1sNwcNeIZXSfFgt8 a+sVPnBhtbn0WlbsylSfgV9D1Gk0zazgh5k3vVpkF2wqk6devBbvi7Fy1mLnd37zfpNE7APU/uhh nyFgHCIV1ouhRxsdzTNWyjatfVjV4vP9yF/L8FWMOFJGIMlSJAQPExnfQseNCxZYuV5/lxMzAfA5 KIsExW/OakN0deDBYJpBkni0pRLCq+ujA5iABMVEXMaqDwklGCOO2eH7U8aGpDapHLohmb7MtbxC 5IJ1n26LyihxxNNkKMPW0jvJoGkD+bZCrha+VJrvqGTsRJiAHSV0X1pG1SgGXPEUG/e2BOXqGq2R tnjSLmjdHpmzeaJ3yYYP5HWYH4iPz31GPKOql3F2M1Xn2pniEj8mvEsKOcU2P+5+PslbGgz6HLgN u/Lp+i5agRoa+yzSC5HTkm3wN1IWQC4O+3swkcEuWZLrcXZt1lklkvle0xS1uqfRSWbMjH0CdkI7 xCW9dxhC0ERAl2/g2JrGUeyQgOqOFdvu/UCBZQeHB/7nNYCoPEodDjBk3lVdmhlebfYq+V97dazH QmyQd9jEntlWiStFkCzIXXOq1qSN1qgfIOQcdYMcHbOLOeqhjAlwMKdvNC1hy15Q5BslKTPqQ8Lk FeEmX3h1KU8z+jQUMreXpBG+2hIJvl6DEJfhQ+wra32LjC4my1VEZx79eFxE3oPmsnkJL0gUrAAz lSd6VFtNU85VOPCDD4vT77VYD2IV6zTTNSfuKIGo2ItrKi1/rqglzq9Ld021BpSq6Y8c79mZOKnV gVUvDGpx5JkG9LTpBMVPCfvWTJ/rl9JD2j573xElSyPE4q1WVR+xBskVUQH7ldLRDJdN4ywDHAOi +DNRFrecJ0pQRJp/B2A8diKB2VbJLTlySxAtLZyVyfOpZTqQ8DzpOEN1Ro8mhvfFVxZ0XB0I+T/S bV88lB0kyXRewVDpVLqh6GG+ysK4vyXoWq2SC+ZzUmPFjVazV0i319EW+AHj8g2R8pQbZvZm4ayK LEpeCuOMikxnVLjxAw/Ow690A0fjod+NYTQN2w8/G48EIwMlrM3WX6uIt4PJh6F2Dlrd5Jhq2EMg W6KOjt1n6km1hOYtXM+p4asqmXy0uz554hCubPx79N7/dRo5WE3wi9cSokOo2FpE+fOrBNpdQt0g iTMhPiyfl4hXoas74n/L8dnYRESctcDYfUUa0w3/JQntyH0QxpwRhhPAkDOj9lq7y1T9YwjIsSA6 RwTl903u3oAJAlbsnFrpN5wwrV7hzgRwlzyDMnx2bAixEtQzkHUF7LsXxqNDHgIH5dLGaWk+CvMa JWQxUApkquif6Evon2BJgSUnTL4c75SJB/NqxLb+iU/M5S291bWFmKR1IZqcFg1RrtscC3Xxg3E5 ir5el76ptSv7bPsSfyXJs0TeI70svu5+HwkF54pVIncuXtrH3nVOC4a3QuQsMghDBA0/dE29LSmz kz4IVGkMBVGOb6d6N7A+g4g2+lroU+d1Gt9RwJ5moOfMnjZHLbraMSknp8jtdJPYRKZt26LWKqKt ttJCc2P1cHyJlGIQL0Q2LeqUbuFCtBD8t3Z7yKXs5mQRw12jjsb266IVpydjpq1qSPHX3w5+rL7S DugEgkIazvSkfE2JFWFoPHG4CSd371Lz7r06VU07Pr5qos1RJgztg9b8DTEh5RXWxsyKYBWrRmQ9 IH+irwwvLvxIz61ADRpHe6RNE1org5ySI96MwTQa6tMp9hZ9TpmUAZFLkGC5u6iw3egr8Z37GT8Y vUuPoCQ9pfMuvIWd+rSUESjfTboAwyceqnxu/ElNn27ePszQHLT1Z9wIuVGGfeJhUGWW5oGqJ4CV SjGpNsQ6wE66JkmfCC7GGTgfEheQOR34gHxq8k1wzqNVHoejJ3DINsMNT4Pc8my72daE0NdUSb32 LB2PV1Bgnv6YMT1v5pnUxu+P7wNMYOaZRNa1aBJsD9/idA9Ebrcx1595AI8iBdRkAgv/mhX4P+7f rjiJ/8GKY9KbWuXYxmgQ6B8Qlog9W5ADos6MOOnWe91hsboslGnj0ucE9sa7Jwgjg6QqvT3WK+uw 08bn6FfAfVd/LMjc2h7AzhP9K/9PTFTH9ltwJJpt6MGwbcyydlWDN1GPlDoLLbK3K7lFMmPuDZwa hk59lCl72Cb+F5lwtAw+efTcgf/PiSFuSVLvpbjVNJRJNrunWPpV/isclznsIMkgXWziJsSvqJdS 8CaF8Nxb15j3UVFoXGKIzgENCK763CE9JaaeriM2qLJlEDP0JIs83dw/3OVxMTrosNVDqtKmt0yw fxGdj/kX91w51PGWJRS46GAPzRpE7s65KBbno6nyXQ9rOUkzI77IAAnHhdyy/x18mVefKVGpW3u2 0no3oWZ23vAIczO+AWwhbLJ4gTYY9TpiFMjtYPWtQYscPJalHiyBJPbOMERslgVT6FAYyJlIzm/R UBSsHlEXzU4Hya+joFBAObesVqNz+CUgaB63j/cdASVcaoSe+S0vscGZgB770ahsKLBHpLixOTDI rffoVI/DOFGLh5JiEd4388gfA5Ee//KtO8tNjjfk7ORhMrWMPda8/FaY8Ir7xPLqXn9LOPDY7FJ0 4k6n9enpxZISwD0jIvL1l6Rq/Uq1LrZ/h0Qb/ZL1RYG1P2bkea+ULgyLkLTiV7TCXF2RLHiSEAQb lHuVGEv5arwPHJTR5D/q1bkOk4NLkFm51Zexc0b6jAy49jEoN652LpWbrKThzVTQGAN+RS0yQCfZ qP6uzjTfJNT1YC3KFdbJ9P5yq/zDeFSjKAMCPUxI2BTEz4PF6J9rsK/BJ6et19dAtIpoyz2KRQ7X MwYzh9q3a3L3AhRsuiF9+OfASUVdGXv//Ffe3lW88yWfmuetKJ1HLf1noq0edLFRRrV0kP3+I0VC b7aAe6yPx1G/vdeBMHOPOfQxZdA62WQFjDwkW2e3YdOtJDne5l1lL67ijs+J6niQDzIwiGedabJD 5l6+fIRxswQOz+FrDMJ4cKXm8B++eo2cD2hVX4EbjKNHD6UcCEbNBr/rkFxIiF20o2u1xP7YND1p UIa7dY9Pq5cj2+67HT51J6fzHWiHpEia1L371YSVWyhJC+JK8FVmQYv4ZRSG28u9n7lZLO+kExlg VbKtbKDaaK0DUuo/aRXnhKhhCRsX5h0EiqyGmLqaG6lS0FtjkXjbqo9lP/Kheuu8Sxr3x5hgE8Xa 7ab6ayamH8oK1XpmMguiDIYMHkgI54e8O6u8edjW/IK+Z3L3omPviWYL6UhuATaEf5wmEIISTcTU ZzvPnEAUzo5hnwU7ZdkSgoBG4Pyth9h0Z8NLf+3QOjR38EvzQHm4bIwtcVLq4zK8MtptJn/GEVOK +4fqQp5PD9B87opLPen8QaX37p+7OV4SSKq7zHQAkV8O/7Y1JxwLWkc+5Cqm7MLbmmBYkNjF+RrF +8Q/4oLvEc0IZP1TBEkZFHvqku6VeBnHDgeNnhRlkpT17yTqHT8IFNY/iwcXbNiE8ZAONqzQvOew obG+vqriMG73fQgONHcuMtGF6WO1DqPE3B5qRT5z4faso2+5WAqgTCurHGqs87ZRaAx54PL5uCs5 87zUqn+jgW0n1oXvvo9klO8lnJm9vWMbghU8CfDJqiM9mQ+NFIJ57krBGnsRqK0Flxs2F05UBJ5i S+0O3rD402+pUIBBwwnDfiN4vvxXLTze8Q8Ht8kgWtEL3Y4Ig8vncasYNAsUnZtd2grlo7FpgW/E mhsJ283W1qTTZapmdQDiUb1xVwVkRDG1HW3/+yjZlCTzmOkFxEEbgOP1syk3SbPyuMdg0/AguQ9k nB4SpcBLMUnvFyA+XzilQ8QmxEwAFkHO98lEJTJhR4dAADcqUexdqKzW4qG5aOGFE8rmPr6pmDpD Q4DMvjWovkiKSl1rNXFaFDVd9zlYXgCU08sSZzNofPKy1O1QiqJkFv+AgLkDYapAFF82xKf2XpjP T3755GcZ/xPVWmJq8f7du2pFzMWr2vQ6CNevbGhkiURP9DJsjZ96V6GNEQRFEdSGVdA3CBA7QQDS MtyOdmZ+ivLTH2ar5fb5lGaA02e4nH8BAxSyUIm133SvD48NOUpDUQgbvDHu8iT21/yQ/uTRIp4S Ti7lgI+SLaec3QjFczKzcmXMpzXxB33xFd883C4vQrw74h2//7yOkTmUIXmDgKw8X48X+Q56sHoL DQ0dup07Stg+aAyuCxcwVFnugDGictP0iK9KOJaDgnVFJO/M+wJDUH53TrEIjIKusQBOGe4/OVHe juWsIwhVFqdGhYs9urM4Cuc666ICQcOSDBS1pRTzuMTUATmYZEQ7VZUKGMUJjnTWSlvjvkW1FI0k iMkk8r0Fl+GQMmUi6XxqkjyWvogz+8Uvopd7barMJ2lK32l01zukQo4vQk8r+ITZF1HBGLrNHCUM ep+ixejbuqJOsas7xiMQZU7tVolBYbbyqpTPiw5c+iLKGh/60vjnMgG+0TjeJ6pEihDIfTH3q1Q8 sQjIUh9VnKxLwAWoGB+VExRrcZyfi0SiLpIvWYggPEgmB88w7LN0ldJik/DdJDIOda5+WtgbVraj S/0vRsal3JnVS/augK+/Vr11z7+ewPUVR9RfWSiWh35vRmiw3BCxjTD22Uftk2wkhgB7twIoE7ZK yb2pDrDapPJYLN2WyO5gm2kolLIhwKBtacg1/pMQE+Tc/2tdeD9kM+gMCo38GXWa1HJNE7OMeA5y 10N9ebGWkov9XzX/Hqdq7xMhlxYwj8IYtHGpk8VOVGfmqL8mcANeadbY5SoSEwApQZZoeSklbE/F hBvfy8Q46RoaTXxHGfE7A/DI8fn3vrbz39RkxFzEGM/hmx/UVganUtuJO2FLAofMhppjfmNsWacs fvtOJCzJiXZTc9dCVavT7zKk4uCjQwlC7D8eYLGXfnXRSWyCAd4ccs7lyU3WjCnKFBNgMioWluHJ XdmpAt9mEGDgdlPeWf6joHbaftbM/w0OmLwjovpsU7L2yIX0y+wvXA/BbelOoXu6zjEU9s6mano0 9TX4SL0KjUsSebRv+iqN1EybEuH9/z1LmDgW5gEgqX+jRVP3nN2HuUbFEBv2GG0AeNzAeZW3PPFv N0+CFYNnfLuA7F6lZ9ufX9Fa6GxgahdWFMZvCIJ8y77VovMJsZTvYwnGiea7F24t4b6S60MSI31x sIMdkKmTXmGO8NcY7C9i3IBInT0oNRXMR+w74DfjmuFP3NlhsGrFD7N2ngyhtjMZo5nCkFTBmGsw TYmjvRMhqUBw2EcnNA225UszMQOgbmRSpT3Gtd190s9kI7FSSesMxu2poIf/T/2eUH6tCcq76LV1 Rho6g1M4NIGq3g3ikZRhpYIHgyAy/Sbo/DklHj6MPsr9MD055J1AdfRhjovn3DxotdN9XHDZWQ+D 8CZYbHx1l+i1JyXtsdHCVeXgMOxkmMP1oHMFC0IKrSQ4Stbm4tSN+a3ZD74jsZ4dbBJaRPDes2YX js5NgXF1jY911mfV90k3dwRK1MD9EM6uxIeH92C3PxLpe5Tonjn4mmQ4tHTvcZ6k9NVrzDTyRnhf SqSYCcQbrSceotrLlB/l/uOurRcRED2vsa/hu37KRuhWVbTWdcRWFAEydIflatmpewbwMtWucUn9 7yQ7XyNtGCHZvcTbBClhW3Yh0lA+Z2eEdIAGzaoRDSg3jH6QWzd0Eqfn2SqC+spfl02ThkWYeNZ5 wPhzkwiIIUZnSEwx3gG9ND/Z4WvotLZh21mNVMnHDeEsUNcaenA/cJEK8dNoGbYoX6QlI5y7AKqZ l0DVrxtpiibaxqjk3rl+yStVXP0rUQnI4+heoCI3jugy1Aa9TWZFQ89fouRv0kgsrmnqMWaLMWjD eNS7dHKIbdKgg8vWB04AQ7njTBxRSt4XPZENNMiJbKn4ZXGxUJMq1DdLn9VlwG2MCvBlaIrjY01D 1KO0lcAoca1IDnpUILtQO6VEnaeTUms9LDe7nmryH1JcBA5EzWvMtvYyxwl3IKUrGgDm+hQFuSQu ZM7DtdhPU8ZakbTa/Gycpr1JGX6mc3r88+0ybrG55n/JScO6F19N3sxDHXBMX2rloaa5CnxKIu2x 3NogBzdWA0felCr7UYWBATPv1Gy/XvhFuc4z9DXCsJmAF/OdOTZ5LW426jYF6Vy5e02x66aDSrgQ owgJTroRNOTGL2eyI7RyY9lzyOhw2VcXQR9ZvBwI02e8MOPCjST+FTMmv5zr2pbChGCE1OzLnwQk KMh5ErSpMw0tif4Z/m2nyGKvY3q7mdV7ztkK+Jj0xLUP1Z3ltrscQX4I+z7LQJ7IWizQDXnmzS+b oQeWtiB25p3UyaSp/1EIeTKlPs4khz0StM1f49V00Rh6Fj2cNh5iqFljWaRbjZrEdtfncVE622ar 4sKOJcdSfiBwwja6jRXMmO/drk+HB3L1MxzcpydoritXhP3Luo1IwuQRsjtkMDUegEuS4oauzt+u 51UiW9M1xqe4NkWcipy5UfMeL6FIOgoCayz2KG0gWlThPNUrn+UJvnToIB9Nf8tT9ULsSb0Qo50B mNjBI+whHsoIVG7fOtn2kk8Lx+7cBcyDL1F0xXV+Jp6ArBJrX2s+VG5K34RlbfQMPKmC/xk+BShO A0CdvXPjEF5pa9Zwhh7kjrbaPwuflMDA4S8N9YqR9QdWvyHuaML6/kXYPH/UrGRIgjp7SsmR9hEj LeD1KUr6hRzdK1KI0ShgZXHAKXcnujZRNbhcEOIHqZdKO9y8o25ZU7crN6t8a8J7VdL3QR1EmQ+0 c9hJXnlK/k2ZHCCCrUZ2dqisRwLnUCKvbJGehm0IPN1ta1kd00OQTEyLetN1AK8MJ1TnBypzqnOA l6T9EM4l6YJLdw6i0tW4mM9faLLXLOT+Yk0Os7fPdRhq67tl426Z4eL0tlAxvGMuFoMU2wrgFpW8 XymIJNcO4cTBZLw6MW+fNwPG+unLwMJl82Tm6egT2qJwD1pXFucxNL93NCUlX82D8WjmWwI9af0R dThEWef18TGxRzpWkde86mQoQZz8v418lV/5WZJMF/pdyxf9CLFIhVcTAV7koDdL3a8/E72bqHP6 lVI6flD6dzrEu/W/St0+ssGhwL1RiA/XJYYahn+E3tevimSXg3vTnmAmjIEkN3ZNC7WXrRpvEu9E SEyrYXfSo8cnkoyoSfImk+Hfl0UpxOUnSU4Kv8SngwoT2T5fy3B11rhw1FEE3a9ANq1qrIS8TG/l lJzRAaUwd1/eFPfg/Lk6aVDn08MtOKfei7OlfBMI8u2GY1JtqRHjJovk+WoTsl8JYC7s4dljFL8v tU5nhbX7MRELPeuTfryzpSvJo9OSY5yx4SPuJiB22rebLjMUPVpfyI/jaEajy+h2rjiqMU8ENQn0 Jl5S6o4QaxeiwlL8mmdocrL9LHJn8STag0NPFdIPqd6Ff7twivlrJ2870+xnSrv1htQ/9Y8ehRzb jZxOqMAQPRf9qJtB1dBFr3AkQwc6IfgH2k+HZMXsLtjZ/kVrS14pCm7NURq/+BU3fcwgD1I0YZP5 Nne9QnMNBeUhpIQKVO8wRX/XSWb6dYwjST/J4hWRZhBZHxNEYWov6EL9MgKG1kUnlqNhA4QkQo5T +dD4UaWpNaRo9DeUK0ASHl1ICXhAYHLi11AEiErp8AaZkmY0ZQ1UM2kaR428my4mGSHTlRPJk0Ah +sFdZrvlWQeAnJn3Z0Hg+KVFTVPQGWKpeJOUdqsbGrQ6hA5gnADDfpFBtmQXyVPAc6JZmLqv5txZ GOKLbeEyWzncdUsJRMwWM6zqZniG9fqS1P6AgvaV/SZlQgvMHqZtgI07Mq2Uaw/8crT1WqkoArPS yqUXrZfBEyhz/Wp+8EMzW0s88cx/vvcth3Ho+C32dUn/K3NKr+B8Esgsh612CDa+sMuqOfST8H7n y3z/dfGeij08S8V610vrf+M+Tr4Ziso94cH46Yjli7r6o4t+eysGI3XCuHzHciLEC7A+j/uVGArf cNnZrL6o1yq7/pnV7lKKfv0V0f4tcw6QVWMASyQdax4BniMHyDpEBqoxD0LpvnnJauYvHKCrAH0t qEkDzTDs+M28Sax9n3p4MYUO3XefRc4lSRXzsbHoFq9x1o62HFDb/1qabZvrGfh7JpQVjkCt8IpN oCjXPXHja1dGSacqJJ8FrtusJu6ca7WLgyT0bEJiiqjw0GW3FEGUCc+xt+ZZLhztCL+igYPIe8dV 0p4AO7hm0ge0Pu9k2T3hNK6HQAayf3pIwbwdq1JzyO0DNWniNohFMQavFFzYPHhDXZCuik/s1RSX MeU6ZzrkDr2fodb/of7wzD0ir6r7H8paCeayECUOt5JyBYOAlVdkmfO2S5yH9vY4cjBKpBQ5pJak rzgeAXzYBcyysyCUoozI2NQKFVezeaAgujEhVte12yTJEeETdpGAxej8aDHmrft+6fUsH/mxiVp4 T+tcLyeHjJhkX+dYI1RIazPirQ6NMW2cYiiPnPvTfpGKIdF4XhnLeTg8UM5ngWjbJj4BQdqSkI6Q 816EUkkb5I5FUhp4O2ZPLROoLZptn2onhxSQZ5EU6V7TtzJSG8Q2vDOvjU8WaICEtHHpJx0QfVrg kdtAq+oQCVoiASbc2kTsTh7LoA1umlcB7qyesGlvYAAr0ropYbvyVIIkuQ+uSSabhtuRvqSlErp8 UIuesLPMmKv3fn/Ap+hgoruMZocYTzDMJvR0Id91CAedaEfHi1imkyXHecLS6RTMCJqxidpQodsG AMXc4grBLwP8MLTB08YdtL8PSYdxC1Fmxr92I5JHhMAOcAbaxuJtXLuu9gmghmvCn8V773abIJob w/dEQUtfzkgml/HHxHTk9WRGLlvMBp0N80aedEG9DuGSdFIxFG/g+yOu9bR1KuhJ0tzGMto1umSi TcR4Tp1YzeA6RAZRkvPWThQutwxypPF33JxuyIfGUTOXirOuUd82x7A8OO19Xo26LfLUuuk3v9d/ nKfatigYUpH8VLHUZgZuUMuwgyexdPEKU1A3+TQxO4p9fv5Uj7iDgwc73khqCeYYoRR2PEf//Btq seQov2nhnbxJL6TyszOzNF1Kuf6VW83GK7IlXGOyrs1/JjZYVsIWtCQw+WLdPO1cYId20xLLnyLO Z+MlnRBQcmGD/hHeQgPjC4gJ+gxfzWB5Ogd53Cp0ja9vhCV5DWogglVPV0+aGapJoAtoa96nwXx1 d/gPJatcSwnm91OZoIJzTLIk3cON6oN2PnHjkzoQJBo0ryPLLlDLX+DzxmKLavuEPLpTukQjAkXh phxay9e99q14sd9bsK4DNJO3AONDgwVFrGDtxbj689kLSYkQp/V0q3wSzvaTEVqndmPzhTBTOr8e CWhd3hR2bD1rVBsd7Pv+j87ECtJ5qA9e2djKlENTQskB38UMyJ3bDi1DGlpjkMT+jfO1NpNJodxw YYxGB1neFD68xXNFEKxqNRoLpfiEOAC40Hox2vFXSQNKutfwdIr1VN4cPJ45hoHBsbtSwn0Faq0H XsCyKy4eN/929tD/9zCZZl/680GmF8cE8pEz6DcqW9fnfaL3uejcDIjbyHHX8PK6uby64RA3wqL5 Kubo6VW7YAsKTDGLv+oPjYgCgJhCUvwYxsvAdJvzHm35cmm7Swis6vVTYi6j52GC2frQp5AzCxFd qNciG6DYHmsAS10IIhfcMRLwDXi76g7GjYv08F7FF/1AkmMudL80H/+HWe/YxekzhNZrHvsXhvj5 /YBlk5H310hgZSm8Q+9y5KU/H5/sEftAOdvtiazB5wsTulg2ppgT8tmQuSh6hv9SiSj+khQBjmKE 7SScsK3LVBI8aaHlxJzh0IfbYX/giOXS2HUIbzQUIkMj555XLvxSl83v9X2SaejUzdaDAMRnjvLy JcUqsfIsnbvmqcB9fe+EW4JeKG/EgGaCNvYnNM8TZC5QFilWSnr3+/phiyIoI1TGmFq8jqmr9DkK iag2gdCgPk40Bvf53nEXVyS4tp8iNzc40rxaqkjoIlDZ9omNid4e194PM0lWKPLWMOubFAqSxhZM h8PW84PCL4AULnASemZaGXWadqMR4EuwH8NQdBsM/jOxAbWSqHwuldr3ptyT9qLF5Erm7XAQW67P 9tL/6LUokszDn0ThrMRUuIpH3i/xx/op91xLpNdCpZIaMDDmb9jbaW3uxxP+HsMUz1y3F/GlQxcp 8aBmbd+xwqYjWcp34BTNzTLxOCBEjVKU0bkZm3ZbSxAOLC6sWzj7xTxY5jrTa++nVhw56jfk5vlF X7ZCdsRjE/2GpJnP4lTBakVCOgSNcC7mt1rekRfHT3P+nD/V2Celg+pGktPVBEd8se3R0nuIAfqz eW4k5FWJLTqxGewfNDkhXX7ds3sNDTbY6/kx5lB9+B58NYAW8KBe178gPjpez9ZyEF3ez8t/Pzg8 zC1PtM8IpP2JQ90r5Zkpwqqhkyx88avHNVThkfd4OJm+p/x+rcZavt3u0qdtqBhW2VqfdigFlVct qCOhzordDIaOpDbK0UPTru77xGH+1OsmmdETSgJkOCCwz0RUWlUk0MBEFT0vXPzp1IVjoHQQ8ePi gMuVfwVLtjXM8LnOGTUggUNiHNo8q5sm7mqyvVeHpdjD2f5Wp0hZ3NuMZmwMpAaRzRd/r1JQC+Vq VPnbaXSgIiRUiFSEOgEFbZFbt0LBVBg1fSk9QSOcTNBlje4mE1mKMYzG2BaxFvyYW52VnKpK3UQq /Cbi3nM7sM7dzl2hN27ySYX6ToGuwI6b1aCWhtykWCUfX+oD6b84dGSqxKtrlbWHhDB4UmQcw2f0 bX0lmmQZHpYPdUmQgLKSc/RIYO8O0JlDiAZaw96KMTZLtt6rdltqDw98YEaUfugvA3L+9Eha6Ni4 i/c9ZQXsYtH6+jK8Sx62rC6F6qJLByDfmus+rX+/5qaOYKDmDtfHlMQMFy7eFA42HZMOIiLc3Sjh uQAxAkIEhtU/ZmAN+oleVH+53Kr2HbYzS5oaf9J2zCQI/izKTzxlVLDm3/Fh1+zddwZOWsEMjsvt UT1afs28DpA5uea1Ti9s92i880VNRud5nGWBemLp/cHDUXxDcfZdI/bjdEU9uHuq2t1alcLUFD2W vgy/AiJhvmIiExFQ9O3mNpp6gaAjfhBxM2bHMPuJWP+4RPRHp5cBhU3jfn6+5tjfozM9kDMxdhon h9Kvk9coPDkNa1Ux4lOLXaBuPANpHkyrpfZHkpegxNfev+t9KWJR5EGJZbNEGWhmIOC8VrmQ2aE4 YVCBN/9ihUUyZxXoEtBPLMwnr4RLRtRfVShl7XTgtANWBGLLTPkXWbff15jjVNrhJm315fvN5PxJ PLVy8u3Ex0Smo/WtqqF/SxV2fpjAUQ3PTfNfwrQCaLT9beOLmjGDYOHypqV0uvs1UYIQSFmxEdjG x13O0gyTtdFindYaOzUgdLEkScnQPvw6uBIrhnTc5ZHY6aEL8QE7VJEk6+RqLjkaKQDiQ845npNB 56tOQOqvabMSr7MKBalgcAjkg0xESaip7lQkeRc+4xIKpF5DsKeFwLOle1hC9n3IZGMPMFik+fVS U3H5AeI5q6rHGkx/fHcTK16RVw40y6EvZOEF24vhIXbvBPwaJv5nCYiquEQSGAOa50raLKB1gE0U taF3eUfc9ETCceUOiqYxab4bCySyof4oYzV4rYop1DOIoFSgHVIsdPV0Cxj2ZgPKYRuI0BLxJjBu k5QnA9uXIAdjXenjfb+1RT3KHFNma00IKVSBUFwg9tlQ2nkko/x+fBGEZy8yn08IGoa6kJSUBKtl 0o2pliOpJW4HHjQasHWhKiI42m2g/ElLXaxEsAP0uX13dlbG3GEdsc5QxXbJ0ksa5aQjmy1v1diM AscXmpALhVmstl8dnAvl7S+APn8sXZbsguxra8cuS/LbpBsr2DUzBsJz48Ap15FBh4Mp0ebKs579 A8fduv399jylAJrnhzx29Rfwg1MoSx90nf3fkKQ8LAkGdrgMJdJKlk1GJYFcL/QTl/3Dk6H+nW6l T8Ho1fkRiwCToK6QxlrablQNmDOGM7JOYNiJPr93XMv4fFl5RBLjC2Xdt7VW9ptgxF1vMrv69gZx ml7yVMgvZzCXd6YFSOCW5vyvnkC8GyEfLmGoEBfQ27ATYQT6dNoTEa1hFXh5jUWSpQT/hcPemdnZ PUNNTd3XnnBffVmE1/cmKoVEs7XHgiixJJqGJiSTwffT+YRRdBrFAEbdyb13NqoINVPHpOVmvEMD RwBDt6OuCaFqJXh5/GWp74OghF9/28ea4uK3JkW9s5pYgAqFYgpGQwLnpA9b+szv4GQE96Ts9oSz GkV/hEVpfgWefGOuco/2HAD0uILMGKs7B3H8OwLcTt0g5JDTOMySdRhC6b5aBD0mQk+VJjeEXruR soL7TXZvHHmmXhg+5W44hVV0MYAZ+xn3N48LNZykyWkCbVDGGgBHbeyundu43k8g8sC3LU+Oghdt GsCqy4N7QZroWJAAU0YN7tpFDzwSPNqfF7vjRph37xwbZQ9AcheHrBlO2XR6ad4YoJGMck7noORa UGLbpbzo2rptj+tJu8ugd/xs+tOsjVO1hmoBPjbXrEsGh1gKEYQnyXW0dCMcSw8DST5jbFB+J20z vQNAKdOmo6eNOWSlXow1T277ABJnha0EcE1stWolAyK18/SzwAaXOSwYJZy1KKEPbDzChIgDSr3N C+v5YN4ue2FXb8duCTTy0KN9mgzFlVY7s3AEhS3qUpzWVhPjL20VvVHu62xf/yixAyT4xV9H+8fj g+CQCqG+sBvsLFJmE5LM/toSdS1IRfJNDBbwr9eRin82d3YrDQGMpQ+hqcrzrh9TaYqbzft1hjry Hzbw4xpcjVda1+xYjRu/uWG8Af6Cxi7khF5CN6eQIOZlS4AcrhEAje1xcIJtFpuzjYGSQH0PR/my LpJAEY48fhAIuBcbR8NtQS91RsZgYOpQ52EKizCgbNe4/KL+ToSRtG7DZ+I0APssChwjwXDKwKGt YGgHSRgdZGVfBS2xGnJziyDWHMiNpftnMWFk7l+IVLUNChngLwzl3IND1LUalJ9Brdab7GAiq0ys rXOXSeHDpQZ8vRJBh1XbDRN5CKddC1V4p4kk5LZkgTK7Uk9g6VuH3/YvZIKZ1C9vv0t/HUCteDtq GHizAuLuJoLX6tf0i0E9LLcjSQ7HUdwBaijXUdLfKz1mikdtnSUpXU06aZgX9JiSLFsTOHZdUSus WV7hGPZd76QlOYzJo8kYsNvk0HeTW6H7x7fE/PFTrjDXovgcI0Xl7z19cZH7BwNiYB1HLV1QtA7T vs0eQQCE6YYE2nYZKAfABxEv3D3acnIkUUaxQAsvDhhErpWtVws4l6GTO66LamVD9O5tGepbRyah 3RK8qqFYbO+DmMFncl3e26lksky4So3cGaEeCBp7HC1H8ixWcKsc8+/HUBqa1wUm+kpQr7C4GN2L W2DvB99H2a7V4PUneikFQQl8MBgrrMqqZaaUdZinkT/MyNrf7Q6Y4x7oGVbK6x+340P3K9fPtqxK 9n6po5eBaeazc/2GzO4WSe1uSuOJcKP2rtlr9lvab5O+a9CZ1m54i/waWxCG+dJ2eVGrt8VNznRa 698v0OTvP75ctQApotayMnPird01leRNpsdFcaKZmsEgjCeNlmapJu0PmcZZpvLOWRN0h1m7kHaI EmX8oQZueeqteP6YdkcafTJVpl+rZHGP9uhrW9Jn4fLbsPloo5zb6HHp4mZxQfhYFi1U1kpFGxOu O6uDA4WE9sMWCOHpDpBvQS+XU/xseghwnQoZw1gL/X3/bncYrJaM7tf2V+2on1BmB3SWy+Gh4lZU +HCiuBCRPQ9GWYE/7GLTHkDtjBzDAzCtgu7xryHsnTapx0kvdZ3w9X5mzxvCc9KmlEelclzH/jro X5cIYsmh16FokaTvgKaKyeANL3yiFXaPweOv3r9nSK+uw4PlJiOv749+rtsrQCG7FTioDETZt/Ub ISA2LoEYo3lOYXJc66ZyHmDsLB9esRYmDmf2yAK6Ix/3IIPeOA25ZY+xLTc1N8owms/NPc4m6+6b MEVq4glfpXOIXGMtTNNoAcGV1WcUV+lnCIYWPr7oiUVA9xGEP2RTQ5giRYryXJEyFZ7JgAE/xkBZ 5l3f6M3z33ak5NGHLjhhJKS1VDIZNFPlS6TgIFuiG3nEl2tviSX96lyGFElG8bHJwhKfWDW5srJ6 rkH4AgdA4UgoDwCwkRBTAtD1ukWxX/DRgdEHz4UKK9DfvH40QD/yEgl7fDOvBAI5+ltUkeXcRLuW s90TknNy/7QXqhvV4m6SwmjlsRSunDlLLk06A3KpVclezyIzK1VZ11Q4K/xcLFEm69do/WAhueCy JXXOuyRSMrHl7bMBzERqNj0ta7zP4LbI5eabjA5tCRQnPYQ/zbPevOiEPxz4R3Kg5ph/YiyL/wEu VR+NsU3sxmKAvg7uGOMN+RdO2hhpw001OMowsvJQzx4DrzC9UVG33YzPf+0PeB7ysElaKY8ehghv JBKuJVqaYjOSKP2nUiAhJkqivW50/cN+V0YuFrj4nNVtrGP/y0NkswpytSg7LbJu45nLLDNVMxf9 0eeCeNyIbjCvUBQhXpcqqluVxBguWHXMRXWa6DpIy5X+23rn+cOz/nEDwBzoa+FjsjD0VtbjhP3P e/Q+SYCANsqs6EX4jVSI2dAt76NuLxncZ40cyTULx8bMkR6wuVCS8eCNj4NsUiaxdPczOstEwoXu 50SKI4p8aeynU4HCflXaYDj77nKtbrS+teuOQEYJtLbt9IYaSwwTRaVdJcKJp1c15WZ3Fa2kNsvb ELjHfx4/80ZI4B2tFE9miLIi9dct96Y+KEDA754oBm0TiOwj9xyrtYcoxYttXf2F+H5GuBqRgv52 5uVXzgw0Nn2f8Lf8GRwzAUP+SS1xYjO7aG5LJvfDBOVKkeQoL24PCyePd8/Tt/OU0GjjzgYNfxq7 EUVPB0LC1lJ0UJs7QADZiX25yesKV4vDBmjrXVChBX0j+WV3MHpvpEHjrCg7mFBiBMM5ggPka26F ab7DIwdNyER6PdeacDtbeFPhWzSkYaatATqnO49RlfCxYTqfP8slPK77rF1mo6g8ROvRIl461BrD +YEwKwjFd5qlUqlUzwaEUsGdlapjCY8w2LpWeLxlaTaAXTYVKnaMY5pk/+ICe88DYNlmvTqRkgnM piphqHfzO1nNXQGF1F2mqbnSCTIGNBSC6381SyvcLWADsyobCttE/7X9HSGV3pd4nGbREgac6HS0 nMSdYFkyPxard4itTUjL4Wmropbe5TMYY1XPBdQv5+fipM6mbEKS1zMdrOFtHkAi7kjHSw6LdpTN 1erTQnQcPKcwc3dC8RXL1yj4SZtU6IcZSh419BU6qMpPytlEz2lwkLONvuBIZXFNn7UVMcbwu4uq hS/dDvGW+AuAhTcr0Kkh5mm/GoZx/5k/QF0hnGrQslWTkT6BTFQDmz2QQ74+50wbOofN1cVwQulQ C39R3y0Fy13AVl5GWDJU2g0OoDs8vVZyBw+6znbSNG+vtT8cIRLUaaykwaeJRfgo+eLDLHwuRiPM codnKVYr9VZGnpAWQOCbokj/1dNHuPFRKFw3PAeeZAWyUnOWZGjsjTHgxts6walLk19BIEycTuum 3hVvbkiMg3Zre8rUNCm4Vd0dgPR61sdV9pDR6mYAbXkenWc+NbiHfmm02MpM+VhOBiDHEJlwLu/D VMIBbCpPvvqe5y8JG/7RIW2QYojE0ONM13xNKQgqTI2npu4P6V39XLWonGBBEywsm1u2UlKcT9PR k96LcQDE13T8loCTihgSAxrr6FKrt3+9WLGQDkhRKyWEdXQnO7Ddcml9cd4DhimSoiynrI3SGQHV MOHIBbe0aGs5duhpvnPmn78j1xhl/AW9IqK4WMlM4OVGOOj+IvXXhrmJ7quqTuijaEt29iJbOupy 2YnzdPQWZ5bdhwa12FeurxCmsbCnUMdjWiZ12Dn380OF7K86cmqGw+iUXfBoXShH0mS1Bil1Gx0V Hu7BLrI/E2xPrTjyKNsBbTzSjCaRmmgnqx+S0CYW3r/TMIs8e6ReNDaeSeVF7E9F828yU+5mYJd/ bpiT3IqApwSEKaCZxWFG0U0RhWo2mJ3+Xou7lc8ErFw49GOTg07nXebVdkrwlWpU4RTHTH0k9K+S nUtyhae3YlKNpDOj8IHznT+efnYnYTHK7/Qp5n3w9flLBQvSi/dALIoJZAqMCwWeu393uqPU+t// V2tgy0/akdUNvv6OfdR/nBPQL5Mc/YO80J+7EvjpMYZ4J4SZMY2EjZlQN1HSntr5HL1gWJBjA4Nr GIf7u4G1DI+caj8uGz0F+dGrsrdF9diJbc49/FpKvmoC2Xibi2GUaM3OI4dCOsVwq4Ke+PKm18yi Iq09Dn29K8QszK+FZDequ2jEftOcRj0hgmhKuYNqBzhH9fljcihsS3MoOWafMq9a9+aR7kOzfaJn oqWF+vkHkP0siGijLSgWBKEVYAED/t+/B/H46n4c9iP8OJOatSW72tjZp9oA5gMMo+HVBSidpA5+ 2VdqezntYz9bICoZtB/4WbDVx97b5mwRtKlMun9RUJBZt+np403IJ20owaaTg6LDHOQ71uFxUJMr 8Wm2HUXBw3Codwi/5OGKlsNAcO6M3QDKF1CylC65KHgALjwyuiw5N6oz3C/VPDtOmU5x4QyBp94I 9GnDG+qm8wbi6VhM6gEUgj9rMi4qsQz9oRYZLM+8lq2uLOXQ8sniO62pMO+QSNhOG5Plh9TlH7Fb IkEdUXmkVwswTVRmFBdikBnXjArJAt3lhNok3JX5xjvoDkCeErS+VPYpOkPb2l4L9RLu55c44yu7 AT/0d0ealfD7XZFUtlYv+Sfs7IAK1hndUymlHdgJPKMmNiX/Sod0xVyj7D3i7pFf7Dp2m/OHz7YR sacgGdUCSfDl0nkCV1kRODhmzfcWji5Yvlg8cOz8wCJ6hy7t3oqi+seDfmkejTPcalZGPkP/56T4 5ckEmmxCWwT4s22waVJqpv12HtId4B9/AgM+aMegpgqnJROKJEJ/B+yfYOCxM0KbaeEEwlEg+Hw4 P7eg8qXRzp9+qlre5MxL54uqLCAh6s1L8pK4tJyWPXsDzF2lq2JgX8O+woAaL5DbYOPsDguV/MMu KO2OKNVTTiX8R3SBH8G3deB9jp1ymNTmZu14NEYgN9ndw+XcxgQUx0zEuqVZwMQu3y7lT000ynad 7+IX/gQbxZkM6Ybx7NtJ999dvRQ7ZUvJYV4/7F6fgEq51bz/wck+Gb0JsqWF3/9WI01tuQckZRDw kuvEcii9Sq9d54JjLBiFZPf9F3kimMaEE6RZuEpuE8EZk/LgQnp54jnghUKM91m9uEhx9+dy+M1b YBVcMx8ysM1bxffLwAtKrpTUAl1Z8E8uuvxqWBL1XbXL1PMkzyqPLLBQF480CqCJibw0+L4TIL0S vgf0qVdZhHErSnqMqqFZp7T5Xh9yvDx9mWdpJWiAfEo44HPAhT/lQLEUkD44J+oLzMEEh0++MhOx L6MCXYujIbjUyUV2dZrHyR/oGywVHZYcl8S9k0sRkut2KoLnyG5t5TfxKKanojfZYz5cKxMSn2xr 7T9F0muTA1YnCBf1xd0o48eJasvXkPn1pyfo+mHNSZGiOEJ8xX80m91MnTUvzGk5ZFQ5vwsHxAQq 5FLXBbFL6dbkUbQ0FTmiLKJBqxTWdEGaYx1xEVB0qDWiD2X/qLCkq23dgLNj9y0qJjg75EXlb39L VVulXf1H5tADTWNqlQ9vpEmxAMF+ISNaep98D5oY2XSMjYkIqz+9ZqaZJbJsi3g/7d3R7Gowa3kF jmJAHHmAztnpqw86+JOh4lAkXnrPseFRrXHvRG6u+sPK31qPa4c/L3FS95bIpfhRQwholgYqxtD4 wNnWkrqFJO0BFurqDPmNwleK4ksuIUuowDR5/776WgPvjHtp9mNXuh6tj2loI/EbxOYMztT6Uw55 NynLLyXpMqjwT5GDqw1SZfRS0ynkDq0gQDh1/OICsegYIehSTXeM+DAEpcVGTij0joIhKa2LFEZy anr5NvMffdtv8PND1SP+3Rx11ZftFAhK8LQxCEXQUK6OODP2gv1ni7dykLq4IDidxIa2QMCl6nD2 W5u7J65ZNk0mqbDdMt1lteqVIs89lB0SZ1snEcFL5tAtt4N0nmH6/fKa9Jqgbdj+iE9i6ifGpLB1 cdmvKxPzPkGgixdpahXOaHcB9U23ZR77/Yp09DJ6n75U/xvtV2AQUlfZwJghQijFegac4heBe8tU j81Ew9J5nzJbhXJBCiGT7KK/QqitBvAGmcKkDw0wMYCz545empJFkHcZfkOlSvbpb1ANNAPYVC8g suKJVHS3Oqpqvvq4sjj+QAOMm9FaQnPyLS7YAnB7A0RtmVcPryLCDkRsL1cdTG2Nd9WKB0TmwkWP vLr92zljQ7rYk68pwxJnG7ywaFdRZ3Ge/ec3uJPc7KPuPPruVM/8CTTdQ9one1Ar4/1NsgIVTBjR DlferSrzNqmEsskSWS8dmD4/PUblaPoyn6aX4zsM95cMXwxTgt2L6Ecm2cAde1bS6RQNyLfAWILk mARzM/bjJjuigps2d5X6IDD6ejWzY5z8c7iSp+QUMltWCAoSDxJS6dE36v/pW8IZOr5Y4xtLPnOn 791NDX7eadk1WA3DQQxwqIXABNMWNkOKNHAZBKOk7TqvgHboEcl+edNs70oS8dF6X8hP2EqqA4Zf rJ3+D7Ts2WwtZvR0JdmwqXl4XrsV57mPI/lh5+8FkT4uJBaswcdT++s6su8NQn/gjp7KkxWWNc3t B/tpo8xiVIN0zvhj62KcrPtDqpKNBWv8tpYcK+m6SC9eOlqYTnWkczF+Jtlj7Amzk33mTlhWL92e YxcmSa3QZAt2y5rJ/h760+6M7CdUOTWuekGsdveTiFXmSObSZVsbBpbgf4PnI3TL3owtIAnwTF03 5o6zPyCDZ6IuhcKNFBkGoovE2PedlIgFEAeKKn4eIDbE8wRJ6dlt6Mr80CV4fbHcgArFIRxf5Ftv HXeaTcl9R638hcO3UvOUBzPsVjI+L4LZfDHiqp0AWPqBBxB4nkT2hmtT0jREDIddl+8ekKF2USHt aHF2bV4YT8vjwfT4zwVIbj2tsP63tjwR5z/BAgtx5U4YQY4hI5ExzmJYdeWeqZ6GRH/VyLJSjnpZ ovSBMnLyA6RYHoBFL/ShBkdtUP2ugQTT95eQ+C4DMvnqFpn0tZVE3/QZ5XvBwnpG5EIlaJMR/RSE tesfjGv18ogxTVYXWaCJ77EKlKWO3s8TC3JywbZDkU0bWpvt9JvRMluQVN3oeCalngKYOKn5U+Sp RQ9rhWUvyOxztkMx5905BtmH8RC47SYfAfB78uQvS1493t/9KOePwUC7p+Eog/RcHBhcjGB2r9bk tYXg1mFQNI9WkWd4roNIFy0eyBLorOPFrMSbvFa9cR7GA3khvuAC99ejH6Axhd4ig1LOxvWWKeD2 d0Raatn74pvR2dl0uYznCViu9sDmqttPiUjmKLnb/dqPKmN3Ycd4XavqT98G+lw2o8fGdpa/KnDO 7EVHQu607XCieyFGwVB1phLrgEJ6P6bf3lujxtZJors31okNrwPY5vEtdsGgO9Z9pmGn+5bX3mrQ F4eLssKY45aKs12UpeorBQk6ahXsexl7qMUk97cylEshidYfXNt5pZDr++gGB5To+nYOjnkTCZGo pYme+zD6pdG1wNtcpB6yRSjs60AFbMD8q3/z7bwFb+aQYfpMzyyv3E4OebQwELnGBgijNXDVU1Fd 9BYo9MIlMKWqNFQuRhll5hM+Hx/4aJ0eYQdfJGoH4DRyH//pSiy3MUxjJ1fHrv3nqkMpDOCOHK8t Aqmw7kaOJuh3OtK7ri5hNomkY+JX9Vr8HUQ6At5rEXFFm1FtTGc/1KzJlHtgO8hc/YSWCMpWW5cT 6AtL7hK7RbyzZ2pLadxuqlHiF21hZSmD+bvCy+5HVxitVKih6ZtTU1+CScuqMM4c3qu7TAUvx3YR YkIJC/QoMsYly+T7QKKrPlzUdPDJuznLcXY+s0QI/SRIDm1uK6gr1efJxQCuO12myR8lAj/ThHOB 0Qcl0YORpmFRTwyQQ2VBC2dnODtgp+mdjFkaPlxVrA/DduYMDrFo/LTXj43LYxi4PYYPYmrwutcJ ArRIfVF5QzBAqEc4SVIrDbo0lQPqdvEIj642HjJ6a6GWZHNYCdVwHuvyNN/haIEt6xGRsBgWnX1H oC2krsoe7xs7ETEa109VoCK38DCMGOuP8RMJ+HBcEmyBv/x2u2defaFUplpiUOLZ7kE/ISez+w6o HmmK1T0rBhZZmZrPKtIzaRtTcO6CEeRVUOrzK69KRmbw88oQfmrKvIPBtcGNtJnhv/1V5zumAA2E 9WcZdR9OSBwu/PbUUSSJRyIhg1dbqhWUcHR91BNEj1qjCBdQu0ILtG/gRHGVfjig6AccolULhUXJ 17S/YptZKumoMLzKtf+WRt/s3TrlBrsGXiNdW6j+pZj8AGR3C/xLIjJ3JSyIusP5VnKI2nhLShJD zktRk2mFHxmLTm6LO7NW28+4FEPHdAkJorHa/Zb3aC59jXCwF1Tmg5pZE8ISyTKcJyptivEpX5DH 5TTkLu0FUAnNUswkxLxo9PUIcKmdjdEj1XWHrW4ERK20nDVK4YttNUg9hYfSs44UUn20vdoP1Rxs UM28WMRRpgbPgbSEdoEl7Hjx+MbGfN1pePwbkj5+5c8q3xTf+aIyNOMNLfheHOlM/XmGffr7/5BJ E8WefkW3zF07K3Elr0irWbj7iy2xoXvooat7RGtF2gEz8IKo5uFPhpbDWzDI2RMppGYW2TruwKMP 74Ms9mJ/0pXfWPVhBmZXVUSJZcHOfBVCAJNruKSLKZoyIl2J/tZFTywL08lZB0s6kLr0hTLRNoax fj2v8EVg7TWzRByZTyH9GMhntrzhm8v23j2LW1MGyvdCMFhvRuoIxG0SVhE02ofyhbl0cTdAvQ4y T3OKK0rJYAjBlbnXtssy9fdEtpPPYXkl2KvU/K2s2CNqxQrpMywjOCikFSXOD2+IuQb4CKm0HQYt uDrJLAhSFYaAZ/BlJv5hV0b0xepFWK4cD6wP5xjeUQzIcNiOf948/ous9aAQacUjXf3P2oDZiAMf +h5eze/WrZ5UdYBxpWlareY9nn9NRtHwVUl3gw9PjlltKBItX1lZyHmxOkoMklnfPSvoTBY9emWe /sRZb3gJ1MJ+zdkgzaXQzG6XezLBCwgeXY33pSPcCxoJZD+8PE9xKXGAQKuhE/mU9AaV5GYlukhM Q1o5aA0sh89LXJd+NudkyJ7wvhBAphIJGnUknxSNatxVcBA6UHJM6dQDwi6MljriMd/J/n7VAHLt t9wSlIrbOEg918vHkqDya+IXW/s11z/jdlsHGXQnD9rXEqCydoODXQVJB4HkQ4RAjgd/96Z43jk3 43iLXwjcYPY0EnJn7bcEAwSSEAdO01U2SIufdLBcyGGV3q2vOdW4jOL1UQFY9R65gjRpgcX66wm4 SwiALgvnaasN9eXsFD4HlybISex97i6K9SUqaNjsSpLnjJS6Tnwex0y3IqeVfOin+ACaLjAOtqni nq68rtTwmoachHk/433PHug8rdj8iouD/7ATTiTZWCW6DVJhR5bqDSy2xQgNjcp/1fR5mdyC0mRS 6xt+9hMKXI0G0yny/8F1NiWrvnMS2GfykFuaqvcJQvwJY0wSERqXzveYwTl7NYWZPz68pZAjZVVD 9IyBs1Cr9ibm/GkjT6IS19VWX3zJ17+895OA6F5HFMejAx03DlglmU+tLaOZB5Cb6rn0t7p3/Sah 9wsQhOfQxn43sYtV0Y+7CafD1xYItSCsJ7Xtxg/PF7FiW2Qf4fAjtK9Nw3ycsJp6IfDOA3cmHFp6 42Cu0jSYBAI9lDh+i8pmUmbZnsncdSfwJ4oa197XxRwWAq3n8LZSTStv76JhbH/dU8e4VbeFxqrJ te+50YiLxqIH9aZVu/YRtJF73FEzUvefCa2eoH4Wa58j16dwfGd9NsrWlzsbK+2iIWfBTIaouxSB okYMcJkImZ4fD3qfUNXVM+clZ/oshBQ56VYwpWraHcn/eZyP/V6KDk6eC0nQuOVdVxl6E4yKI0PX Hnxi5FrBlNuWnJm4akvE9NizDSsbOdZ787pVpcYSrKfKSOgmEiPruXO/KpGUqi4cr9xBHvwtn1he 8nz7Axrm6gNO6bPChyYcQnfW7rp/Wa/khQwPRFolqu3AHFS9uZJrxTXZfMyKVmIHBx+fEm3eb9gB 1So1bXNxjmUv7M3923kTGv47OMRgT3f+7aoi8A2dgT+vWPXsJX4/Xwa6KpNvJCppUp+Jk1syZa/v X7uKK4Vz4+SVQy3yKEM1obbAh3XaGMhtMqiHiKXcI9OcRPwT4fdrQ+9wqD/n/2CKImL2GZX/dXki obg80uGRKzUNHePcNAAso1gnLutm9UEeiaVCVYcxT3cyYl0GKeu0zdgGWNLAVAIhwTmDgLRuxBVe LZ938I9nJ8o8qHA/XTMZrCkvt3rP6JjPp/Vi76Q1vxdkUjdPIlb2HlUMmt3KTl8qUXbZb1w+EdwO vpr7iTrbgqJg5OItgj3v7d1CqHYaY2+hk3/fbC992/9fs7ddM3cdm0ibgSJQvZ7GyU5MrJT6sV2r iSSBEvOwj0GmMN1HzcTucXHm3pQsEeD5eXKStOrN4iIdrsefh4XVaaDy0d3KvHarfJM6J+0pkCs2 BubDRaLrZHl5wu5vXC45eqRzDh3IgmKCDvtdDRgpFIGH+aNb+oDvFeXkdoUgrtB4TiyhBLFw10Ig xlgrpIB+X9aHlgddaBAcuRNjQW5TVyvmYAbSKK32Q0ZIQdppAnfvokoL1Sy/m44f++Nr3Fp2X9rj NrOZtBRiWRq0Wb6PkQ6VSYMOa3a/39qb+9Fll3YWVWKCwe3i6jkLdCtqzm9Z7+A0U3Xt6My3mr4e dE/fUAPpnytIr2/qEoSMrMG4igyDm4wEmFBOD8boTJ3xO2HaRk8TUNeOk6Gm+xIbrMF6Z692hjjU +7JPIkrnII+2ec/24Jl2gfcqu7IoWdAzVEOpWTW8Xfx17zvIvJn1daJvxkD7KMLsLIPGYb4uD1n6 2DY1C6zXB8m1OLXT+whpx4NBYbFGC76j023+QT5QpT/pP3ppT2fKC+eaTKbrdROwEz6UArJU7bPH jiQVLOTHSCgFpH6wNCrIhppOl5jD69pXutZpZIMMs2PLKU1hgVrZqwXpNaBnooFaac7reS6tiG42 1CDRJZYmTw0vx1hDe21XEFUagHhvhapvYMFhq2Z3J+LLqDN2YLH6FWjcl0rQ0w/L2vYuicSGiKgM TytSLZ3PRg0QwtmZ7t8znfjWY/Qqo3QY4JTWrh9ui39hvzxdGVUF5iJ9r2pcNmZGkMe+dq20OiO5 YVQCI3sQ1qucw5l132RbjFaARw4NB/z+9uCKmL8up4wEq30AQ9r4aq3+hV5bbHh93zgVq+bA8n2y 4VKNnVxr4EGPD1vvfZBXbHFfrSjNUqwMDY5FaPauwiQWcYgYrnNlldcOxLVQJifij4SUA72Vigk2 fBG4Hq2ivmdzwkdgamCTa0kMa7Ou7kRFmOmjaqYgwT6TjaSxwFCOPbLitBAK0QPggu/EMcz/KYtP ZV/cUAt0hYkO0efBbp1b3/ltd+rI/0PqjFY2RK8t3kvKP1esOye+fmjUSbxWwgMAJHvabmpRVvfv f41WEHN/tl0Ti29i9zs4JkR8NXBfzQ8BrAe7JwDZmH+qNUrjGBvOjpPiJY3QyeI9XYEaP9q85ghg jTX8m+UVQrfx6AVgjY/6vyjAzaT8JG8s6rgLl1DNZCTMl9Ut2NusbP4zrZLGSd6DwKxoioBonWne MG8U9Pcm7vTD97BYq8ys/Sygz3CimpUZxgoJiSe+6E6KA2vvzFULMYCshor4sMplNlYDEanntO0+ Ri8uzXukLZPRC64uDSLXV1VJWV7jqdmXxe/9yJkj11u4AizK5pKkkQarjZBL41faLuAffz9PiCZL G+qXz6x3HwuuNmNvzbqFMr2Go/8Y5PYXokBSspYd4EoOklHX70PuqKb6QBznQ24RUekM8AXrEvqa MBXFz0z+opW/bNXG5XBC9y9WKTxqkG+g3FkyCQJiiA1Vsr329SUHx08egzUIQ8W2daarYmRIfuKu /UZJ2M9GWqcBqqrgiPjULFtOHB18peBqwQk49yhMXo1WulMTvjlcAAoqd0S6y06WfR1G99zGJ1oa EdsY+rnFN1prechFHhvBxmD9gJgYCdmJoZUTqdCQ2EmhrgwD/mlNsv4eEomO3lap6i1miRS6R3lJ O+Mj+F+3gsMzkBn2fx04tWQ6bu/CKGxKudKGjXtiYK1rWtTVuIOfKqEAiAGxB37J9n9Uat6Qzb6f EHLCytsjv58XWEarQVkhegZRgrlKxqLvmL+iftuTdsPIKNiilBYAvQiir9azhEEYtgSpvw38VPLp gq3Nz6OPNFk1LowFX0jXACRRmQO2XaoHomveOZP4Bosc+wqj5hxIYqT/3LGP9KJf1LProYzU24Lw yeaIZZBgwQa7BpFX2QPFLRzb1i81FZjQxOl310KkniD7erIxoE+KzlTsiLeRSmgn4YjK8ajRChz5 fda2RJeWjRP4XRhHwC7frTM7UYgmEIcYdKAsP20N4fYX3N68ZN8G2aKSpnKRHyd4m6FD7okhBrC3 54q5NnYnhCfCampHu3jX1PJzeALQtnnXZH8ELU2amN4+tXVSdUxdUKGv1wFnkb5oLGTUaL959vr4 ao2u+jjhNqLzs/vLaceEW0muRbMCvc4GV5AhjPCc21ZvaDTnr4GSD88P3HQKbYq9HsDtnd4QaIsB Y7scY0ZrvMZ4+EKF9cxvOj7Zl4iOsD9PBylgW3yhFn1AbBeQy5769bSTej5QleqB6mIeZE8Yl49b lXIpl41HRLTz+OkY/Dr9jIJ1CTP1FdWqlIirTO+aPjv14QECKL+ffoPcCoTHBBqFvpAj1FQgUA1l Uov4Pqk3msFA8lQyaXsoUwR6rMgW/H+7o2N3Xzud156VOJhJAN5FBpSign/70fEaB0833uG55exf AJc6HJ/6dPfzyiGMdke/XUhN/g2bRtALIeNGWLTp8vUxA64PjOx4U/zsaQMMlO3PV6Jgs5BpNs6i 8J7Bj89/rK4Uczbxx6ZI6OFSGs4ZIUa4rUilc9SfvXSIWIHOTdjcnthXRYmLYnAOIam2JqyyOlSv m8pj7sHNvybRtGqhgq1L3peQvxI4hzyGK19/Rx4NJOOUbeoA5gpB9HOnnbeOZ+9iOZRhnvFOZV4W mc4zwGmHT2/998xOBsnBQbxJwttt9Pj5G8aT6rNfQuwHwiZe8E/Qcayctg1XHuVaDAyk3+R7/ArS MdcAYxEFnDj+dEvTE+AZLjh0kV1M3fGhlrkJkDJb0OCFiTwOXMpvzLVWjFoom88ToUcV4XcwFn0t 8B5aEDayNHEAKDkeIEzoABfZICz7PiWiWMZTUYjuKQd/kCzPU1EGqxnhGscgKVjpGCHO0KciNTsh bUAlky0nL7XZcy+0OxZu2f+DeGMdiMLHjPnEfQ6wmEUpmmDr0xT+j8HeU+DDtje1V8YU0ZOFxVwK VFt9l9X/TnSwi53qKERVQgkl+o20I4tuGGYsNymfXYjVaR5X9yaIwd0rqdGS+7SjpHJiCQuEYEDP 9PP20fZGS1ij5A6DK3KZ7eXDOWP6IxUFUHUK1/g6KEdfl1rn+Y3lnsk67l8Gxf21V3MT8nFDLbFZ PtHQNOG0wb/fbyjKlwjCOGQxZ51534CuSEExoY3XkVI66vKhCsX8BxHWfPPD6dMHS7Rl7zLc0E22 mcxm+hRQT9Dk1VxrRAR4I4iQFv9Qst1sDye0tu8twd2WQC1jd2dEyM8a6db20gsEaORBMtoLEw96 4yLyOkqcz+ka1RW8p24ic7lNrSQmhnsic/ATnbQha4DMzs4n+LGTcIcSJzW44seeDAV8vIRfwcfq erQSjJs/1epngZUOJSIVDyUp97vng3eOirdcSjZsO5jT20TaCWvmW+NBtGHI+VVczURuwCUn49Pi 4WGjGP5lPIm54cs08xIVQgrkEiUzmiUtosqVtDKjjFSdiPUiWpzkkzPYz3WH1nmFXKdQ5oT0kchD Wv5qqlZiLsrs/6TMwZ1mW5z8IK9qechuEzeBk/q0SN1tJp2ZmhZZVbdHi0I+k75FDcDGPW5lC47v uwe7yGt7fR/bEkta/e0DRgLJLUxnsQoxMjAn2TAmfCwhNjlzsFFxCFsiHjl7DoFpvgXCjnGrdwr6 Hc/w9dQQyNlv8j/BhvUJV2DsZB1mvfWXmLBa8z0djAFoMryS80WlZ+XrezqM+wldSNaffkXmarQd us1OgVsbKVl9x4t/W5cImhzISEb/OERwgUyKWdDS9LOsopxXHR61+/jt2VTsQffkMx3o+06znrTR L3ehDUUs858LnNJgKQB74NFO62n9wZ41lYw0y8NNvFVU5fSDE4ASMVcqd4pBTfzhNpywiJWnNcFE YdpaF4z9WunpcY1773EsTwWfwS0H4jfYpNii4G6DP1oa0O+cFqA2fR+j4Nv+dt51lSlUoRI9pn0G DpJAELV4nwtVm6dhuJkM8CxyKTjRNfZ4wwUQIoCexZA1/6kePifN58YLHmFJsxhf/Wp/FvIkM2t2 +itlQgoXSSCFxyrdpS/j2XGFm3VEmhLJypTcP9Va6Pv/2Sx80lIt4Ot1OVLYO1W6Q/aVZuNW0MvZ t7d2n63/sRALtn0w3XUEo+NjsXBF5LcmH2I9KGAOjVILSP7A3f7BS0QZxb+PzANNy2hokdCjQ4x9 SDrYFciBsR+raJRgZBENpNVKYVeBKRsLCCV+nCbco0UfFY4QGZf3T4wW9O5Q6dz3VhG1/x1l8Hfh tPLaTumjbjNb8Le6IjtwI3q4HgeF7jdmc9uZ8aAJ0f4/eoZnSH4skENkslMh2TQiJ3HeVruKS//C NXTpCrepRfaJ1mITexLhurzFijg9mN2z75Er9swyN1pLC/7RZDK8PxeCF16cwlAOBoAhwBUfIfsf tPYflAepr5u2/qEdTblf4psE3ZHz+sRVj4T3BFxToKl3exXE6gK8WzK5VwGRvd5E6+ZT39TgLLm6 uXHPfmw0zYw3FM+7oA8MoiMDJEJ+p4+US5mA3DYQcvHiliiEJV6JoTn3NLviZl0XfMQ6r9yE/lqr o9F5w/59mIRu0THR7mJfVpWg8xodFu5L5X+hSP2NXEXmzom6zfNVbia94zNagyd1oRjxO70qKHgk vn5Jv+t/4xChR3c8SoZ9LmEgMfJqEOECpnnJjULY/EeGFGKCKgumSHRBu9ambD/5keI5Cwh0CB1P s43AJD/+dk6mFFYaWgPpFr9UzVUDkogU/M/l/G0n4SSwVv+txslgHm1wQ3lPJpz8ccd82YGrYcvz UpRsbK5bf4WPxJ4v52WA5o1VJXScBmqOaat4pG3oqU0UaYSHij04mZBbgHdJfbjwB5bL4rlNZ1tp 3E91oa7sAvWUzBgOp412AD2iIBmdYwINR4k51XuoFZHbHcA2eo303c1CTmXf6Qt+/rfR/VDeeuKP BsqOKoNEUjs95GR6a/wwqM2wbrn1g6aRCwpc7uFKVztgJ0fABEY0VYD9IUQfCo+whJNP07VrEcgh DCLF8DxF0P60uKCdx8tkGwasu8pdHdMHXjTjRMPkn8afiOKR4v7FWQvJSl9XOuldmXa4+iQn57cb Ikf0W5WwJp/iw9H8WjGrZnHMMUeBXUJoYzz0zwpP2Xi61pqnODz+U20A4qbANjTjEITVqtdoUCUk 6uQcHXo73ddAPrgwjU8KIsFmBoDyvNr3wsNG9ANhiQkq74efnZKWCUXHtREvsKrwGWgiLtihauwh b/CxC59lm+UIebIp0pufNvzKolF5NyjzK41CfsNQdgfdka0iPfbXv7/EWKnDQ0uIETUk0zYx3lY4 D97m0xFTUF37Vyp8hv4K3jjsGHDeltNnO7vje5/2kAWaMIPij2sPAb/m3qm1aSC019S+5I8+nKVH S2VMajZokoCa8965MkdDhmg8hiGkBJykRar5iKFHSsjfg/mOXcV7aZ9aXwWgzL9RVK1SxGQ47N+o wt/VmdXTVFcN7Vu1SYMlMlJsrsbWvFPqfL9JE04mydYp4uDSBCdlat5PBemVWOFTFxUF+sxtQOPO dPLW3ONV/zw/M53o2c6rS9GjTdwqVPVApDFtHr5/nieEirPNuM9wDiLZvIQY/hIkx/ulIR7fUSxV jQL9FbOpT3DUp/f/LISRWe2ro0Mf1thFQvSbJacSu2xMrPsz2ieY1BsiijHEPHfDi7GS5CLfRUW7 Wf9v8QSLupCioMMkjSe3IIC2p/yChQ9JxWpWbrMkOw4oExjUVQuUwABH+B7flJXjn1SAahhEtJxy akaC77wAr+ru0YAmN/4C1cioYqB59ZnTsb0Qm3ucKJv5A/tebCGLOAoZeTdVT5ztK9pFnhLIFXBi fcMufu+6AhRWZLxWZ9mIbSiMJjDR3eyXsUGLltMVfZXgjKA7AtdDVtKNAeTea/za6LFSYDUNqRBu oifdh+Qb7MB9vcW8ytnibR4UA+ZKHqWhmogKYZv5XO7cHsdncjljyUbIyiae4wV+VoRxq9vGipwN bEGpc2PyFSR3zWc1J+a5lMDFOczAOzu+/qNDZFTzDZ5uH6CBZlSrLz8bBC4f4HuzImWar46U1kGD DI9jOJ9lM5CntmIHuvURJvAt27rnAWRkKn3iKyDhrpTZoukTqh/unOXO55yAiBxx7SXl9PiM6tYu nIM3qRtY5pT9rJE/C2SzVl92EtJvA6V9HcuH215QWPP3IDlusVpw+uTW4T99T1h/MQWIOvGbrdGH hW2rokdg91gQFs2tAgJJVloG2P9ykfkINblFVST9oBeGG9PSF5V3Lk/dhQG4v0eUUTmXEkEp7GzT DdqLiSFx04S2abjelbm4ER6sO/Sc9H9u8EWf02dUlQ9sRlrg1zIyfjKLxcrMZKMe1i9EwnSyWVdx ImMUPE4AfKnAPwXAk+JWxAva6odY7DTBX8QakJfbJevr821wuSYPAwGk3vUlhZkGxgqVeWEUsFkM Y4+kIzO/xmntDK640+w7V5nWaazYv3kuNc2NUocdkOXkpBBfffZHg7+bkYNoNti+VZoKEBfPb/Zs p6zOt1O0QVPwx53BT8TSOf4mxBOW9CMFXASVKM7nm98nWydQIQLHGrcoXKMZxmQ4/yAEsYX1NGIY DDG7aPN69NCZwexHBrANpKIj6AyBb/yFfw3do07Z1mcZwlFDlSzdpFPAHs2jrbTdRha/iR0KNMLw PfXxIb/gNtKgK0vuZO/YKGpmdfQYlx5Au0e25WgCHBlmMyqySODxREFFpok5DM7R6czNnrUb5HyZ Cv86habt1UMX2z0cwnK6hLN/EEqi6ikZOUecjSibQ3dounLBT7tJWvmpLQ4wJeqp4rXqRKfPhcz2 V3i0KQNesnpIba+bPke/O++NHXAAncWXdPnmD08bSy7DGT4Z97pBMTvCIoJQ7X3AwsrML6DSjdYV IH/GexasIUxYgwVehZMTt3AxiyDgpCEuVu4gIFTpntPoyvkvbgaQIrfYQpeMBra3WnTGMxU16saI 5wlGolVNFHbK405Amm554T9o3ouUoojWGtBd5uHf3WJHJvq3gbc8lk7dk2jmrLv+6Vtv88x2dn5C t5iqcHqMp3vCxls3pYPpT/E3692NFvqB0yoGRgZaDO40MBuYeKZDCCPBUG3trlQLqAD3o8vvuHi5 obcG+yAqNZMPdaCLe/bY30LsuoryvPNILboB1+sex2F77UOZ9XIu/43RpF8F9RR5gQNm/5H6h7U1 Ue3pM/9+PFkipc+edZEQJTLIekyEu0q4RUYVhU/6/eMTOK8MuJ11IQiv8ExnHJb7G3M4gC2cPvGV z1vmYX6mVMD//5iH7cCWg5Fb0kK+eMSl3QAQN/BKeflH5ybsVT/0reIVW5LRbNG6ccTRC2aMlEQR QDRrRGb4UtjoNTTKsbKdaHbya0aAIsNkrGlh/4Yuk6bGKfhdRU8olelzBzPYdMA4me3WF85QQrvc NuLhxNu2u/qh4utCbN02/f5JPHfhcRGFvSKCFmxZY+NFINALbNkR1x/+JhqKLx1Z4HbWhPqcc24w TgjcZfsb8dC2UJboixnCJq2/BGbJYWvadAleTm2XavCyGUJJgnhf2MfZ8RFdn05ArOMDazcrut+4 LfKyw2k2xP2qMeghGT0nnYKyA/RnCKLnDzbc+LnU01KfqCW3OxvF4qd7O1GDFDF6BsJJdeSGt7pC yFmI9eFj8ocLERdsDWbptc368hEbY7B2v5prl5g4FoX8HrBduWZvwhGOHO9gOZLf8ReKR+Isgg+t S6eMhisKvAxw6B5fFFzxymannsLMF2ZSqEiSQjlm+MIx05qJxUW0URGBqeLwUGyghiwb2yA8sba3 lUMLksBWMe8U3+I2sVf5pdfRlgXYppKLuQE8z/SCOerbQvj3vAjHBIQHSVHqZWEIS82C0Z/WdmdM J1F67gD8GtcQhrM5ngYJJeDhGBchx0MMSel3GE5cpOna916ShybwdOp077BfKNz/dZXe5MHUI7RU rgtaMMzdOFW3k/fHxxIHpu89F8d16JRHlHVJXK8ERLt0O/V+mAt+S6lRrKciiPHhfAsJYZqflGUj uns2GrVlGNHW1cg9v/9ezI3vLZyDc2YUCSgX6iD21RPSrbq0Wn650UbP0uBwr33HP6z9tru1hycZ K/aw0MI4FZdvtQqY/Q4+HxziA6hW1MkblW33QZzyDQusQ6JJckCes4oj1rVCEgw+ytbOAls5SJi0 Vt5wqHv/kwf3R10KgFilpkOfRAWqi586x6kUwUUV+RYTi+P0yQC/V+f+5jxjQ61q8ye+u2OQVi29 ZPvl7RA6POq9b/hT6JKBqh7AdC6qbtk01blG8NfBvOvHFdxjDMBvVc5kEJNbxmTpQp0Xkr+mkD5X FT+FQMn0TZfkIuF4KU6uCc8Vj8hq3Z8E5QV5eZEd9tQ+ZtFFEEVgBA2knWDqGaFOA6czlWVdDLia z3Guodg002eGPoVmD6LhH3weHGRynrUtefJi5ymTpfz5qwlaOWAIOm/Ip/m2l0O+Pbu6MLn9VhIc X8lvnZWHTjA0ShbCFGPbUByEvn79S60kokRsAAmFxUhy2YTxm4pFVdH2MTpQCQIgXno/ODRb6tZ0 u5xWQIAU6b/R1Pkyv9xhk2oRK45xkRgdWvW4cgPY8R1fMuKmODy7X/YuilfocOYb8xXachSSC0eM KNiQu73A1jkpswutLJiu3oaJ3FpcZ925C71RdS48aSkCoim9sLQsb8ceTxSL0E8xU0TrR5iCypI3 ijNMlWaQLiksYPlZhAHORuiTF/TKA9C4ovdioQPxCkmD0+B8P33Rxu9lNictEfk102konN4WHGQt Rz05iZ1ergUNXSEH5oI/fU7cjl0lwDRdJlVlV1NoCFlC0QTo2+m0d/v6VJV02gc7MwS1ckJAHw9O fffSfPbL3LGyqrNSQxkKeqi28ZTvxkcKyOJm6xIZMq5t2NxluhLM+1n3eNPo4CAg784jtz0uUjcX u2YhAC7X+eGOwtFapjdImExOMxJyN9byjRV9hFdWu4jiCNylpTwDqv3KMKIU5UogRhozguAHGPIP gJnivC4xnHwXdJ7uxCO1+viVqVQBQ2EBuR1GllIT/Cj2L413GuG4GwWcoPWg5q77NmOsK/bNc946 Vs2NJ7rToJT5YXFEjnPZxIsPwUDvHddk0l23bEIc0aMAul7UliMU9r8J9N1+j2ZgdxiN27Hxxqy6 AT6Y5GfIv6gEpnDaMT+HfFEwIFwT1os2Del7pPSK1EMzUbUfkTqjLKudFN1CUvpaCXB/gS1NZutL o/3eQwX9Tk/gRU8iO8MA2BepaysCOTsLq93nixAD7SgC1kqtq0V3txq5qRd2IMCpef3Oq/+XKJKm N5orJ7TVW2KRNcbwM08AhFFkH/rG/HRqII+NpJMFJ9QD7T7qOhPSsj9nWMe7vl6RgkSzqg8U+ofD vh2ENXi5v8AwG1QmlCSZ61lEYbnQsZrXKXwauuUokv3mjgCSRZIGQreM+uEF58kGuGer26/jPXbH ySJAOgsw/aVtQxNZKsvsMlqkmAbg1Ud9h9IZtxnfKwG1Vz9bpojH9suXTZvCZKPWsxnZmPLkuhzO atmgBteXRQwkHN5Ro9UPm/TUWyZAsUtbUoybC1jHaB6MlU+mm/VeQRjRaPNewZYcShJE2RSql/ad WNJzKnylEYwaDu6uidNYkUivES8tjHRVQIJZwMBrynXz7K9g5qEtgkGEBX769fLLe0xXTtdfK+8W JecOBd/DXOgm/AM6YzHIo1FQY4c7t/qnF0GFKgj0o82WhiCt1Sh7BmTEn4Kuq4v3CUuOLuAVY7RL PIeL/3I/RiKFOgWqk6n/T/F6B4Cm5UItbMxb4gDDXw6alfsOMHOxk57TKjk2tC/BsG7PZEK4tANW wJUbz6YE2ff/wD9Eqodo6CFlwJoL50C+JLV+ehfFNDTom0pWj6Tdt3RwPJTKDH7sREEvzGX+khwW sciZp5/pMkV8rqFAk8MQIEZqyUdD+FQU4ct0+DiohFQe54oxxjvO6wB5y8rcHRzI1qEPzHUuwT+F jZwnf73IeGD6khqVCms3pg3JkyvHvIwuLp8+IBp7Q8oT8cbZMhcy00X3zcmKAjm4BtPhJ5vP38CI pDxT7hUlSrMbh2KBAfWvOYSuNswKZ54prpXiC6eUNIdnDkp8uKXAiqw9TmFKFzmrzkRvyWZZ1vQM 6f9HAPSC6R+lus4cCkzCQx4djgwzLQ4tDu03H8TqeGad3++BgqaHK2w3VYCTjlVLibHs5039FiR3 mU/UHDTA4e/6Oa5FlWV/IEUY5hgNYToQrm8FJbjG4TpWRG4UYSY/PIF6WRkTuPoWLq6Tvw7vkOsU oGsJFwmhreAoZq8O05wc47fSRfSwQBmn52qmJ3hIkUOOmsyC4mhghL+yTOD1Q+s1pxDwTmIJxxi6 jXelq7ZIU102xKzTnGwulKEtcsukYbzcValLGkZBNuvQpe6r8/CuvFz9bESKDJ+8cBJQl9MXL9YP Oo4Z+hw2OSEIjvIVJcWeuj3TXsHYaZGbbWze+0UPnBIH7M07eRbYm5Om/v0KpOZkmlyRrv9dnX9Z MgRbhE63tU0eWPgbGhTASoHdn9yTq4I6nkTZAZID7nCKklBCIiVXK0/ZHPOAPN3muEmQO9+ghMw8 nTHPiGkiexh9EDG32oSd1xbgGEE+Bv+nHW4tmzIAzRSKSkYQ19+bgn6D865wtLpW9liOGyMJ6zNw TJ9UOzE/Dny6nz+S7OfuEglqg/GsVsne4lJmkePVYA7jDwKEWlQl88twwCPDCgXJZ6R5TiZTrpti 8aCdYeQ7e3NJsLChQGBBwIetZ7tFOSzoORGapbo5mkWBjhlVN5rFM+fBXF0+6yb/GunX+oe6LUCF LAtyTY0bCihSjLC0SN5YwVx4cfRFnd1bTAuF1orpx6pZQCt53Eukn6bZ6nsGftBpWi3hQpBY0s/Y Z9MEIm1Lft93QjAgVKgFqglB0TeLIzmZOI8hxM6XEkZpk+CeiwV6gAF/V1okAgptYFFQpk38R6dz H89/ZGRHOCvk+wb2TFryYsOrLdjwegWZ2yrWQhIc3ykMvLxLD3V5H53QRZ/Kje+59CzUjShQ/eqn ESoqlzdXV18dFwkB/iwJZ+xwZOlO2kiSgURvQhMlLB5z98JP4ycQi6oyEVrncXgjAVwcaLEaXJi3 atahOlwvzSA03afMuAn/BIJqU4kjlGr2laKVKtK/GbhzSWhar1XkUxPV8t4bpP76mm6pQeJqgTUj 1sy5/58f8WWMsy+hBELoeRZmy9Cn4l5uweO1CYgNt5HF8+BITdM15Ez4GB0jD0SReykIIfGhle+2 b/akJhgctEUz9t+uZjQ1rSXyiKcWCpCY954flyE3fwr9IGoGzQR6PFbyV/ItQpmTjkkN4gEjP1bo qIJWtePgAUTKsXciCH6rAOgqu59DZ0R4UrmN0atislyvbIbM++7lfaUP1xq3/qwmHo3A98dfR2Fi tkjXaX4Py68uNnC4AwR7IQuKdKZRn3EgGnHC3TOnxUCu2cWQ+SXReYzn3DaAnDdMLBfN2Mkx8erN CAWqMTTanZmGXktz7so4I1WkAAxCQmG2Qta6RxlajV6y9XStcjlPSf/KIXZNyW2WlBhj3ZCCcRdX Ajtkb0ZmOiaV81Z0pqZzVuxSgOHUgVRSiqqeqqvrwtN6IdcIJ1EN/JZYIR3o+vmcL0ACxrxUZPzU qRwZQAavtf6hP3yJomJ/N3P2dsfxltHQ/N+EcUgNtIqK77hWcdkKu4qf34HzWMlwBaK2j5i7CQUm immeilD3DvEKbwKqLIt1eUF0+pgLQeP5uZGaNfR0WNb3HQj+SEULxoQ+dIFOF2epEqgcvoUMfgjD /HY+HQ3LFTpHqhiKiksMAcIIQupIlsqK1VhyhrhgdP8FKgUqCx0rD0JAJIAxFhcdMbY/bpAqNg8e yFNqWn+WvVZh/H1SbSVDU2JB2NXYBuB3Jsmp9+JTAGTfkR3sPGPK+9ydJO6Rd1neFB3rFogeNo4i C/+O9KY858qkOk2w26LjCGlbRuJvtnEvV/Y1duSQR1AJkIQ3t1qQjn6QNltJXxMdEhLPVeBMdgUz DOie3zoBT5ei/QYPovSI8VNZH9xwbcU/CvHn2mO0UJ/D20YvmsTC1FhIAJ6PyMQTQDdEPhA7fw6l NPNWvdrjPJO1C0MKi/6hCUyzR3gNqSh4FLv/WU2fjaVSC0DPYih7L1DYUFqx5eeAbyiktsxmBGEe 31bNo6YPQrkV3mHRb3aHSU5ylkcNpYnKKKSRIAMHULQMkKBUIAU5YMo14BJttDntORveMJAWYmeo gqZDC1w/07dwyXR0BMNC72s/XF38ZfDGYkKqqj48oOzPQ2kMYT5I4t/wQqAsLB4svfuHqPjuSvYc vlGsv32ruqybfhPOLPO6TqxJdFVgWwT6qkuFNCg8LQt+DE7E16bBN1ARTm4yQG48pzDLb0AOKu1k 5w97PJZ5e9QtWrOtPVmJ3J0oTHcLOBMTqZXkuZj8CcWaR9BnvAd+yTsd8d86liqOfO9IFPpZqoDZ ZM2n9q22CNKtKqDnmdmUXlrYZwpaSLyr8++0XWlAum8O01AP//TJwXDkDK0XZT8pjhPpfe0v3mc8 5//nmXRDXg4G7uTaSXChe0eKeP2fmE54G3leBrM44U3ZchNf1FrXGukfs/2tVYirM3mZhEpSZCS1 JUOm+xIovVGyIPRjT5nj7/jltkBUImi2eXhPwzBJKoAqHZXfpf37WO02f7yAGM/kMbVUereMclyM ybKChYnE1hOylU9je230AQAn0of2yGz3aikDa1P/ehRwUWQJHCmsjVxF5KU18eIWCEl8iPEVb7mK FmpVxmldbdM7yqwGxcWqDo/K+SYQ/V2H0s7HDZrEqLrkWjYlHLgxCKDUPXHnentGjryqtHpQ1Nwx emKxEHVLKL23FBn5zbUOJVkH1TPgD1A65ucAAvbmZyNPVzQixTtkLXfqSyM3f50681ASPpyOEm6R bN0J3TefV4eHqnys0U86WsEktG0CCHHxbNGlYsxbsuj1+55TNRTg1GhMOtaJEDsV4bb5feFCwAwN tYgIjRPwsefvtXAZXvqOWkwPOsg67dfssGp/Ys60Txnc8qXhUjyVvDV+rHd8HT1g9v2XaL4RmEMu JJNS0fc4ifd1V2gvf4/D3giClIZ//iIP0rTnjycSfh+1y9NpFEhE5S/YSbf7WuoY3UAFdKnKMJjL kvO9gxbdhYmvM29n9VJM+JIvRbITLCieZtEuOHWQIa5XVJt51hlBMNhURefJId/R0pqsK66nk0YU v+xG/IqioqtSZ+/EfIsApra++OqCT724xuzOTQghfvYI5F20FrDJyQb+kzFO5I5198IAzx8JhEGw htVkk0oNvAL+VfLUacmiKS44+B2Rzl9KISugZpydR4fDhj0wuGuZOvDHDQ0EdOh/zGcSfzD7sVVB IruOsf6bFfkuIJkQnBcI82yGWr/L7Em1nWbRqDu8RT+Dl7b0l1i2ytLQGdP6qdb8dQ3NFEImCTCe BWKjqdSbjEdpZP5rTQtqvU092RPPiDLpT57m14FNz8XCzWEnkmdP41/Q6wG1NXfjyREnsze96/lk UnrbFSGuV0ZC7Z9ncAeIm2b3VnS/cNCEKOlH3RBYlT7EET8CoirJTdhpBmd4MEwU+cjZ4ivYjd8v 1JyDyP3wWElPgaxyTCePHdYeyE71SCGGFkcypih7gVXccCz1t0FR7yDLcxDed8YOkhDHKFceNNss FhOTJXy4xVULuzU5rM/7zLi4LOobNfhivDmr5NmvoEVDKqO9HfJqdgYgJux+8m+WqeFMP9W3u8G/ Tzyb/lEsA7X8gWq/EPwOr8Lr0alrR9yvieVVI/8N9s+oCI4CctsZ0lhAJEhpUDUQVRIfFf97GwQK 6Ip43bod+liUvZrNAulQt5rKRL39o8Cde8W3mWQ7/5GsE2v7v4OP4n2hq6QONx8ttu4AC5H1DJuW 8UVO1C9FrnKDqtrQXxnqMS1LK7F2yaMG40KWWYGll0Xw4BIbdj6p+SHx48n6ony040yOHQtFaVZ8 meK/csdwIgzhCrQI5jAJIiiWdLkCtiYhWbrclwJPV2mruGhhkWm4tyajfIoNKOP5ryWrqJHfASuF W3y4/GhAouuzwOmY/5eq00jfGcFMTmrkZq7v+2gcFgV+uQ/7h/7DiIsvdbnwI+PI9jUY+MRf71dz DMSDI21uTYG63dD3ZqNfxTh4ySzC+xmsP6clpD86YohzkWahjn64jj95iKwV0zeC+p8/VwaJw3rI yivfizixmwoRG5ySuhFvLgPOvzpYkcij1fd2ClGn36agAC53w1+zI1pXheV8OvLwIOd88C1gLHWl fK6RFHCM1kRqwfXPEo70nO6IX0q7DsDxOjPD2zge2ypCRCtLuJgH/btZcMlOyUL8xawwTg/Ro8Ev tVHbQNC7yKvqGPPQRN7R6p43h250iMpvHRrykhMIM1oM5t88sgKhhqqqCKErNzs/sBRXzqhUwCKx ok0uABUau2DrN+eb14e/EPerLETQh8RKjiao7bRlfqFeuDDND3dkE1BSqiAxoWvWKqY7Tg/BVfP/ qcwcZAmdbSF46+ZNb/58UrBE4gWoR3SBp9akr+L1Y5ESyvvwLmVBrpUci/kfIfKmb10ruwyatHjS Lazv/hvWVdt3xEjP7ybavGqnqrD/JIj/MsCYCvv2kKGZL6gPRSFj2QLThErtS3yUlQiti9fDclRV Mz3smm776tLobpUo0NGL4YafvRkWe1Kzt/yfxuXcAy98ttXt8Xy6Nqy4cf2tE2tmQ6YyWUYV6WlZ Es52uGm4SqCtvx16Etn/uN5AHjnKJaRK3kSzWTB1VetjoC+M9D0rwh4Gy3ELVD/CG3DqZhmNhpnK Bmh6QmQ4WrW6TJxxGNE82kB2qru/rPag8DkpLOLakHtKcSc2KQnx1cS/qBMfGsvhbphW4SacaZJ+ 00jotwRjlJSt7QgfEn3POuWYvbkXiGMTLqqISXUBA7uOd0CAE6D3wwcPAaBBYYUPZa4DQcaXE4Ib E5trIMoPoZO6ecOxh42PEHquje46gPWsfJhgzmBQyDeE/ZjmCfM2MJ9SglSdwtueC0rqVGZV9Kd1 zgWuaqG87YHoBty7958s+pDSM0puDkxjlVGKOL9btw6IAgvkrVz3xBUgynYiHL6WL5M0H6GS0oFs cOGbQAvYigRqY2UOIwoeYOLYmF2hIffi7EveS75Lq87vLSmc/sFVgg4slzvqZ5fh+4DNlIkhR14j 7p6NCjVQK6wVaxp3PJxkGN8AscQE07LiO/XgrOm0RyVNQeeOjK6RmU38aB4YA/XTNUap098pAJ8O jObL2ZVgUI6NHqoETGEWjUlEc/AozhREHH2HPNr5eYob2HMINq/oOHfuWROYgE7UPIoSFItJsO8D puQ/ExAMJ+j0O3RyJC42zpIsDTKw+eLf3S24pPMm2YJH1sXZxHcgdfR3hXZhlxeJoCxv6sMGYnm8 GiJhEsHyOtVnYFFBLHPB/0g2hTNC3YQOyHYZ2mqFOqRO3nFcn8tFMR/P1eSlq3HaiRC26gs6/6r5 GpT+3G1R0WyGASUVmTsHdh3XvUviXcxNh2E0Ia6395qIzQ+ARjN4bLDAbp+5aLz3Y74QSN0WpBmN iX9PCrCFV1UsgPiH4PjcDR6utLQtYLxjHU3KyMHcAx71gKFVvHfYsQD3OCv0WAnDcPiEXHV8bMII LChlKqQp6oZVoyyxidBdJA0TAUAiuBNW+WLR5UlW0D6Cpteb/DQDtYuCnu+arU0F548mwP6dTgtN AtQ8HruAYsvWMsbMKfnyxj3sSq/cnXzm/xWEEwRjdth3Wnl4X44Zpu0Fbwe30BHoYm0ezZjpDS3+ BZ80G40CsNd/S0fXZlDUzvMs6HQVe4CLbUOiegtxORYCN9MAtEPUebBVg6vUykH7uVK5cdJUqgTN 6XfAJD1icWZ+hgs1AYW8bv3mXLdKn7OcErjOTnqZzVw0s4iqdWUAE2A3zrsLukFbQaXwBYhfOIDw OF19OKad8f+pwNZnc2lvqRvcXrkXuYm53KtjX4QxKCwrFM3hjMaN3jH4JKbMPbYBdlNgMtOYTjv0 wg3ScoMTmdIzaJdEY0Ax7XjScr4zbZY+EeZsEI2ew1fEjLP2XufxLEuj9HD2YmpkTjnabO3AtRwl ZbOHKGLIBBOvZML1A4J7ctKbnRRHZQQpzxMyc4znEFYdH+7m40sCaHV+n5aWQd0RNL0DaBodIt/q RZYK1ny+Dw/0UocRct7nBnBh9YYu8m/OiA/+im4t23Nr++PcBS2TxfOdWSbAWo68brn5Q78vJVPL B6GK4nSnAjQBw4dX7fkHuobFUTdh9Gvk8nEsSTUhHcdlAfIO9nNPa3ZAnyultWzeQ8peaKej/uYg mIdg19kKo8SgLqXg6o/FsAuQpcc0pNLiXnn3a09txYzHLAkodVn8NMHRpDeDeLRDiFKQDhOCgdKe WCEcq8YuvvjLGO8XkbHy/1K97c1tyHhWHHgp1+qAi2NBYOFW/kflSr4YfbP62LhzgV3BtzXldGhM A1rWQ1zWmZIcrUcRNG2X2kZbCsdjAySs6BdXTFi46N6QzKoGUPYTXO4Caf3UJNNQbKs83B/S3FG0 WWpkwFV+8KJjEhVniqi0SRAmFiUFBVbl7B6sOUitMv00cR02EYlboTUBuxAjUPLBg930AKJZnWV7 0UoA2zXMJ2lmp/fzZOiEGyzVSGEVKZD6kqYAlpwIgEXmeJyEyzgGkm4aJkv8A2G4/I/NCoU7J7ey AzZQBPNtmUs+t3SN5V0yVV41RtVmclTkfbUP/OG02WbK5svvR1OAH+/MdQkP8K5TPCurUFlT8n6H lCinvyzK29/4IFhyqWdh4iccs0oVgTE6AQ0bejPkd3IatY2wwDFcHK+YXjdp15ADDBsiU1hCa2Al VDc8XUb/tdOoNAcvn0/tWmQrGoO27GCwG0ZNXZOBH3/XTuT5sACSiKXFl5KgSXYrR6XBpvnEWhl8 XrxzlbXBRR0M580imp/hMjhk8CgoEVubl6JL5zdvZ1fPY8CsKZbA2GqW8QXUWt3/csjMuEm5U6nZ yh34POgP/FmFHDb5n77dIJos5Px065xYnJfIKXvbe/U2abdxfZ7EJBu6yk/kuoAgOBO1ICzDa9YK FjLzc00nF21Nw9A4ec7m1qcLXOm8ughzTtu0OoIR00zFkBo3zdmjGTSZL549piFTSIJqw0YNq8ve YAQPFm+Lp/Q40YJmX+MrqSsvmeGTIfct4jRXmL2lbbJp4zxpmbjxSqb5N7+sYEFua6uxMbkRaT5X TsVkVzdvUopKBePrOWb1OlP6iXl3H/7Op7GryRYtEn1MIWD9Q98BLOkKRQYXHYWvmBZoctv2kntM fUTTZJ/FGysokutOL1LZgZLOOYPIUJkGHNsALWw/+5GFyMxfxWdDUwXCO5UOLSiQkI3XVMfLr5wq 1O3dpiVM2y9JweE+5+0FFWqQEwpnum4uA/l1Uns7s0tEmHN25YHrsAwF0Yxj0zGS4k8tqeL1vWCP 3H9eTwTxrhQWnbUZSPAOcNof3SYTMY18o8HuwyXIJ8Npi4CcVANPd9jDGuCLWb+4kBiG1fooqqzh KdgZqQ56DAsMpjXDWeg5asw+x5nVSE3zvrTGUQ3wiXROjCiBCBllV3AH1tb2bylauavb6/gDffGF Wy6czb2P0VjpD8mEprCnJvxfrvuXbwF8rrZE/AMfQvwlSHFlTNc0RMu6re6iPW1b224h4CYF9WJH /nHfJNbTuF8IGHLfGfUDfxtpWmq/UX7dNmf3T2BeL4OcMECt2QjF4+S8iY4eEwhZSYglp/QKAcdH zZxCjY4iLfQfblrGxUYU69kzG+C4NZLQI0lc/SiDawK+HUStCIcF8TLuHcNKVw5CqaRaanQojkkn 6uyORreXz1oZsEutSZyg8E5Q/pTLO0iXP4WYT0PDo492wkrgESiox7lKVsmFMXcwTJts+PVzEMWp XNnmhf3i63gFEwM4awENJEAS5FmJ5CGHFfHihXrCQoPNg/UoucIWsNSlmQa8PsTxbc5cV8QtpkB/ A/tABYSBZc4IqlXMzuPsUCKunnGznDT+Dca4MNADezV5DoBeb8pICqRmC2ByDS8Q/DhDc3I4L3n3 TWNn1ZPeTgNeyYqcMVi3dYVSfwwB+dIVd/MpsLKNjCyGYh98o2+cVZHXa2tOD7adAbdQe/ggIFNG v20up3o2mkwDHPE8eFjKqdbBwCvMsZh78PuxrFeymhmXlAi+AazHdUCqHD+pCCjLYLfAmd7pICY1 ALR3W4UtPawaUSTOB+cYep8KsmzTcwlFipDWAyjKgj22twaAvMyQ4qafTyArznDKWmZv8VYDfeKx wZlTJxtCh1HAwv4ePi5Np4AJ0gpKueYWrLsd3JztcJN+IdF+4LRKWZwNOhegO8Dc7sz+O3aDnzto bjHN8eTT6xoYPpMrzu8PbDLVJ4LrZXig9z8L3U99IfucSCA6pkHEEKdA/XLAkSCIg2aDl3CgrAi7 p5M5OAq7ZxDAgb/ipBcZ8CnzOyzWLoOPBcmT+WQ2E5Fbl+wk+feBFYiCWGuNCzQh00yzx/OgJujy 8OgmqGZ70Yt8qoupJA4cHd8EXXRXXNpwD9WcK1/0QfpKfzB7QzL+HQ0D/D+1RlFEMU26QQziIoT4 luiqrff19NIIyszIE+al1oCMzHtKPVXgV//xQIXRODpX6YuVOtEeSIbTVqJV9fG3gDTSWtva/ENM tHLetnfTouU5WcziEFaVBqfVYG+Ykrdql0bzCMH6H/nY2j8TGVTc8BKyKpgmeoQSvBAHkELBu0fW KCK+SUkulkLX51wKRPTqtKGPsLZKxmAvM/Xia+OBQmYCpKuIaBSLt/KauVKHae20NZDfJrAhRkzC ee6xbXFiyrkhOI9WKOkXnWRhMOprqba8FXqk23HaX8AvcbglFp6xcIRF9oIknweL47HlLS4k9Fg1 9VIpRxHr5n6X3r2dv0BjWjj0cmg/gyS7BK06Io26tsY0NHy2ahpO5h1gFHVww5YltTFt7uHGUFWP U3a0PEFGnzw4UhS2D5LpQpjcPyaZQ97VrR8mvquXMQOW745GGsoc1sLQUpcPu0xL5P7YISBvCrzc uaUWYeQ5DPmYPcVWK61nv8CslsjFV2cR311IDMXhu2mzd85ZkRumLPkA2heGyOEbiHtS4Uc3tVKe 9LIkoyBSTYtMtQ9DEyK9bTdgHzXWpHX41YnQmHrmzmbHtkJVaioD1g7s1BVjHrxPfKkAkiTCADQg uc4lGaol/2wmNd4mp+JfLxy8WjEq2HSSKRJzCNl3AAembOR7GMAmz+GxiTC93vajYsur/PHYUGYq 2Ra89VpPYLwyDfuy0B0ogptKnb2Nrcqh62efBqSi8ItuO/fDBYMa6iGqnLIMprW84jWd3bPL9Jcx 2SUt9Z30aHmPqFJ2wpAjIyIqzMsRDHR8PeZXCreaqXam+CO0tS114yQ4zKH2uo5uVicREPKgkaVE P0TnDguNncW9t6B21P3ra9lI378erxn1jfK1ExgLZ0FtwQK8fHTIEdJmbtaWkJDTArYy2xITlpzX OOa7c6I9RQLgnsk0l6+qSHWx9Zc5dcf0bEk6avuGuHUzghnJojSJOqu/MWi+GPO2ChKV1zC/txgN 8/ldNCrBAeA9F98edpmQe9PeodZS3fTotV9W7ViIQXaYkbfqRaKfk1zJ8VImCEFE4Kr6c2C/Q6NX 1iaDq8dq07C8YHabVxpDRqZ1CjMQM8Ei3Q3FjMTaxq/+/paqqw4/edZp6DZUH2Qh7IJWR18xfOF8 1Wo5P52r7CtLGyCKeAsOdhei7nyBaPwRwbLNz4Q/AeFgowUCSgxulMWu2VGtF9fNKb5KUQudPdn8 ErUojgUn7PacOi+wH4jDBRgAYP+fOIfz+sP3MD6wcLNJirmf+Vy8QkODRG1N2kQsIwHjRE4IB1ur A8wjnmU1Aw2P8QDWf5m3mFuS4n5kNuyTlJdlu9wcohK8OJfBvFiug81j9JgilReqKoA1ihZWTo+9 kelYhQ2zMeasSmoVRp3dHtRZoDI+uOVS2XDejoQttnG8vC1SSm6WhSRw7aQAvg7DbzKbmLitWZmr xn8yUdePKT2VYKQ3TI4cW0NjMc7uysMubRjDEf+35P0V7V6y14GUuPuc3cUoF1YYEOUDWD1iluXq Perw6v6rSYKev8cu3j+wZouqx24SBibv4aPGQyUOlVBZtqzZlAhjn7tK/6uXGqQKbowe+5edOvWo VJe5y+KhRzYS2+T2qYqvOiSmQGhNLCB+HY/sx99UWvUupkkKfXoNhgNujRGFVaIjhn0fWO8ZAQnS QMh6B4ucvdBAII/2980tVy7VspDXqqGnQquTGvCf8mvUS3HzGXxP7C7enu+44ISktfREk5fKrC7x 3OnYdoCdo8fE7KhE8bq3Y9iPfYoFYFPJfj2RtZNr8MvAuGgj2+xrnfRKoeK03jDn2YOMLSBzDj/a eKbzj2yFlubJNp926ZW8tAiCFaBSDxtp8or2wfGATBKYKiL725qXYAguVMvutp7uDEIFT3/AmGTV IM+AzAHqRujDN25tXUbCxgbTTHJ4lLCj4M1Ai0p1ag4iCu7Hhf8oUsvSb8fGpC9qCmcUvEmlGXuV q2wGVaVqEzTYlAMJM53tkmHcys3e0zcr9M3sjchqt/CzWJUHqpI1px7O/ZvSdxu265cRC0DgZ9rP MlpFphSR5xTYVh2WBKWpwBwnw+rjXQTy553SSJxObZ2Oygar6KcD9IvWD0zkRULMOYgTcg+B8TVA atIdGXFuiY6Ubh76JVAV+n6kMArww5qF/s4tZxxuelD2Wq8YHL56Q8hG6D7w7/Ot/+nTa6yLH2+P VMA70fPIiGrLkwfOgUa41GcWVYrWLGpnkDSUUaQ/V7gPWAm0SCHzQfJW4+uRgDFvYcS5jcEhSLNi iO2frOtAUfgHZmbww8kM1qu/FmNhkz1zXrCpw5OtvVWyLBHnyTtnp9n+Ah49nY3uC0nDcLO3Rjxx lfk5+XqK6ArQtmY7LkIWmTVYLmICRsjooXrFgNhQwcP9c3EHxQEufUJ2Y84J2Y4VJU7osY/W0e4w S6PA3YbaUfvZh5JWcwkvGbr1wzOW4Ysar7fV1S3vvoPJa8dboNPr94m5z6lno85sNlzzupYq01hY Hk9WQNvanp6MezobwlWOisQx65NIlb0i3gXcsGfP/GGG+9+Qji2pEPJDLC3gIn5OLH7pQ/93cCqj uxpUssGgIesrAD7OLF/3rxNfZxa8NYB4k7SvUN1DvxGpIuPdyZ6Wzg7JIf/vb3tO/fqX6SchXYin +1llFy7Wv0Hp/EtpH1wX7TDeaOSv/2DW2F8wgrf2TB269zrDtK7JvjsA62uw4m414R2xbqdjOn/0 4dMKWFS73X5WLUOUat1W23/4BNfpla/ovoFWiiIUcC8I1lLfWkOvK3eQ9VQm48uXLv7eLanO21aw 5MCJEq4sVIvmBUIvzcqu9m0i8gZS3VX/Nd7YWzvgYhf7n5YG+YYo1++QmzqzDtba1/e3+EYhARam Lvck/394x7Ufk5plxI31q477BT7rX2PVQsX0AKqnP3RgOlSmixbF2UlVt5jI44qlOKeImIce8fCW WIUK8D8kiBrmVWY0raNaYzoI/aXQq+wHTGEBsvZ+f8KCqT/TZQwZPcBZeyVYQGrvLxvaPyRXKy+o 8YWefj0km44r7XfIA5/orTWqQH7Q4v1SKjwXCFt0UsqIBQT/aGyBZkYAXcmgyWHS4kCpKsIqeJQM bshoo5Ow1pqTiuOBh6NuBjADPbdqL/sd77e/L2qUj3cuLs/I/IeeXAPN8+EF6d0v42rcgQDg64eJ +hO4Uwqr9vrtCxV/0JuahyrPB3WwCsKwfji7foQB+Gwu2jGX5zb1zAyyYmJmDb+XraIKTfFU5gMU grHRCZG4wuHbV6BSvWbTtO18/WR/j48caV7LxzGSUC0RA6hDu2oqDTI+mpY5rJm1j5MshJo20FDV wcjsw1ICcyMWteKuzGxzlLlvIJymKh0Fbefv4eJ09tVVB31deFKAhmcwnFNX1W9baF+x6tpcMN2V B8VzYJYQO6Suoo9V4zzNXPkaZ6L+VZEsSQ3Urnt5NOcWt/Jt2kci/keZG83yz3hekZqTGW74EuhG 0+F1nGcLP7CLiavAJGwbDc5sPCojS5QpCnkMEy6lFkZd/zvlXDD7wEbIxYRi/24nCVt7NvkWjWXl NtyCUfqKQWgOvgC3aC3rSsjePL5VC+3k7G95YWPSIgk3MNPOQMVQy3aLqCNAe6rKCIP3IeQAT163 UwOa/nyuY0VOGqFjHY2KarSTXSLKXtM0BYN1KRdYA6xfkL8xNh1ndBPxLCVIZJ4GiZU7Ztj/vYw1 UtYMcwZXycTmtNVjgBJNTaSrnSkMCFwvb2UoBZ+8pfbvuPV+aM2WZPj032XTfKq1VutT2I49unNo HaXTvgN5G1XGnj5XWSZB9XY0hRRo0w9735X48S9haWOaTdwR5CShakstJMWBEibDsy8O3G2QdN1k 3RDPvTufaQO6iMf4j6t3YrBw7V5A5YHOe4PBB5q6eJ72d0Gc7tMmThOWiinTBpNbrVFh2K/jC0po nU89dncVj2ocHHF5BcCTejOtVt+JbKXm0Otf21K3ePcZw5Z4ca5cF7iXnz8jIKS788Z0pnIsYQzi PIMY0RzX1AfE91EhvN/2lMq1IK2YCtgcsV/ZQqJjyKVlmoUtAogEufMcgyX9HUpXqHIbeB7YvyYs 3/jd324E1obOs88U5jl42dWu43PmGCa+YYcvlQEnPA+OmlFlXGD+1fRC9p1Bpw/RC5h5sJco6BkR jsx87w7nYrSIz08m3asTQVdD/MyhebS49tcilIUzh3OzWK6HvolFvlXTuvSxVBUL0Ry8vsSeRGN3 DGgK7lKpNkSVrknZiFJJemoxo89OslELqSdBzgeWvBzVSdA7oDPR/dksSS1rePCTGvb+95pCL/iD HYMswhY4RKiiyNdRyoy1j0IaPrfjQrcnECTCAzgPypoYFqor+fIDXydWdgBYUiprJAwScp62R3Zn 67wjNIR3GLnifDrk5SaIqkG3UHrPoi3bii1z584oTCfDFROYLF5eBbpUBnaHdQ97OJyTX9I8hjPa iAqQKoogh6o7w+c6QOKC8kP2Z6m3PzBp7SGFKM5r9lIsuFU4MaIppWiVv9oTDDFA18BR8nneP0sV z34ZSvH2ODCvKUfjyHCSy85f12vsvT+EZRTpvToRVaKTjWyvIwuwqzafa5g+Y1b8YV7USe+b1dVy 9lp3mVCe/qMnBBW4wHpmDnig+rGC+WyqlmjCSTT74U6jUhrez5ie08dISyS0+TWJwv31BzL18g5d 4NHvlDrzNaSnRs21jrsBk1VAIBFEk/Et4cJ3GmdzKE0iM1Lbkg2xHfoxVy+5LtKWZukSmY6MbxTZ TnUuIynpfefnYQXMGfXGnn+Zc9+HPE6YUE1fOdOOct3qytZTieLzBR5fbT4ZieOvj+RVJ/q9xKGk BRPa7q+/IhCIugOF9ibHJyedMVCO901g4MyMWQzD9/q8aXqTUfLIRjMVTZ+qNFOOJDNNgjeAn7Bs 2c280y6DmIhAAglR6FOSDDeSu5wnznsxL+2z4RzYg3yDy3zmGHrCc5YdvkvkwViCZ7A3MXMM9BLK ZzM5HE4mh1UWA2Leyan19M4DVw0q94Bvs4pLc7hxFi16VFNySmjSSvo/z4e1o+BYt6dNb7yS03FC aVBLnyE5ep1j8dAQ00a4TIb3WmW1GNnBRoLRAvu1/YaP+h75iKjqPMdKyGKH+f9ppA+e/EwQAozn eAhlJs1bwJGXv8vQRlOOGjceZ+OAw7OVOB2G2J+HBYHZURtmuS0pMp2LzBzyo/bey9zHSKeRghGm siBFKSwLcxnqlKR3OA1OkLETZlTmtx6uKHAo462qBsreOstQ4T4ML1/YS+XLCREtEmkFKNN0iQKb 0j1XCwm8Rgg65lFnl4zAlw+SEHV3xPEoXR9rKgYf+MYINYHLue0AoB4spy//PI6ekBdS0xliR21d pChEV54YId4r3UvL6zqRzyJuEYPSOeyQ9HT/+phvIHEJRwSZ6D/mk2JSrW+HCfcnK0QjUHivAXzF cK337vD/Yl+16V0O9exTzXY4G2uzQONfjG/7inr2NtuMGrrYAOYMOrUF2e5D03+xejsKJOwBchFp wEfYL0+HBNJfcWMIsTqjXFYStJ0tzMgON42e20iQ5dlGU+4x1W/bcSz2RphtfTW15Ksdc3OC2CPd w9aQF8qn+MGdC4I9N7PXVMUVCq3haAFCIMcRisjNXyESjMrYeSwG73t708zLNDDXq4wYGGBlhugs 4FDmjEZfgjvis6r244vL5FeylRbrKPy1ljmD9we1GHkAXqWchEmL8XkSkAe7GIryn1D/k8GrNPIC 3ilCTIj75KEJiJ5+g3EtCPYsuPpETRgZ7YrYmaG9iwCG7Z883PyVJWape0+EbdNZargrGH1mTnrI ENf2Ov/kufqrn/iPi1opn7pWNRPpzuYpYCDZXr2buRe9Ljtb8/SCIGnr21M4xVycvgBZl/oIyWoC cUVgWmTtFSRb4cZUrYpV/ycXYnVhYRwVik58wsWxNYbjVMfBB7D6ryJf3AMV7ECInGzzP3OvzayB +mDJGFFvStbOLGmMCJyCrNfnW71N182ZiGWw+yWFumXB+lJCAakcdJImoVzIVFM6xOAXwN7Fa6yB Ps+bpXCn1n50hGo6LXoqsh+dWlVc3lzt/vc4AnhWQJrwVH/Y2KESmE/BHM1j1anwBL2F4HzUnZyh SegVN0sz/q434idpLlSwvq0KvJaaJNsQ6DkXVZbheLqNW4OfRlCgFNcKGvZs3v/f4IgYK2BJjvDD N3zCRux9bB4T4e/7idx0kHFub+0Tpm5/bO/SfjhXFPZwDUa0ZuaCvXuJ9L+sauTjPySkfs/1IkG4 aWzpoG7w44Bxmowx7CPgyNom/URPhoyjNtFI1LSYuFR3D/7AstvGpudk0/jndl9mPa/ZxHjVboYp DLi0w4iFgoOF5dCydPEv3TpU4ern5u2wzXnNYqm+NLfty69rKE5cdLO5GpuVaHw9N/1s8orm0Wgx e1y/GfCypiJFolyD55N164Lzh03OZrE69W2gYcYShvqDCSUpgO9PBabc+vBggkB2EZIfeoIoHC6f YCa92jM0b5vl77l1y4ApOkJVeG7kaZaV3wo2wqQTZ2PaJEkrdMlBuOS2Gv+rGX4ZhMM/kqAYvH33 fc1mtwqBkGCx0tTnC2h7vRz+x3XH5N3cEXgVff9FZ+Iw3NU4oSouLJt4Oj/LnvwtqZTxdfchSYs5 AA2YcLFktQ2ntqNJOf/Q3VrInhcWtRMs+WjSL+MQMXmvgE//K8IgrBEB4+gbdZ3snRYaI2z40Mky e2LO7HQICVgGTmka5GOQy9UrLR/yoXZ7//+95Ky2NWqu642CV5rhS/09ekS+eL8Dl6M6OqzplpT7 RhseWgszRMZyDrPmwTF65UDAWD4Cd5SuF5/uzEYtxbMDEhliNG1JfcVILjCb7Yqr6gnw+sV7vDS3 S0VeKkAuogjbHpPTm4ZIBTFnxaw9FMFL6MW7pSQKFkusJVVae0ErYNswm5d/7NVOPkT7oe3AbL0K Nh7hMeTZzFUUEdJroKJ0PGZ4HzH+wO0Zqp5gp766Ts9AzM5C7eLQf6PDMwffadVLY9rBovfzrLxX KJbTwNAfexvuIN/RnfcsYnye9ABTRgBuJxN6m0BuXSAGR7kcEpz3dJjmZAlmlMlbtKcKeDRk8C0y /L5s2vMtvNZ+am1LcjwKw5oTVxRj0/ESc3C1e02s2QM8LPXD+UNU0dpgZOE+A0BIK+G51/NZUFhn LATQ4EKS7CZvrGRALsfNUwOvy38Nv6yUQV8exSBRD/UR2hB8UE9e00nEbrzHvILe3H2kGLoJiZgH /Dv6LOw0ztZWbON0b0ImfEBJIO9t9K0+H4d8eFxIPPR0yVqwmSrFyKBJIhhB6vqgjA+e+wfhJJT5 MZVp+Knf2dFIASAAk7sU7sp0H0NPhECBNfWoDfbO70yngxmQezJam6N++ZujQRzw4D6o1BUI5Os1 bTgZoWaKjveCQXkzFS7z+ofgXhvvs8w+nD20CqxktunLPHe/hJlQf9//6cdEwTsgeBa2/ATW7Xzp ZfTIaGc9uQ6vEwBkpd/k7AK4d+LxvCk7W/+UfJyuYPR3xkOOltlIyz5vejsKzAsObg88kv2c1cpf 9o3urgN399MYSdTZR+U3YzZiRBIZX6Y6i1mwq4bHgY/eBiCi1h12QK1iDwytBZv/yPl7F17qkNHM 7xZucsQiq4I5p9v4cwb+u6y0L6WZJ4tXn/35F1wh5cbwQtrZ/+UtBhzciaR3OuXQooWTwY/puJ0k ONUD3HjhtzAxCz+J33wr+oSNOIf8OijMCd1OfuurN6GCsVTjZC8LbxTCridqQi/s32EMGlmCMjxP rEduHfDYjrIHFW4FDyJUCqjVPcCrx5pjG8+LYSQ1bA7pF52cjxGGTHy+65yB99e8UwPoJQD7XtIH lhGa+2wP6vhyvArs7nlZjgIGk7Y0x/3MojxB3r+kFtrZzzuuOJMdFgzavZnC3xbq1hDN4R547wdf mM7Zbbm1ZeoVEZ/l+e8mEV8mH35v591n4pbK6/Vei1DT0mjtmlVF3XecZYPH90Iizt54fyPOuozH N+fQYIJ9CvOmwynou+1TwU7RJdYZVMouj9XLSQoW1ffuQhSg5jC6e9MjRViEp9aOAPAHjzeUuo7X MeqX1maBBTgs/vXv7MqvrPOJsYjQP8ZvUIcgPberUuiYgZsKDxtuBgARR5lPYj54UPYb7PnpAkUq GpBcFc5RQdF2cs5HxEfcMR7wf23Fu54J6RDkj2GZQKoOrp9cY0lmS8Mk1GhrqVmB0aTVOBb2msfI CwmMr77y79DuiyXCuIIdjCUAa1GlOnVXwyiin7tfsAjPRnilwmypkDayAehWro0FKTwI0Q6oCfUY MFhNSu0jEkxA4kP8DL5CYE8T0zT3d6wOfB1f46yBqNIH72o7HVEC5RD1sCZcTbScN9Q/MVRYHElL wT6R6BvbqOn9Re1hj+8tfIvXtewxUaWhNx8VxK0jxcm32hiuv0TYhMarwVQJgWZv70iIeHQtgVl1 Udf3x3SiY7YtNwGmwlLDt/3DGYyhr8sBrAePCvjn1MfCx+KKVbqNqLf5McsHEP4HJcuVg1hycY4W NbIOvK28lMZY2mgnYzE9R/m7WrL7dzDSkMlPDfGvhWt703jW+6E2GAYWzmramefu7oIEP4tClLTG 5uDwAz/p0uaqISexUcwzdiZForLMzdSWskfj1QdbRORJGV4ihOxM0qzMiaq7P/ZaKCNFFTTPR2NN 5XbI/X9Bi6syxAZBCQQIynNqGy3XOmqRpCls7a2zI+CHrvEobDJbVIa5rDshpNHhvwa73uLm+Oqe ISdHN0rVc9c58HTdV7Vg4CaP752fnU1oI4fLI1CVZ6w15JdVdeBcSM1q99ifVPgWbLkW2rIBR134 JtEWeH3m7LTGzJP6yytuvj7gePKSgyZHtkHbeqzZCNuruiyes9b/i11eEeYNBiPsBgLAQPYUlmbT m41qEsFS2u1ykcVpngX8IbBmT5FU9p0hWT5JMjQd/sDRWvVb0ZWOE6vrnPE9g0v8X+XPC8gXpD7Z HOXdeneCelJKsPL4Cdd+hRqyy/Nu2PAQhOIL/vGeoFcWGaBJYWWs8iODlnkB5TtWKsip5hU0KkyC fb0bQHqIwWOYNBmZ9a7NkatK1JewNECthhLGQeyBic3oy8ZATSdw6n9Nu+MlnF0aC1sai+PPvseg 9yE4r+u2BeCSB68JMc8fBz8ykviRCgMGInluoEvbXEsRw0yyIyjsPPScODCxeuEoMoEPVpFpIC3t 52TMPlmXqi2EQynoljZk5I3GyFfLs07S7yA9aNjy0lw4vrRLICSKsRwTZgfbdj+GkQF3puVKSEa6 QzCpU3j5jAmyytmBTta+qyIGs+wRPbx7HXlEPDBhGfdjeqPgUNhhHYGhgTlf++38+WP8+jFfHVO5 0V1454iJfTFY+R9gja+4SnaKlyoVWlKGqdCAPq93QWMe07iqBHWfXvXUzGQO5VQqlrq2Fwn6unwQ qKOcUF2yMhpsXeWV9Hh4VarvZnob2b+rGJnv6Xz9xeuqQhv4skVP+mJpirCWxEFCgZmPB4NsHW8U hj636mvzvLsv1HO/T5ClYiTDvPwwJkPIThWvtMq+rVzOSkAUxJI9KQZDxoFqn/6zHJTILmqunOwE TZOO9Ogbkc5938LEXlZrgszOYm99zc4CRuZLJSQlopUkjrwsddu2BCDkK3ere5c93JSsFfMPUKbD V0gmG8Mdk/AqhxxPilUP/cXWf7noJGePL9Tl7JyuZzX/aNY6C7D2RKzIj2ewHPJtn4tJ6VdGAw+F INNn2dkGUm1i8pyN9/FABZSgyQNHzJFkZ/3lSotdUNKkSjGKNXSQfL4nVuVbf2W7Stx0/uun/7jL jCwRn2ySwf2QYmPnuDUmdLO4yPNckxBFbr4aKfDoBnR1a8wDnbQIvazb5Xe7IUaSUD/Q1SXRqqUl OAZcDKf8JE40dFgrinZ7B1dQz/cm+1sMERdmWfquLhyN6dx5s00i0Y6AHxVYkFFMG2dw+etevWc9 GPkSap4dPFK1vxRL5sdRkduTcI5ic2Kbp12skqil+0L40y0OWK81X5XOyM5wh+HA9N6lHe0aL2s+ vqJ6ur1ct6EVcSJZ+6s35uDPxZn5UazZQ6XVplHTGAoO+8EUXhR+hYCzfMXuvUlMJXU4IqKwM7U5 3qFpHMfbsEscBmm51mh+rmBLm3bEhwEpPT+awcAHHJqJI2k5MFMf8w7vSQgT6YFbXYSZCkhHy3cw gJe3AJdIdw/RXLeF+dwZf+tEn4p4mg7M6uuChC8F0Gsn8EUywtC6paGFCdgZatYUnbGizbeyC2LB 3XPoCwlqqlxhOcw37V3GxoJoGT1Qt8I78RvxipXufoaD34aDE44wQpm8bOZW+zOORRvmo2YWk5Yf 5CQA6CugnzkMuRAZc6LKQoen1u5eHdYhj1AVJT7D0YPBHYErhHcOEW1Hf/xL+YiyYWNHhldzu2L8 esfQJrlOFkiIxR/23dwFw3mCwMyBllPJOiVwTAdptwjjLoZ9RR6j33ECSDK96j0hgqZfwWpT62j9 EZgOZWBpxKnVBU2R6RbWL6vm3qK1B5GxwtzSvuJMOtjDoM8iez9GrXANMbcw5+QtcDYsHA0hKtjn m8FcrxxU+RMGmnONUmN6Z+ufwzveBRDyvVLh51PCQpuqqPc6gorGa9Mth4ycSt4PVx1+e/0E1k9B JwDlQJ39BinYmj7+howOOdI88RIj/yuoP0lGSFHIT3bcPEM1Cd1DWAM7UQ7QmSwHq7qgz+XpP4zC Ciu012Wz/DoJW4vJWuekHaGhI/oT7iPC9vV4zxuP/onIAfMioOdOORZm9uoLACZgC7UZvd8lUbWa vKDc9XxvVUIHzJ063ATD2GJjgDvBWpw9t3zp9RS52u2Ma+lhYHrNEKL6rIHLHCgBzGfYv5xqsT1F UFbzxfdb32rHp3hLwsSaHW2OCujUU4xMdhuhc4fq3rgDX84TWHvTlSFbtQQ/bm+MFLHYVyoHqC15 slFnBz+NMD/ewfdqRInElsDCBpI4dNTtzfYq5gsPvf0d5lYesM8gMftuByUYBTT/NhnrRBESw5Hd j+yGZg7EKdEQsW8Qzm8V89q/WmWq8C+QwsPxbDJ+GcunXxN+ZgCc/cJJipi8DNRLUeth2fZ9mtuu 6EOxe2In8L25QPqhNtMxCsA2SrdMaGz0z+Vs+OGubGxILJyEgAIF1jkXVnlEkVm2OmYJCqDoOBPG qQxZBY71ADI6U1mehdPKmYutowdgF+VJAVe/6SzL55s/d1NC4aqeRwh2jdDHMeov9mB1HtBZzn6N 8ay+8U0Q8cVNOW3Hky3x5zH0C2Owiilv3aYqJoWhj/RHQdkmnaCaFS1GvW/WA2ViQMY+msa66ghH RBeaSFBn9o1RU5bHvhvFOZe5q5fO24qHuatUJruHTzu35jbLFWq7BTYa/uovycMvScH3VaMAgJmc lzMdXuvgk5TxlndUdWcjtFkJ23PBTjqHX3q98gZJkhoblQ3XWB+OkbbJ8EL1lx54Q9TPCMqtHjXr jwqiNkvBjIJYGKO3WXzgjNsrGAbffXf1FgL0/qRAPTUjSWUMPrsSQdrr64AxKJFjquYKRd0b/d9n CfpNydfFyKPTr1CiEbsKD8+6hg2uzwBcZbn6CNUnC6BCaMJ1G4DJN1bEXdVBIwsRuwW526/w9kK2 wOZD8I0j1O5VeP9QZKd1OrDqXQ3ojliIpvO/PNJHHIp8g7bZpKjI82WuBvSfp8Q047AXZEAaWAoN 7aM5ldkKAwjFo+/7v6K02ehAgPwlZfGf2eSPvZqO5SiTI813vWHUunBnbXkaMzbA9u9qw1JTy5Gn VmjMDp6XP6aci7NrnvJmIbL8reI5ZpSSIDoqM8WTpYMUDzLhu0+qHeHLQi0O2quTWw6CXyCCwulv 4YUKzO7053hjtUDNMIePxHkVjfVIE2790/36CHa3MdzlM4sWI119LXXbS6cMZzLa18DGObsEBLd7 Xo7OHYr5rJLiTHkB5tZ9goz5pYSPflPRA3NxnKmflZB5u5vZxC0gQTUYgQiDbSHgYpBBziSia6GH pyLPwXC1o/gRuOqIedcAaBbOw4bth8LBlAY5u3XhIpBheWFTW9R5FeQo6i5r0zapIJ7ih7Km78Jy FeSGeI/9MCI8SbykF6lus+/lxGJJ6pbiraOcZ92dG7fCFaIAZ8fwpFpTNcIlu/Z8p5KTj9/OihC5 3HlSbhFkCDFlEn6mRsG9Xk1Lm+e59Uu3+3/twr0O2GA+pUklROmHei694bIf3uV0QtaNC+E6HRqv cw6FwAEMUf7hFI5+mk+hRz2QO1oBYMArgEkJ4j9OjOAFeVFQiSq2lr8T0MnHNhraot3vYKipg1tY XLTamHSnRwyOVeVhHh6BoiTV0dfCCUJJzYfXcGqLxF4LBWCDBhJhI/X+epIOuVW+gRvZuu3Nt2Oa VG8K+qlPB8v5nlcaEv0809jxdbOpNo+aWQ0Iao8CCRJeMoExKxNuA7P7nUyBJmeuJBQbuserNTWT f+TZ3Qpby4WRZSaQMpUQukhNWHKsOIkwqZJvm+2Q/Hdh1YLG+GK8HDg6xKtklty4tWn+uePpueHz fcq7ySGGevCJm7eMeeBxRicUupbNMZ4yNBqLL1K8OTr72qpngvrBidzegxyyztSecfvUJlClgLxh 73NaTHyDV1jKUYFHR3BO0vd3sAKBRJPrstUml8iwJk5A20zzZB6pPxlUlZ/lChWp4lpG+kBXyrc4 6tiMiYLvNQPAWmL4ck9X69qjJ4oXIgvcD+oQDPlsdA94ThkKHFKzIBnhhl/NsX9doUb0L1IOpnVm ZoWpeCI0vL0LMkLAPQfBJAGcEz8hTDd6AhdlEicJaS1fZm5f3Jlg5ELU2ChftXQbmB0URST5HE3u exaR8S2KaIoHhtYIMKa7IZY/3L0fgAanDzhUGRfVWqdN6KTcNx7gmG/CizOfPM8SZWQ70U8ZVPVZ JE70TwHDD4BrUVJ9aLMjRZGE+wQvW1P+jugoKbKrVXGNi8fOtuJAjI8AfuZyKrB3sWXWwreqMAUV NQYKbm2hNMAgGm4BvfOvbDSYYX1WfIRBvI2bWA7c8mZBK3cnxdPWWmInsYvRgCM6/naVGbnuCOII Wn1CQbO9KAQAc1QD8Tg/ScWtCw/CtQ/tk0JChlVc07P7TFXDMvlN9ipkX4nin2/LAVE0oQQjwJ20 TvS6TFAio99ZJXoOUuOnvJAuWhXS7g/IPu7dhKYv/kcC4AZt61SwZ2P3uUEJPWhu5+sr87rMTIcR OxwL9p7KaVyuReUKP7VbnYHTdiRA68mAvQSHx1/onZ21xHVcpEysOeZfGyjhIwT6ZlL38PX07vbB irKrezn6Xiv5UFrRlnh2Ier84EA08T1Ja72GjIs+1HdttaLmFzO7o3Qwwku5NtESBGrvpfkiOir2 dyyJuvAsTzKulXK5CRyfbaZiY9jZZNxTHgFnJgIvz3+D1Ti7pz0bGueiKot7SlC2jKyzj5sTsymX V3WJQ73yGiT4jvoR+X14T7nYuHE2C244/ykJYqI5riQ1tcB1XfaBwqNpofelPiRL/X9YNh72P6YL SlNaAe8DM2xhZvovOSfz3OJ/LVfSe6AZ1yHbm2kk5YsIOEQER4TIpIs7W++r1FBBhopf5A2pzHSr 7e2OdI0puaLq/LUUQWCSHfzM8H5VsKlCWGe8dZh/JSC4HUhYkGsmV7E2bELfjjt+OHuLf80yLJ4l sr/OzmT46CgcQUVmbIbjcIAFJftzONXoc0GjmRCib46FHVPE3NaBxUP3Hx21I/rXoDkIQPIn9i6V U8KVchzm3SR8BMMTRGxUq+RjKd/i/NiuLsT4JbL1vz4FUF10AnhGWpv+sPLL0bXEgswZkk5+rNUx aRULtYQb9Jq7JVteXOnoh+sk2hl/dSC5iu/u1IwQc2MOjR8RUtHKcW8X6svLKM6cMwrKPY63YTU+ jmfMCe6XPi49NAp0FfAdmSJt3lIJeE/gNwsXQ4yMZ4k0mzBVwiBcdTUYrHewFd+4IM4Ep3UbXYHo /vHckLj/HhFiUC7jo3CSjCydZH/lDGa6wnn1qvSpEfft8t5cEUuXt0dQCJNs3jnRmSGeA3oJxqon aA0oCImswCDWr5ktLys9bwB8JT+yDmwx5z0aXgpOvqzkYLCVakVEv3X7PMJU04yn8omQGO9F84Ex ePzde7ywRdf+bgAOCe80cgTTmKliPS/ioI8AX9usWQbXNsfGq7rNouYpslwxcnkoAMoIQF21Sc5j f8RjHx+c9Cxhi+tmaqMCb+X5tG9l+evRXoNiZ8cAPmJPbPFr1dmnKjba1+RTAjwo7NfNBVRX8J/h jHzXw6NLKYaTE5ujdqESm+ixeUCewGjkP+pJ3FUHLGQapCWKjFLBwYH0suNLGR+V+a3ycsoNvLoE EpIzg2cplhu2uCD2MlzLJb2VIKrzFGPDJrnzXuUXW4Bg7OewpRfVIDD+pEkpaOS3vuaij/2dXlxq 46eQjhK9arlAnO2tJp9fhplcZRrD9IPhxi/tDsSgJG3o0DXZ7C/qx63LfDUavYH47594TtOXp1sP biiQpEiis/4NgemwBvar3Zpc6G+ptEGb1xLWr/8bCU72+cZa44MoRvZ+8JkA6UsAJ9iv2FuC88Va qvrIeYFVs1H8MIPjQ4HlnoaqPsYaL5jUfvpwak8CjcZsSVmR9z6v8EVjAXalJBb/5vozIq5zfpgV UWBk6o3QNbTNwOgQzLeHkF5IOFB4dEOJwfqVFwbix719bLADD7HtBTfJ5ysXSLQJ62O5aZ51lKGT qkJgTpxTnoAdkB//AnISFx9THjmqYQHN/nFqJ+Ei6hQT8tSEs76mhmOPLu0y838c9jL0bPPuXbE5 Ny7wY4oME5JkaPXNP5Ty4GWRXAGnUzBKLRovzVrXTaYMAk3vzo+Itx9M+cAVPkWWPlUSIHfzJZL/ SZ39JrEGQgoqM6DdexAXBe8OgyqEY7Z0mmVbx2GInOh95PwuFwGrD0MulHvhg19JtAMbJ3Oo5Js8 6vg/eS6McunXbDUmNARoYM0LHZI8GeNDRH49JYdgerES/U3el92V/IuDVvGjtgr5p28YyrhO+mZt Mrf0dmygrGI2aEq37TS+Pn3HeLAA4gtqQV4CGzqe1Gm87nmz+yE56h2G6Qi7DNr5uqFDUmmS+hQ9 QLa8RL9qjg477xdnGAPl/rL/k6AKClSfl95ZpCMahmzHQ9o4CZACnzVBHEcnZneYxrf8HHMqKR+x e+JRZiK7BZu2TzsiHEmFbQ1aAgYusxOHKoFPJueEJI8zpTE5EVo32nM/YgEb3FcTMJLomD9Ik1PN lMlZol3id/Xvs2nhNrVxEokAjr0HmQuMtQFkSkzwNtE/GSGgZc8zVDfdW4pmyTiMmQXc9jX9dwR7 uovx4rYRlfwAvYKGCbd5Qh3iAXAjk6YOALT/8CknwbTFKmaSjcQCYPrLK0tHADBhoJFf8kiMXjxQ lhWLp8ctSMtmQMUrsFTZTUTCKV1ZrSjOzEoSxiqBa+x8IZ5Ysxhg0ltm3qdvIB++WcvQuLw7rUPz kk30b+4ka3NHs/J8kfy/Lxe8YY+sg10XcoAzwP+mTi3S9MBiPRNpL02qB0DX9j7epxyvWe3o6WfG av/dmCvlKejvIboVEmuTWe6Va0l1OV4UTGzB9lv+zU+23OfO5JiOsfCA9NRONkkEZEfbcseWRwVp t79MXgUPuSoJrsYMKDbnzzGzOpu+LnfuiYK2eaF3DKVZWRJSyMU8Z+c48b9X38SN5djFpZkt5ana t/frONNpdQ8HL2uFO9PcUs9YmjNzyrdMPtLDJWb7Q4shs91LW8t1tOYoBnG+Y5556swdOdRucmZQ 7WeZhKH0mcrsu2b12Qwgz2/SYubhvOUXuFVwF6WD3wEDyE1khh7P/aZ/vidU0AIk9C9GpTWnCPZY RacGaQ4macWxbn0EhnQmX3b57w851ACjWlJdBSe7Ug18dPpj+Es4TudfktQeRA9vjSZWDi6pYcgj pAYwWnbvSyiEhWAMR6Y4N14eCpef2yespxmrlETsVLRdJrNZXH0A9QkDZXesp8We5YViC1hsDWXa JJgnSPx6GjBLN2+wkQgCO/zlyfH8HL7CTC1+9BTxD9HvgoMAwjChJ/2CkvyOq/RY8oYf336/iUom TqC57aN2++3WoB+wPdRwIOXbh/ekYvlm27mL6dSxC30y4sIcfilrCPBOpciQHdE6Gd5j4iKmOkg7 u00T7eg3MQKm8UfOw7P8v/BIurTd6NlFTGtKLAm8lo+zbEIY1Eoc988Q9w2ULRh00Y3M3kUOJhKf jGkTCCmOQneJMwt1qdTM0qYL/nJxJXTHddS+qd3zifUaCue/EhLzmSjDethBFyl+RWlPaCD9Kn2e VSCTcnZm52WKW1U9UoNxgJJEd11ZQgdwN2mo6g+3iiRrtv5yLB0nYqvg3Fx0KyB16g8syRUrdpJq WkxLqKSm3fkg7Es2FkWbOILOZmzat+u3XZQ8tvfa3Zw1YGc/OPHt8zPj2HZyZ2Pq8bahYvo6KEWB yH5q4Y6yoLcNCMi65N9V9+u4Av0uBbggc56gzVto25pjT3mjJnTfdl/MN2xbbdbkd/sj3hKZeWd4 rN8+RnUB+xhC1dLZQLEgsHOUZJ8bar6ofJVlJouVi4wnHzU5pfm71YBwCUPAtWGrMXrdUQDzPK2K Me2NsjvsoEDbVg1R/bcoW96fR1VQ+STWWxxjXs3KrGGMKWFMG6u6BAaFdaGUKjWxVz5UPCS2t1Qp Nxl9QAT58CK7BsoeqSn7hZmBCYXgpNTfr6UBKiRANlptbDpgMmH5GUIQmH08RdWM1e2GxXuYjTh7 NViowKRQ3kBwqJHXuxf8ECpsSAddzpTVCD56+hH0kUTNMMl7FLfh3/DBib2S7lhwjjz+sdMSD8ki IzePmFpCZ/bEApNIS5/xHxBDroRyVA9ttb6GNUP6RUbsskFJa31n6dg5puLGtP0uld+nN+SYxOSg a61WGv2xgA64k2WhHZT35+webyzQVeEDx7YqwKX3DUBXztb0wU/9OVZL6JmMPR3ouom4yPNkvBk9 vurl0os19MkgGLi9jsOVbqzKkGVLQqRut3iBbaFs6j0XOHia5bnMWBvstXHfyluzyku5mG4eDc06 q6e9nk0S3qJDJgibHzVniebdSzqQyslh8WPHDtM42jmbNLLh+cGphiu5etInntIzSIcuropyVkB4 wLclidSUgY3VytnexQ5mu71MKEUil61pO4FNY12FugFrOkvS3wkhDE2x6aOLEUt4pkkyQeLrnlVd AkHrIhQOrkOLkK62n3aWr4X+Jfl40g/Rk2msjkVxCapbZe6qAjJnqE+rjfI3JZZfxuX09MaeNpMo oZIE+aOTUkkNOzs2tLqL8wuhD9vrStAeplBiHb7yIpvOuIapJnEF28QoyD52aF1UU5yZCvGcxScN arbZYNditESE7S7btTCnLabpGKRUzDZ6/Y04YYtgNlaaEEQuV8t52/JrM+iMuXkLj70r52hadr2m UsVACMMRsptSGiRw7r7IDfV0sodNpJ5I5srlXSwA7OlXU2/sm4bvWDxhxjC2IJfJKWbIC48CeWWt rSScMDlxgaPrBmu78AzRmSUKTLRq3sJIUjvBWQKjmAfC8OeCSi+aaJO1TRe7sqTzJlDTDozya3CC Uxof4TA8wt9wDHTdUShfwHL5Ggb1xEsEB7xawe+43wy5Glp629JtYUB/Sywhb1kQ75FNGpK8y7Lt EhLYZnw0uzJV2kKamF7exd1Q6XRqS1ThK0TDAbVyBcQ3HONHOMeMwLIvSfOB6LMCYYt3wza3X7xb YOCLScRVAzaLftmUnikAVdnqRfaPMbcqV3Qvxo+N7E6GGnD2NibZvy73xlQpEhwI1uLIuznNKeR7 YyoHXSQe7ZB0S+mA+tFWbRBIzMFdHOQ3B6CqwM7oNGl0Up5siCV5yIqdhrxPj9d5cofoBAsHXuru +122Ig/CvjET1odP4qKTj+W6mgWHYsJVrt1PiYnzpDbv9rOujU6pkEEZ9xqBhDiLchIfqLrD0WpH JYSH03iXy1IxqxOdblzPs6EK5pY4AAUafI/pgPDB9W5sEan9lKU2cFabQPdN81cyrpWokD4gwkGS VRUqjnZh4Y2dhnGxZcI4ZF/ri0E9ITTAxzE5us2zt3yuIkWdjXdkxUD7t7vVzHW2rbZLhYP/xszJ y7hq0YZFvcCuFRJ92utiwH/Ojc2DsnjnurG24W2RgeJo5O4dEDbMk4F0AFY8uP9L7ksS4HisQzZT uwHSvK7+lzVZtOCd5G+L2YZrZtNw8rSkDY4G5WtQMtp83pmrNV+hXKXl5ejQwJiB1goMwhxceofT KcH5JNLqH9+dyawnF2QDQ8nFG7/HsQHULw3R+64RlEYqWZuxRYA5klKh+yT77jt420Ztc/s+2Ehj ZYTS2kVM0iHuleq8akvO4VkC7GE+0ef2Y7hfsE1ocX6538fdf73a6foC0phNdkgnqxqni2oMVwtX PYvbyjprsE07exRCH632GlK6fXssDaFvXbF8rN9XryiakRxnyNqtzJ3Y/aICloGaXwXmLFr62bl0 Uyqy9Yr76nE+hT2c8WtMo6h4glcF8bS2QezqOkAkPhpltTG6hgEKCw5kLSwrF0voyOUu/DmBzKPt ES2J+3+IqLalJMfgmDH8dRTz4QtjdKQaxAO9rkEyP6Vqtn/LGQFDg9HXhHFvqLwTaCfg6WpdegCx KRjs8x12D8xudG4zr/wbV5cMIb9I7tFrcngRlhuWlSjhmvVHMSl5cyWh1xc9DGxz5skImtjQIlfZ 46Ru5rh+TcBWzwMswL5p2rXmNq0Co3qFR/x2og4DIDNioFYo32uNrwTRVgg1g2x2Qo49wsvdDyp6 wS61QGmZkOGduaYaESoK3ydVUG4OCfCZbys3+ukU8F9Gn6VbQ4JFyXA/JTLiL6uv4J7c0RPOAaCX NGlVcnOce/7ZEiA2a2gj4fClssvwptgdQ0kUzvodyQdLrBO4eGJCAwS6MH/5etVuqPwEXW+7uuwe 7eVD8arbSWJVIudGM4oa4LODD1vTMUHMTLjVCKl630c8duqI4hBKDutbZvnp2GoXc8BqMdbNTA2V tPmCT187ynyp7N47j/JbJQcZz4cwtcdglGJvr8Oeb3y6tGnuAc6xIE1dBrrNlI8yu1TbtsWp6buA bSouVYmJ+XxMP1rHSydf8XhhQxOn7sv+XAvd0Si+smkdIQsKagKr+hexWTVGQ+5lZ8jpXd+8HL/R oPsJ8oDZan9dPYlxyGfu96iLFLzCHJqGvC+sfp73BNLacMod85kDYwqAz7YGm2jQF6AgmntteVOS nRCAq2CfIJE0tU+CFJEkiT7M50LhWeegT1D0DXYPXUtn4wiAjHE/r226/btPIShE7RdVSkdBd5PB wUzmnl88q/Oo4C3E9x6jaBy9GY27RJd/MPHBCA8DozKrsuyRQ6ur5RMg2VSyKh1NFbi1hg/JQIVr JBwhLfE/+0eTwHIC1XpfuKYh1RPz7YJh7f2zkUmuJ4rLI3NzO5+oSy8R+EBi6d6Vhw299wD1ysKj onEM2tkirsSQo5sRfgnn6OBiZVlP6XNreqNlOyVLBFzuSLMG4ICpYAU2GqxAwDLIyLzkdUqquIef 29PIRm52NkucUJPY3KZksIEu9goR0u//6aB8HvvK6EJSCLF2ggE8dQDbk7a+NiKwnCMOSh+s6TFf Qvp98q1a/E4jmpQJPnCEBtfWjKtvW5DzTquDeMSi5bpaPtK3XIS+6z8tjh3VsBNIYY1rCPMI1Dds hbusLguEN3zeo0nXoun8xqVx6dDBXee6x8Kz61kXl3l1rBivlt4LWLo5ir4d5SyU0WjIt9789SWj WfP7P0lRh8wEeNuyFeRKiRK6NfsL3UE9DTjDrjT/6zSVjSRyOn9iJatoBsIM13nK1wUuvg5v9D94 gVzyoQhPIkjZIuLtbA+6E65aI59frghn3rCI7CBELtouPOP1fi5ntvgn6w3gUWre0x9Ny+VvPIxM pVwIX/E+2WAQ37QqlY5TvjMaYvGIL5YwCaKAi38/Kx+Q+Zs8GW1aN7X4uihzE6RzJLNYrTE1Ile7 mctN9kjCN+vbCp6rIim/mZ5B7ljpMaxXfTPZsHzGwwYtP8ApJLvdR2rzAsFBf0udzPRs2o4dWMQY NhGaeaHrNvmMBrpMjWZOO4atxb95CO8yHEBZKUM/yfwtoT23wWJWdV0BFAjBaItru9p2HFdCVpJA FHRGb2tRSqAJrnfiHZlS3nUks+xhglXXiyeBnaMua8kaBB0MtU0hoaRlC+2LkLH/Qgp62PjgEgR0 hauuZ7e42D5E4K6F9UJJNWHBRNOZJ5vsQAurW0TUpq3MCRNG4tQiMqKkkjyGo62i5MN5NN9uYtao cHh8mGmw3BKLTvl4Lp0yVibAu9oAc7DicPbVoHSSRA1NK/ROC+9HGAfxL7PseBJsLi/CFiRiCN8w 2xCY0KfO6B40Gm0Bm46b9DBnHX8RKpkAONPG5fpPpV+ErcYo3PB1fx97UM63ku9OI8hU0tuu0g91 LT6IJRBzLlV5nkUSTPJ/pR8WyrnkU18E+BVUPaqfY2IktsJ0SiJ2O+2B+xh41WPgrdV8VDO9oVlx oI17eAJvG7rbAFU4PLej9rCIgvoPtILPuUY/EMoGzNxpW5ZG+rk5i95KrFTtnxE6KZCp7njEeKWz NMCt8xzjitboifIIY3+p9xKEODBZMkXui5TPaOA1C4JS2sb9js9Lsi28/ePs9zv46KUUoMba5GLX XkBU7KcXmooGt279XnjhDZnyNgIKW2KT73QmYR9XA5HyjBHNTyzFsuS1ACjqwwV7Deu0VM3va2kL cuJPRvvo8XL7Fx6vge7w+awVvIUJALu4OU4Er/AHYDIj1aqVz1xiF2zHZ3Bcqu+yjC5SN/h6kSiH FHwx7QIHNMqvvhM6AKxwxU0VTZh443nzeNDFg6aErrczW1954EvgwT1EClLp8uxJ13IpWxmQJxHh tYjBb4477Z6RCWlO+nfC3L4Rt8mOW7dMWvQ+bsJsiuGdC6prUgO5l8wQYqEpKNBBy/gAbmac2CyH 42Ksq+68dHwvZw1hxdL9eqNoG6ilB6+H2D6+cUoEnCE8RM5M283NUUtpzlgkfuyFgjz8cFy48fdv t9B8B0QIIzLFJ9/h0rqyYSmcuMx/41V2oLwp3H+H4z57lx6aJu9kuPipOmW0xKr82qOZ7cBEvOR2 aFt/aEJAcKKOvRBLLnhbLLe14YRljuaU/SOfytOxOZSDMt2vZuvdZHqMhNLGiK10LPm9kUyQ2oie GT9hcjyYDmmOLSAmFrpqTM+0a15gp4RnTj4RTSPgFW+1Upb/XgFY8Q8KMoxHHOEBoYRoC0RfRXRu 8fhS7Jb64BcCAXsFrOPAMIaydy0oEqtGdJBBPU1nVWgli7ClLsbiAHjDLDDX/qWlZRWWFq5WVAYr KE7jMx2Q1pWUS0r3kj4CJvjJNq91jWVYCpp4u1vyq81Y5E0yBlwogX208ZOlixpT7v9BXfZ+gqat laruid1/4oHO7EpdLVtv+OjCvEVxwIOjQrLMjpOOdt7e+ZeE2av7kL+FCcRH70C8zrSIxtJhxVVj pd7xj2pgX5wtk+iePRW8XaGlAo67Her4ueh5s6AV0YqSmisxOXQzn4JlRkVbYnXEbsp7mBgGE6J6 qcJzgEFJ0avguefEwClMJjqNkaGkGAkX34f46UHuOk12HYRzN0jtzzCK3teew0B+EoWTgSgEEVOK lqa4M6KgEFEczAUzmRTNcWb75/AF+hzeKK+qfIZp84geERUhIwJHBdq1es0FF71nRmY9zIn0cQz8 eLaBw7zvxfgS6fEM1zx4f7qQgtIi0PLQ1bblk+BdEx2yqqNUWU3qLz86f6RFh89Tji8XrYy+pbtY HnPRZp3jL/Sn9eBpMv5KeOHVcmd9+7b4sAbwmrfMktXnyT6xkMhBEfHZz9q6H+SSP2umh5wZ94tX RbRuMsIUjExFbm8UWrwh+A8T9bVFJQlHKLE9wvGDVnXzAVhRzKMZ418amRYV6GPg1jjarRscXg4o 8Y+3rhNnRc2e2bTxg885ci3KHeBZnpCfcFwkFFTqRYl3PYNoHDkiye0/al0+Y5bQ58x7Jzg6tvGg 7VSROTDT77hf8xDaMuONVk/o4qTUNjTdfQ9EizMq5A7y2OS7adBb8Z5rvVbZMPHZ/vi6SiqrN77A UYB6gpOrwEL81DDRRsGL2cv3RBOqCgyby/NxiF8HdpPmF4rf564PGyL6FwgHAYglaYFgy+LNMY5G 4WdVjY0PJZbjuKfPw+9s6qfK85q/P3a3Wq1YSlEIHXg1F4Nt1CPi1PLYqq4TSmqhyASI5VfVERPN NaJpys7UjsZPswWFiu/hn94k71pt6Wipmjpw/STiMazibJHbifHMPK7PH9V+Fo6w9QAXN8XEGOFd KSn+Qs5ddptdp817MdSNHM2Z+L8DfbEnEV7QOBcTpgzLnyzoemgWugO2IBQZ7kpRAz9Jaxggt5EI dHmfBxziGYZDm56sNyvsQBOTLIx92J/NxqnoeidhsorJrUgg/48b56WmUiJvM9xG9NLROYY06oDg xrbndCWv8BBZi4RgfEuXhlrOb3RJDngUzZ88FjBMnios5icFFP2J+vQTy5nTOUoUSq+ZCRGtCnPB KFAPWt120oVIKTPtshSYzLqTq1NTz3/lI7kqCDyKyDIKGI4S064DCml/+bGuAWC5NxMxA0luqgX9 DVFhuW6E7p6X8Ai3zIU+x05uQIpKfGU0y63kuXzLWp1RgK+JN/7ja3C/uejbGQatmU++2urLzaT/ VqhKZk9f5fqilpsuo0G2ZrelmHy4rsoYMpjbNXU58cjIYwe+IM59QmCrAm+wyhuwaQpOPbRfNaes jwXeMdz88E6a3cFf7qDA2mnNRxq0DUZ6jjMeDTlPX+jRI023nNoGd2Shstar1hoq2Fe4bmGFigkL 7ts3WtrVmP65aovKrnl/CYaGNa10YQ8649Sw5B+iYtnxXylB8qB40S8Qga0snOSYzonUqku63qJF yICSv8iyBDfBomNs7OC1uZjzfVbN9aXBt9lPNvEPet7gvHvm2vcjiNCp8G6FkPE2fEMsxj4L+33E iGrqKx3s89nlKmOezoEOvCbxj74+iKD+CaEDPM1EqsKn3dgME/gDjrtpuE/DludpmD8J4simJbrL uBCegkoboUyMXiZJ6920Z5KJxrSbPrqS6INMD1li3xL1gN2/HRhUzkmsMq3+9ahunBhk4Vlby0q5 Kjx/fibuF6e3xyo3eQe0mu/G8HEjpXqT3zLIfCYrPISNPMwNXsg7VQ9oOu26NBWkLNJ81jLUTS4H sPcK6XWYb/vebSW0GAzVO9t1fwOjVh41D85DFAyZMu2nDXhBR/xi1eRvSGZbYPNe0pfnG4TqbL/B 92LIzIQQqCsoc6sDu7ypNLWIhhywC2BfJ6JcrrSsHdZBYXrcO7r0b0pdqEZAKGbaXuRTD0BmYtjk qI9/VxH23gs4UhI1BOg7HntCZvZPp5p3opQIaTbSD8cwI5YUDrXsBOuhvcHfji6EiRHgGclWGh/X KpYMlbtaqz5EON7C9ZbBMXoUCB6KLFKcQQQtybG6CY1DUWFekqCyiLvlqXCKC+sg99Fy5eKxEJgm am5U9bYB4Qq24Ius3WAyqtby3DcK16n9k4mtHuBqub0wAyCJqqWCx851ZGi6eNzP4AgZI6pnDdCe E3kJ908uaLU6iEm9Zjx2Ph0NjcepGB3CxrXLtmiRtZTFtqz0Ey7vj5F64FAl05o/jVCXock5nif6 XLv9STLyam1JaYN2Gife2Bq2TQL3m+AORTqShcFARZkE+Isk6/3rMLloeSrMbnBSckMzbdA6KvsP PI6gFUia73HvE9P45ESVwGx8xfageEEKjYS3A4YkTfrNX2V0lWiQW6JfIQa2EdNX+IYkHmVEUjMj OyfLVB9eGyLBVWzW3jMfev4K9lVfpLl6IzZX0Xw4avFjfxsl/8cjyMgmJUx3AIINaF85qNcC+vPZ 9M90K0pM46jFd9cSKeeXTlA+fk52KxWG5WG1WOvbuVmahEfvu0PITSMcpOdILa+DfzSrzWftnkA+ Jsz+PWq/5z9uH25P4QX/1e56HDIqekrFDQw8a4UgUKp0lscPB644NmqoNb5hDE8ZyibkQny9Mbc9 Au8khUJwqZTvslmJtQYyvDge/bHeKC8vO4T7m0AADGt1ZlGQwLsSjOYrnl4NJPCOhkkWsJ8LARps 5q7KQYxdMWs24CpJREb+Dy8KQDIAnykgYPxmFpv3lMj1nEL/gaokinNpcm+kHg4NcYmS9T2M9ZeX 3X0dErSp84Q8pgVXNs4JXvcsNss46Xl0P+aBNI5gItcz/Mm1ZAajF4TpeH+2+LK+8xntnTELkTpL ZDhz6d2o9VLgw8gSC8f7IsTO1xNKYMZzTCdD52vPUjQFBImlYXlGCU5dtKnV3t/W+yAwoBChDr/h /35iucryVVRfZ2VtAFuQpKG7qOB0XtU5F8t2h8KcFkl2N5NmeCe7nRlfJBR99+RbLcz6NQiG86we JEXyYZ2ZK2fcUrfkyKz27gy9SVXcmolqkXEVqYhJnr4tQK+S7LbAV25QciKYKK8rzy/Dqgji9gBX bfLBGues2BfEYB/jO2cPr6MDisNL8szLQnthqo1uYMIrq5FYztpcePjvgkRaU7IpbA7h4pCFh3s0 wEOMwYH0Mhv3S6GxB37FMpkJ33+vRvYQvBamN4WxNogD5oLfim38CqtQQrPxT9eqlXPA5iPZkSwg nincp8nVO7tp+WSGndgaRNGGN1R/T7mwdSG5Eepu3OGZsClRdULXkwWBS0SD47HeC8v4sJURCbCq Xt3RbmDGDVg+GcdaOLTbbJSOf6yBiapBrr9X+1x2Mby4zBfKhCy9ZtLV8pu/vXcpkZTWX0wbWVa6 0QPW7M5s8U6yKpaAn61iTNJmd+YZlxN9Pivka9nJJ73xJEMUicybJ0292RZKlB7ETTRteEi0CtCm uxZjX8et0UlY3AB8LTjtfgws7o8RdTvGZEgIhDvnF30k9YaMTCEx1k5LKB8Bj8++TA8uT9Wf8uQQ 4PfX10ybkN7eOe/sTcsF2vq9LIjFIwHtSMTf08BxhrKAHZ/HKkPd+RQf2VTkuw62fHULxuTLHGy8 A4ZuatcMCkHZwwmuLVKIIcVRRRN2tKmktRWJSItHFUxG8gevoyPXxxHkNXiQ8sT0ANYWrKt2qBrh vGnNTU4wmcj84o79rgq/O0LszzUBj1xkBkMm8EeQ849twE43N9Fw9n13vOH5d1lT7aQJ0saujEH+ 45WUJbEOkb9wn5Uq0CZMnQET+RYWwM99rLeSGnEpHT6rgdYuJ3ej/7vJK97sUIMgf5+e6IkLUM61 8ThO62Vbie/wTT0RqESAhJ3iixsMSjm4oDttOqfSpFHAJ3T20QmaIfQUFJlQXBqg8kyck5b2yN1h lZqRURdNMwZ4n/gOaOvE6S4C8UPUvJCK4OnpYX0MTLXp1YOdWXJbVhEmVBkOp/f3oJ2/dknxwjSF P/1BRQivBL2chMT1a+EGwGs78DbwajAbFWGWRhTrcIyZWyZcxVKoU46burMOpRnN6hgi6gzshBsD iqsni95XWDhrTbRzE2gmsX8q8MjRYIN8/YA8qbdL8gwQ53TuL5dx+PIB9L/kVHsT9+Q/jxxS09sO 3Hkcp0koR9QXNzfaDe1lu1iqg/v9o7RoWjxW1aRB/qNkoD77NGL248KTNx4oqrdaadP5wwybtUhd oh0UyGiFj6qtvJMFWyxC/XkXVT0q9SgAJbMc/DVFjSzLffUbhx5o9zOGP6HqzK2GvhvkFoueBqcS eXpofmd6YEeCTXuTBFMtJZ3CHHaUL1qm5fV7fSEzS/Cl5C0Pr1hj6uog74kGl/tb4GWOfmC/5mRS hkGazTo+gxdiG9xZ5lRtrMswAOnf78gM7Cm1O9IiHARaZERHJspObqt+0Ww55KFrD19W4zmarl/E wGTQuRbL5Excs3SI85yv42TazmdpX5kP3wf0naqMHeUlL2U/qOM744atFP4a5jIEqM2ynhuKey5i uyZniUxcH0Z6JX8Qfbsx++ic0WBJ6jWS8I1x8eiFFdtBjHHqQl5kJd45q/epyUeL9HFXXeh/VO/s H2wpziErnPvTcIXQpQiRhmonWnnntUpr8ZRQR7mChU1gkVJSOr8v3VAFLIvXJWFnqvzws/yJPRm4 QnxhISrtzYEGLJIYuMKu9Fv0y4Ua7tm6IgyLkF0kZ3jAwjy5a/sdWPm0C7C1A6CtLV95w34VBlDs tC5zLAheqaJxYi+AnJi5eijXm8eYZIsv+sA7DWMThiETHH+J3jSKdiwUrlES8BIaxSXAJfd6B4vs RZFFaAFIOAN8R8YrvRAQimPo8kNgWyzRi5O1PxNzSMl1u1cTSNUT4XNlMS8cbmKWG4WzGMotHha6 u+fA0mRSYDaPXey5D1b6P8iqgdUzQ76Tz2ev7+JPpaMg/oQgtOXKMf8SwZsOabJZNIz1gw3HICc/ aP/jiB/5d/kgQq+iT4NYN1gGS/W2TyxlP+mtAVqC4yY18qH+P+23Yr4/QkRwVYR4lX6k1CFFPvRG 3CKR1T31BN8/Hl1buMX3Vq6AeXe6f42Bx2v3UiwBScfx+mNLNIR17D9jqS5ec2BAjHclXri9VIAA J8eJtiDm1ib+/jIoqg4UBrsavPCuNPoDD/3L8dy2nWtWI8/glYZC1MJXateVDxsQyLoYa9mFt1cH Yi+q34hFvEqI3Zp9bY+p8+aMf8Bbw2coMGMsoDXgSJ7kKv/8LpXDGjXo+ZXyqLmgtiTXjtTKy2sc JL+YZwQNpn477F3f1tKlpINRjoF3vgMpwQX0zV4g44cMnvaqxg3sVocgvQpvp8YiO0y11SwlWSrm eA0FY+9Vr0haeAuVoaHCvAtjQKJLr+euohZs1Uvfaa5wRWzPzjIEWMbajOlUc0UcGi/9t9Ir5OCr zNK9tNuwyP4JT9D6u5Z713sT3A/ooxBiy0D2N8uZQ0U0WdeAHC6tVzGuIu9Kd/bFBEvO7xbB4AWL DGWrlt7ZhYkEDRX65yQhmF+kwSoJ/onY4/Jnl6jdHqHGzuos4c87zOfg8RgiVf1q/0HlE/ikbJ5O 6JKIFGI4/ar0MN0nK6T9OH/4b9hXMSSndRMCja8zksgS33WjYAAFNtef6yGfDwFJEt7UX6CNWwfj vHb9XPOoHWKzfgg+1qdEgbinvkesTOzxIwbGmojq10X8aZOR7CD7+U69mU7yoQvKNry4Fp1HPy5d sPUA9Joc8HyT+oZP95JojH9X/TGZwKfuXWRIZcg9Js/jnMNgM2QFwibxpztn/K+JUqr3fmutKytK b255bxdqn2rxVG+OYRqjis5qXDMKPqE62zDB6odTurjg3IyP4quOim6V2gS0zbnolL0TePsR0pgR O6JDi/HKqkxZqhCcxiFKblTkGMqadW8/Kfjwoa/2Hs0B0RLdglYdLWM6QIR2bL8bkiO8fc8bB2fn YcwxUfdHQpnWCuangYxpICeLH0UIgXuQQQR4yXayUFwvNX6GDJEnT0oKdEgZ4bgHbnvgAwPdQMSG UxjeUpTqPqCBpdyouw5Or0cRErvQGiboL9nZRqE8UoGl1g5CRhDMJWzrEidc6lmPkt6uepdUuyR/ E9UJfHmhfFNL+zZLRB/3Hg4Rd1RSsOq8xGjYj1n373nrdjAUlFmNzmEKgR5OR3voQbTli6CcJSRf nLWTq24bCKlwP8aahCVIYOa9MDaDYGXKgLMjK+xELK2VsbrDMtB9YxFoiKbN0WCdOfDxoCOurkuD uBqOsz8AgKQQ8B5Zf79U9S7+KcDRfi5TuUzAysswU1MrX9PXb1nNQatRnOXPXkJg5lplfUQmnqOm Cnf69c5ZNDER0c5SqNlX+5T3t1U26xTXHrWtBp96Zl8pWktzGfp6HwLTJILP+4ZBWSdXJBpc9/MO vkWmO60JIBXBkm1t3QNiRGzlGLjw8NjgWWBnawSjgY2B/2GLQLIbYbtwD9N9azAN1AhGQtYGmzYd rWUUwpsmxVAu6Jy9Mckc0Z7htTpdQsRsG8ehtGJiFdjYeUImssLCxx/6PimU15iR/SuVuxQsHsIP HzkjGI/ApHBzQmrCS3+juAzYfJZuFwqRkJ2gyFufDA0X6JMxy9ErIjPg5CGcoTN8dk2XnESLUjQr vQZglYTmXEHlVDpzSUtPeb4xJ13wxchkqTokJNaR8i22S7XKClQN0C3QHyIeSIHzXt4SCUndvj7u 61XE/ACrWks5dvUlBR3VxwhZo8nY4/78W8+PXVQ34ChG9gqEt/D6TpOQiykZZozPB9Gkbc9dMNrw 9vpXll9xEeCdXtIrjFAQ9OnJdmYNocCF9O+oPfDYBAyAUM6gHC6T/YgL7wa3HWjOHUGSlUWdaWhu ktx1N6NgVjHzisSsZ2NN8tSmJpBbA5tsB4iOIycOGeSl7lKwDFHcezDaVp4MoiMo0/F+UhFEPXa9 RUK+/p91o/C9r6zyD4TL+pzYx49r6SxmBCgyYVEFTuG3Nbu4wU42ZqZ6+8wTiIgOWxHVSFqT2Mxn +VRUu/qjSdzAH8rgB4/guHgCAFbO74idqqR7Mz/jGxrQgPXLcxTHJMX/I9eMZd/dyeBVAhJHTpQE 9D1zBHTkO7y68KAu3AtPrl1EfSuWVCGweVJvcI1AHMr0DtsUe7KXVWAff0g6FGQQQvbMqHqS2bEN fayh2hVYAngyhdnyu4RXOn1fnefHqhjuKWi4kdZ0buFrCZ2ld5gF1JGFS1EJqAmu4nzmWl+EIbyO YczrblS9uhk/DoEjr52HNUE3JL06BWEilDHxN3UyfTcVivtxd+yhpB9+9WRPLkqUNBZc9Bn8hDWi DmifDhAo4pg9ytusxVoznrni3L0cYVTV8Zz9KVtYdh697gyeiBC1Qrfq6RVlpx+as9tH7ggv9p8e dFVZzXvMyhYG7V1cfPa/I1VMmZq3MVjFOOXOfPHP68cWBieWulVa+oCXFydmaJ5gFvxD6BncTlfl cD2sF0ytFJSKOleD8AytL+/TWk3fy9SdxheZ9hVHqyEeY3fNwk1Ece6Wc/0dg1CeSBprlX8+PY6b LbFFohSB4djyR5npnbHfIDWgqfHNLgilgIr0AM0l1FAzyC5GI3XLKLLH+/w3eClb/6pcPlrIs1rh TN5i5BaHSMxdPzCUhb36wv8cQJA5EkqVVHUTKrkfSsmhlxSObHU6+RbO4+BnBTJoKC+jZBQH9mH9 jnvFmNyYDvDRY8qPeYcZzwBgt4IfzCk2pBHyeKoPDWWiaY+4NoBj5QKaYVxLJqQlfv1jH0EnfBAI FcSViX5RzzkYUyIA+/52BPU0+4WUb3onaeKhqkoK5bZRXQN2ptdt6SWuPU+w1J/VtcpR87QQ8FHG wDZlVANf42EnUkX6N46xSF8zMY2KBS8nlkhZzX/bRfUMuAyz6/ZmP1i3VvroAWIH66fETM9s0WET /lhuYIVBnUXCg0xNUVgt49KIHjbPEnWxE5R2aGY6J7VUIAzlHMBhTbualRzbC2fJK9pRI8SBpG/g zGRUzYXHG3lvlZIm7d96yowJXEggiPiGNd9bO9H7VjbvHIl5CAMxTd0oFTQqhBZ6/ga1zatJlqQD k5r5mmw7A6Dud5SqSmrXML2ADk08di2FhlEoF73vzl6fwt8jfCW1zZSecxeSWQcGYUxDX61f/vkv DI9Rem/y9CuRHpTBBR+bkG/2Zy5BxcKcJIbQ84yR7XicV8UGG7Onk53o/jxaGDMVUg6Z0VpxOshw k3WjD83QNVUNpmv/anqPW+RogYF9JaGAVQPUlTMJYU3r7wkMt4E6saaXLALirG6px2ixFETgu/Qr uzhEOjUKcC0tfNaDIGx9PmlPjo1pUhqU/27KH6uqVH3Bo+K6Rwc3TenIvfSk8aH95Nc8OvXOXicJ Clh2B4ZZvfmsBbvkMaX35NWLaln14BHLeVV7VyqI6naFKy6P488zt++yZmAZJ61p/jRznUiVgMAK nTuI12ZCKKJm8uSqG4P2ltqfZCuR/8QfWJ7hIMBv7aPJs4KLmJ1IxrNS1Jub/yYwZpBI2gSJjbP/ b/aNv6ligYms/tNemqje/8VAzUsLQ1EjerQvxiaVwBvnp20tLWho0WzVa1wxJ4Q/8TY+L8hdLGN9 RQnwR/my08EUSFeBjXkc3qnOa/LmxGfLOKg26EuA5APp5bKtwokcie9U8b+nF0qkLe96DFqSmacq XWvr1V1Kt3KuS38zr+ussru5shZOHj5zIkT0fVcLCmiEbPFJqMZpXfRdgeURPhZS/5DuWN7JYXLI 9mIkteIIRTgtUvL9Pt80KIFQUx0lJ9/0RZOwOtypazW2b8n2cMlCNTJkZMb8hq08LhnxaTINrrQz w5UhQlcU3dZJEeHz7DZptdm6n1LgY4swRXYLBGjinKLuGJVZnVvt9R5a9ioY/Axbm++N3cra8mHu mwFtuEZ+xAZLQIeuPL983xw8AmSFc9zbcXYCGEKgxIaIcc5FJc1jc62RKAf1/23jzNGisZk3BRWj RS0j5LICoT9IyXG7q/47sK9kLc6Wt1pgwC6886M3wKBpKJ64z33lGYu32Bl5Sgm4RvCClB5T0EUu eFdA0iPun3E/lTRXhP9wEI4/RFwIfUm0MIFkMU1kcnOB/HrJLhLzjTYUyV3cOwGbcE7u/4RxvzMj fXW+3Z7DWUyCJtuPP3TQ4qoPnvJcbHeQabdxmyR0pniu0RyENsTnh+bygosACijeiTfjggCsSFgs TeR19IaWjt95Fs6XsZYbZh6zxx8gfk+YjDyvXrbrYfGa21Rh1v4MVi8x5ntRLC9dpz3PHS5SzJsa KN3UY5Y4xF8vyAXn/aEaEnZ7JXAa7kOpl1Ry2PjNvWeiX0Om0gh9wQULJFLCCmb/rTpb4/md5SFC iyY4SGn68P4gQjpqLkcRLO0mvW4a+iyPqV4geIS6Tmw9B/vVqBGXOR5rqNPBLWYuVf5JNOUxqt3q SvnBZac8C/Br5NmHNuvEddaELES5vmS0R2WruszSDgpHhclWCgoBrTXBGOXab1jpKdI0hhY5nj+p mL/OzQb5QNz8pk0ba9WTEnZ0HmMkb+yqfAQoocgB8uVkmchIZnhGjKmzE/Cd03wOt16dUr6H03Tb bSbWrhkTuKfZ3aJFM9Pq3codo6gK9ytKHfoAo8FdK6/T1wCohK30dpL5rzx4YYRk0+Praj4x+Zn0 wHv6s4aAOkWeYKso+ZItDnz9OzGggQAT6LEl/YL1k0rjs4ddonsAINOzypSdgWSFhaywBAmAIfO1 WpONEt7ROCxu+OgaFLZNv9AWlFSgkdN6busZMMRzoedn+ozu7q+fyCiErVqfXbgmgn8a2TkRc5u+ k9qHgD/DHDOgZ0or3DJ8eqy8quEvpBnJx6RiW8r8HMFfisQKojIaa24iY7O+20IC5Hns5TTmhXwL Yz3ASkKsNodEctGjVUdjr6+9gNYtVHaMlNspNDTQVC7XZByNIbJAVAEr9rNfFTXomGoKUH4tYW1W 5Xtb6EB5pR0JdMWWvnOP98oQoDS6XYeQ2bSncEA+aZiJZLobg2UcxM96PA+AyClrMx5fDHPuJZoY zUmGeBMNjaKH/ooO5yhqv3vsh3IwcYKq2K9wFLNAG5SVDRmTNOm5Bf3Nre1/4bcHGIRkRGrr4nfC vInrgLyqHq72OyJQLJH2W7+D3cJueMXm4nesaxhqc0W9U5YITKeRocTWMuSHU7YmGMzRrFj0vVRp 96bF6ynLBM6mvJcxP/6ZHZ2YN47byzA8ieI44T0k/cyg12jkSj8KlctXeLN9oFdLUSTrtqrBvBtd E8CK/RuvNiEksezdGcSxpNvEPNiXgRuAOGTANYzDZiQVXB39qVU5K5p4EGiw/+2o8DTTieYZ5sYF zRI3Ojpp36MK4p3MduttLeWr15a+c6YurUr7CJC1x5yxT7NDPLiQvZMtosHPA0TgFC4pae3iyNzs BlatnaCcEq9O1TBypAgw0ljudshE5NTVnBXpLAjmg+KSpMEfZA1xpgcbk3qSbBaaHrLoE21Pv6gp 2YZO3Q73Oq0iVjq3yGmjIlgbt2f1gLYL09LU5HqA0H+ATMscn0wWzDrvVdAeTK567lDtTApAJu+V z/tIBMXPf/PxsWFAfYR6qRq3RNrB1Yse7MeG34joTV5SZfn3wfVD/xR7bjTwVCKqBI1PGxjEqrsG k1LlQqzAWay/N7UCRtc61sAL76NJWg6Xef8UZ6sy6ERr75GzpAGsjzs8LTUFG7pmeSkeGDwOiFSo xkgLbBXq6QBsd/BMqqMDTRER3zmsMfhPR/vYxIavptbWS5jCVAEA/ob+bvBM6E9qhrgFTy3GpdgG HpkzM+glXFLO5YIe+RRTpfQ6EHXvjjpB5A5kkir0u3ZdidUDZX/NCKIOdgUEZeuIY6Coh906qoBO wDNKH6mDxbJiCyRYm9hQmtiub5shvoExLUk/qUeW2ffmx5r8iMCHdLKndvp40D67Y+1hs16bwEgW D58d0+9uOHoF3ls+nwczyfXaVZcC69RgkPQhmGcCNK7isieAxf2GoznRptWeF+s1A+K5cV3C7vkI p2llJqaHP73+0LXrVKly8hrkul3IXxv755KpGHNavWG1e/hTLmtasn+Ibc2D8pg1szyFt0PwJD1w 4it7fnVq/ydxJH6DpRj6qVcdq6/Z4nJ41JT36HIOCG0hhg5WPMBO1FzkjZbZ4MwJsgqFMwQPmEAU VBHvgzKNUCCtIgaXMmn42NXja4AXHYlT7okjB0258X0I8JusQEBZ44EoOrUqRO2WDcd5M1NFUwcr uSzNsaMByT0O/DMCirhQKC4uBheM3+IkaOiAlKy0mqPsKGzHLMMhr+cz1ZzzuHlq2xEqIjZHmW5l CYhFkSxWQCOrgAufmYLH4knCi5wIgGz/AjaY79xERBY169WvkK+B3ZZluSiY7C0BoqhB3f4jf2sq IM2tnc8cR5HhKKhux60JMaALx7GVO+QO9LzmI9WqHIFN8Q3mq4d1ch/FtNEoEb6IhtD1eVJwUv12 60lpvk7zFVaHnA1RjSlNvEZU/T1Eu92Sm4RXcJ47XbQgYjb9pi1Ra49Um4sQ7F6EWDTfLCNCvzWb Kx28FFn6nc+SRr1+YHJN8xLa5NWCrbBxBYqo2UbmFd9FKzrUFzasGamJF4Mm2WsEVgZDveAZL12H oeIaFs3ErhfBt4JNpyzAtNYJpkQ0i2utPE1E2UDKDsWPEVL9ds91hNiDdwcd+eGNmxzRyuEeKkhb 0W3tks/0Lfc5UaA5LJJEtjEoLEUMBB3x9rHtzILsRlP2T3msRC2wTHt3cvj4IIhdUF91DwryTHn8 gw6Lknu60zXzgcj79kX0s06tGbS/2TnB2sT60E4xO0ue6yamgYnMRk6ZOjhwNV+7c/NZfDFILCHc FabVUpQY15GfnKWC/SFsmc+1h5npWdM8nzg67T734VFiE0l1OEi3wYmKVngk0sEQzL7X8Hnwx6vm K2t3l9F1VsLb8C6dUzpqgCVNOliPG5IFDV6gAK7HBl6saba9uFzX/NSifX44jYUxedtDL1rcbUdB Lz6EJZlPWTgboLT2xxeWoG8cTSsxSbyGq8PmbvYarYs/VtKq60pFkSLGiX7X+rKK+f2IesNS/lop o3vDSUMaUdngw92v9jpR6AMHALNMlWTqChk8er6FllTLgDsyd6Ncbdwzfo29N5k6AQwddqyvYB4R apTdoNcYDaygu/Azdur0/X2TdawyObo9iIPbmMCp2nBtpFZq4Wr2HROwtFS13XZ72Hw5TdQnwhcB cLOw1WV4jrezMYLVgZsvL8p/3fK0B2FmQjJjzdDFJ2NrbG/1gYuNrRV75kg9wRTiYSptLYOgZXsI FG+ssfOP4LfpAmkzNN9Bh/Dx7gdg5szWvCW5AfC92+fjFDuPGyIGTYOd3s32VxAg2ZBMjcd458fd wy+Wx5W6ScJ+rcJsav5w3i9DnEvORZ4Hcy2bMwTFCPwwaA/GUIIoKJACDPFVqnulZuDBK+hY+pZq wrrW2cqq/d8pFuMzwaBHMGRIbSZJwqmg10n0L2iahgqTkbhiqnuUG54G4+wnDUoR2ShLQIhBJ+yn PBCWIvvc7ecilOsqA7+1wQ0IUNMzspc2vsDQ5PEJRfDn5hG7n1eNCP74s33P4swxB72g24+7JEa7 pWgx/LZIN9qr1S7Oel7seM6RJxYHBC9cfHCcz7EJ3Q0w5O3rfend64htvm9/ynE8wJzAKxs2FZEl 44I+AMJfA98m1+xFjFssJm+RsmA9/CJy4s793cN70D5/SwMBU6VQFjQzvIxRLGu8OYoDoyazkO+Z t1Do89m2SCUK9wBEgRuNKaQqmPf/bom6ghV/CKqXQy/PBDi4eGpe07s+3R7Ixp+I/pOJOK8ecukd eqG1fmPLaqfrzjKHd32ecCDACDXo9eeqfH3ao94f97rPN7htT4smhromWOAJvBI9t0ogQKj6skdN pmHc0E07C24J4ol3/FyYxmf04S4s0RacUI2xTjoiOY6bYkZFeeW2lNrEr/2Lrvqq6LVqXpHcP6P0 25KMGtutfNkUUlByItHhqHdhL3YdRm0gRV6fwpRoSRkGbOhFlmdo+KwmsXIelLwvm6BsHGxKaN/V fHhkjsO8wel0VDRMhUK9aGsEbDrX2t1VMuCCAtihP/AX3XyQ756Fn+o1byWKZKp2B8yvStAyi/mx gotB10PEzvCzRQnWS3B5HyiH2j+9TTDAN5DUUVEGdt4c44sigPrBpBA84vBpHlFJJcL77Z2EGYJ/ mqG/zqyz8yDysR4fOuw+nmWe++I69pqNpMAe293ggUcnaOPvPMX5A8ZY/xRuBDizWyrynqUj49kv iDLzspPxKMYW65D4ErR5UlVxtK500RCWJDbWAZcYJmKYdNyeZKhCIfcGIR3vSVMZ1Ts1bEaaVaca MxNMY+YBRStlguzHIuUW7gRhLUuIK40LnbL5fb8KyiOZUVWmIv9xbY5ZoWfJSfd+faxWUdjS21Oy RsKQHp5diXV7mfcFuiHM80OzmYi+EGUlvfm+hzDE0AEvEYS+PbZzaMxCYLGbW4UwIHM68k54s8d0 zfj3MPle/R+okIZnU2gTcsHusdDciKC7ADteNZMgLrqIlc/kOUwYUbhllNv87rJYpWaniIpHl4v5 5SSVpQ0iDg7zx9474YcTFehse/dDnphDi9+5rvVG3E5oLQ09HSsydCcUYbuOMJEIf836J++pd0xG wB0Mlc8qbP2v+nGkKGASSypORrcSX9z4agLRiCyUaxVgtPAWU3OvMesPcEo3VHSGady4DwX/5atn 54KhuqzmQUS7MJ37D3EJmBYA6l3qlrEjlhsZ70zuLmiBvPaB6gvUxev69+y5JqxKh2Bdo9bTNR8A z3q8IDRrU2u6ME1riYeWGAJjrE2FVmxqe9gM3ZqlBe5FhnN/pXPZA0aMwSXTEC5yqASgHhukb6Iv XhmIpEdNg7rFo7pCbhabQv5JYlCC2NUp79zBILADqBwnyiwRV6A29BPXHk+Dr7crrEimzul3YUkI +ObA6K3FTaEtkF95wwPBdkRfWDOE9ajs/PzmBMWlkb99kSWjplPhNmYzYzDXuMTvYl3Eo30JKsXV 9tOuDkO0h43pWy8W4iEpqOu9fgsDZRbAS8T448G5E+Fvfghy8jACL3RE3cbfwjTi+jYQYRhhLE2E D/Yim8+iIgiOQ30cfOg92yxP7+f7l6Yh+FMrpXzjlKyRlM4DQD2J487eOyQ3TKVajWB1B6+V0/mC 45VQIdxQEWj/0hAS/uOhict1dE4vscrhNOdSxwqOWSScBv8HiMOr1OCDf/pcGT6UWjNd6jJLm++k JfhJr0p33O1yOscOWK7jpQZc5/bbuC0QWG6S8+J0iaW//7rs3yFXixkTuqDQFdqJWV8wkZvi2SPn StMFyG210KxLIDLGH5KYMVXDW7/vhQ7XlB7kwIvqAAoUaDqrImEUcx2CF7xRKgPq9NDVX9eRe+H0 X8Xht5HKodgfFQyqxSpdajbJpHq5duh3QvLm6HrHS2Ocsuf+5eH4I+VVNj/2pMQzGbPskScbxch4 teObsl5abMrpbAlcLZpxjdBdJxneuEcqoYIsyHnjMB5KatqTViBm2BOh/eg9I2RavJYuT1PEJov1 UZuaMKYk+4t5D7ipO4ByIz0OD0B1hO5ts+p8tXtYbT2KtaXVYWgE4UPccy8KzUSHoSd1X//Nt9Um P9Wi74qICNSR0LeJ+HOr/iaSIa4ONp4Iv1oQ15IF1ZLaVl+OfkUfUvA1qMYl3wWuO2xauCzssPv5 dkJEl6n0dO4L/O7EAfSbltigPJ9enZuY43u3WmTiFoh3AFc9ys9fs++m7z9QxxkuX57ii96taSb3 T4IyJj4a3DhsjC/Mq/+hNgwOGCJ2Q3lMRTizFpQN12TeIbyort8Gyt180p29MVdNQii+nI/jA4lM ZcO8itjozknjpxChMY7nDrxjAz0PZAyIgQjEf+MO8UvHKdaFZ7gaMQeQBq7jBK1UObgsN983LnBI OMrxWQxDsdjRA9pYY07y5ykKW3/BVxmtD8mJjF4uqRl9OE4pkxmrAxU3GtSc+lDjpAlzdNYuNY/l iQOOY+9yJPUparCGvXiC2JUvN0AgXV0+S74z9pyeKiOrxJZa8GG9oqH/doutiobZStaN7YD90HvI 5XMaWOrcJp2Q6TGq4qrszmzlY7lh8aize23lLmgHfrh1u+K/sgh72THICnsn15yHEj4Cn8seXGVv BGRbZ3c1/uqd9toyn45O1BWZUBUolDlo3JQ8oBxIL+KEuHtJJmqI2L7jvhCPSKCr31Ll2mZnGC7f FPULKWTSlMY30ZmmcMtaW81snQotD62PV2UDfSMpybW8l3TPGPAfjuAP7ZlOLkmc+klU+IraYWOF HXzKS0aNEHuaMRtB++zG2TZdLjoIsO6MDxz94WYdpJFhu4+zIhG5bEbWFECNcFkNijz0/96Ou7z4 hJFjBAncBe/dbWZX27P+3kDh2oRK/LWJN7HgoUyMR0JDi0J70dS36oOATmhYDHWe2LzWV4Z2yVM4 aV2lGNw5s+kwVWjSni0OSgThPqHoeyF2hF2DBtYz9rxf8jWCfQAGuJt79FDNPCuWtEhl7YCt7xiO S4YiSjize0Inup1ILeKU2PCN8poECv3JbvJqWJtaSWsIk5lsofJo5wUT+zVF4FVKNsl925fuoR+P xHw9esHBj7XZdEdj4sm0yEoU14JJbcWNVZFInfWxsDZnpDCzepH0RkjEgeaISqwj2d4hPMq/bJE0 pFCmO+gdQEjxV11CVi7c/wQB/Msekhk2KdbRrwOyarZ7rFsZ6PYIMSH7pBIXUCv+YuqyL/yF31Hg 8rC6hI5MSNEWYQNQOuaSALlWq9hnktI35yj82cIrIn9jy20AGLhuYa6nYRGuFbqMjKFlfgKoX56Q 7SFBG/aaIu27a81/IsSVzx+pP4HkC9WDlDZBf8SzcIXAwEXNdHOhSHJHQrirAr2SEkrcxWQLwBMH 7IAGxHof+XCjYToofBJM1CpfBzUuQ+mrBeLmw3veFdNMLo5hq9YD06yf2n4C6HxbYoGPAaav50UY +wA1MJWoH+6xpsly4JcX5iVfipQgNON3I1hLL1nuCSGYHqhT8qZAqR3rc4NgB82cYr0tP9U61reY QxDpLvnsCLxJ2Tt+IroIRPoHPMSgIzw3aZdzM8m1OOgSg1w3k2lEZhHm+yHlOq4ynsahm2XDBkQa +yIFUj2E/oUoUsuSxHEJgaffUUrsPJuGOfw3+JlwYP+HL8nJh9vPaJWPXh68l3FEJzNbw4OYbYyS VtXG+bbl+ot6KQYq4XOg85reYocc3t1q0i3Dw9R61Pbn9Z+xQbLzw93vtmhntEOAwq/xsriwZc7C Ski6+nJ0bCoiHorMysK777RDovgN+bOGNxsBqwnClCu4rSCR+8yyOHXWEEABAZgAjy/nCGPARt9v Fmv0WCrXtirHcDRWqny4saMD/NFIqeMS7ccd6bPF+3RSbiSQY2VaiOhbqh5pH+QpJdSWeRPbglk0 9gVcbBJJftnsF/j+WK58WDxp1g9Dpe2hHfxWa0QJY7hEIOGCxam+eFIl3H0WBcoMPjjv4/DMuK2u MQb0ezawGH+FsgtE29cNK9ayOyMdHL3B91X6SvQMMmS04a+tonkyRU9QmZCX2X74YgdqNUCvkpY1 +YiUp6Y7a1eTweQZZnABkAABfjOaVo3T6/RP5AEGUBGgnD2f9tTVttieyLzVhLdzwQuuxBXhxQFS 11gHYPUg/qdAkwtfTQJgKHFU11xORTstjpyIfR9ymAXEvjWCN8J5GJief/LAC3F4eqmoWsfL3qT7 r8kkGxwkV53UhGq8JZiDJc75vo2MAxo8VE2DFPfgm2xgec0YFHdmU0f8oEr+/u8ssmzoGeyQuwbe Kygo6gomJPvQu5ZfksyGq9VLcj2pMrzEQBtKMfJPD9xgO0+iWnYPnWGKXOi75p0qmtEnZP1G4dNF Bofn6tLdI3eInK3COZ/MSwic9JCTLexYaC0iQMx8LbD8yAOxA7l9lQ572pdJ9NvVKQjkNd9JgAmL d11k4fzFHQ7ycGefbcMWtAmCDlXkGKK8oHHxzOL9Tl6xuny/2zCSmxh4uvYldHzPUvyP5pgfpllC kkF4XKSr+UyFmVd9d4pbm2v4NX7TgCzA69AUJxlc6iZ385NCq1Ky4SU2MjY1f6FElemCmSd1Qkql WS/LQ1xO9a6Dq164Vn299aCaQKe/XwCDUS4vW4U5zzU/Xmkd+qZCFTgO5iM2j0qRT16MnDZbEuqK L2t+fa/uF38V8yLIHVl/DJ20TbIRHPRNi0IwJt6CbjpDS18gb3vclGnAfyVcKMSQpJrx639jUGPf qgB20rW2V2LsLYybHZwW1HFfyM/Izj63zvz7O3PEhz/3TVpeohNEQC5sEq2u0cCQQOChMUCI0qpx q4SwQ4uBU4QVAhdjIZolD8QvK/TjfL1xzLEprT4oS/MY/EufbaXB8SBIpD5zqVImkZZL9D5IDVHk H83dNyjOcQ6hHjd2Qu5ZxFyo4Ls+BbEPtynTTojpO1GDRFfIj+GNaaeJO8HMmUcWB2u8zNiDHIwC R3dF2Nd1sdHlx4bIpyMMJiyexNTqlpJ/NwOPewZ+06EZtOq2t441pQzQQmyZlCmCruSD0jK08qVb SRDyi+FMj5puXR1/5SjHtYpuizI1SaJGyEcYBkUSPCDY8L0AEF4TqRBi+qZkmnIEbzJdqMyNtT67 7M74eHRN4TONUKxqXyqj4cClESBL1h0+mKkS0bYHomJVHIP5iojoHlA2z6BLbMJiD1k3QOL5m4EP LDT92lowZqIJGqx2g05Ks84SN7YblP+RjnhD5Z6iKLKpL4V4HATbL9HuNHaZQvX/kcXNM31rD3aR Q1T6Nad8v1YE2QQ2/lZduGzIFMSM2q3EYiUprbHX+zAWY5WTEwaGJ9Flfbeh6PrVLOlaMiRxbAXK z9bD4eOImMm00YadUx60l9m/O19/Or0SNglxA5+K5H7XMC1HgLceQnmBRYfFVc7n95au3DO3y6+2 64vsfceD6oqilRGOeqHb30c3RWTxzvOnAZswGDHmosXCDhAdIbcHlAJN8Wn0vuNs3mBEjlu4GxI3 gMVlkz/H52oqSpf02DOvCMfwmfZ4cajqTCbzvQ+h9R7fkUH0ltw0CRVDAU14WyAJXCtlh7I8RbZN P2B3Dic+S+aNCGRvNItqux6kDGLh/dXl5WR4WyJjqn++PFAfVzU11bjOGrvfbPsv0VVhAvRFTHYA 5EAZhtORklsoHMvOFo3SLfXGQTUowBZ6CXbLVumKYhdKbcGiHo+mLWe2jvVs7ZEfS5GURfe8u51w CmYaqUUiFrKC+zFx4HiqhlIbkCV8AO69YfJwMoZCoS5JmtXAfg0YmDFrqaQ25p7+DM23Lol03QMR PJs1Lj1epA+VSIZEK4GvsujEe5tYva37eTegxHztfgpUZUGjztce3ZpCuUkWZK0N9nQ5jgZVB/k/ 9lmmViMSPoFp5I+69rLUNgWJfku53+ZPgjpMKbaZARew+urr/NpGgwnLcfHHmcr4wR54jooJGMZ2 4ZAyadLenvChYyTzXWsa4SIkN1GIawa6hjPoggfdWiMfNuFTmGDWn29i8EW/feFmy97JoodKuIGN knGk88jk07bpttBFqYfODi0Bjx+hKxl/pyZFoW/lDkSilvcqMFW48dVDkzhwEB2FYDQ0zYw+fDqp 9MefNwK0zqVkSn/AsK0wFDljVWzThn5rqwk2TKlOfjBIPe9IR4i9XZtSFIGFgao4ip1lb187pBmT h+rlYRfr+a+ssVKWy98hWuDLRLVwmTqIPhNP5j2I90/jI1j/FF761xiAK3qi83bTjBj8BM2fur2S RNOCdnlIBGqDZ8b3tjJF8YWYkKvx7r18RytwoW3f9CIwR72IEan//FdvIYeX2UPnSQieMXvNeHsY ICYHLQOQ6YURZmSbDlnMyr8IDeJhIFvyfWhnp5wwHkwBpcTP67H3MOYhwslcdN5DHFSIPxekaNKs RYDoyoppv/cJogQR9e2FyxiLxzCUC6tR8QjEhtR8bqFYwnbkiM3myMLqYCexzCEEo9OtVr3mMvB3 ZB6MQrpR7ahVvzvfm84yXpnrfSxdjQWVpaRym8WeRGCdCr2Wt8Z/+J/EF7LeAcs8p1FwRyZmLlSv 1I/JePOtkcif1c+Bodtk9wuET9XgKeDnyEl9dAvRxEbGUtQdZuMIfQabZSFHcMZHE99aEcpSh/vi KnaJSx+GC8PMBC6xOWBVI8duphCSgo1ghV95yfIrooJbhnu1rhijGY9iv76/DiLzsFHHb6vGQcaq LOeVdlComJeCLLhgopYrv61GGn0o4NsQ2f6RFrTnbjkLhRNBwXZ4/pirqG4Hzk1DimkbMVb3RUXT 87ZMJWL5SX/ZVvc3j9z7W4XZxRf/T5CIp2ViQV0O7mYTz4rjs6/u/5bMGUAAAJRGwmwOoislOq9Q uDICPcz9BcGgRUIWP/LmWg2l3KRIEMv2vxBUTyocQ7pSfPltFcUaMVj8IGsjoz2Cf8glaRE6DCXO cmQwpShH9Oo87P797o2zQpmW8RK/GxvhFMn70TjH9cDY3NwX/3UQo0qSMb6Hp1+W7mbc/s5C9Gzy o34NceZL6jCgX5ho1QARCGlQOs+lGSxirbVz5A9g2obM4ROp2DhJo2XwbijoalL8+DCDPgS35jfE VuzOUo+/7arUG4b+y3CjlG4vn0LJFn6dkb1tay5k9ujBpJ+KQQqHFKq4YlbnIiCJTjHxHCjWU0Gz Bl0ageCt8y+xAtLeMLp1MhuLPT2AWrWUiMleW1wFiLfEpKxWJIL/1d6GkzYjf0bpFGSY0GMJk8mQ I4Rwnxui/PnpA/i4fj90TLdP1FFI/F4yEArRP6LCvvBNGZ2XXuNUMwnj/HbTX2Swkc4cb3TosEai DK6xD1IzHo/ltHIbswgDHWsfbdXDofLm7rCgo4xqzbB45QX96/rx4yx83vDiZu0FcoiH4YqM0Vxi E2Lf+jKIgaU9g2MYkBc/HwCoEx0s/Zb4PXcJMIN30VN7UM5LwuPJNlyjtFP9PMZqAWbQnK66hq4R wPH+3PD2HwZQiimTkIbq8YY8Sl3uo/H5ud5VtkSEtFlfA9rgICN7zKIrRfRWKqGhn555HT9HMm5e 0ZEIvi2QONZwxM8IKVL7FUG/oZNyjvJ9gd5hHE0H8imjbQuOGSvWiat0zpWAqbBej8b0lzsZhIpZ dvxaToS1cD97sb5KidKeBTuDTL3Yca/6MPvMFn3mDZ+K/SZ0ZrCxhMQZuUJz7e/Mk3pwJsG5mMyS OUyiIfCeXXrC6fJa33TCLQpgWB+HXat921/cLxqyIUZ3a1mtj0GVUdYIN8wx8Q4eMa4JrDO4FD3Y VbRy6bTgdGiBRDPGUjrW91nnDyiCKCtlcrd2zYK9ynYAMff+Mlqh27N1yMnsR/nC3olEQ0d/k6vx 4TvAicCVhXiSpMoA8dyyfykbo3tg/y/FQQ0qmmJHyblFeiSXyeSMr/gglJ09HaPM4B1flv78d+se xJDy5Wa/EoFg9Ry+ZVWI2DSiRuLt2YwkMdERS9j3E6Hdw77wZWgQgYXyhjYXHluINqrj9Ct9TCbb igt1MAhoc8+sDTF4ZkN9Ipw3ZZ4RgEJ7LmMQuozQly8fwbehtA7AscbmdIWFHuiNDvYlPDfTctPX nsKy3XUZJL4oivk8OyJ774WcscfmJP52y4HunIt8/9G1hR1pNSnAk2alg5Ldv2kmWYwHpBJgUg+G rcjrMl+lWnp4lh9xfVhXdvSIHdyNa6WcgZPSB0Vvm3KVZfzb/RlStwXyJh0VsatgvwOF7RzYjMlH Srhdc2V9kdFwTEDiNB2lC0TvV5c2sQnsPo/Klb2m0czong3eXVag6kR6PR/xNlon2wgFL9xdOTJc ZiqajSFok+LLHEtS0bKgBThp7zHrSe+XzV+D+GEcX0vByR1IH0+y3qq3vWRXdJ38BXySbHjMlmc0 rg4ou79tOfRkopSgLJUdU3l5CrFwZh/nXLm+vgXbniZUm3Ue6ZLbQBaWT3N5LQE1XPEhenDk6vaX pLgcAyj8mIszVKceD1HKhhCJmPXPMUJW4PFYzL312RNuxFpMIRl/TD9vG+kYpJoJrTtBx+Z6eGev Li5XPcdAQuZxBhmZ/5QfXj19TFv0/OqFs5miDZLlfEQrm+R/y8MKT/YgqSta2USXZ2yW8cUn40rS bqh2fP6xBWfq+9O0+d6btkO4QnVo1I5n++gppRYpF3V83YvfbtM7UO9uZyO79kfWQerw14TzwONR YarMWDOwayXaKg1l6Un5ub22xBkN4GH/52KLvc+rOwa0fafiQLagsD22k9CUhd7ymmpgMfGXcZWA aUs0UFaTP0z3n1cNyFGb6OfdPNT0EbtsF8LLVdCOwoalWmVXTihnznC8DdE0rsYx5gX3qpP+17/j ffGhB9TYcTt9Ts/va/voTcvFoH+456jN0myeSlVE7dJ6HLMxaXrQLXQ5URSNUc/d11RI1CKmMhzo 23o6kPInLKAJmL7X8yqjSBxPXCGh5w2MSgVmju3n78mKngJQQazVKRS2+YxczDLcBcQcuTSSDYZy abkFHIYI96whU+DkMzOwTZRcgG29WQYtyLJ1Tx8WOsaSDBM8teNtodXhy76lfEV8ptqcOzztLxFH v7+z4RSdLCEHIhEzcEeyxoVXPIDzD6yLoCg34TX/3qK0AX9sZo/6BKkz7fxfr4Px/pmvscQnY9CG vLar5pTdwQaV74Dx5Z9qGqjEbPQLdGgabtpUrK7BEhYJp5EakaJHrI8VEJJ6cBct9mjkPv4RzFdM 1S7jvyK4DUCzDNDdkUC95Y/8gX/B4SKBGjvUWCIKfrjodBi2lbBZEC3ItpC8c6gAOsvKs96IUUlm 5MgyV7citPmIfOk43rR+1B+EOP+bSe4pjmU22yza5TOiCxdCyNshWJoJPk0i+DxOitGeLMShws5e 0Z+7Cv0VAj9eJIRcJmKegyjvIRoIqATObn8oxNNb8vEMM7VlbHAvK5+G+Qoymh/jWbWpNkOckjFm +58QKLulxGFLCVWoLnO5GvS6xlH5mdkBPjhLgheFs69IQRPfDuJBXG9Ls/656blELm8FetLx5SX8 +rMaJTgG8qelUr3KXe/+PVDNjbl8PG9ttYGnjmFvMXdKRtWyc0eL9RaZkV+vmZiQleCCyl1Q7GGj OOAQNdj3snTzZzbtR8UjF+RLqDKGTMOP3Rzy7eNtiioyWMd5J2zkZNdU+t3aZM0l7yeCcss23ODD 0S2AfYsY//vCb1qE59n/rbiFdfmUsxSBXtFMWyyCJaWVVZ0QefkBnH8nx1qosuvAjan6JnHCetW5 t0pEJc8shI+gaGwoqkCmSwTAPJcf64tOll73IVbyWCjT4VgTfU+85+plzJbQ7fCiXqTUGNZKPk8U CO+UbYn7xyTCD8CocjbLeEfLao5NkMyxqR3aleZBsSOAIaYjp76oKD2RRczBeOlUdUpPy4+xuwYD 9nexSJLutW8JMwreJLoZbf8eV8Xor0AIuZ1XZQryoZfSfHN14A4n3wfIeW5+2E/Ruqq0bj624my6 Lds9wG3GyT2vHHzg0PqPs7kzFBbQzwOBF+2LoKB32FkF9n8VUkWJWKTxPOjuPeX+vJBPlDVU3c8b oO82CZ4l8mrsY43xpKgAmKcO+oaqlqqQedihfg652P70hDzLzyH3jkUpfd62pRPiwRkqWINtAJQe dh5OP76I2B8hN/tP1sgh6K6DFvQJ4slCLnWmFZxuRCm20vwxnbv3vTg8TbEFEwtTt8bqQbBX/Ct/ Ykw51qZbVEbvV/AyG74iGUcB+ZbL7c1nO5tsFyTJHyDCJGfwAf3LCK93dupnPHvK8eadbykv6Xpk 0MATut/xziMYlK0deXC27DyuiEvGPAsmYqESAoZa8+HCp6wj79rl2cIjwwQ5ddvjnVctOhVRh/C0 EFcNAAaLZkcVtvkSATg0KbDGBlnkJUuGimAvtxzu/T8pxmDbjB80naho6o0/b/vgFiWrF7J/4OGY vnP4pDMGf1fu35GtNARkRoGFrKwJSMAH7YXmOuOklee3srCJrJH0YVVN8TGR+1QINPGd8SRUhXW3 0z4CvN2qk1J+WjVJL76LDGFTLClhGI4iMJ+lm/NVBIzMUVtnGbHgPgERyjdmVnpsdtzGhRL9LJ/1 vDm5LBNXT03G97SRehKx0tGj876l7KXEL748ashHv2KfKIqpfenvrNUoze8FvzPrPfhCvZcEwmxg Cl8pZExhZlUrW+TSZOXGhhRWm3g7pC4Y7v+dLL7HzLmOHR7L6GJNBfuUpvW8+Jb/L4i+1EPsarY3 OPOUkzHpRvZFiLidDPktwJhqvS5G5xPUnYH3S84AgCtwy136YI5xL/IfvDPx0ta+hhC371cueZ5n vRrXMDO+UTpTe+Jpz82ODKri5RhaBJOzIyPfnz1AxSp6TE/QCGhV6fJ6ID2moosMKqMy/DPJMXZU KqzCWR0h7nVblcEyCpXUBFyX2iQ+kjhJ9PlTZoERWHd1WFjwHEqMfQ82wL9+iTowiVLfiw6TADhb p1TSVtzhHpJml7MXWnx2sHi3ZAP6dNLf8QS3P8aF3LQpXSbllzUsg3zvWU8QCCRaaTJ9+SSgxVkQ sEIXPWiTwdX8rGZ92FJvQ8hwFVrbHkHFAEZ/zNG2kRfoB/YvNBnBw1KxX9lvZZydjYc+AeaoqhmX CcApitGQt1RVy2e8OkHetptctnqwbnBYNEYgqfOpTV5Glh/dXFa4vCu7F/w82hYZUkRrTQosiJdJ U1b6aORg2jqYOTNW1FmcidwXAbqY3XD864rO00GkmUaVTs2CUTohhLEDjNG7s5y5kKHlRFyegN2H GdcihF/fsO2co+NHJ+9QC+OfzStKkSluQ3Fw5bHnPmv3dz9Uv2Sfch/IIC01uHIdlWu1ZbyeBNSj FMoPLXDp5SuFsDakggXvo1B4d0MaZRR1nmn5+x5h2p7BVOygr31swWUYzFXr5F79YF37fVQTXgUc rir7WuIn6nm3iRZPY2F3m2eP9NfpNt5FyL4IuZv6WQRk/TcCscB+umxR3WqHVGlt7TfivCXqqMMm XZCsQx+mq4n3qNHvN7TK1ehbyktBd1JsyYgyb2k71++FE38JVnhCHY+ikrx3VvJJ6bGQfWAbMPm0 0AhhzbZF7ngvJ7335nKwvoJHtRt/J4WcQecSCuWeqa2mifyGvCMakWnyvfI2uo2hRsqDaqh6sT8H 6OmKbAUPFJOQ+XQbsLv3DjrV+gnw9kreCFm0ez8gGmQlbRzjip0LFU0pZ7HiXxPsAuHE9ztdPUm+ PEyviRGWc+jrArAKt2X9x6Mvy67fH2Z4KHru6PaEIQwvr0/qTIr5mgfos3FHArd/pRLuM+QqY6YT ZcHQuwsLotIb0edhxIXOuEHm87Jq3sJnoQ1xeGwVkcq99XK8Q+ewE/As+Aol1JMcRpk/vG58M32T xSY4dU3YLmz2fpG0AkFqbe0hIL8gk9OFS+2ZMNJIbrYy7gICV8E2jAFRtxbiP7aA099qEWAiyGeI WTfnszK787akjoBKqOWcDPU/40XG64ptrfN+pIlzUFESWDYfjHf3WqsHHfhnLUXVBO6RFe1wADet xd5ptxj2yBi8z3assD2IxwSgtHoPzbBFxYyqrNc2aJUjgi3XZMRti7zPknD6QXZ8IH2lAJybz0xh ae56vAPZd/KPAPWCdK/7s43QdmNgxqTC+kPieU+dE0OCuLglD0PSPoag3TbbvbQgt1Tjlz3X4yWC xKek5HezafK6w6D8wG22DPftmbHaIaQJYiMwfsU3cBGSiunSr7CfnSRWxghlhJxPaBgyBHa6PTgI gFmpt4+E+m/arUPmBrkfEpVzSkDsmXJf1Y34xeqsrlvwJXvWP66SpXLzR7IMyDF0icasGtH2TBv7 6dtOCJslZzcNro/N5KcXi2sbmuSgoiZpFAg1kWgrMYHBhdQpcSE+bFnPSuNZCGghGTzhNEl2Iytj AQrN2CpIUsmFBrFAiIU3mcvWWJ9RiiWvZXdltMgZucYB3Xbv2Be3e9HGTlePZ/YmWClujiMl8j58 qB2NUi3votHPIi5W68uai46W6Q8dcHOcLawoIeFnEsKeRIx2TU5f0HpPjGbiuPDj/sieYeVpRZ13 Kh6QnYiwY4qc4DqYtoNLE/4A39jrY9DBpqCDl/rSoPEFPwLoiv9uQnWzXHxvI1OIW5YXHpMd92Y5 E7aSRiirq8grhmxannnu9JmImFbd0qWhKwEoCa+BiE9wWCQxyCc8Ctl36+5WssXd9HYJpiGLhqbm /oLZzUE+AcJ4dkNyU6mJWvqJIO4FTfxqC8EgZtddWuQ34IYQof4sTPA89h5gutmJu1Qe+b+McVvf IWNrPSikJH31V3mMeZNzEsZ+hj50KviKfBXpmS1PGBqGi+ljJij2Rt5LZBtZg4DmH0YO1ALP2Uc6 /Ci9upAz9E6jxiDy2svX0xxz3ApOoxeRIFtTqs243yVNGXGkNRWqIccRxbU8R5M0ood3W5lQC3h8 kHMIgEsLQiavaomeQ8RJZoUxngnnZ2mfh/z1mUus8PZgSwwzWVF8okVuwGfvUPu3jRoT8pLYj9KF 40ZuetaV2jmEOjzOXZvRnmyG59a9rAEnAo5JEUuZ7V3PQdJYUo9KaCrnl9KuthzBy1klauIaJJa1 gb/ImmhXAG+dd4KlHtUZnfnXDeJgYlgzln6Bg++HAVfcIE7/cXXQb0JzrWqWEt4eAOBcIFf5aLcs 7TRZ9y+0+C6oofbKD1or6Wm8YX1cNtszO6+HzsYYGFRli1IJEXNuU9xY+hkT5T1VibDv3glLd2iC I3p7Kfj45VVNscoN8S2IMkzAAaDp833vuZT/dxrigWTWWd4FBBb5oIbzyeZvarhiixZKaCjQzgXF HQS/+yarI1Gvrhxq+6zpnKM+PbxRfXKZDu4aLoBH35kbmA90P3QBCKrzjlthKJgORnOTQyxrAG4r fGYJiwaVj9v6NEOd/RYXhIwCj+zb4hz9PtIev7v3clYjw9EXiv9uqh5cewOt3Xq8loW+rSkFeQHo PGonbsQTftYBi2aZNFZLb60fxw42PPVkPwL4lrT0w9mKM8xlFCdamoSSWeAL5i4GQ4C+uHqkg5SP HrmZYdiY6ZKVnhEmhS/ca6lL4z7e9Q0IpI7ejms4PW9YR6hvU9L2slzphhLJ3nrD8mIIaVLx5WLx 3YnYdr8GWq/PYfOP7gm7u30XxfZXT+HdxnhJQNvx9h8Kbf3RecaxQzjn6kBg4HIQMaRKGp2jV4VU wBnrNqQ5ikVn0BGX4aR3qAms8vlJDqE88yV/CHYPGY3v38u4Sk5GkS7TCJpF9rFXuktjrpZNHHC8 1hIRS2aowtS5/cpevUa3sVSMXmeiqFKe2CKiuu8oAi3BnqpOpyTnkDKWlDpzQstiasf02/9bFohV 9HWL4NJesh3tvBE2n/SWw5tjUemk2OPVZxxBGIiuKdsEprZFPBtgAWirraj8tcgxKrnaFYXag5hG +JVUk10eGUK4nUKK6/tlo50Xb9suyjy3TgGSZlxvwbhKjY6sD5/KlQWGPFYifRvCzmz8R7Tny4ze 2F01WFzBP9BfPDt3B4SBTGfsrgo0fcpYSXouKiDXSCkoWexQXpuyDMPWIp3kL3pLjcDizMCAnshC McvWmfyJOyNsjDN3IH/5Eam0T9X1FHh/E+KGZRmKnOOp6f3ePf656oxteiVX32iuump93RA9c1MP YV00pcRAv1PZjHo5xj6Za7JpJH5/HMK9kFKSo4Iy2laZb5SlGM5+FmHRmsNXGhfNqJm0TTT2A/UY f+DpTdFPnwvEtNGBNKM60m/E3Vrska+KtFduYDzsjJBblPXd4ys9V4bDmJIAszgDzTHwBnLqdaR6 gILAPR+hMMAJ2EKjsbdMSJcUL31dKVZUTnoLF1/SNAqXDT82m/evFl9JrqJQp9k8GtuO9kAy1aZr 91hgjDdNSk6XJUaEXwadGKuXV5VeOmyXlFylmO3BWw16aazkgMnYgXK/OxwiQPqPYzZQ+BZ+OEQk gbUBPdyFJ9IiZRUWPQKv2TyP0oPSFmStpv57sGhdy4nNgfQr3fEfzEDdlNeVlydK3Vm2AMZhV0oz K1xh5YAQD3lxla2olG6pL3A0uXS2VBor5FO8TLpUyqn3oIGOYAmpKaLVZK6c3+R5ZYPOm7tJEQxx wNwvBF17IkFiRbZl4uN1K65W0psOB+YxaWGz5zcwmn6fbNy70+WWIgYI8lFIv2YzeVqiS7d3dH/q E6bcPVah6AFA+HdgySBV14rwvMWs4Mt8BA3V02PNfW5yazKGmUrmXzyt+EJcfWD6tU1nzwtOAs7a rraJnkYzUexL96l7f2HXtrhEA+YwvGVieSRpCho08f7Xx5NNezmu2yIMma21tQJsp35fVNcxuOx7 OEbyEy+zv669rgxADlhkccyCT84tZoLtbZmxiBMh2YSoNxaFe3iBRNj7K9+iqZO5BHqET7Tkfyj6 rm4TB+gvhcl5J78MnyH/yR/cTYmR38CfhIaIKS/Cc5Ca5d4zLKHMJuuuInD/rrx9jN26fPb23Rq2 YeqRJ8KfYeuxhEHybz/Swy6FY43AhxBHkaBSox4kV7NeyKc5MYErAedxNTUWUjUY9wV2JvX+t5S/ QOVMSq1PNtY11JLUf9RLyc4huxKBq9/hdhKqSZu6Kv5+zgA4m0dnK7zdkMpwfKOT7Gob3Jd2Rv4M MQjj4pacrwvbWh4i+JLG6pZZ/DiybGA8kuR1jKrWR/RQBTxrJ11bYM5042pvI2aheQO10Dg3xWNP Pa6YR5+m74IPB2aKmeeWoi8AilhoXe+q4gwrY0aoDI40ma5yc1/KbVAML295Y43B5wzxI40DBwkR RIBxFu5uTrN1ubJy/hJC8cjRqVbUecCxl3mqZWeS9Q+5c1naBxMt0vRN/TMHEX6J9NWTIRPgxDM/ JVMyV54xe4iQlZ4ax9kUKVbkplT99TQvSFrH4w+j1iWtc6HA6jnJk3BrUenss0nq9ojxvNgEnGQF FeruFz1+MA1SvCZZHkmqAQnkfFZxpHjWBWDGkEfYR6H5+b2qka1/1KzkK18Uy8V7Dq7aYhHpbhau FNOknLF5GSYoAaLGoprVgghhI1iOMEw2VKTqYX3eG68FuPk+5GG7jD6fgoux00H20VTpVCNTEOZj 9RUsn9oLGxKgzyw/VuSTYVD4DFJCHb8MbVQnGbYi0T8By/Yd8rN+QyLOl9YSxIloLJ9HVPWefvvK BcuUbWe/abf24LKZ1GlkdkDZklp7Yld3ZfthCqmM0V5XyJOhMsSEi89DsQLKbovTcOrOqXA+kFaA Tfy8wZ5qss0b0kScQjqTaAakb95xH8yWbIpSkJeUvIUerCz3i15WgQvjX46rnOtBVRQypC2cEROd RVLIC3QBysDoPrfePupsKXXqO0cIutXXI5fTkzeE38V0B6x0nNV45q5bAbBeSrIvokf+uA8J3xCd LphdvGN8sRmF/wVSEKI82qTmisDehfZFaz5ediyEEzQDx0jCGMrQizTTKuNut+YxBB1tUr17kEMs VE1RG62oiCLe3at+IuotFbewx1JSpZXOoaGxI+O7hNTT5JKXf+Nnk+GxraRUkkwfV4IZmCOiyb7s qJOxIqX5GnJqTfxHHMrJw2RyacRU4cNVZKiMmOrCmBAGInHlU5J0XStDw2cowBjL+HQK4rxmS4Ms eqLYnJtTJJrGS15078o9VYqn/7IEEagMg1ph5smNQfmk+GgoRv7gMtyHffGcKjOKMFrcJMAKkFuK VPLZwaYdb65Kx9R1n0dE/U0CFQuNt6ZpzLzxa5AJRT+ZVDfcQzMUWGeq8RiKU4Kxq2nELmvpz1x8 7p7HqSmskID1BwSEaOtSYgpEkOefmuK1wf1yu9gp9ygL83C852YDu35Q9V8Xd5VLn3BaCg0E/Lib tacDwYa8tuGDoB2aRRiGWTUsznWhH5e07NtEg7PKzY/qwZZ3k6r5HxlwqVwfzxW6jKpADMV1QI8P e/W2N/1mm7bp1sdnOFO7IDx2qQJKdEd39exVvLjJqvOQ6TMYNTxIYoEGfolwWenEymAiiBidf7fq y6n3tsOaEc2MdA5z6OrzKtFokTCX8zq08taMQUHbigbS1wmgGhWq+kUVYKWEBK2Aa1owi9YQsSts B3rR0oD71k7NwIa2iT9tz4ww8qH+ZYTnJgHbx5878r6qBDsgkh4uNYX9BcgSCzmDh8SjdPURiHOC fu66UA9rSUthil4N9hoB8ziYooE0YmMZWRTCDczlCD5p3fUbffzSbZIGJ6SMl9RF1nWJwLbssU5W 5Av1U9y8D8Cp/bVJdjir3TZqsTTbJSE0BzYMIMRR6LHCCSDUc8VNwaej/EvoTxRbPWg+w+LnQfpw eC7RD/8GOTPuWI0lMb7wuqpC5IAIfUPu5jh4h/gXGw1K8GRBO9FpskA0PpTBplUEx9XLVjGEQayR 3jA2umnRxCRTI/yEpvWhOg7yPoK3A9VFtgEm+4SkNEifV4anRkkhC166ACryt/dKAf2SHBGi4rYe Nh+/S+qpVfo9V1Uz/S0DhwdU+sgvyoPqdcIPvuG290sJIOqFvmjFSFPsbMjWw+jEIIY4XBUsjsan o7xAHJ6btC6XRjrlNuZiyDORpQgTeUX1BmvXVrhHfGa3HqTpkeKLPpfXHvhFWjGPsLVAWZEHg4Yc N/dVF8wQL41tpeM1cnZSGE/sXo9n1c/GxzpD1Gydj9l77I4NEDGmz0JC3egdb37zCrMZu5111/1x RVBtCNRXWMXYrXRpe6cSyUDR1Wa0C73W0XqIGds0WsgfRIcn6PrLvK6IhpXdCBPipuisGk/yNvaa JTiELyWMxH4jbCVABq+XE3FZCkem5KpIMfmX2OqM3ktVR2wCOPNE9zsoElNxH2pHoU8dSAWeZScb LR59hwvy8uI6lRpmlIcN41EeyEn5O4aa8DIUT2j/osnzAUyHBMDUQjRSRNCFvgBSHwYc92+YyJif gk+9Zth/JR7ev18jYy+XaWNXa5KBJE503r3np7+eeCwmOaJ2QstrrXXg2E71/egHf3+JdoQC7PcP rbKkITirbsjTKxOYLTmipV9LDnvluFBWashfOudXsPf62c/3ZZ+A0xNtJm7In5Qy/mvXcvyiG0tp pRZzASGM3poGHK0NKqZOU9vPGuV2ssYQWDhTAvbRlgWKQSo2O3jNQTquODhzQ0DQZLQ40awIKPg5 vGSJLHkX/CY1aqFzz42kcAktbX3KC1b/IkXcSgpy6S1GrmcaUFCI9wS3Fh8ye0BVKFDGtkdn0ra5 CEhQegvLoSz0eQFq7ddaG/SGepv8ca/44wa0QIyeypwcl4PB6xgOR27Qm3kZywxCeaTNj4TLOl/w zGTp0akaX5kDEPg9Jblz1+92pNjNWxS/NEYMyOxFVrkK7iI1QiXmY/aFXLImlf8btqjWgIaf6WRr D9SSW2drLdV5+Mf6SQDIEfy4QIqXPF282mCMyS3uxriheyL3X67oQ4QBbMsep1TMhs59eP6IUynX AB40Z2EZ6n8OUNVPXfbLyzQRREynockwuseUB+MvS6icdmgdHyTc+xqfOMt4j3xbj4L0PSQJzPyc +tmcgvSGEgbdcLRk6ug5kt+lSxVDILUQdoPoE7Vtlb4AYa4kqU008gH75FIjaJ2SCGNogADkzHwQ aQF48FUPqlrMs6gm/9ruTCtfOgA1QEvioRbP7RQFvmP4zcSn5gxFdtYb2/fOUxNxpR+PnczQOSyy OWPkKCPrw+73RCOoWSpcG3epvBNk+fW6q2O4VrdNYlMq+wYpRC7PXrY7OQkmdOGtrd/ekbSZSU7o Y3BF7rAJYQWGAkWnauabKTaw8PqzzxpU46sHFUrY9kSR3c8a2bGV51roa64Qv5FDMSFlWT5avV1x nPCiDl6rKXW7QX8C6rrI/hujIHuzPFHHqnXbeHVNDx2SHL8/8LunfRZ7Mn94YJ80bOdb1kt6QWrv 9vkS2SznliJiwhog8SjpMadJrE83wf9593rXxcLOSKJdBkhbt/RIPbwA0faPInGyezwgD2/nSJYD v2DtudPfvTHqjv1q8IFVEOqWmcEqxw+6b2o4P1lrWKniwmWWyu0NzoSlIL/VMccddyaR1fCvdfiA zlvRCORihIdlyH6XsQL2ZmINDQK77+KkZ0cnnYUg28XU5uApixrPjytMfVmdGD9iJBCqI7viD5GJ 7LIysr4/itN+Nmi13HJy35MA8x36G4beBHvrXyZl1iYzIZ0T2J8O9E31F3RDX+roUmHZ6YUSt8JB vHuqleuZfQanj5RKejlgpAgjXk59S5PgjwvgHBi3vbEkjnNebBlK2jFXQ5gFj8eZHqCj5L3xTI/F PZFNNyIh6EJIXtZrWAUzhYgR59Ms7gZCc2ofIQ5IJStbojO0uNqWrgfuSHWxV6WxYG1ZgqUpvzP7 UGLwB5SvfLZaP0KxFcfhfAYL7Ykv14lM5ayOPTM+jiIY6SAy2DAq0vIdkOzS+UfTlQyVDj7tYMWz OUeKbDiUy4kdD18hbln1Tf/Rlk5kLqQ5XEBJsiazQszB7Oafzu6EcHser/4qI7kOjqxRk0ic98Ne j39mvchD+Dqt/jWIxFM/UpsQK0DbY8TUE9oEe3B6hcKOQE6VQ4zxXbznuUFU3+WagEtzs78hVDkh t6/C39vjt5mElOFkOkKeOaJgHQyiW3WKV8KDHKk95bAfXzmwmjCKbezLuLk4oCtBECQC62bukkkm Mibth4elyvQktYFkyJGyrIjKprcCm5lFXy7Bf+8NNduThI08QliOjdkOPfaiZjFf7UJkxeSQ8qFE CKH0NaIZunsrQ3bwqTC8QMqa38u49aL/JBd5KlY9TCCZZi36AwTfHwY/Lxf00MATzzmWFpEtO3Vu bMW2C3KFrJ3F4hEcVLTq1LLT28U95Z2RVGsW/VcpbnJ2n++vIGESodA1S4Oqi/dYmWTUS6T6m47o ztJd3sJ46pwfGv+AH4rucVehSzr0rehd0wC+Yj0mdZUbelZLKBxF4KbLQ8Yr/K9S72DD998F7Yga b8iHZKTvzaVUBq8w/wuq4hS8r7OcN+/3kcnYvCLoEDkxGgD86xCKgtncVCwuTxfw/UUP3YIkYCYF tSlrkOQxXRyc8frOVvJPeuESAc6cX1JxFAUu0P6C0ptS42/KAc2q+6yKDcHkTD1P0vYj7vgkPoMN 7T7jxC7sD/gfBafWHfG78OkzvonLv70+jHLCYGEcKzVJmpBzj+rSOejr7ACgNsDmXvZ13nlG0Emm 0NDsyf7coxa36osOy0rabn2OP3nV/keIqJT9MNo4Pg2rOc0X6atIzdhiBnv6JtHBlNMSNPiZh2he iKSDYU9Ddsx0pQRT86J/GMjJMgVEw3sda4gbbkt1KSXXhCgw7gOOf7R9JJjBA/B87OHWlmkdxtIo s3Q/9yVETyieeLDJ0G06vqMH2CAp7JMaRQN3kFGxHSe24HCAk5Gog/f3crMKCVZcmq7Kja7oF1HP f1ioaZyDl+3EmD0gVb2S1nRsEfjhuAmFMiGdtWvTE6fT4jH9VgoD2xZUsUdndU6ASk+7MsKxhO3a MVWM2bpYOnT88AzK3iLP8neYQXnd4iZUf8uLGe12Az7fuJkIHuBJBIBhSfMA3YH2/QKLwpw+RxqT bTgSkMA8N74Qke+p6tgjjldtjdjV+O3uyMgXJTkgUYvbl6qXEMWsp7V1T3APBBb6Yws8XzCf0HzY oAml80j5UJg3nCbBCYQBRHO4cRAnrEOHDsgyW51W4+vYWc/CHRJjverAEA1lTm1Z0V+RHsSvvHl8 DljOHwCBLioKJMenMX9wVW797su8J/z2PgoYKKXIXnH9ZDwXBxPxahyDw36isatO3UKI4HhS/fTR ojLbxgJ87UB2o/S7APkQp+W8upBnZ5OknRL92JpyGVaqJpdpqc7ArAkdWhx3/pzZYAXmDZfeJcw0 2BiluJesAQ3WDXwH/jH+xBGGuqpphYA9VYHJCOaWDHWXZtxJvIvXLjl5XJAhoF8zdksu/d84gaV3 SFFnFXhFPxJVyiWT8yoTtbn0pxZ7Kg5HFSitvpSCy1xyVZBfExc0cj9c4EAMR0LY5Rm5sWD7vY8U fy5TCxGwOk4wkmmQBTqgQfG/urzo4Q2IrQX+G+uVL9NbBo8IpCQPZM5WYD2OctAuapNzdeNYc8/o 1wrxYTHFoSD/1SErHq6O3vfqsZ9p8p/5PG0SBYtLc2cRHOrVqXAnznxXYtRV0gOLiJpLWRuSqpEM w9FoNdLDkdeNBPFMOantfOiNH2XuGh7ebGTRi7F+GAD0IMv0kGf0Uxeg0C1kj501LC/71zu2mWy7 4xwdINVbeExAJSr617YBd1Q2WRq9OFdV8tZ3FO0ryiYvPXZQAiBUR+c26Nhqo4ugnpkbC+f98OLN PEjTqRbkYhMJ201sMgLloqpg6jNk65ya16KBRTw+FyqrTbQx4H0XGUz5Fffvu6t5G35i/wMyP6KB 0BSNIkZh0hR+ReAPaSDxzoDAzxmeD91BThkx4Oaf1RAAT1kwA5QrZWtggz5zgfkurrOxypEVnoOq CAIIc1lc0wB/EdO/4bxqZYB0sWCeyOtbehIhAkiT+pXgkwr+izo/Y38DfL0VDLnZMODQtsH201Dz I8+/mP+eGd3174bkF/rYT6wfIPqNYH3uIBQel30/1zHweZaqjc1DPVbY1P+4wVcOn/UBoki74rM7 rXJSIgM0mcTnxqbL6TkDFtPMT7NOd4zTdeUwPoiqUicJAXJdr2tMaNOfbu2IqUMeJ0+wJ/Vbxmml UWY5eHorHh2N3vwSJ3pfDAd2zA29KHNePHUXiwCsjp+3fQTAkt8mQR9bQJ57tmJMnoSygLqRTvl0 u1ovtQ4bsugirif0D9Pf1Hr1vAlgskex7tyF/sby9a9xZaQIUycmXOT+PK/Ot/2n3RW4UuiXC8nS +WgTUvtbMZaPBxeo4CSqt0D/SixSlAeC5Rz7nuDcHSVxhGYD0tKo9rk5T1Spp14wTim6oIwzIAlr uPX/fWClyODvKPCI04OeOGHhKTWw/ac8LMosd32R5cocgH4ojigNqyEZooCushyY4v4Pfk+aBPND pVPD1nLza1TzBKlZUS2C/SCvgVPRkLIubzYEDkS8aSjRicediwIVea7JGv4xNu/Wj/9my23ttVTb I6hc/8DbChTrMdhsAOa8MUAiz+j5Y2j3Q/ulGqF1tNYB+F/DftKsmFek2oS2AO2sUFBFmmsk5Hxi shE56SivUzNUS9wz06SnEayExldqn8yb17iReWsyctqNECvBkXdR2cc/taA2mmp95slKb+1jHR6h Wz5O+kAQ9DRYjXjMtkNoT6LTz7mzdKQVKZJaKKCMBnylBrCcgxAqG7f2qHJbR2wtqUZRi7fTyIBn KioTkbSYXZiO84Y45b51L61NpYENW0iiEKj8ap9pCUT8APt0Eoy7sVuyzHFU9kDLNQpB4VCTFzuj M8KzALFwApgr2jSFuXYsqGw+Amq4BwMUYt99QVqTzkvQ6ja0N8ARepXqut3xZMYFovhXtEJsPb0U o2uXBAY7+xfU5puoGsqrGmx/bcI7TIymKdWHpP0TeCVN2/mx9CKm6xRXfL3MNgncJv976s8Y9MjW hNk70ObKR3pDqCb2tfTs9mlPcABH53cX8S7I/yuFFnL/0HBngB6HzkVQ9DdeynLp7MamlLtP91q1 4QyBoF0llqLbU9EPI2XuUDNvwpeT6qTPqdLUllIIYNK8VzjnMt0+EbWtdITuuRZxJhPgfWqLt+Qa otqBMGyswtfig2nmH35WMaMq/aUF4ACbQlDzcgwp9TzEDPD44dlzV362RNW9+4Ghwlwcmr/JUENC 6NboU2gjC2K/Oj6+wPQAcygaRATOR0G31XXC3fvTFDQa1NXSGWSaRuGa75EUX5XK/Q/P+03DDggA kJG8xe3uMXT0Oso/QxaOXuu/e1g5OdK7PUldD91N0tPKV4Aj0ekqPMrnRHtNSq0iRHDrem+OBht9 TbUQEtvd4q4PZyeoyuylAUi7mYMUcMOIbqo+211wwN9fmzUBecumB5suXjq4IDgBieIrctd5VE5w Jx/FG/eHjB/qyAdVEhdjBBE7hHBxK+hQ5E1hmaan2SHL2NxZ/TtZfNYgAepWAahMa8byxI1Zlll0 Nm1RussTiQXBzbssO804waN8oQ8RmiLXZPgebmxX4sYLcBF+835dmzClgFg3m5JtlMa9LYrAY1pw Iyf1b5eA10l1b86lgar2+E4vDbwm5YNkWYOkP4cQaccw2tacdHa2Pr/2wV9+qnxa1B1unHiQeQjL FIPYDS0O29yrDkq1Jk1Mqo2bKfHHE+EwZe2rkIPDHqG3SDPvNKUUrDsOg5+NUC2AGV+7plxS2x4r 32ml7+4TG99f6rafoh/zjfm+FzGAeQ2vsnHn2GKN+VjruzcCHhstUn+kjD/bRX9HNM/0ytmEUC53 dFLMgxVsUNaazcGGAXEg4KRML2SscffCqe34FYpUd9XZ7PTBaLYSIHPsXC8nSqSc2QaOzLjb0qC1 XMNoZ38gWuCLbluHnw8FHTtpPpEmq2ztrsCPAGIHinWt6GPUoJH+odIR6F7XyRybhc/H72e4BOv4 CzqJ+1h4EPapZ3FafiV/S/CdpHa84WAtCQ2XygmeVxMxgpoXzzUCfCBpCE2wkXjToNnhgq3GjhcP vZA636EuHk/n2uSfsK1dd8M/owRuEisoXzVPjcx13tEoZIwQmbhZFFPBQ1y6XZY7F0EFB4z4JmFm IxdvGujrSfxjxSStPlG5b0Z5KCT3C1CHFhBa+MUOldA362x3853doe+67ZKY7VtMlWFZH0knXJCy XehjPDZgz1+DN8oIiuPTGTRy7EU6EJknW9he5mJsdFZo3RY9WX8iIWf8ebKoRyn+tOlGcqmVLPmF 5rI6MErB5EqWcP7KW6HleVrlsb0mMV/hdU01fBcQz+ONTjWz5tlMdD2XRGHwjUZim7q3Ah2prGfU i1QwIHw4Vr+g6Lms8huVX73x4SEGKQT2c4xBl/QWmeMM+98zYQmrSlTuknf4DlVj1I22ypb/llkN 0552Af9mpq2hzFET7UWTkhPxlBsxy7cryMWr2+2flcBj4Djzopj/rlqhMSgC63KWcM4/spWfWZZV xdn+fRVMv3wOZ6Fbu3S0kTjBm3GMtLngY6EGKIQCTJwN2d5kxok/hgxVqC0X7Tg0EG+akoAjhBXD IbuggzK+AYFl51jnHyuztGfWhxSavc7cNFzfEt6tFGgigwP+1Md43ZbUaPGBa4jRg/zX/v7SkCh1 XnUa8H5XC0xTV3CCSCIwFSrhFQWELbqt8GdaY2Idegoa9OMnH7Cfw8XuH9JeIYGpSX3rBXb/kJKz FsiEBlCeeLo8ivsasxYFuXs0bqUXkNDLg4vaY0r1IGZUKQgYqSKc/NmMcvbt9kCInz6WeHhGBMab qXASIAnY1MdnO5DAhs1AVIF2fV/sPO60TBXUSVbPR1tlaQntHVUVeVOH735ows8QpJLkRjvjpfee 2+Am7MBfGn+EkhVB6TMoKKeeD2EMzJzDOetKiylVKBunXtnthYdCN8ROWiBHh8EgzattCTyi/CUg 5op+vAYgpS/Et6YaeZ5kPOvQHHUjnG07VCQQof3y/LmuM4Y1wWSAkTxOe2lAkOgbI3kKAWQH+6yd g0W1Jc8ElZQBzkLVYoaz+g/RWqnZZIf6/74/kh8gbMdzSvhqLiV4yuX2HPI4sxw44o3IaoLfwK7V TYOGFQdbuyao54dSQK9okZqjk5aQ1ojp/yn65FUOYCy8VTTUN6dndt4pE6yAPZVBfOJcR4bt8Be5 zfczzXBUGYoLUx4szabwCcKME2fo+Kd9VW/zbq9AoJa5TK7WkQydeMzG220DdHssUV1+AJJul2C8 tfmgyZmDXjQZieFdxQoz1AxMDBaL8/RP8v1qkNBlV5hGEvJLgGamGb1UPom2Zrgo6VWFKTJkDA4F dZji+YnAc0B+vH0LxEyA/xbx5lLKN9ysILnI4N61wZJjujs6fVILxja+d/QXiyi0JZLDAoi47NON YdX1/Py9/fE279Vm1PNhIPxWgFSmhtU46qR4UVd/kVUVyi/duiKOstNZEFN25MZ5yL7mZGvI81dx L9Zv3s9CYiANAiimczGSghLbLoMV/w1t4526s/aq8bVXD3YsUr7qodqzYLpg28Pyt5JLHrzqJFWN 2MXahP6/g0bTfDpZKtRgbQKRyAtekXzxjK4AX52cR+ZTrykuwPpi/A8HH52VvgM8EbnL/t4E00bW VlUWL9IBJdyy7H7eOaKJi3O8s6EdS3HZpeCmlgTWmTEKZoTY2yG8KK3d3SiZmvQ4H8vCfMOFEsUj NI11W1UgOWeKuNgMjhOUFkEslc+8fdz+DkueEr9gA7AWLvY60VvSmMQL4IklasI1PwlLV/eM5yjP w7KyUOcNBxeJ+4NSTJCQhtfuLvULwYc7do3IiPUqqV0qzWiYlWFeNv6xidjB1yDKFNXerXNo5DKs MBReAzmvv5by1gDQsgymnQ1WQuieDy72e1stlCLTzwu/+tjZcwgYhaQVfgchwKxppDq9sW55NBM1 1QGX0eoUWJ05yiJMsXhHzp/fjHoGgLcmxht/5I5crLQxZ5egMnHeo2Tfnrcip57T+QWt9QvsdhOP Lp2BjM2jOpHVNJxs1XFLLKP2szwfj8rhtPHfxCe6+R4WQjd46Qwa86UmmiRG9hW1r4Zn58dTSCZb /HctnVHjyn7Zwm8kDjsDrYsrakSpgUrhtrZBo0c4/cu474OOSvLngOXdIE16WNkveuaJfXdD5mKI ja2GwklewnYTjfJ0L05R2OiAptzDvn2EmnXf2wBpw+aH8REOOt4qAQI9o5EQs8pxdY/MD2700iA+ EfzVgQk9T53VQQehdORyakM8FECwKH6d1eMoQP8u/j7r17hTAEdsVvT0638YxpgLonBa4UWbkKF0 G7sE22FPX1CAxIeSZqshxUfV5X6HRE0vVdQDD6O/gjN2HRY059O8LBsEpbeHfqwdGFn69x/QKOtw pMQVGjkK7riQNkpe2WUaWR08PD2YM3i19uWOUgVkq5HcXPSppSEUWJUSUhcUCVrhDTZ9wNLJmVMw 4p1CgflFPjKxk08/+ccaorZXxna8hoVumc2+j0Q8EUFNAhXshq/OUN1dJn36yH/sXXhAhFsoyRoK rAaJNRbQo0m/em7/V/pYJ4EwYMPiyDNfJpCaRV2TiysQG2BAaqyo4/KdzD8nXjKxLJPTdr5nS46T PBdNK980NFqF986mroBlciHZRDTLRy9wG/EN4KluCmKtR9aHdM+yNN6Iuf8idNIuHkE+mOgzrfgJ Z74XqDIEwXdmK3IxlN1K0NJQEVmY1GbTeJZXtXkHPsvQiK12wp1ymZTzx/3oNZap9693VLPoB0A6 1/9njTtrQq7fAH97qonjqkWQd1F4EqR9f/t5zTHuIF7K4pbZFE7/+/vGBeFFmGT5W94e3jj2+Pn7 /gMG3nfwpMoxsIJIbqAn601QBFhs2m5EsXFQQw55VYfDVwmWBSzp3MDILjIOeqmzuO6PREO5ntDy OpXkEZh7mB8pKhZQjtFjffKS9vhi8693iHNp84eiQs+NcHqhOFKo0Jp89gV4GRUQJPdGMaDu0o4U qgxzSmuesGIz/uTonGMHgWey+gZxJTjiUyMivzcOVKJFf5kApPahSVgKnWo5osmI6vmB37J5s6Xl FX5//BMb97Z6NImnUmu1xm0wIHXd0rKURi0e8PNM9H+cyDMKKaR0HyK8uH1V4gaGapYbWsvg9uNN GQREwkMYUtnlTM2fN1bZ/Xc2GfTzsg+L05w23vNzeiOa8LJHH5ENcV4TFchPbTYTHAd+SRZFZ15H RM+bH2jVuy8I6ZcZZxskiXIuZpYmiOScCtBVGOGCIzGkzyrJjoBhSOUWiIJXA1DrqnOVdWQLlkNC +AMyT5OI3XuAwdqo9hPbbyADN9Y14sxHwQYvMz1ETTu/TBdePQ+zL0EDqsgNcLpd5C/Ae82tL+cp C16vbQ+bG9PDuXmKZ6CxKj7BbRN/g3dBPTdXBBcWLJirtz1tanNrq0bFjwFBnoDtBPWUukI/4/lc oBQ2Q4wZuGyWplp6PtwS4CVs2sVTSmNR/O7ooR4r0xAL3uQqdpiBob0l8zlJaXiy2DyARgOfPBcP K2iPHSSxFxOun1ow+eevlj/iCSBoLxH2jH/tTkB0XwZ1CTXWPSZDXPQhystQrShWrD3DcLUy2KqJ w6T9aGDDGdnvOw7WV5KMz03H+KnvZ4qrj5wyFdep7VXwioKrkKao5JYCNIx1XQLfL7sVF1EdtuWE Z9UYYNKwGFyn1yVWgPhSqbc8JDTE0PMtpUBWaY1Syc/hfFC1oTblYFNJ1v0ILFQTdawbsk1GAcf7 kSt0l1cgmx53oOsytOcOiATA23AQmwyVWDielDUshKR5lSAwZ59ETAmMhid/Z910ujwmu2+M8iJm EMN63x0F5j0DWU8M30DQ8bQ06L4LYFjSp68ZAA+0M1+dWycLEgZ5je6hSlbooq/jJB6GZHyhM5mb PvX7uU3+xgtX3w5nquzm/nL5i9K8IpuQV0ZsMgVYdyDhY8ACvgACBI23llRediBdG0pbcaA+PQjW O525/MS1Udyn6etsbvaL3BXMJpSyiqNWt6321tByF8jWALKt/QB0zNdmDFFr5W9d20H1+mYlUOo9 yfnkCHCuI0dIb+ftHrpU/w+/L33iS3VIaxK4qqfWjoPVll+g8EyS6ANF6ox2Vwjp773Rc7UJeD1V xGL2404TeT0VX1bN2hsS2ehqBKWItez73oE8o0siLdN4O90RfyDBtsrIqy5/tW/7LWKmMqHu+Tbz 3GbJb7PIH4f7f9P+w5BYgqE7gRVSW0mCEMzpclMMDGK47BfRB11pwygqncnMGAdGWkQ0UhbjZM7Q 7ndkMxjVOXFzK8sDEAbFCFDNZ6Elg6TbeJVy7uwPsw5Tg/bDmMiwOejdlh1j0WSurJKHJYpFRyt5 dceOTbYcGZOhooW7o+/mJY4LqZWtppHQNjrvPwXmeUdSLHy+eavYQX30y07Wb8DkOf0vM/32bU1s C8Be70mYYSqNHWlLq6Qufv03WxA8vpV0FoPbR42Ht7CQtJLE5CgdyIjSXzw+JeSejSOZLh01Wy9B Dexpsj3eRSK5j5A3ObkWZTRMkGSk8g094um7mqh/bPtNeOmABTOkwryoY0ANs1+ZKaWdf61cQmuO mBT05sVcpmq824CU5zYK5xpithMLKfaCowmMt+LOCCho/d38bb+dCK5UKywqhH/JJ8bQt9aFkz53 xJtYls1BRRA0kGKi1WZ6mvxxWaMuYroJ1mWfFkOOR4eWvFkqM7etisPZ1aJCuvr9zsrR3zkfIYjo 2acN4ZB6iC/krs6efgjHi/Wd7H/Qp3f8+++HwiTj7GvAm+h1P9EFY5880Xt9IjMcySFHEm6bJ6QT NWKZt//sj8bk/UvO95DKTIlV5lhOHTUJwO7E+2adcT446c3v3XTt2bQ4Dj3NyssN+/hAY5qQD4BX pf1J1Pv+D3/p9A8CnrcCYuO7sq1F/kWzAFeMzo1iIy2ctYU73Zbqpj3mdmQBYn5x8Cz//7Y1w/+i HaUnNqq51Y1szMsTvQV6GiC/xlKfcLB+J92vWSTV8DAJyL5nTvhSO1qBDlMF8JcKHbGmNr83ysg+ S8suoC2ZJprJQTGxmIecJvMnGYBqdRHVVfPWr51QKsBFRQkK8/aDvJEAV+b2U1F0WyTD/+Wvx3r3 Sx9Sf8M9H0HpS0mXclDGorcBb+f+CYSamRYaapRe11Npg8gqgJbvUc/VOrzImX9qh/qjgmF0FpB9 nAv9+V/ll/ePjfT7wjlNTMvT9c9WDVnYb9kT6RRkrbTwWEozCs2duS7anIhPT4NfhiQrp8B4Y2c4 Sm+WOYeVyo2xyeJKi/AwJDfjBFs+W3Y/CxDlp0HY0pmnlhsV3tB5rvrBd4EJDWnKpfVPhnsn7gNm 0Cml5FlgjPlK8G8Q6LKwZZDoaye2xpQqhYHx8ZQstjb/qKfoYt6GUs4AjTu8fD+muv12PVcLDD1E FC6bHplMcgl//Rlbl5Kh9A2s4vHOIcE/BTTbvWsE3MwQp7PUAp1njoLj4o/YX2RRJx8sYv7a28+f LEnIgFMFlyoV2OSX0yQN5wUy8Os1pfN/D8A+KF/SRLUeO5TMl2DLuNJNJt+ixepxP7CCu/FfoS+T L2FtvtorFvfEDQOyAjlovIL+dB45lJl8Hp+BVqtd/5OL9n5UusDqPMW0pC03hhvDbR6A9M62xJvI NoxBjT4v2ePbrg9WGLEf2BYUPBkvSrGQ22NxWLsR9b5oRs9VseZ1O/DeLetfwwkHPzlnNn0NnazK LIGucBUew1DKC9FjW5L42gfic+Dk/ApRYV8MxvmnSjWrT4ywTm6uz4onE6yfdJhIgmQmyJl9holu W2fb/dEw6VfGMFOdNJNl+nlea7HYKkPFKMPmHq093cUD2dxH9GXl5OvA9QdS7CWLG+IfMyxGOsjU VDzA63ImPAFPjbeE3v6mOx7vYyGF8Lw3pcI/P8WMflemAGjvDM7dttO6c/bBjbv/lUNBHWiqaUgd m96n9nob7KS8FpnsstA7ChZU4bodR0IeuqIKEAUIutaNlfjh/cCOHwPnmhpoIvYbokZZkxnrwvx3 a0OKFbZalrdM6RQjIRc1+2s46lXvcHYr3F0WEVntVPBUbYAtPx2lXssCaFFddUGV8mL8YAWKbJd+ sXVXF9FUFZgY3xtgKSvAI1I5aPtSJPstPameYqpaKFPfJSdomU4bOZecZAKwBuC63t85hxxdJyYj /4B85KXoVn3HPWQiT7vDE2FHF6jFJSmO2jBIv3uOvubIgotDq8zSIF6j6uLf/m8DGGcob672Mrel i1/UGM/xVgkv3MlesVK7h0SvbpC6jphFy4vdJT1q8ZVNz3uM0UequHQFM8wBr9hgYXeGBELqHPjx 5vXv2i9n8dE2ciXA/KAjIWZvhqDWsoEWEYj/st/J/upPRT9ZUstV9EG+cv0IuEUb12yGsm52JZPb DNbZDFfHCoQuoB26d/iLu8QDdkgcm77p0T0bBJgv0r0nsuRS2OrgXsnGXxpOScS3+2CuZk3wWlGz KRA25Z2tdxadAtmzvCCt0SCuiJcHW9/zP9MNVDvoFO4u31yiYWJJ191ysGvPNRN7ILYj/epdCjFl 8Coaj1p6LDOjge9WMsJw2eEzVOtNJD4cnU9i55oMbZDisTIPDa7JFVFW2wnTcZBuLUTdYIMzRQjg 1D/QP4Vi3vgeQYR7Sbc/KoL3Pm+qGr8cly5aeW36fbPXgLyiiNuQ3a3XF1PWl4gXA5wvr+fz/B5l N4uNpp/IYIx9yEaIU0YaqBqY7X7eR4OmOjnJaJLL6tqO/bnYYu5+8Zxr1mRNDVEAq3cODOUerlzy tdQwOSeOsxI1wf/Ey2gwuh/TWvdFot0zJ1JnZMLy3x0ynNzy5n+fcPcID/Oh1gR+iyOtwAGRQP8h FkVTDqXLFKIND8/evcDI0UB5XiQS4GiqVJT5XxUu/fEGXtFkv3y9uGUegEquGnaT2x3XVUM8W+eT ZlgJuO6Y8A7Aw1QvM038C6jm1lj2oSRukJ4ZEcSEndXQBBu8YskXQXBBXsLDYS92yMhpE6m86AMO XnB2XE8icLwJejqIeTNUigQxjskGzXBfvugXwJf18+WkqZkLX/GrE8u1VX3Bo53n5OKqgFmksYZ+ kWyUnSH6mbsz2OjWptw4lVpL2j5P+TIsSq1iO6SwQ9i9NK3TpxUgyLSOfMrFDSy/lZOLbu4cQtJc R9CRG2ojBX0WR7q0VvMSefbVDTzjJErLPzmz7sQesyAGcI5+9MTeDYzOZUy3k3liCjQrsG/3rHqZ nHOFLVkjmMFQ5+O+cIFQjPFkUKQ6QCN5uC80EIA3QnESzzmQ1lXSqoiKFp1PX0ymQMIDQXYdNpc0 +e1ANhAfaaOWkxYYZqEdsyEKFYNb2jcU5SE0blSKBgWaJUljkkdSqgDNxa+O2SYg4sim83qjY7SN 9bIhjkQkNmsnAFrXwGzUj/iZiFdQI1yAAxrsSzerDo90QuwFP4cPnfexjPmA7wbfTSoJZIGxTv5m olm/xVH1LnynrhUyZcJgthtTWXARpcQ+N4LQW0uVCJWUVaHF4I/u4SLfSyz52HgdxuCCLLGKMewO 9tA8jHgkJ4Y08YPHySbAzhiX3IjLBBDmQcz/rcmfNCkrIx4FPxmx3umYL88Hc/RMpRxaM3ByieR0 VW0yAUg/Y8fwGQVYUMjP261mGq85NEMbn6j9VeSmfBh11f0t3YVqCQR8bteschpJhJ+kSNckSv7h dtlGWG4bOfAJEWoSDokM/ORjbfhd+diFgk/31Vd8gPwMgC9OxtzSvNqM4FbTGXVD5JhpL9AS5DbC G29hvb0nugpcdlEBj7fNVzvktUxmXaQ/TfQr82Sx9Vtj9+GCIf8g2vEHcRN3QWJAGZX8p7kzj0ca u0yG1nc6TJmVFqnHj2kS6bxfsKKOTvSzaevjRrqtgGsEQoL75xMcbiwqtPtdkeOV3KZ5bVFKfwSw 5Y3qoNf2VUCqrU42ymteFhX7IOFrA90C/tM3rMudhChxZzRAJW9+Sb+8TUILmuJStI6uaUiM621h 0gu/GGxX0tN5LEeraGcw9qV0lDZaGs9W2OxFRojsYv/I3jPc9nDxhA5n0t6W7caFqlp96bxyqEfo aX8AbITcLYljDsX9xbKz9PFbtoz7GXNG9SnAvjIz9BxUptV8Ok7xbevwCFEw9wyfwQFClxLwRbTP a6CsUtc4n67vR/df0C4pHzsaSfGW2Vx/KvLm3w/d3vgHbvCZloK7mwLPSTseAuHs0wDljYsHVL5L D+DTBc+a3y8Vdh2JpMRUTfZKU9dysqrB3RqoE+AaX/PzrYfnoqtU7vxKw5CRCuPUt0qhhaxAFj4v SuNgKBvYD2S72aDpaacyFzEXYqqXxnDH6Ffh6djubNCCUOJAp50WSjEsbhjrpWKegDMTjHKy2A1o XtkBOb8bPY9QXkNlwWJYV2Yk3fVCOs+9qSevbR1EJ9do3liDioOBXXsaXjw0TggdBrv1pInuTD1Q nkc9nVOOFSl6aBQh3h8fbticth5FEL2LzB7RERWxVB0aXvKsbuO3iOS3kihC5IViUCj5Bx/ucwUY zCvN44zOJok1seKmjr7lz6OnvrsXZVLjLuzFhRVpYM3XYgbbTMGwIZSjU/znlkcrC4TXbLa86OmC 1Ew6s81DNaxEGeM2RiH+CgraKiv7woY4//dFal5Wujhj1NvAmDbVxIWa5Hg2cG0fD8URVr5Uhnl1 0MW/21GrH4kT5M9HzFvHgnotRHkX3gn6du/fAHolDdnR+643dCa2MAAneV8hIGAHYkyOx3V8y3hN 4l4O4E5EULjRjEaMxbiheWTxttB0maiadgcx+6RRjWWDPrJIqHRJGMpLhA0Joj/BzRfZfaY+MXQV gd/CzxlfTccfTlXbawd9VZMIcdT7fWd50XqxipnupiQlqE/Lv7NE9WNX6Wa8oggoeD4rNGBlheLZ fYEjVBnuHFOwbQXvym1sJ/kc2BQVEofjPPxjOu0w1AYQZ9CCnaUy/5PEHAHkT+BgQ6ul98lPTOep lrTXsmLUnPMlTLFTZfptwLeZHV0XVqrGnO75b1PKawHy+5KxFv4LsclukaPf/ve4xGnMjo9YTG+l oftC0lz9kXDuUvdV7Q9ZadNY+H8khXUxpEzhly/s2CxasYBLNs2oUa4jSI62qFwGHDHBjHJ8T8lb MmTkZeqZ+6xPdth8Elk72ZC0GvqzFvN1nw7KWeH/Q3dd2pORMh/ppwxdQ4rNWwdZmNCsp+4kwjR9 566G2h12JIGroWlLkBvUPlO5tkpViH9NGcrkkBBwAeIT43NmEKGMx500JzQDcvB0erSQAkj+4NSp 3TuYtcu1gpdRTk4ojtaVjRIkWbEHgsNPqZPDPhFKAS26ORuiBexmW+vOhNDlRvY9GjMNxyZt2Heu KuLZF0H0HZmkNAl6nJm5UBdV9EwzzdZRJb+guX1tL6L5e5TpVVRITb172VavkF0Q4++9yUF7tKka 5E90uA5um6KipMc4Ykeqg0s+9ZECQVmxAvt6el4q7i1APn3E1/2rd8wdLKF7mIm8bmtuUWuR9dF2 3+pfQecqme801+Fk1kjmeHoPm9dpMZH4FPewBD1mWE5+txJRnlUdlS6TncYxaANbapE+EvtiqMSH TuIQd0KQZ3OvBkVytTacAxryCfExlgYdhZtOI3xuQ64jHihAvxW5Q50mAs2h+mK/mh2M/jnZnp/5 jzzhb4jYzEhtBrUvZq2rpafuHhCiHjpBSsLI8LLkzmpRBgw9JRbMwmZDEZFCxNSR7SKYFqlfrduv 7CokAn9q+SMRWJME8SxjQnS3uQlDstviS+yZaTdBxpCKc5muo3KyM7WsVUU9T1uF1+ZcW34g6r14 5WC2vm5B0M7k4u5UvAW2NwoTlqkQyDed7ho8Y6Sr3ImzZj+mvBpCyJYWBEzy38L1vVkS44v9zRh3 E6uh9zsF4+O16NOo1gcq/nG6TNax/Trmk4ZVudqA07WyeWJvSplNSpPU43wSoydXCWcKUiw+9e2i WPdXDTup/Q06D4hGX2wY5rlkL2vPWLCK2NT+qnbsTvhuWLwKu3aj8JdofjZS6ZSKW7nItLK2GCm5 /L6/bX4D4oEHwRhFdBMyhfbBjOpFc1+5z30ppsoBox5ovEN9Y90lL2CYac/xtqbGT6ti5+m0tB4k kWADfsI8FOfsqEOahzcf+d0MTxgdbXVYZnIsX/vAn4X9cZSL35kN4x+GAWxKwfNbMkvAZ4TUclbu YCTeBwxOtyaJz7EW/t0tCSe1+uN8CqcYzU9l9wFcfg1GLLtz5BrigVVWS3PvN2Rf1sVaTxglvVqR 97W/7AXrCq6XBd7lWF7kYHbP9P8tNB+USQLRzIvl6v4poptXsW7YLITGTGlW8x/3w2O0IA8LorEg +TGVWCCmlVPRcrWLiyKYkkGRttko3FGcchXVwdKn21JjH7JpadcAxUwUyDlMmfzEOdcV+HnvrIkX 7aGB3TtEnb1SAb41odn2XcGFckQCtt6sS9Cpi/nFwTS5fLBSb5RprgNEe7vZmcL/mBU5vkP58qKs cxNIOgXcimw8xzI1hTTU57WVSmGyFCQZ1TAVMuFyFsQ15VeSDvVMmk7gS5c5gKxaSfBOy4hr1Os9 WXQZ0klCDS+AO5ZLULi7/A3UDqDJ0zt115dpbiL2abeY/vP76VSAAbvQM6NNaGXmo/cysaJA1qK6 fmnK9eRYdiWr8ybpFi+Rh1kTmJoAvoGSubqszhAUh6P7F9yaSsSo/hmlMf+IQhFtEiYBhr4y9krN K8931FaATWkxQaAXoSLpscAz1DiGfu7HvR8OsRhKJBZUA/GVOqtbUGSMXY2IhVhbjSUSRejX5QwM OvhpJhN5r6wpZX0xO7KtEq9CUCgPOh0P5hZ9hcKb6GFcs8FusgAdSRLjXM/CQ8zcj4XuNKQjRMXt oRg6U0nyMtgV+MF41mYJjKGO3rwsKnuB0i+v9Aw1IOAdl4xoL8vPlhXDyGkZYzEgENyiwjgkZPzP SR4DV+4179mSCVLQvXQmuh0kZnhTSWQq4+8EYf12/N0fBNxkOKDfkw6WC1j1sa0HFUJg2uOdtlB+ R597fKYiBIEUEQ9jqL4Lv02WLOIyULumUgmNj2LIO9m6JISaL3jXzzWkUjqrXoJLCL21XyuLKvK7 1n0nvFUirioGsqJ+gYDIpN4crIcM4GX8M/Cd4DbDgmr0JpwC9bUgJb4lhzasaMMz113N3+I0oECo BQ06fS/qOAHOQ6J72U4To3XJ+vUq6/UfpHeel3Xj8c76lgAc8TthtHkl8CQj2HnaNhPcC5+BO7Rt nraiDfeueiAco51j/2nFqCEfYcZ6drmetFW14Vih/iPDqj0P+/bkJi75LILlhnmO8x28Wq1yk+hh 9v54iWypgUUdrmXHLkWAqwiKOXwGiZugnoCU1mOK1yTG5e+3fKZoSTjkeCJ6VEVFch/yHdzXEZSn Czw0vabIyrZWHvVx0p7/DuWk3FRH9m0RvMArBAo6UNPd2eVK/w+h8kKH3HFEpaIRkp9Yv6l6xnk1 zhwZLSm7DOymFo04w0EPuRWJQgebAx1OXhcEGLfrYmUFHOCGiQFModTRWilOxfwKRmKfGeoLaUXl NZEZij407s1HBGYqeYapOa0ZKdxKmNPtUWsTgFqA19yPBSfPcoK2Q9/rwQuIwrgqIessrn1hYsqd cNar+0Hze+oWCsDlQsPVoIG21cO2zar1rZiBOJzahADQ9ngiNh3U++jGiMeoPm2VJ8zOaAjByBEP IN+gvrPOKUK8DlLu6SYvxD3eFcboiuYS3A/l6jaJ+EsjnbLYnbyzIWByAiyjuJG2PLrD0Tw7K5Ay ZwlMBJpAB3qbyEiz7MEGy/hRIDMGmsSILQECCYR8b0KXXrE4b8wNO74eR9RNVTjb0JPhp8ZdgfxE M30s2pHU45DySs/XlkWW94B/PTvlFFsmW9y0qAU4KF/Dir+Uryfq+DzoOG5fUMg4xdEjmPzzyM1s S/tZPHVWthgXL0L3O2jJ2+NCU6sO6lKbOSVFcc0ZabGW1yCskaldZfO9YDfu095gmStqNJzeUN0+ nY23Pm+rthtjewExJ2MDUKolnFAIOvdsaW3JxtZbo93ueNGJhCvH8gBbCcO5q4ISpi3OoEEhrqxc a4r7P5GH23jDsoRmejZ8xNfPnKA6htSO6CGbS33OQU2T8uwlIosy/raeGBtIRExLVKm7BGmgEqzp HSpAmE9jNe5E/4piFA0561hoY+vzORCpOvlfzqbIrT8ZO7krzTOw8ilYxs0SMCI9kKZ2YLdlccXR oqRPT2PcVOzol2ILWay4kIyJu4F+K4zJ1EibpfttD2kj/Kd7oWFjxdjLuLdLAa+C+9SfU20EhFpz HN3cpSSnWKs2v9lfj7I5SjTdmK881m05Q6fWRgX9zlumz6thJ0GQsZ84igS9TXU0DcGDHUNwepBJ RDfFcweuXItwkokG3/8/THyn5+bXReNRiVMVbhG5LHmF79W8vThZF7M+NZ95Vd2KeyYoYhy0HH8T q91+eK5u/mJ3K+8381Ti5WJvdqJTK1KC+OTgbOlMIshW3zoiIi7SBJ3rrRupceap5KNY8TL/ISCN fjUX0QwqxKKyl41Z3yLShJ2euQ9Ss2zJnWNUQ/Pebqy6IeUKLsseVfZJCUsOCO4lq648cHEtgt25 VJGkgr0Z8vkAd66YIbC5WFiMstVTXRxEg7QfX90jlErtUPk0WmvC0d4W7jqM1ysChQag+MPq2X2s F/FYGbluCkTl7IcRctynull6CwthG7ExV1hIG/RQhVppKt/qvR83tBGDNoeD+qw51tzhM7Ilp/KB x90osATO+pe19Rvbs7iJ7UivsPh0ETdyWi54QtIHelRgmNnLzGpZ8mMRkAuCqvNW/fEwkNDjL5DM PFBCQBEx5EAb0tIPiaQjJjCFMS0Ri4ma8r6vzOtyKcNz88xpve81K+TKKe/ILSPRHzFcmDFxYjgL Np75yfnv4yNA/XoF0S6dwHm9ZFb48rHDsMu+0vyld5s+bA8GYeWJty78hPMTA+hsMfLOaeJHPl5v rI3cX9iYaW1OEoWquyoLUjs5AdmjHc79l3EFD/mZmvZDMc2A2iQBefLeXQ2TE128HlvLB3nEkmph D6/nAp9NolKkwJE1LhEEDO7R8XX1Muf7Hmil7PNSwQi23FD7xbzNKJt6xmCHq8Bdbsj5Vor7iDMo NuSyC2CNF0EZKogzcYgICKyKaiiBUBB/uqk0pfTtnVSKvsZupidgFcsamlSS1E9ifT5q4F3VHgl8 BwWtDw+R2V6VZuX49NB/DhncyvpmZ/y8N4uL9sn6CX2Hd+AdW9tu20rL3U6jqIeyrkfK9TcaCv2Z f+2k+d0fzflo6dxQaWShPaz/U0SP+wYAjEVLQJyOIgQIhbxxcCq4VciAN2Ey/z59pWg8PWFNly+4 GQrnlzRXRPUkZL/ziK3naaY6I+Y2fWyMNqTJ2+9LVNG90sbjD05JPEiWNHODSEi3GxTPoqICiFug cZP60lCvE1DjmdIMfOxjvrogmx9qYok85Nj14zpbUBhPoNEX4DbZEXb0Bh1ODU9fz5ClSozbtvea wFKWvdHXbOqeSH2RNrMAqRjWxHucIhqiJMASh3aYpT9qnCzPaaCCAEH0KlJIkbvGogNw18jyFJ3m SJhwgd94dI8/2ykjodxJEBMCeCmVTcPijzziZDGnG2uGpWk95GCqcERR2LP7TBAM5NYfS0ycIunR hZ+a5uOcQTqcW5jtoIojYzBevRnjD+FnfNdUoJDNj1ghUNmsLEcTt+Spmr1UslEu27wEIDl74IEg 0wUptheZiji4qGsADVHRo8tOIEglf06wTAzBsYXzgF8rt+/9IBPbxALwSve/PnUv5TrLyg9PVvDE h9jpnb9mCxzbC+1A+ql2oEbZ8ek5zKbkoZInBaqzKnlSigRQL3klJlcrS+OL98Ok9gqBDfZ2gIKY 1EWK8dZiebmeq+BPRL95o/UVt8bInrd1d9l+BsWTfpQacKJNELDgyZtO2SCJt4lkVs022HL+55cI NmCKJf7PEkcQnMqXTY2mouzbKcElhzr2wO+6DeMLxOD7xdGYRZxarupCkDEdQoFFzs4YP17YnkCT KAPu6ZPSFhpHQBl2K/a6ZC0qEqxZAnu/ocJp4vmm2k9qAjjAblnHBYBRU0Dwae+mz4teN7osQTNx fm6n3fd1s7UM85OpBI/TJxh3fs6BltMJdbkOGuLUs2DJozeYqJ2VeSgaXmpeGU4NoafafYKlyFFq 3zkTJ3gred8oNrm4J1tq/N0eImdRVB3XAH3zIs832wIXInL5QRMG8Mk8KTyqtTUn7ZQ7GVnwIt5M yAwtPoWPJrMNlluia05MISvd3+Qf1EMAFs2Exgx37Mk9QAFfUmgO08dWk8FfRARKURe+t2iQzcnS 7gJUXQ3BuYKuGCqzuUE/lfRfYhHg4GwGdj+psirWYLE3IyBqJxSDm0yDtlK0NDxVm68XUD/AOeWz HUaUq5BYIaFeXAt4SC66wBTf98ajrBdNe11WkP60bym7zDINzE9IMzKJkJTDMWKGe2oYifKpubOi vr1Aa8TD78k5EAzyNcK+zpRzk1fZXEkaPfMV9adIQ3PFPW55UXfvFKdXaTcYxGL0gS/P4zVLHKpq udS0AhhEJjYA9KjfO3jaYIU4HYfNn4FzcpInZEVOIStgfKu755BUdnLhopiVXvhNKU64qDrt/qmV BEN3z9HWuTIGO1pSdnlOa4evflb7QWLsUKAjU30XF+VXYCHociN+u0efntfjWs499llsbLvDEhWo CiG/ki4h8T7O1LGvAi/lTMdmzB5xvmp/3KS6KgpSB+kWtgCQaITznaquoDNP95UxPyeEk05soh2C vPDKE0Aiw4lN8EfgksOSI6L8gQ4xqvPzESbv7Gljyz6VWE5YHqOoPNVUqe3ndamysQXpPs4+MNy5 /GzDfvgjkz1noDLoMZV3s0Td4zRe2iZ1Zfr17JFnE84uC03pbgQRyIKaLRN+6X48glhIDnS2/5jE CKN9XBF6rHeqRGFh3LEmA7xHfV/heDrWEPQdM5nflvBAXSNz/6JnRDtkzjQ9m2CjcnTSMU+/anIy 02NNKVLVG3W0hcgCOJ1oJaOzT7pfNYRTblCwrIyeeixkFM/UowVB2hnFxxaRgDG45APkYPm61XqK iVqjalVotBVgsZn3MqI4Uu6Qki1qEE8YIcdRbNK9q+ZXhFV9KKs3XAqmAxbkjSUUleKInJQFekI6 NQmRE1lBScti6a2WWSt/mvthcTS9ud9yGGeagtbgC4/O8oPrGhaF0s4r7Zw5KsAy5CHZd94GAjGy Eskyfw/fn+RCWcg5O1kyMp8oXhT7efNmcEnvkPDsq18jsn3AX7YTJrSBpEkMLfQjxox26m6CB1I2 e5ZNzkBFOjw+1ZucgJUWLC7zQdzZFaQyobfZi/CiNolaRUj5roYM9RTGtDrvXFkSSJCiQWUOQJsu qh4ULnhsFQBZRkPByZCIPOIi/fXS6GbTIOxO+khxUo78CItybYpG6PvvyiRbzVGlhqGoOHrJmTe4 lGy1gVdmh4lM2s7AHFZ1uRwCt7EXSE2DUckOuCLaYfVGaMHLOqlRUMexUm1o0UdI3uNC8mNQcuNX kSqdJq6SonhBvz+zRObsiz511TpPDDK8MbR7ASnH36Y+Bt0fr3x3vhqiLvdROkV0HyWNm7wIMQ7H HUO9QHAemDKy2qJQUR4jMXLJMtcqwtVUO65UXVKnTH8NMDKb7FXb8sZ97jmMRNiUsVC+QbtM02+w pLkG3ZJmywW8kr4JQ3hOfKuj2iOLdGgFXSN3eFQlNagT9zI3+AdXOScTsHibMEGhBDlZltQKnB+L ddZH0jD0FC29DEFrms9ih2rMTwH+QBvFC9gNEU2dnGHhIuTXIvPMh0etidRg75E47MQbDCS/uVGV nuqWHtJE7iFJqZIUCT2A5VDY0xQOnJz9UKy+G+49VKTAg9NXqqqG1D9TclpX67TZg0h6xcb99ccC K8CGf8Q+t2gRBuPtOPBHomHTsqlAJdPL/IUmJJOs/WcJ3BP3eaA7MlIVzSwEjg6CqeLNgbKPGR5f aQxVsIK0Jf+PStdaQomvAmf+cnk8VcZJui4zafxIOdiCLvApvAJtCRkjH9XAF6I+eiP2CoOAhcm6 gNZqtUV1PFoRCgW2m7gPnOJiLAYIne+3vunRj7eoLrnupPMxf/zSM1uFKSBTj6QV8Bbrp6DqCsBH 6kNMOqgWxj4uuxmnsQzAnOqEYeAA3oFT+amcog1IkExQi6iNqn6evpCo9l8jyK4qge/wTWw24/zF +TVpKG3SSY2WbKSXea1YDsHHGNz3i6EP01cjX/ywJYfrdS0z6XY5JHxZtGzfLNYv2M/lCJzOXoBF baFanBtQiizoJZk5G/R7u5n0PLvJyFN7VtmZ18golmIMYpuNAz9DldBtzxOKK+3XLmVu4TFx5CcK gHwLS1if3r0tuwfHU8WT94s7asnhhF8rkkQu3roVThWpyhDIKIdh20+6Y+mNKP9SwjtuJIRbqPAR is4oCyesjSH5sHVl9kw2zTSxN0fjO9lk67eIT4eLsjguL94ZYblXHk1S0if+ydGdt0+0aNsuX20L bx0nQc1tpdjUNhWR/OQ3KdO2DOQvxQIchTugZdQrv0g7H/4ZMo8v2VZt2gzQj4uOpheEVC34mKGt gBczqoThomMyq7rfmUudsQhj/iYc7X2Y3zZrWuw4maR+f6FBIlEPgnEWxF6sloBaZ1DqqiOxXAK/ xcVZn2I2N1L0dlJF7q0QJ4Vw3kN0TX8nyGqNRmrJZrNXwb4LLntS8+wWpKYOrgq0NcSkLSKo7K5y LsS4QIJnaxrHpLVETEAv+exj4Fi742TloGMqWRtzzIcsvrzXvP3iYjLnRs8+zNr7OXWRPdDVohr1 eLEgI/HrngWUaKpVAnoWIE7nzR4dWxRuzQnvGmYksgruK8vsJ5AwVE/lRghkrU7Shyh+rt1iK+p+ Z4JoBlmkVZjISv6tl5MVquTtvWuoxr1/ZbRbjHJr6nTPPeQrhuQKbnxwqulNYjwg0Pol0OkxxOj+ Eje/fy/wn+xj/CTSw81ZvlY3tTyQ5SdlsPFxZ1KFyXs2am4J9wS7amh/zo5BxtHCNnoA0ZenV+Et IQu4mmTKLU34s52VfkMrUbfeoi3YQCmONVVvVpXXTrMSPr6oF/iYIWIY/xURr0VwRgy4ogzyZAyd wxs5iFVmwAWu5Qm5nsmZjrOVvJhPRm7S6jHgJSMmMc8jDQfGdM9eDSlChyQnaktN99Rrnjnykpdv s++HxQgLuPmVBv3Ibdk8zfsFcwW2u6KB7FryFsOLV4lXEr+4ckMqld/L5n8E2p7uxGIxBw9nzJDZ F7V7bcw4dMGpVBUnl/tQEr+3D03y/Or9mIL3/cRTlMK7Gk+k5TO3Bd3e25hVxJQgO5aLR49V7qzD Usn0biYhUwC5TVKuFpdgW4Jb/jkQnMJ3SGLCQXMjyhtCNWyESVyeshJQMVPTfB56HIX4PrNs6I0b /wthnNP5AOCIXIKgR894pvcl/cfD3fONnhcaV9Y0He2X5qvrS7KQoec59462sSSOpMESw4aJWOke 260CXf3La28WKANJoOc/5ilFKl67gRtUA5kqF7bFv/Yxqm5w+r9DUhqRrA515CAkfTxTi0hIvc+1 6ZDIxwis/Vvw0w/7YNA+dC2vf4XkzixWs2McqMC/oPLpYHsp07ZbEytfo8fhUegGj2G3CutUpBix mhwewaI6kcsqiLVHmGSZBaOHiXtFnS5lSCx1pvvS/4fFKnAY0nY71QlFeZxFaC0BeZgP/l12m5LI 1CeYP+3rCLk6g9YmejzzlQiKwJf0qwC6FdCdYJ2ERvwXugF3P29bxR/O+GReJei34gps0uYi47FS dRRVyZbFJhxvG6cbhcuYZOEvmXcuqT496W/OdJ4LY15YsSvd57o/W1qd2wJY96LBmretZf6CISPt TtL9ZdKGhqiA6l1Hq5lQKVXhfbw1Es0lX9mVy/CnT+2vzNlrKxfGOaOFVH7fbq5S5vefg43qxiko c8ddHscBWY7KTJcxDEa53rIOmm2nvK6IFFMksV3MuteSSTsB+0Qr7Ma6cXZwxX0YwVE8CHQeILvw 0UTXATFEJGfQR6IfeZxFu9IGe5RuFQNfjOvSxRLLNqclHLviI1FzAZFfA0O7zBL03NE8tRImeagy ygUrPdkAv7eu17DYQzNQZNiiT19M+ZX0lcskIypX8lPVm+nuESApxl8c2+HGCKoMYJTq71OWDs6r RYEjydlwD3QtJPngMAguxbEsEnQO9UmCxKqxQcUeVEwFSvp6I2/G9nCRqZFtCKeFQxtr7x0bpRyJ 4gzvG6BGLTNfzh25KcTaR+lz43MtBDhy8tQR+TIt8N3JF1xZZnywMNCTMS76LYfi+v9z5u1KR6Wi bWr48dNMUtEZSA9tiJvTjIOPeLoVDS+6N99sR8G+V8fdlo549P8/MW9PnVrQew6QKXMzuqS5NVoz DhJproc6nTx7KjBjRskcU5dBnfK+elwu0P+1Qz58Y48UNWOg4a1qMtmbHhOgqZ9QedXGxz4hnutr CoOHYE3Oxl03y2kdeJvBq8G5yqWiWOdRZjGTg8CPGOIPSxDBmGK2ExkWtXc4/W9qwPOxk98mM8ME aJT1/yGxE9cyIqCZmbfIH3NH7IFut5wKgQCPrneGNxrDRvDAXjGJOP5R2jF51TbQJZIuPuQo3J71 XRUldX3l6KaTtIm1+1ABmCemQCefrOAUP1VKClDfePQ1D67mEBj5ceauPwloA9z0teNFL/NPyhWv kA+S/SgpZ1x36d7wr+fa4l7aUt5035TbP/4VIWC4rVZbyLKM3opQ1f6ZxKXFLUr9g+UmlrKuh+9y FjQa4o67J+dRuq+kEdz+pgUTzh9x/+0B0FmKnc+cLO2rdoqP5pYb/Wd+uNwJwQ4d5eQAs12BPCmo bcVgJkOZlwZjcqIp006Uy7c/bhmLvcX0M2ItrBXV4VB69SUy/SzIxRPTjhBnVVlYHikZWITFaq2w Hy+S+8aXcHnyYLlncRd3Odw8rOZelj9lGljoSbJ9+d5JQ2BPjrtJoiT3VuQggoCau0Lew14JUoZi 4KX5aJtdvFF9Qc0UOw0tm3uLY2uI0bOIoWGHwpjWwrCqqfDefTK8ZuvHFV44iDh4u7tT+PJkpW2M 24uynlNFKJC613CxDtTpqCl/ZyYBHXvNAnwl0qBXNyx84t05lcL1u3OQK1YdsraOkhKDwabkwg9v XZZkKkcQjGZJZ3OTDHXFqIxxVfJEUtL++TLP/w95Ci+9mr+dEKK1FG68oNFXfQipfwAtP3B2G7ut 7SvXi6WRopP94tk7mGLHPxL+yCauBYkOs8pOnDPT7avWNHSvO9rtvU25vB3em7GFDyTkjGFhqnaZ qi8ovrioNhXvtxS66U4BR4mh4gjo+VqHcdmZLsiSraANzvcRLliiMXtsOmedsAcQf50e5e6ccvvc TwDCyvhNGvqMhz2IerYrACyRP/0y20nJ1VkG5o3MX5sO53miDYUlczFXYmJRttU2SMeuUFRLdD7z f1ci1Jjc+tGSOYtnnqWv1iUQoHEBAtEtTWIbky3cT34ABam7SrFtt9lX+LU0b6CpC6bMNCI9w3Dc ciu7Vecq7JYukOVqn2Jx/sZ+zZ67Uu1UUnLFuwp/2cJc4SyGfoEQOiQmXJeZ1GcEXicKk5liwSBd pVZMFAeDO5Fftc8U6WcsPZymcbf0GycCagwwpd96sATJa+t+5GgVGHwXfka5xYqzmrpip7RWGhES V2XsnYmDHOejsiLsDyw+d8TBfhke+NAgx1yGy/J8vzYPjsh3/JIQzwXQp8hqYBEh/D530AccBw+F yztJ9xKll4gnm7bVFLWdLKJYAlv8uJVd1Hjtee8eA+w217RfiSx0nwCcZp48CXlYR8Wm+RQ6+4ZT UT8NtNo+lm12mfAYE/G/5KeRr7EQVPjhonA6FcjGsZpGiDeZFisU+K595cSbqkxIGxzVXb8gD9kw SK6K0a6C50/cfqD6kc5tSYEfCezhnEAtspFF+59KTec+Vqghgdscz2glcgvX/yra/oSgPWEVxve/ WX/BVTue6sZrRy9OgNoFDF660BZNqSOV8cvwnm0jmpLla5YbdxASijV1opnOeti7VHkxNREJa+pp bi4/BYPihXswB4GaSrvwspSD2BrtmMw62+ZdpYK0KybRWMXiNmcddq1wma1eBIt9+x2h/v2UrHq0 mijC3FNBqu6NeKsbC1TY0HcKYvudONQ0HrtzM4IdBsbhTNfVINw9Mw/WtvAu5jIYZPfNMIXUgExe N6JuAse4X+1f5KJsDYnc3t+KY9lmdq3YFWCHIOIuS+q4fNdnyT9qOfXty6zRpw0CueTOfSsptFBf U3qoLb9r5XUEo4mTXlnV3WJLhRYJrxK8bHLiWP3XRY5QmOy/s9/EYIY2XA6TufPaBhRdtaGj4i7z pVE/ErVc6wEZLCp6i986ZYLFKgHOG4LodsukCS7h891IViINd9xqyN0h5baMuVKk5IesbDeCsE3D TAWLWK89qtj6gZsw3rBGu2oWM8jlqIK4V5quEjJbRUz/Xx/fc3qbmJ0DnGWNgj6zdqKUgG5GiN1r 0b7QEw58EOc1likTc8x4Iz2lq1yAGSctnDdAK23In2X+HsPQSSKMWEJ3Ttv0yZoL1QuzHr2UZORs rj+Sb8xPOwuEDDDd6hJh7oui8LiTZroFcp4ex58wtbah0Yra1wd4HSPmB6Ai9xP+BzpXusMdZnrV WA4FhjUOYptXFSy2ddFo0mQChtfdXiRuYoTbrlCP6SOPUjgpiq2Z36bgrsMR5kVagbWX4rkdRngo F7lgvpN7bw8mIS5/UT9d9SpVGTUxChhAgo5GbC+cHZpzIdzsPX3zk76F/K6ci7/4KHEM/T9FBdrn WOHl5P9vM5xozDh+YQSiXQ/zkJSxKX0CdwQbKcmhy8KgvG5YR1w438Ux2NEM5DYgW2Ttt4eaige+ uPljSxK+Cqyz5aKOsCXS/ZKb7771pJrej+BlAh6PceUYvCqZat/MMgLBNQDy2nREFC03KEqHvzKd Y1UN+YbDncrX3kb3KZBOwet3iXEu8sgIJhEgY7+ACLC3Aty4BhtUKbD96adu+vd/EMEYoT2FYp9e VbYaGc8+V26Rw6xYZO96t5qLqHo3aaIjggCqG+Hj/9WI8DCz9yANs6ygPFA5hGdMGoBiAY6z3MZ9 X5F/Ls2RrWyQ+hE9ijf0fiq9CiU15Q7ihB7GwVMz69dbqa5yUdrfqrIAnx95+FY58QPhRgulxU/F GqAnPyX2pm7hG46jjmMlv8cHOUrIIjknmhUSms92ZZ18gB/YmbW72uOygIdsMLVbhPrIOjPOmOX/ +GDBEY8SIz1lyHl8xl4mzzPfuLJC7BdqFq6qAkAMshh3OK86TE7NPljVKlsly65X0GkOY+PBewgj ZSK1B1DGpiuQN0QZvuF3tHhXVZi1EEnFSAcspexzy2ymQg9n4xNVvnFh2z9Ky2QCGD9xCgk8x/rC Dl4j1r+qLtGlAnAXfzwXGmfqIJbCONAEiV52YbRfG5Ybqp97GKkS2c7StFInRaRjbGRxm6+5o5lb GZ6i2tQEW/RSD0fRHwf/9+ZzVVW14qoH30vUkTfu5wzOHIJku3LJpqGgdXatjVoFWKlO2EfBo+qE XTxLUMfHAerXiSGRNdsZYUjjALTY/4J6BbnQAaBOykNTHq0Rs4PC2LRHtJNkfMyO5PyCZVDtp0lg LxJi4kzMnnpRML/G6u6LRlciRErSzo20uPaRVB8blO6Jks0w2YP9OTJRSvn4LsMC3n6At13U8qs9 QqnLa8JmS/jT+JxtXi52hbFYDiGQBXwmsqihTQTz4WTUdhViHxJXXNQsOT2n1gHOt8iHgVDTwukS 1RdFeuO7bHBOVVIpDSxAk9gTa27asCwG1oReOuEQwALV+TQuI2G78mjN0uKOIjbm54/UU/rZqybc I1kuy7RtS5XnhGytGM12LgjPp0OMrqvIL8jfcFV6eACNCFH1KuBrYmDa/RUQt4MvN98M9EfI4zwi mzwhumII5Nq0Y7KKRmMgT45wxcG1O7CbaeCj5To1+MgO1RtxPjm11igxmjyCCefUtC75pyO4BBsq p5ViN/7by+NDjVVyhR33MzeGXcH3IqK0OMylpqBeM23ShQ0bX/dtLB4qUZJ/DLQwdrllQEMWfDD0 f0BsHEEXvJy2QVXeCFa6DAMJpLB4WTyChf0pJKZT3RjXNrIXE4yorm2WjeIt+nAAAtQS28EX3z6b QBBzBK6x6x7Vr92D8mGKVuxVsTCTtt1xzq5hBQ4677CPjmxFaPaunBbOrKncFSBX/GOcXs4ti61F RrrUDFoOERHehf4ZsxSyx1fcnqBAkI5+no9iPNH+NlZNs8YFmB5rMwJOpMQcYRcB71Pvdu8T7YTW 0hiPGIw1aPZRhC38F/iDIQYgTc4HHt0boKFVwqGNPKyO8dSVncgG+TfmA5s6yb2Ns/B44wkNS/vA RAmSXYlY+dx9A1UQJG5FEZWfRDK5ZpcSf1paTZjeGn8uAmjlFQaj2VAcARq7S3RLLxPZwB0rrrnz KrfVaxLQ4YwG87ZtyJysD5n+wvQkEajLaeLOr6aSWcx8EZZrxjUc9qtbCLNERnbmgX+Z4i3T51Hv 1pf5Xa+HYgsDUXKU8XJ13RpY5tpthTNH4feNZ2eVmLAxHjzgJ4HoQKwzNxdIKohDgJ64MzQZwfYY 1qJiZHM3BhjoApP3Lczmn3bak2+ecEw+z6j5jhyNyYijm47Ti4q6t6GVgXsGCJVjXvHWqMADmOBY AUUtCXD1OWpHqaWwiS3n/CisLSfnXEAhN3wz24NVyS+bO657DWhevdGOxq93frqWOLu4NRlFwufk X1BytJBRwsWTGdsrvGJAtLl484JxtkL2GXANtCqzWzK7m6y93rO1P5JIf8nE0lP/6tY04FQEvKQZ q3PI/nOsqOOyGJGyiqmnyFdnRK9tiuG4qqb9xdTTzlSKlsB6oADSkX3SvKlnscjubGuJB1S4tiPI uGhRULo1FI/wpow9O0Oft3N24WXlJ2hlUs6dfckmEEDm+jGgFHHqUVTlPPvUrx50EDvQxJYNueNj q2+nDKh3K5rUF6AHIgXb/RBn75s0lhccg9DFLJhb8KXbgCSOVBQlUj/8UWYH4YNkBdC4A+P7iXM7 HegneLg6hGLjmjqxUNVnEfnMVMLYW/syQJpZDNs6uDgG81Rj/iDC4ulmYh0j5AFzwa3Eo9LI8Yma jHiMCccVfO0OmZFIdTF9J7d8117UAOCkB9ixGrp4eus73uHXy3SSY8+g0kIOMg73BdlE9V7gQhR5 9pwGJhosP8hMDEzgW6okHTYnxQZoC6dNlr0ns4UtlfpCM1drs0NoJL0y+BORmSAU0ftXWC7dKMii ly5LUV34zYdswkxvIs6VezKALIXgTxkQUWSGfZqaVlqW/JVoUl+CbmB573lPI6QNz3V+9mkDznM2 Mt4NlSODxWPuELDqDflQlAT267Blst+P+s9Qgf8bYc5VPeyjvocs2LQ5Qw0AiQFqrqpCRGKiwEvj +eHQan9uvxtb5HeSj1SR2kBxVcguy8gGNxs4SaGUTf5nz7y1bcunmum6S1qkTvjpjG9m+OvoQw3O IjidY4D3Eu3Mr1lmxZDgj1vm9C6us7F7nFAtVS0OVcAow7L/FbYnn3tnygavOzNf1RDpqyEhYPTD 1d038DuekN4MC0qSd6Cj5G5wzWBkHvOvi7QGDD6aDhP7iBN0WC65ml3MUT+1jUVpnY4m2IF2ycVu kRWq8+N3lMQ6TbwKnvwoItQx/jpKZj0yC/LPgj6lZmC6aNAcfbiRnn5+3XyeplWEYUyZ8CeHLXNJ 2wgACpPgkXgAfOOJYtJ9n5DN7iSm9cx12pAQQbVOdY1hYGL3PZWwFC5UJvZYxYFovugsoII0HFVE yygTKJzT8UI+LaUWn4HUm6kpFbUjIiw+K5dwy0PWMCLcz4WBs/IfSnmcdkRmV30JeutOrUL8rJEP S/a2Vv3KWoey90i33yfU4MUM/ChryCGDEMuJn9u9iznL0cwN51UcfbJVcCHyCoOFSXZOt30Iz0Le LdD8SWW5NYOGnLbc3SKrzAmoORa5lBDKNs2sFRDdVpRHsXtT1QJrZN/bHSHnXQwSEDZFB6S9YSda lyGmcyUSmfnEUNAF4DIZV8bK5B2myGc4cMAU7syXgezjX9QekVN6Ryr/ER2zCkPGVXtrY7Vv2iYk xMxpnyJ8qPAPrf2tBS0yOTy+ga5eig594N1NyBLXoy3afgyCRlygiLXPO/P6csBeYMqf94FVH6xJ zd2cvxl2wdfpg7HlWm5Z26eG/Kmc0XqftWxeaUO75Rakiw9u2Zj0Kd7se0y9VUws4kimHBGCpdIj PuxLEskS/kDYJLt7Y08fg3nk0aVI8hIN80PimLeGzJQryeofpYuLFMVJt80y8EpHywmZnfFygLvr l7Fuk8A642CWXz3I/6WIhP9u5wO2AunQDDK/SbqoZhrtfTYAWAesTxUqPreH9GC3R9QtGRjoJ0Z3 K25FnWAsdYgjFD1k9VgoZgzERm8fIdljZqh3L79uJOYpCRe9zmRjHKTKh4kblpINr+3rQ+pRs+U8 7/Ek5gRtZGyLyqxdCVPLs7vvBr0WePmu3BerFlsXOchipZsvqIl0IqMSJDjwicYkFRh79tnX655e RQG9KO+naFTSmEBC3R1ax9tpfPTRCVq+ffe3Xuxk0i6Ic8GofX2/IiRLPwEJw5YF5PCGBRGy8uu3 AkFlAxqJJ+6TyW55fUY3sEg8Mk8GANbsUDSFQYtSmP1kqMlVjvS+Bh2JXR+Aoyw9vSHWrtN48TmM m7H5zVWOqzfyaj18LY7ZpFrpLf/+azpeYDUUzEc5NUm/u978OcB3SCDGosYg3zC+1accsbBsVgkY /+j4CffDE/9U9HXN7ilbwINILPeTnEefFeeNpqfJ1aD5Ab47lPVvh6UQ1rMIQv89duq5VtUzbXxr fCNqWR5KTkUVHCTIF8sRg0RHfMhUDCGR7KEIhz7wGEdvSbKJfNmdnqU/EA/XulyDyBwEb2XAoXt+ Lbuftk9Y652vxKsaoFvMmP+PqONTziLyPmHJGH7mIkyevQhyy+y1yydv0fIIiLxT33RxoDBDitcU uCPuW7fwSQNtVm5RLN19FZeVOBoN2pH/NFNTdhnN1AZkZTLP1M7fnfMNspUQJHOORF/sMCXh34WH +WZXYdZVMtz5YZPPaqLqIZ4WQWIFO7Aq2j+rqkcmLJEL2enUhugd37xkxl4ChN1AEjDFfrpKKgjp 2BdMv2KbSYJRZdAp6PNxY7bCK4cCOKPnKYdkpQJIdgReQZYV5bxIRjGgGcazXmWlguZgoqvKgBBg arCC9JWa922FXwuo6MuGW+uh+e831gMwnq1dIBDPG8cqcA0S8q9sO3k76Miine+Npwq7Out3SU5C IL0WUuBanNg3ObflloRCk7TY7MyG0Tl+iH89m/vrCUJmOVn4WHmbU5l/zOAuxMWg7ZtARC38m4kg CU0bt6ssYukMVYfNUcD9V3PY+ANNC6z88IbVtAUdNAyOSRgaNP67k8o+kxMV1COp0KBun1x9DRu4 uKsR49nzqw45bF72M1iYfonq7la7xtFW3Ma5ZZlsPQg4bqV4WdlXAd4zS2kBIuSTSXJmqpLoODtf pfriWI6AFddXGXm7JOSfSF2Pe32fN00lxxNBeCdosk4M0Du5Zu/kUjr+e9vhLVBVJJqNAyigEh3o e3cwh7i6PwrowI0w4BNUzzWwO+gd01vdPB1QL8mgEDvV6GxxrUtbud54yH2bolXBS+zi0WGRU7PU Jub1Q+qkGGZEYOxgtPMn1BP99QSxsgXKps2leOWz5a2YVBorQD7N9jksSSmZj7kSLHrXKuaf/pjA oHn+gn7t2HcDqiyoXnZvz7xOnSMhEZU25T+uSGkVDOLYfu1HLaCearSHcjVP7Uq3p5/HHMWGZc9e ZwRnk5fboIA156jYZkZyaOsNw6HBfxhVRupzYuhYbbPrsWxix4/XSvnAenZAsuPus8ZLCGyqkscX 3gfjdT64pcd6Yp3eR5c9qhzn8ixEPAS0MPg8IQFzrKL0XoCMCpUpHsm9aLLlAfQAtggNIl+rVI66 l390nRNhp/NBojx4jNBwyuxa17AP/2HJIBV53USjvpWgn7tQ5vLtzW1qtYRYPxx5UB3bM8DBTE3x kHq0n5sR1Mkf0mhJGj3rR9CeZbg5NGFEDPjksugpGbcDPIUHBikRlQIaAWBz33GGA6EJVfwDZzO3 jm0wDFXPJD1nRFsvdQKFQx4JlShZkjYV5VnG3fD+5QjKQL/oMNtXBvm3dbWRg7u20QdPVNIT8pn7 A1JoTKrrp0xdMRIJZXIrSFjxmmnat1AiPGM+cmqoOsERcChjGl22MRJQ/+J+aKV61Xw+zARcx3oU 6qH3Zv6VjFPMUEHxH2Ci+6vUcF49lJ73jeeoQMjoYRKkntix6+ab0nRnbptW5zxI+gxLhYDAgyU6 ephoC/pxupQBrEvsFj3KXtLuYVeAFNBzcrbYGTbPgsVBp+BEj8jkkfSk2MLM664XW0pOzZdlXDeY vvKSELItLkCRy3DAbNKbTa/+ztrenkQXUsdwkjykP/GWXJ+N3YBn18SXCEUAdLhcNKKNs7SPcJx7 aXHT3EkJgQYg+6oBXKQLN1d2tPKmZSg2tYban65De3YW3eMFx8G6RWiZp1Kh00dsfamnT/xCNKGw TN6hAmxCLWvbtFOQIsDy6DxFvLanTwNiRjoGSkqxlXoUvgB0Yk5LWSJEPwg8cSHTV4/7pnljPY2g RjbEdYjWfdYQgQZ4KR7sn6Mke2/hC/hh3q3PtYvNz5+WD4JOhuxo+5vtcQrKoCeuOrnIF6d/jzRh 2nOBLtiIgeBuiIUzHITisogqdqD2ZArzqaBZPEq59MnldJVD1UOrL2E3pxqv/VZlQeglRp5j5sJM s7A49B1Lb8q2rJ8xEx7MKqyTYPK1AemnCDUAlh59N2uCD2fccKPEUCS+0zV1BgTt6cTekpTk+giq vRkODo08xktKOOnXRNNZC84dmk/FTrDI+y8ycip7DoEdQSviVFnZ3/aNwyyiuT9hHJi/fieCTtKV +2eE09lPZI1F8UImuMpY0F3CB8ix0yTYXjSLv+rUiD1BoSHFGuJD0BnuJDZAZYImmaHbF8YtQEpk JHeVHfkjGGktD/SsBmmf1nOowYnKjnE2R0nv8D5Hbj17zo18UnuIvHbcNYaXov1f+GEalYxNllun YHQwbidP4lpCtgEz/nbqtsmlEt+rxcMeAPwZm89KEOeJj7jqO017NmD0NwzoMy2GRSclDEAiO33d qIZUgOyKa0SZEJ5wBRPeuNc8OWqnHQqqlVYqg2xyVRTn92tHv4FZwKfvwhbkmvA2wCdLYJiYaWOH QRRaAoKL96E+fZfg9UcxSjBq4wlSYJJDBjjWMPWMbvM6KjNaJJ+3T3SdSso5Vt2u4USr1YzpLS16 WLV+3+5d2Ux/o7CCv0Z1Lt+cKe5eH2VKsUcXcEpvvFO0TvYzQqeArH06vLax/ceTJxMCY8kbHLcs fIOfuaepIBg+R8vz+A8ECW+U3qFP6IjdYyOZC0oROOtmpVwjHZqVQXC8NqzNyd1RDlHD2anSHouY fNLtmM5ltjP/fwuLJS5Fwo3q8a54TwK/iWHXiVIXBUb0DpNtuhMRyaca8ynYk6vjAWLpLOFuPxsi jsoXTMQhh8TsdAcfA6ikq0Viag2zf2lJnFmoxKwduE7LKNvL2O9fci2L/OAxt/nyDeXt/PGfjutJ ItJxCtdlNCgH/CfkTGanRPWVenCk9sPS17P+wnOKc1mq28MhbHu7aQEy8EvmDkw0QRNqzXOkrAWP aspcK4KruqdRoB2mDyylDnnc+TFL4RfvkJb63khCKn4NwfhF/yC9IrnZ5Aj4G387ycoBjEqjCXt2 5EEyrC1KEdN6WQxfHXoaAxAa8XvIEdN8Nv4EZTS9DQ2PUWx4ZChKCNOUO5Xw8uC17qqMAIpHAzvU BMqT3WmoGPYp5qPX8NaWo2EOZYWryZuHfjOzmLGFnl9CxcG7kq2ehEm9CUNziEHg0cBOWE6nRYEh 1buwSFctHGStC/DObjp7t/FoxbjcF6Kx2a1mqFwGP/4Q4Tqd4xMG3yUEqZZfbvY63+xqyZvDVc7q KeXaefsEzyicqM9mFD07ab8nwaBnpgrcxbRNOZSe4mj49YfboYD8CDPvgxluvhB8xuZfE2xcRESe 2O0Qch87WD7aV/xEtjMoBKhLoSDgYVK2phTbZJ5DDnV7FpwgATG6xfAFrI/2Ea8dd3I9TCyRMyTK cKiwkraOEMp6lfXKzcOCFwtGmtEwRUNrxo8tXZsU/GYiEsv4i6m/1yMuFTj0qfjn8DvX06tRdVgT TmcYipGYYevZxSzISvIfjn4M22nnfb3tUfSBkIfLjK7npIPWRxGvGyYzZANc9CDNADqV0WwM7zXn UpdIL5r2BpXIsaP8aqrCbsCWG7DuUaEKHFJu0o8BmSxgEIPnP1XxF7E8uBvqOoaKDSCvPAk1cPPg jt+pBeQEW+z0uzGWmdU+1zbJcWYGjVP2kWmrPt5uOwk9rMIyXW3Jr5CHlc5XJrtJbeZ5Pij1xdz9 GVoRVMxDIOn9QzeHlPgt+PmdzMYAXIQPdFFszbi+fvPsD2YM8pKK7Uspq8nYNrdY4KuyuUWJ8msu nmWg7hI4jqdlcPvydApDeYQsA3mEGRiInkmN00sXEewybuHqgb97u1VSMD5yf+ZaNknzV2/uEHBe dBdAC2qJH8sF8WBJ41ilf5sbdCby4IQ5nwRAHLL9wBaSLAqt1ruvG/S2LHrnQ6+0OKoGr56Kdikf evA3MCxIwFVzBBKg+Gagn0WoH0xFsvIPB1jCje9ua6D5FdD5N31mm5bjFp18/krrXqK2IPhyFQl4 p+rUmzvexdpsqBtSZnVBrFvbr5OF5U9dlOAwCy9m5xsHRjdhCcquK9gmG9Qj4ZBZ2ThcA0lzWJ9f +bQjsXjVQKyq/lKT4HkqbgUSQOuHnBHTIeZ6MQHy8SXfN+W17RRQcbxuKH38b8tmyGcHOny54a49 oKKYmn9r3L1jQFMk5jXlYcumB73W8mk4wKCYyGbRB85CHiF4EcNGVDAnshvZmPQmBmG9hVRkcA0G sAyB+ZsaqMXep14hzw/5r2vFowvB1g/DdM8N6UHNiiA/pWvbKgPMeoYp7kK47dUOHItVFohdM2dQ RIs/6BgZl5mKp3Cs/io6/XKE2+JS4LQRgzM2dZboHBPMNsWRrR8/V9j24XCtVK31+U6nr8SzwU9M olURqrpkcwVM2v4Q8efyWo82Jq3cxSpuYeAGf6GGYxc852tMPlkwvcmNByexg0VnoXxp5KG4aVqZ T/rcHBsnLL7PqpSQLpdPtMW8M8JT8SMxZRDqi3YcIkDeXOnP4ut/QgfQDBeNYl3+WO49l2ufu5/o 1qMz/k1tf65yPtPS4PlP+jgbq6ktx4a6peuEfmSKiGQxZg9MZaN18wICYxD+c/uN5NBqtdhYZ+D1 0v+G40WMmv//MDqnbXL92fxu4B6EcMY0Z9MOXKH9xPZ9+4iQ93e1gdguarLzzXHWe3nwR+DBgsLT FSiWiwQ7SBqxJJ3PzoucLqQBEmEjCPB/5bgxBnJPD0W1sMcdPtGln5NaMtmlz30B5NlsCiHjW1tO DH7CB3Ip/GHKn9Xcxyk64fy9VAUUAGppb4J/9S3fZdyQE7Y1EC0D2Tcy+kNJQwy5iYj7z5XFVrKg rwwvhFCz9uRNSTQJwH7iu3cJx9YwjhesUAAMEf+zupthf5IkxtRwN2tPB4WtC5nYymIYqVUEGnIr ghFuPMtOiUzm9KP8hevIG1lrjntTT7ppjpWJuHQGcMu8KQ5G62aaC2ClTllYeXGU+L643P7FJrVV g3hK4BRVVaFSyI+WtiIR3k4K/1Dl5myt7OS1SpWl+KXyvmgfE45ql/kdBZVLFPVHA4sEotJrYM6I tdnhv4i8Z+R5XbpCkTKC3yJBfGdK/3t3P0jBRTg2TrsaGfTwUOuVpi08nQ9k4XxFRT7rldQURyzR 8vL8U6ELwUnA+xMjdZXdu1LbdAY4QLTtWXYZDJO1f0lOaZtGCGDFInXfT6fA9AQlHPDtFUxWD6fh rEUAjuLxcnX2L2moGXDCoGGK1tvtaYHadGnoCs8pe9coaYas1U4sCBHe6HGhRg/BUcNyX4sA5BBg TR/HjWw1VjvNgDhBm7ko5SAX1JX7spy2qH8bRNoULxDZS/hh/KFqSX0bMXOR4OqjT/qHWxr+1S9N yNQ82ROznZwiHpavVPYgsEEkI/lSwmcHdupBXfc5YcmqQSuKx3+7v68mzte94wziU+PImprmgL/b vLfv71kRtxzugVlnntXNxkbE4muqNdA1TbSJ2+Ng9fCOfKlsFXf6xq4JvTDD2NWLmXpYGutaTvYi ozxVmbw8veBCqjx8sh98iNbpidVGvuPtnFC/MVz8faQX3bbDBBW696487G6JLxdFSn8v39R1FZCO dEok+tfS7wXanCJ6CV8vM+LwjnzAqY59DPyq2SmtgOLEaqYg846TOwKI7vpTYCXL/o6yD83P7K6f jD40YdLu/nov6LneuDWZQ44+CajUpp15t59N+7AuFjouqwgkLw7f1Rj4+TOyj0/SWePb96btwG6n aYEDxWKJtlG5Zg3XTa9a3wh/sBzjAwgnmuuxm9c4tEX0B7TB5YE/JlC7a2NS7/qR6ItrcRAoN7IR GJHDYdzd1n16OdBkNuFBUSMXS1KgZscmNCbSDRaG8fvV0iyodFn7BlZ0aAvhC4/Rx6lsyz3aB9WX wEaabWb8z2a/s2GtYksJvin7s4pQ3hRDpmUzP+5LMt0I+LndJdEfvg/nglhV3pKgYcjQsOkVczZS xyeHNAbIUeDViSlNUfVgyDTHn5xNexl4G9VPTTLyLvX7/YRarg182/iYlaXtDCp4bcG1c5aBIOxI kEgirSMqPdGVZ1ZqHPdplcxk+3K6TWe+uTgx7v+sJz8Tr4ojHvPWEdqNCv/F5gLpr+0I10tF9eja YZf1wTQ/7NYhW/Kx2oUc9CQI1UAcMD01OaIaIfc1kAkVQ8y2EOVa/RxLECbGq1bi0vembDx3+OTw bZ+npPMEwAJixKO/MZsl8cYvgHDQDVWNa56vh121UJWYgHhfbLIzTAmfnyQhgOIS/CS6T33DVfoq gVouVYT+B56WzVo5yMo4nKsGN987sRqYbN0FO+NFgv0BVy6ABHW6E6Chs0k+xuQak0LZshauTAVA DJVQoUcYblUjwFQbIUXyHAN0LsKuC5f3KnpLV8WkBy9+pCEpCSpkL3yFDOluGEyYhiy92U1UojCJ RptbysbytLN2IKMdAq/8faym05N8DQWLgsTKUTQhKOtG1Q8f696VMDRAgGR3x7DFOzwq7y+Cg1XC 8T0EJEswiNU/yTOdgJWFowqpkOLRHxBTl0cInywq0ZSMnKoq0xBx+BcuLJzRhMuhnw9vH4TUw8LJ 8A9gVXkcNgd5a8fjBN5XS4o2EH2H3NbJTwt6H9SzzPfGDl3tfGOhOdP9N7vFD0Vn28sq4WXNM3uV BprF6c0jNJF6816RBa4fE2nPwO5qMBeZp3AUZrNRZnh4UiERokJeimv2yOzLyfF+SzJlPsiycz/g f29o9JvfidPYa6hzEZQ+4x2un+TYJw3EuNFfEB57psHSaDtXfnXagR9/XIFkUYi0Ng2g83v7A4c5 o9LlBvjdp9wm4BIfmS/h8p2wIcxBBYP9GaMFVv+IondjU9DZeXdvAqtjgWzuiFfsFjSTgcKRvqdo CnTWdSqoYXDOWm9DN73ZGEPIHco69SgSvz14iE1f/F+wWdutdn3S4uv41FYfDCxEqJrCJAnKgdba feUZh3Jcc0hSbq/8Wvpzqpiw27ZwUQKhQ9YqU28YGxjfC/FjpdC0E2P3Xzlo4STYexglwvd7O6Vr 7ZfO1tioGAXXefuaow+XA4HNpa0r32Nb5/YbiZB6z07zINz1nr4cVrG/abeilpui7N3I6o7ceut0 /cApDfCBgoKy2a0fimBxv01Qpl7R1fmzus7iKQQOQV/yhcUwD9p/eCoMwoi0imVFHstghe60hmBC 8gV6B/j/AlCNDT51XlPFUofZJUPswmMDGfCzrDoF/6M7ggvqAqhT4oERdEGP1ayJHJSdLUIxPzVA PCZxsb5iR213SFsZw7DrlMTQR1JfoVkWbkcHzJRsXAAIyQa52fRwM0Y6pfrPfyxtM6+HDKAAn/TX 2eC32szusRDo2v8YbBziSwQzR6mmK4Lv6cF6He+b0eCnY5zLLxzQHfT4Oozs/NCCaeI5as9Mte6p tWewAS9Vu89j7aVULm2wtjdXGMuafvgp/Mk/2Zm+2HdyLwOhs6AnhKI22rs1Yuyj5UdcFrvWmQ77 m/ttGSCpRlhCCLIyrdWhcehBipKxwMXhAwBFSLURja0uRUlGkxXmxINHZpy8j0rD2qJvNpKGSZxb YT32mQYk82LwIYBkTQGPy6XHceiu7Ms56OH74Qpchj1qiHkwlBGUgpU7UKVTeeSgFW9HW8QsAuDD KMYteaJl16uMNypl+zDIr1ZxQFxG+ofj/7cf6ZFGqKOrz9q+OQ66/vDZ3Ie4ZnF9j0t61k+zCc9m 1lCEQB4B26fFU4gUscOE38U6w5uo4TngNPyXSAtR5RcBqPEb2/nOIwgSoFAhEjHCqF0MKVMj/JT0 7Fc3osM0ytZ3JgaTyxQkSK/F+r60tnfNnkes0KFKVpRXftAo4GAQp5f++7owJGZGq73jzG3xqLQi gg6f1ci/yGI1dtbUBaUDabQyAr1i+cmhi7j3uHrvq/J7d8mhTkW5d2ApLvspVv7Bni+yMGRjAL9f kdDIePhxEj0L7nNA6IEzHsNeGCGWknxyL19F3CiOcihUAajcSOSjhPwc1yoh3Hx26EJOm0u6iW8A 3XYz0/MyZzqqrAGeEvvkBOJWyLuhP1nytjn+5WXxPLXgcXFGH+J9orZbuuB5i8QLnFSORl4+EdkM jmUo0V83hvhZzyE9HMX89WnqUzAZ8wMMxOiD4hcYlFoXbHputa3T7mySqC/gc/g8oDA3UMu/auXQ Pc/r2DfTMnxwve6cZmCzTiFGbRnfr+VlWeTAhkrCI7Yujn370L6iQ5iOAaFf9lNV3NLWVvdNhKPP mjK/zdHtrjx8/jdUavlV3+Tla3SIfSR6/Usz3a60tcuklEDeT+/grGNiH7PLMJ8TERisXvtva61+ T4EbxAe4noVU+lw4CzWEU4MrNHgpw1zIgaJm7UhVzEPAyHam5nX0FUOZN6WNm/c3joOyTCgghO9v F8P5w+zXWE+Rr0VP+srkIO4FODLOZH1qr9xDnz7aTRqDX+OzFmAaLXo04IVbJ0MWiplFeTn1wUUk kyevMj323I2NTWEbQj1U1fKAFSLseKmT5QMNKOe8RGO+OoNP35UC9B4Tt1VZrS/m0Y+ASp9jrrBl IV5QJcJaLbWNzxhAg/pvVS8CVh/NkUYx/9BZD8g9Z9Dn4cwtXrCn4Sk+QSujdPcCkDlPxfD6wwXI KFZlZpMwuMyQhnpqj6YI3Rac9yglEbU7LHUsEhxXGz0/hO34eQdz5sQeDT+pIm9lrau56qufN5TY u3dn5M5FjrOPv3o+oK9hz+1b/odN9L1gll3GQ0TSSU5Ipxfi+bHA/sk1IRc3QIDcFOUXrJbxTWq7 t5H/xNpt9soJlNoZ1M1IXLY2ws4lYfjZqkHdQEaTQ3CmpeofyxTxcgMGqZy9qARMkmW2jPHUiuSB Y4zaeaXK/RMnyfstaEzshVG1FqRPlyIgVxdSoBwVJYQDnECTduoMJuuv4dadYIkiH0kObsNbLc4D WgaFyO7DaAGb7qw/vN9NGVrpiE1DE9nC9sxYGsbtqyc1QOeMZKK3sTBFrQUohjx5wTWtleI8IXxN UMTNp6mkNSOUsYgx9z877a8Hzuqs4qf0jtxBdzpOBKs3o0nNvv7HQaewL67F98C5vdKVSWXx22TZ 9ordlNmVwAyvEfk+7KhTti2EqBHjGkYK+zb69B0H5FdE4NslYK94XO8M0LRpguUnrMtsM1wCqx3u kZXVNFX7M3luHnkluHHhIJVd2F0YTuIhGtOun+42JYfJKrIvRH+/qpldYfPH41izfX62r/Og1bsH IxgUhPZKSz/5ZzcwvwC9fGXOhFbFids+oNCepTVB14TycdWJ+HFxcLjARMvZ/nt68h5VP1tqG32x fUQhQCC0vhqEG90X3D3Tcyb4nGR+efvXoloVs9B/whWP9tqDR7yfYZvzsdtdLSutII8EVT7hgIXq Sa+ww1rji0v3AE2Y3MKBh85pE08GUuaNHgVeX0GQ+mqHMYDRTlYLd9nlvFxMt2KDNO540hjBl50K cTbh+Tq9eMFGDuO63hzrDGCkWmu+tniAHSIErLlrCZb4jkfWgyJ3hzmESv/7un1ExjRcPG0A1PZM vhLS3Kv+h9HNOy3Qon3p5TXM7bc17bJUjW8vuNdDwGzxSqUwU3EWmABzgUzS/1gE6HK/4kIoInbo z41w9rqrHqZ2tbf8R4eMdxOMK7l3zznVfe+gTVVEcOIhujVWYRspx6nGZgG8ABFDYtu4J+x+1B1G SraUsz6MqQrpNp+1zkWo2Q57asvwkJBxhcneUt/FO/DFwad8uxrBCEWpBqKd+B2WegXyRXIuW3BK trdmuAcGYMB1nx43a3dRyY6jdhfUkELozFLDgZbevy/G+MD4IJmZA00PW1Ezxm9ty2NX5Eo0MN+B lHfvEzlwSmSYkZs1s71gfFM9ToS14yr27f0XXvfLaiiVWkQKPSODPJ8bzng0HCPRXlMFiBNHUyEL GE/MWSfa5CGAdGZRELomk8P1w8TqFMeaP/DMnATCIzXyxuur6PJ2+4TTAWkLFbbuP4PKECQEV+3x 6HEEuyrorbyr+UnEYhP7ToiQaVzHbHh8ByVto8Sn5wjioBrTkcFc0+HBxm9IDE/J6hH/1X4GhOxK Q/gBF4QHOAO6ep+F+532uA9UVzG8OYCLJbAGdbqayor8H03UW4bKc6TyBVap7D9KXL8Sle33VznX AZd4qfluCU8ZHZlTlLlxu52W9oJP1RCW3SWRx9DLk9HBX2xrgpigh8WZmfMVeM3dhPCapkZ/ODo9 DKDaXlD63PXuCWNS20/GVwxPKFc+1jQ3NnrTFDAhpwocvo7h7eqwrMuqC26e0p5gQsyowNX4ejMF SyMUK1QtqP3sKcYSXVj1izdsyVIGhV9SSTwV+Po/hgQKlpKUiLnis1hdtGb3aKAtx0MivucGTfJt 5bC+Fkh7e//HsUeydJGidFGqXpC3dSR3oJ5jJ+g4sfea1sv0PwtZFOJ43jpPYx9xnf6JXP7Z4W91 b2piStYZ9YVMBSx8z4584/jtS3ZQ8XHoe53D9e5kqWvqucE6k3S/DGRTl7QiYNF1y3zvVgiPU7i+ dEFLGZ1E7gsiCvUBBLg1q7RvVmun15h9pdF4wvoPZz2k5jQKe/4ikD40vZOLE2OlMBB+3Y5sWz2m hTeWUhD8V+Ex0MjFRT3moRX5L8BTbRA+gT21l+vTCC4vPqJMG8hbIG6x7Ea0znjcqxWFd4y0P0/n qmcFAb3LLjfWkyHDsCXHY6qq5Uipoe8sIWeT/NWZcTOXmi1yCUub0wZTqGzRwBq0RSsucOE3VP2i r/anc2VXkgwgEV5DbgelVQ6lfn3aS9+ZSu4+KNrqZFsvvt9oPxCKroeYLFgrW9qYxSe+M4kvv1Rx XEw/KS2A4nOqLWo0L90GxGnKG+gPp0DFwo0lO9fnbadi2meiKbeX9La/uK9Rwc8hpPcJsk0Q0ZC5 zWzpLrqdzukSPrNoH1RNjj+PHuHePmRsawdiLf5ZGM971y4gsHC74D5cihw0qal6SCYbuMKKRPP4 Jf3SufdFlxVb2cMiyfECg7PmohAlA1FqlrNVqLqMqAuOYNZ/Ph7N9vmG07/9F1SxQQdspaospf8P eodeG39/TsUeUeZgje6NHkXc4Wt0XWlvz3i9aw//w64fYBBQTLqdByaOfLin6ffkpLFrDU1LzAGM 6UxAMG2SGiijYXnmxEjkHEOYvZZV5uEN26bYY78b0g32VyAvcKl0hQ+Bu24/wi2kh7C0gu0nfVH8 73X/oFRz7Tb6nQA3sSfUhpAajubCmFJhafu88FJMzgHBZ08eWdRzOnG1xBryW0cR0XSFsKPLEhDf vJoKw8hfnNalG/dg8SiehmRbDsReSPzhV7pN/duRrcnIEIbBJN5Sx1NLxY3ivU0/p9E5JDsKLXvV Yxujp4oBdhmtkTH0Lh3Oe9sRt9R1EbTwBeatq1/58Y6lJ3LOUuEJvvs6AsIqbPszZdBV230OAILW LWWbguBWJI8dXUghgkWFN2+ykml2BkMSRHvMyYhkSNiSimRN5Vpq0CLGhSw487llmx3pWhnqNKJI 6qd3DFC9S8rDX8e6lOuL0YHyJV3C3togN4NYWVYuTeEh4j5+SkRTF61ioIfiDNGOxo+iEv3w3UF9 dD1mUdwXR0soEWQny/AtZgOMdOgmTPur+Zsx0nFna6N/1ZXUzooxVa6Hs0HL5pV1S1iF9ILtyHHi atCiKG1nq4Ry+2ywQliD7cwqfZ6UOvGElGP8CFgSIJPgqw6Q+o0qZSwHr/aaE91lcvfzj9nTKXdl /XofxnQf+6urft5l9/uADSsQnIIBcBt+YaeWbD6+Bym12omABD1d4P1VF1yFMQ7BWPMfd5Dv/0AP bc9aqmF4svX1AskJMKdi/opugwtr4o/INFuphdVFwpFYR6AZn5K3SusNZG8zGn9Sg1IlJeH/yS8f AvnC6Dm+y3BGeorNrb+04ZAFqUB1TYJHho7oKNUzt4L3xIZjlaUIznXlFvcdi2eFAdRS+414P4BH RCxKJs4a95nEFXe19T8mjUL/+wOkJVS4cl3OiRkSPwhJRnzhNY2mrsZsXnA4gIjTVF2reZBoK5BK h0Eg4psBACrSPda/a5M6Xf87s5Ai6YM3I2d/4eDJU4cW1wtdvug6RjVJLB8xgB+cJvBzeksRR+Ie dNOTKWT8WZYjNifIogsB1j9mcIKhLAIiXZhEpKnmJ3J6Cd/PnzLbF9aFaDa+L7slBr+x5T26tNxF fJOfLeyHoY4rTi7g7HZLfL9HdQlBKVEF+OHXOmazYhjP4dUHtvRvpQquzkVik1yCQQCiWcAb+C2m 4AUHJjzIwkWp7SGzGuUW6rq1Iz4u6Sr2Gx5XUyYgu6ffI9iL4KmPsn0HScu6lKBembin+2LEbp+N C0cHoQ/ewaf3sWMKNORBXeOdyyAyp9dzJOhEfQdEE3q8rIvM2VOI/kDtrS+O5pRqd+iEepD/G5tX KVRQygCE6gzc+cPGZ3XkiwPl6gLA8BAOinq7Zyl08Ez9nuswtnKKKY2hhyKDZQhfJR1MuCowyTvK pKmR60ZM9UTlbaIdQuryna4GcUgUJxYLowoX3llU1MQpvdEaltuWtUObx/VmIz1dNS47FysQZuW4 3Tk7Gk5pD6pq3e9ylFdZ1OsWB3lwnU9pQqPwQumAYo8ZzzIlYBCS/kkH3P2w4yUed1WdeLV3A3U5 JEO0/7/hoXj0YyNNxWOHfUkMn46+vAdA5xGH10fA9u8U+yDDUzpZ2rLHzZ8KV4Kh6K/3sgnDlMdI v8OLlAJdRtGgAEM1TY2Xm9roEZSWpdftrZCrfP2fUlSZ4rMtFOI7rVuWixKSY8Ez86KWPMGuj4ut /iwR6WjQkB09nNcLQLbefXc+FQswFLdAYnG/Qa9nrFoDFdatBklHYlPlBQuhmDxiY8PyLzYQeIR6 xeD+BUOh8VJ0guqphIzfSU1pL/2w5iI5VwK7pDxODd1L8c3rCZo6rvJ5q4jmGDQIscTi2rWHAja4 wwE5XNIdfaDj3SBFaLSqqn1ai9xxBouujyAoIextGJNNLgErIzRS1DxgzP4U4gncR0G5llq1TExd dV7oQIQ4oUWXTGF5Dmsd6Bhs+P/s7P+EyQV71327PgTTEpkF98iWc8qJY5fWQoxuRM1DOuMCXmD/ E8+dVHX42VXFiKPRXCmA7LZRBFCjDB0jOpBOxfwEpoQ0Bz4GAaLfMGxt1bHbjzjOWSnWirtrurXi u1AvltPhgm2SucR2N+ke01HrUnkQqQ0m9LXcdVB+FvrDSZ515jh1XohajdbGFGvSmg4YkyMjEoiG s2tscAMl7lJ4rFOKVXMr2+5dFqvuu80yfuYA3+UjMB/yXjsjEhNcVyPKU4Rux82FNFwDqd+HSLoF G9MZQ9roBPjgrfG8czw9maC/+bt2VWqsBkl1FsES+bFLaIWeiQnTM7b+wVfYNeRiD8zJJ3dX/vZU vjdp6LefIrZirYJVZhxirnuPwkgl5xZZj8tcRavfSjfJ+fg4bL0EdVMmp4VPU6qcp1jc1SlL8icE 6PRfgRiCD8FQyOkPZ4JCtm7cIcLnDybTzNq0xyhNX92dhXY9lEVWjPZnWfRRn8eN4r6GDnYdav08 pULP/6M1VvCCRZTftvZ4PSUNveCje5mOTbGqWLgpgeVOJZucxfJ9cSBIyC788l8Zx0R8my2oFWEr UBPw/RzNumQCe31qtVhyuO5+269IJ7O2uMJlmp3o5SWKnl7193ZeGG6e51Hjr6jZhyRZGYcUiaMx IlgBIf3hnsNBkMIamFLtCbkbnF9kLMrfbJxo8AkIelb4qGVmAlSmDMUgjV0nmKKu/cP/tymLNOrC z+vbBvf3KnP5F8Fqk+4xnCPok3ecwi5xdjKKQVJB4z55QAu6uMn/tf1fRfMXMkOSsOJz56XnzXKc LFuymWfqcKyL/tN7BYu8C6EVAtpEQqUp2DoiSnzW8fOzFwdCTGKsXLAqjk4z84RykaCmk5P+6cnf R7+VX+pw6I3+q0Chs3wBuVIk8+BGu2wMCns3LFVyHl9xZodYD9RlyOBnrgpGdp5SHIfY0iysF3wT nsUo7tsZV+y5vUBj/+VPpzJp89pDarsE8C5dUMFOeywMMInt86Tl6W6VCdjITJVpZETezjOcxDO4 BLJ1S9a2osfs2IdFe40d/gohubWAnfDnxcWlcRUmUkb9fAYkwpzy3GG1A4jlu51/7P+dzV3d1RND cBzjMoXWXkpwKFpm9B9R/LrUByWhLUlliJ/1zLMBdcH/vnF1kKQBMUy9u4PaZ3E1N7lP2nR++wQR tNHYFCKtMkn14FdqzSjCHX2qK3tZ3YqvE14ZHPjFFlma7Pi4DujrIDBtOeYV76U5zojFZKz7LNbD oQSd8fReGaemXk4nSXsbK3es9kjiyLU8dYyTjinwT1TCqFz1pQGNSG3q2n7Coq6ZvQmu9AJbmwQT aBruYm79gdLPzfHEtrWUwMJQVuPYI1BVaS0cTfzaZujvBWNffZdqnBE/x3V9mZSC/Apj4uSXCOxz Zd6IoJX+D2IpOO8pqBojX4K9K7XH//p/VqsNxDqvvWSwzdfjGN4jfjM2Q8qzUzwqMGb2lUsnZsGs 7MDu+9YTUfVX0EcGE6GfK89XwDVs+yUTCMprYmnOAgasDim7PDRJ8TjKCYomws8MHVorI45RVxn6 4r6IiJsEBMVishDTw7Tchd7Qs4fUe/oz+JkpfcKQZkMeYmy9A6ekI9UlTtm9NhoijfFg1kYQKOo6 SymYiW+D8MuXm2zPZ8cGANVycIqexovUdPqfKSCKs1S02F5wha2LYKHUllykmtMx2M+OL7QUTnJy 9Cb88PdIJC1qBV3Frz2RP3cqmsKkndBTUss96JFxtLbelDksdCTSiWzykX3T0FwOJzGhkJQHeDcs qPaj3GbHs6cFk35fC1MFCgSjs0Gc6j0KcNrY6MdMUxOfzDVHx37NGEHdjsLdLWTUzJBktnwmmBvJ UlILL9HiXpQaVtTf2/rJV/VbMLCQ/RB8ws5I72igztE7FiY3vGE4QeFiRUMbu6dnzZIEvLBuxY5J +8inMQw5YS9UZvYAMsb0VZGvdxL/g1gnuLJhCkDQvbBWUvEbN0f6qnA2mbsT3RHuZXWWi5WsBKIp PuNpTcEhIwoeypk9u/MtiL+2t6WcCV1L/myj2l7xujDq92kF+we/EDhueFDvmZO/miaZx0xNlKo0 CJwrHK2Fzp1E7NUSjYa5ufLjmlOynR0KeJX8FH5yR7UkkrQoLw5XJy2YHAYoECBBWFjk//zPfUNO OMqpXUzqu1HMlIaaN7tWEPn5cbpMWKZtePjcYb/VWd69ayfAiKVsuXw1jca2shDUhW/j16H9qtC6 nzbzTDpefwA13jG3W0S9X4+CA7+Cp4f+gexVUSeDa5GzEFrToziO+uYLAtf2z0pzt+6G/8IIiXDq ZDRMzQq0vmgkFV7i+bhWtugtbgbOfugJCMfPMJnTK27KSfd7GP/KrnH/BWdi9M2iabDMfVNQ1ekr SJ01B7vXXIYH+dzBgi40+5wqIxC5kp/p9KsT628KSiNTeOD2gQuGwfugJmJLVYaSDXcn2PGHhYp3 4Q3L7Bod0NaFPx/zVAq4+UnFG3dXUmvA4fKSscqRs0LFGCA1pY34zRg9fCWGPsnQOqzyQ84a7JDK cSMG/XN3CQNICLlPloY1//Ujmf6LjG5mI2HhHG6lmjiKeg79OgrSx7iHlnVI1X9WNyjrUv8s3dHx BHtOyVeBY3Ier6tuQEYi48d0Eim8HiMghvXdDAKmtl9mGzZC/RBydFNZrZaBkk/z7BkhUsRgY8Gy R4m/qYYn3j5rqQHRZfvprZ8v+S7kAQ9axdOVhMqQQIni7++bjq7yD3axQQZbX6D/v/0/TNTPp++k wfISKE7ThnBdbCIHSy0brIsfIR9HhHML81lYhY+W9paRayQbhc/63jCGQTDSojksWx4RJ6a6ksZP tQijPYdvCxtZ9fsPkuvVnAOzFHMQi1ZizshicisO3wb7jul1bxzg3CgROP9eGvoCCbHx+j62VWX0 lHovtAGz7nCDLozCTB38k7liiYsrHWIk8ADSD/CPi3GIfe0+P5eib1+NwDBB76e2yQx9VGt+QoiI Zpu2TnzknIfEt9a5AU12izUkQ7ygYr5KHInl9djYjEQBMdvKGfAp3D5sU1TXq9H7jBGtCab49h2c 7TpVJrBmX5fDpdF+uZQ8m5sNcp40Wa7TeuyfHdOIFjMq1YSz9/fBcLFaa6jsi84FV2IDMgRJU4Yi FPNaGryfxBCnLOznJjSyAdmTyMJjXkEZOI7i2ZUVbAZdES6eAiq0ZQX+OFmdVrRyVBA5FDjrGEoS W0rQgzrQaqK3yxrWXFeXN1VcqcJ0cD/PHN+AaUFSEpNYya/yWiGg7yItQ3Ek5mB6yUaD1sBj+YD9 7+w4bVu6QEZajvm/bQgV4O/ey6elFcVw6omjpmGSFgZfyCVlvKT5q8HaaZa9/EfXrg5oLbXlDtC+ UlIuttqnqTcPRlKNchdAmo3eNZhHC+mg/Dp4JaWDqvCdb3L5XbkD8sNsadzOhbkgOFHiYXEwsUw6 sdekjfd9PDeroLNlIH5PzIecOcWzq8+dy+qrDSnER9qpFpdUaoC6iX4DK8bG2vvV4WUlbPd+m5If Aajq8QHIGokvpIBMuos8gWV0eIOghJPAw4psIUDAFELzP0qeHWZOpcLfsJZMZSyt3FNDaIqi9A9+ HfilchSiQRkPPO9XKUo1WDnkFOwkSXkEYrsiDBGMlryQGPJ+cNTXim0hdKitGWKKgU4PCGubMe+8 eoNzWJLtjVNqbMVwA/CjoXK1fQzqa/qaaaNoGxdbOhLKY0SMOKA5GSVQ91JP8rCdzpYnF2icCM4+ mngeMIFt/eMTOStGG1NbjNaTdHnuFtiAfQhW+HSU17aExU/URyaBc530COd64rWY7e0JT2uFDjrP uOQQjwgJUToUyu66y0uvNeC2LgZa2E/wyTgamdleEjPIkBTVDDE6BV7XxG/+haHtgzR+XNSPR5PZ WP94J1KG8OKCm0gJMvBd6PeEx+NDo3tzK/N0tK5rOcVKVJ2igR8T5LgPh/MDjrZVAsDdA/ruaqFj tzr7P50ltE//1o0YnTTREj9iMdLVJs4Jf6f/z/a1qv4HxdqBtLMxZWAV8UWs/T0P22mO7wqn41mj Dff9BtbqwjEydOBFnn3FvxMRG/rESFxNiiMO4UFkaZ6ZvXnZL4B+84szj66p/UZQxUStAS7aowR2 ERVc2rL6agz0Y4TVpaSF+jHKufCOV06Q4c533D40a0UX1oTNppGwPWNy8KaI2l/mSz5F+soJme0K 6/J2mnlN0Vfkxn5NtxekqeHKs70HZjqw7nMJri7n5qPbpdXwolnAJRHNoaxo/+ncnaUN5sCZfvz1 KYvFfCi2fDDzuqB0MuzaoCubuXyW9I30BJ8eA0jtAFDg0pMz4+QM7DHjZubZHwc8TzL3oQtf1AI3 SlpTezsr6TfaaIHrLRk0YqYj22/6cP8EQIsqspRW2Wv/l1Os/HsLunH447rddylBsWnCBjUGUKRQ PQuKY4akkkFZiekuWT8wZvvwnLVlKlBu0z2WECENnxKP9p7xzSCvRI2sz0DgzMKpkN87Z9k3CeyP rzFx3eVF4SkIGsWKUhVjAo8wvXe8+DSbUP3Yvu08VJnFXeFHBbOqltJ97HKFbQoggRQiVYv3JttJ z1QTCCF3fh+hTZ4lVgiSrJqrYRloexTDmQ7sd4SDOQ0p+5EPU3N7xBilI/dWY9zwqDYAhZe84n6d t67w2DYIKmdGI0V3xm2buP4gcG8J/YyYQLEzZMOhSNqFjKiqMA3j/4ylg4VwKbEzv4TfbdXkp7Ic F4q1xUvvI2SJa1EeMoA3x7oUcEUaV//E5oJy+bQHxy+kJjBtinRz/mP+rvAZj8trZJcSCfYO5ei6 r0Y9mKaLYj4FAQqBc5f06g7/J0j1sR1IU7nqAL3XrJvHt1r0wRt+QQQp/6xVPiZP8fpeQb8uIvLH ShrMc3r3ae/ckqfbwcXovTL+EiStshUWdaGcNOZAD4FOuzolDqsD9xapHHl9DcotXJgQURsZ6+I/ 5ejzGR9FK/5IDs1IXEg7Lt61woIuLpjqwDwROtj46n8uItuxSwOBV7aLXh8PEgjATid4Bt3EFWpM Xdpx3uEpIA3qGurVa3D36tnRCIkQISGKmnI3SUY8AYaneZQTOirSozlmqfXWTLAQGjxWvtRGR5iQ 3HaDYXIz4H5KDAVAluo1/t42CiqmuMXznyen+p5KTnwJl+PXPGzW+plYQTKV0dP0Y88jcbjlahpC KjavDWnqGhZ+1cnBg1sXZ2MyE82DvDHCz5zyNWaTUPaZA/KEM+6rTo8HDAYeexB2ryoVHDoL54ml gxEZ0IFqVd5OBannsXTBFTDKh9syvdr5o/e0ZfbWg9OV/uZ2lRG6yft40kBhBMGKAjBWf3EfWuP4 1Fd3mC5b18eZzEVUFpPEi/+dYGl/0kOqTi/4r+VzdyyKlpN5aQWnA5P5NpTIGnzELrtGBHCXrMP+ W0RfcD0lI1D70BFcPN/1bWIT1yogZb53trKs5J6VF9fyvMqL7WI+mhHUBr7Gh/zVQH658atluf4m GpJPTu49jA6t5X4QDhlKJvqwnE1RkHqPEqGRckTFtpafDNhS8olXXSvO1QQPGnh/ujX9kQjkI+mV 85NFEgcucvJwQ83+mvEfl+u/JeNSfqdZMVyAI57A6/XmrDn8AmZnY1kILbOiMbzf21yGp0IkzFEv EVsowNleo6v9rXM3yRtIHu9uQmpnEMHm6L9KD+tJzpJRES+9YDThipfs60AXrhAGBsj3Abmd8NH7 6klnSMY9vgRYg+q70swMblQFoO78rI7Mw9NIMCcFfFZDsFVHr42Q6UBgBfLx27DlRPImQ34jF0oG uEQaY+AMe5LTWV4SuuMKBrE/syKY8+tyOYBp28uDKjlr/UVeJP9v0KwZhCrjd6NgFIpRT4vlXP8F khk7njl4KjnMHWVFFsnfa7KERG4EjlfhJrbWONPkBHgW9Tq08nWfn72TagIaaKvGcr4XtLKzoSfP pXgp/QaWp8EBXeuWT9WF2sT8z1l+g7+gBgbXunVMcUXnhqhXwPK6/wF5BBAZzt+2kIKk66JsV+R4 kcCkFY9Xi7WSM19JS5+sRgePJqBdAzggt1x02kPV57F9XJMoEbsArLUJ4tvKB9XJrZgKgV7gTmE9 ZVpm9vq4xQb7Gga2QLZp1ZE2pXYacF7qp/SvYko/c4/Dtvhr/Acr6yiyRSANlQ7/0X6Yq8WG+6EL 5/eSj7HYpBF+zSnbpQ8/Nzzi5274Q5Zn8TVkhT6pJr0lrTgXJRjv+cE+dfu826lpSiiexrZ2Ql8J xkLH+d7gvCPkH2sCiulSyDqA/OcjkgFxEeo5fyL7W51zS+b/nrlbPRYndY52JihUOtimmdtCBJK/ qGPLTcVR8pMpfug6FMtRqrZ01+mPmP52IsywgXL4N19QgMwMudOGZ+PKG1TnAuaeLB0u1BEumh0h 7kiZYJmCaikC7SQ1wdJ5g461G6NEAQz7V6nk5/zn5l0RFVwti7TffeKrGRy3o5yePbc3/hiDEaVU 9+MjwDprGRMnQCCf/q1fZcaoseqHr0Fyo+hdGnGkS0hcSg3MdWWkbZN30QOmwI5bLPARhTHXtM8K QyM0xqCQoHi5758coGOeVRVrnWTqu1mGKRbFX/cp7n9Gr2OaR6J9VkPfVmqZ/JjaOe3COUviTlSS 7fkIrCh+EgMlO4VFUthIK8Vp9MujDvJGNgmCzv5IU/1UDCcnaxYZD/Rpq5MZPmjqOJ1kJSlOYSJX buTcjMwJLhLzp8HfHQxI6zoECnBO28QzExhQSxy3tn1kyMGznR0uNhyKWhJjHmv0t++LieZNSpdO RGZ0cYZ3Ynol6JNGhSyf28ean+Ar6P4IfXgF10IzdlT3ezi0xV+8AWW/CH2P7Cedm7ZoKW7PyVdy cZ5AAKnulygtXDfOCV5lgAejtid1nxGZu/7K/9+sHhOpOtgAYKD+yVyxrA2TctHUg/MhobJvKcd+ J2Raruv1BjwxT7ijg+s2e82SHV3JixOeZ58Jsc0J7S7DstY5Wkz+kjevHGLHSkWW5GkTCOm7KJC9 /VnD52qE9v2l9OFLC5d71t0Pu1h1ba70Vu45JuM66nuub1Yp9BL5QWUTxKZjTVxr2lnHGCYEeVYA C4wy9VwctHaZNVV9LAPResJUYFWu17OnEsJ+zSnVF0EXTgtkYjZW4j7LC4tu+PAnkwFbwlM+jqNi 40unSitqLFfqAEUEJQxdHPmwzhwObnUtLBCl1c6I/9/I2oNzN+l1yWtkM5ziBRwaUtcPP2wLzrwH 0GyvYPgcShb7tey2iiON5d0ApzC/kSNGOa0xq2g/xQn57cB0k0+UsjTpDNOHfRI2wyxiPq7BwATr rlEwS6NlV0cAKZIhikNEEm8QyMlyhkryCF5hjI0P0Mu01bhlVdCII4jDlVwMfC2NmOYMSO7nDVaB eG4ycRxS/oPZBYRyXssSG4RztSC7ofoM58D9AAC+8eo7esJ54QAFsoOnr2702I3ajcmrXn/GfE6p HOIfevPIzlaqbYtjALFD9///HfmPnUX1DE7t2sDaFBZqA41GRUf7aKrYqReJUKGsHFaH4Y2hmbiC +jadVH+704N+Hod7Yy3gzCmvhhQ9fglJkXdRybT4dDRJnc6JZUvfA5Kxl7Myigqhz0C/eJUBvx7l e4d5KJIIoh2qadKxnu7KPgzdok9k7BoGLIpoKufX1taV2sbhY8Ur1B2pz8sNGfxTnGwOkNQEJ2LY NOb8sEfcy4f3bMamVeCJTPeq6U0z6tZ0/LW7AGFqN79GjrDi1OkNc3kpjBRKHeu5T+FKDGZHJyeC xyV7eXIIYMkNdkZDd13uyIpmZVlZe6agWDnuOST0fc1a8l59DQQv4SBwZ/x3ryKcf46To3b0chVv +297jAN49G3W7EfuuMFSwnihjMSARGyePGBgBHOHD9dt0mrnN0q+pNYty+8fItf6es7Z8zE4B+NI p60UikK8lq8Brk3mqXqzf/1xTFH3N7M3W7BZb4dNjiQW6lhCoP+ykNhxbiL6tr5unIcYsGzpYXDD QZyMs5rQBEpye/wjjxCz84LEg6h08XnshQ5n8a6U2xhOl5wUSmoobrlAGUYMueCnsnSNby8NlbyR 1jyadbSw9ciX3p9iog52nrVGLrm6Cvfedz4ylo8Vq46JJivYDOLChjIazj+ikkodCOcqPwaqAS8r GG9mlTy0LsqSZyV5pcLx7gIYSF0V9xRocs+C5RYqxcLVpn0buoUpmLzXHPei/qFIxGW2FIFDcnyU EBENEqIUuM4uKTj+uqt7nGdH0QzTPMP6RtLFlLSwU0S+z1+nMUptpgFJbVB+8+Wy/LssYDUA2/fL 96HnFvE6DhqQO5lAepIJJ7Q2frv3LJTBhggz8GtmdB4Gib5ORMSBH1NvzOXVEB+0SToPCYM4lSj9 vkTIR7sHkJ2OUajLkVoqfJ41dEqOzhSK+81fWadWynmQRnwt8zY2KOZxXCcL8/c5QfCZWLApH/VU +UjYb4rfvGDOvkkQggdqIZSMFHGC0fNYlAHDyTy9cvg5ur4nnOMbc7Zeo4ntmt7FrjPEuE553Ibh wglvYDzku1cocy7ZRRmPGWVosSDuhUd3Z+nqQI3EXYUdZExAUjR2BVXnO60RpecJM+UUcxyXuN26 LQclKe26her97uMeKob+i0Pk/y8rLSXBDcrM3pby5nzl1Om3TNexE933LEq75wzbBQkcYXBVPi15 vmxOuqxNX+xs8wGbqGPVtT0hdR1KjuBoJ91qfWzUCeL9dSWMwyH0H+1sTvxl8t5qE+XtosV2LCPy /lUIyNyQF2dCdKQ3U7QRN1Jk2Cm7pC5dAPBSRSFdI3bIM9s32qfcyJ2KNHfaH6SE4AKBntIO6Zf5 4913W+9xS1tMYXuiM45DUU/pKYwnUeHRJZRs7wEaHTr3tzYfbw/+jHfv19WqJYC2IRaYp7LXMVBU KyzMieHTckYP9YHJCafxiNircGWGKkxlkcDbptwXJzKmHS6Pg0KvXBvWo6lhu7wKAsJU3xA3FEBj UcusNMPB1tBgmj/A09X/12+Fq+7wEol7dTGs4mF00NxhRTc4yjjbi1L7WRdcRD36dbL7YrqzshEw 4vNSWu7Q91I1lGTzXZ75aK4AoSMQkd7kj0D3B6bdXbfZSg5UA0055DlDFzOqGgNxWO71SEkkE5Zp bhTfccrW4PpDYfr00ejxRoFGiuZec1eVfroumO4bZz/W4d9iX18DZFssUS0moUx/uAqmPiBhDKyg f6JnkpPdqvBUy/TwhWyP2O8GRPjuxIscaPasSIP0lso9Lg2sSVa1UqJ/azTeWhQrE9Ayl609AKC4 SgnSpIG/XpsQ1Z22sMrmsPSrar18Pp1il6J65d1eaLuphJQDgaJY5hAbRy8sCLKZeSgq4QRHhkQN i/6q33UON/9wPV3grzH8eexTzYLeG+uHozHkJknLI2F05Zuv1neNbee1W4IQxqpyB/YJ9oYMxUGp zZ+93lFSVlOZ7otCCmJ92GCzfIDqbPpR+4GJCuvJDl4NNz+2sOKvKMYwFnMzxJfICMvVjo6Z/RbM pusMpT2AJLB+zzHBUKk12fqPfSI7BLGXR2jwj1YwTmizrwbiUjRTmC53773858VPAwSO2KhvPXBj g14YDjTGDEAdN5CQc5zu7M0nTReuGh+oFlDbJIl+MWLTEUI5nbH2XBEI8k8tmAsVAb/egD8nCZCk WJKLvXl90dG23l/IuulMoNxS2mmvkL9eL/jD3TFc8WilA5r5BBXA3PPXQJqafcKfs8WYlHJvebFJ hwlZd6sC/jSifpIbPDkGLwSS97xHwuGNOSVFaj8FbT9NIJUvNrsQ9q8naHxU7vyOKP/t2qU/2Ozb e7r8e1ib5iEcgVVBRaIIMdKQ0cbCTfsnNd0chL3deJxYXJAf/M/9H7IiqU+Jyn2pWVmhuFeVMfTG TC91SobMaXrqVn4ErHPL8oFYf9gkTV0aFkN8Fv8zsfIAT/FBpbWlJ2PEGzn8wO0oFFPO0ZF9DvlH NhjEjL06xaNU8e49ZG6KKt/sQ0iNzlzN5JpQ2HmbT16TPG1P/AbDpC7BRNMBvfvZGm1df6qt+u0w rnXndcX0T0cy3yk6ykaB2a/prR5gaMEifD6t/tzjnjC7ujTFkbgXvLAepIVnop/x++zBfU/v1lzG KQTQPgr4aafug1dsrFal131h+eIvCe85D6rUf818tBQ36eo5UhXO4Qk70D6TgCc9DekCk0hm716Z Kjnh0wEwgYQSfrx62XklmCrF33x9EyqFxuOjVoxCCyNeACJEfE8uvMp7iCvFUVLkNG7K/bped5b4 n4UqwxWA7LrSzJSNoiBwGareCPSon7GXbUnyDOTNdNA/9yLSRAedZC7IzKcinH/fRCuy/lh3W6Dx Pm+oRGRnjSrj6nJS+OKgHDmlvlfuBkEJQ+qrAT3VQibZ/6cqeY9EhgNN1IGCqlsSLZRNTEaWB6B4 pYoBMhz7Y2/o8umQlxVrNGd2kwb55vYP/NQrV5wjAXkvAIKQq+Dkm5ZhGQzFF6M61qXbDbzeHpjy d3n527BjBF0GuhSiK12ZeDQTNBnaE/Jmyd9XVRnR1lUvqCnSlEXp4k0ek3O4pcGtcwrtlSn4EIjH 7ih0shvDtM9mRvIUEycdYkE17WGe4NSMTlM7YQJkwVw8IY0j6UjSe1OUt+O8N0Qj1FY29r8BVXo7 CwgEHjFwLt0b02UKKzRpcLe7ZQX9rgQtMIPkiDoaCLHJHgLHdkJuA3bWuV3BQ/Gj0AG96WPupCrq xUoxF7A/Nd3lIGKBkPU6jgcXU7LW44AYeAzOCVtdIR9vNjl7Mir9+7l8k5GI1yr8+vkhumDdosEo Rtp61wf1yWpkgH8kznlPl5fug9lsGb17QHY7aZ+yl0X+GhjzOcM9tR0OmToRmNfE4SHHK8JYNTKD 185y4r9jD1WGvqkWgXkJjgKjlfz5AHp+ZE58CjoxNKcKwcXCFcOXxRi4OJeUNa5LD8KLKy1143wE gTcbEWaxqdG504HAhBCQ9lTgu1Buv9fAIl8HHsxVNLiKZp5bXwfzq++CYQOr+7HGmNt8F1WNOtFQ kwP+CqV6li/UUYvL5QP79w1B1k0c4Jgt5BmXAkH7hWYJjC2hi8xWhh7q0lBWgMoL4tUPIkvhAkV9 DqK49Ngog3MJA2gtkYV09N/lEFLCfD25cWNRY5Mp7bEYBAPB/NvBbvZZI+HRXRuWS0ImN4/RUO8h /J5HGd8ontGy50eJeN8QWwP6n674OeK21mDDRYhUcJiZGthHlZbgrivrqERMFtLd5z7jUsu+xHpj Z7s37qZE2TvYqg5d5Iv9NokiRaTu24XCmtHdAdVr/0DTu9F6Jvu9L/HFOkKDlYwhl+9KtjDK4kTg fgihPCL1wazYuCMn3PopFywfuLn1TFYcIRFixPGoBZMqFpnzcvMGx6Pk2joD5vVLgfwHeVsapkYa gEejk6PX6QEHh06MQiVLsM14PasSWNHJpML5ZV428R14741Ch9A5Q4kJ2su4xBRPCdwf4pem4y5T lsP8NeTzUgWesg6I+Q0Ho3tExJavTXYsCM7e4GJtW/Rl/MbGCeVs6k7SiQsVaC80YqEbYQpBRY5n jktFZh3Xiyo2FW9aYHuviJAmXd25+gmPcWfgWr1VhZx+XURK5JREQIEQi5KiWl5m9PMnz5+Ivsch bXGXUSeDec+VE/h0Mk/m+hJquLTCd9i+QL8vWo1lojNzuA4thUY0Am6519WbEbpZsh1HNuINDKJG Ksso/4Hv7tyRu92HGd0za4Vj9QhajtQ4gumTUNOf5+kSoVJFcfxRxOFWw1GVyv1AS1zqwFzshNld 0Befa7OFy8NTnJq19+PAo2Hap+tC8bX1Aq6U+9NQ/TbwaYp0uu7vZ7SxXWQDSqt+qO9SmbmCQhkl xs1X7vKq9jfW8oGz+mmhw/JyWYVCGu0Dxq/13G6f1l8GNFnyt6ru9dlXIb53xpa1TeWs+VTS2tLe 7DcLHcgs8/ez2N3VOqOuPD/3mZG2CqloVTkBqb3+4r9Sp6xLmtItCUVnW6I7pwtLcDXk98rv9DJX Z6+PmNYpvRPpd739bz9vRtF9rp0OAQv2QcWuLQjpI5Tj33BUaSFl3pW1MVi5AaTCUslFGsqGjMST 2gFzd8WF4mLke/cp91QZ/Vzc9qEkA9MnSNdM7kRO2Dygrr3c5jlMJm8/ffWrbbVqgRkg3zjFkdna jbUIJpjS5Ty6EsVsoHJlsS0RtPoUCoI1/6r3GcPP2tRuTrReoQU+hcbUTultVv4Fq5zN5A3OQxRF 9DQVJy+aUZyAswD+e7/6vsFYu1RypoK5B/fkiUzVmqgumsK5Zee0VkFcnwXxPmPw0hMDAvv34xxS mzZhLrqG1sUPl84YkagtLMhSBg8k3GTWBvpM52VlFvYIl8z9Wh8msiZ/pDY+On7jcHAVf5R6knXg AuJzt6lXJLv80WyhNJRmvmk0+ijXpaDuZ9mm1V8NaviQiV82wWPjKrtL05v7dC1G2T5YooRKtgCM 19Uarh2gar+glJ1INTBdifs01uSll4LqD+ahfmAwkoXxAy5rKUln9xIeqCE8uMKrWoq2cL7P3M3h Rf6wzRlJVtELoHXUFR1FbrAf6phlJRePKKhd8hMrdyBzJlsPJWc+aGTxIXFIkdVa9iZwX8O4Ibzm tNT+P77dDrj3P4OaGfqN1Eq9EURV/N5sHVOVCX1bu5YRa7wLnFLdCLeSxtFDwB3EKaz/63OTGXbh FR3qtHDgxQ0S7iUNPtODf7svU8R6iW/YG5i5X0ZsCbx6yFAAqDVmeyYTGiYL7tDCjbFkUuK8Q0vu nNV2sc4U6UdTgSMttGlBECxOls3s8OrSCt2a8EGnGx5VNy0S7n/6ScXVB7Sll0FLk8BkyfYjpSHu pk6kVqFmNLtrhYK7ceZdfGiJDBpN3fC07T8SY6FdG9Ska/VP9jIJZfyzoYMkhhCGvL2yMESsuW/D b4tiCQvw7pZLi9xy0tbCk8tVvYQdmQi8AMAoF1j9bCn+lyiHGLwPN0foc/wb8VZ1Dk/+5VbXYTDP YKqyjueCdW3tdJoPZBJzBGjljANPOedAqSmfXEHYnjH58gBZ4g7PlANvgULvIaiD60i9ebiiP3Wm VjdjvFSFKYbGF/Mc5bm143lihKoSpfbkgnz4ETAk/SveaG1JlsUADgR8x4jDNHEVXrH7Ev0AH/I5 +p6doBW9k5JxYb84mWmcmMpnG9huiDVnCmzK9abRmMvSWDUNyMWHHYSPYe44Dg1mN4pbNx6LSjI5 SGmFeW0RP8Hmvrvlx8SVRfPAMsZRftdq2FqeNRhp7qbf889/MHBAJGt1pqaq6qE8dV5ty9ph9zhz rbysQL6lLVCv/6WbHZl2XhQF5d/+KeTSHey5qh6kZ7td3NQtxRCdWt+kyvnTddDI8PJgQXvqCHjJ +aRAz7ZGMLeNESM/g9RQ54CfEQ6a92fhgNmruSCf7+QRQPukQR6EAPniWOB6GiQn6TKYeuDmlXnj kiQJSGtKiN9C1OlWUmB32qvM7L20KIuYSTE2Kux/VWve9KQGKFpfKei/HutCMVbZTqpq1Ue/2hyw e3P1So5/N/ieqhz5TGGUBdcMP/BS3dq2r+seAZKyGIz6JY1hVoy/L/9LHurz6pN7SMA9Rk1+SbmA cSARuevQHkknFLzY1aoTOV8Jbj2WOpuMHDNSo7PfaJE4wmevzOaLwWadb8Ter6dBsjnLHPH9M6Ks 5HVsCF+KnswXVngXkz46NPTDGIn8Uq4aPQX1T6ERVDc+MG6c4Yym/zOvJveW1EYRaMMCHv2MC61E GtxjZCpCt5rlZltWY/+vH1MBO5g/n6KHTgQ/L9bg9no809nSlrGsNhoGhCkzzBYAWh9BStIJT/6j aQNTnO8bJVcSIgae/B2jpocVG5pLkfInwCbBxScQnEX+xcnzjItytLO82E4FTYMeBG0upxhMvxpy LNcvCBFFEQelk1ounOuWi44GOdJxGMDf5sAwo66UmrCSZG0tnYdACa+2WiK1y0dtmMLFqZi7bs10 8/db4NeKO4yeWTZ4GA5qdBEip4gJOOBlId82aRP+7r5256R8rq72MyEPfCA1c5AunoOm25av4iYk 7XGhd5qFd9Yrw2mKkn1xEykJ9bpFRAkkFi4jMIZV+1gltJCGWfmya/pDoqSxyf+4Q9zVSKbYT6pn KfXptneqAA2IRa3sqmvPh+tUw1rIEoVGWNdQISyDVOeo6dhWi2v5y0IYQ6G8f6bNxSgbe9uwrRNG wBx2umWwHeEd80iL5n6Tk17qeFlUMKsDuFjZh2k9SXBxsc6Fo13wMeSuh2nAQ2uB2R1QyObGqd9G 3dQ6SaKMDV+IrGoifeJWORx1tSv363Splz1HrkMnJT4NrlLd2ISjK7lRBElshi3wDYXbHI2a+GLA UQ1hk2Yy+dyuF32ZrNbU07iICQJJs/Y1q8ue/AhmEFoAyvtfIEpedo90FMrR2zzsBEFVZmJbuJf9 +4GzAXp8L0pv1EBoo0EJEjeqzu579Zb2B7DG9LucAOaI320YcYgZN9zzKkvtvk/UeJDkKFMto7fx dq9GFcu5nz7NiVjPPO5Fy7FhNrUP4mlDqJPDLgvf6Toc80Zh+WT5kFmFcAFf573Dvdf3EWUrcLva yjPoBh7YZs2xFqL275pkO4hqV5YN0py7wC3MvuVtBr262ryLuHy13bc5GUEF9i29RAEW9aJOZ8JJ N2/j2Nki+Mstwzm4wRl2QAGQLMaCXv4zdF9PyIL2aHHON0wXEEp7qB8L2hUMRdoj67b0WgaoLIly jPpFW0cQSq1HyrIzixBZmv6/uPVIFvchl8LjXfWePcnwBxHhC+tFwlvxSlHZDqKbwBQ0Av7HrNM6 pClhv2ynxDJSjOY/ISNeXShX4Fgtvg1Tri8xmYEYxEacBfKWs9jtxA2hw5PJQ1zHWSDhRpVHJh6+ fFrrRMWLL0oVW8f5/E3SwApz6xuAitwsRQ/jleU9ihNP/uWrrjRc72PKfBdJg+gKTQ2mD7teHOaD y+nnair/IlTfbQSMtEzuUfDIHc36atSlMMRAG5XOUTRlGljaOpZcyslWTVZAGRNLEJTLkPQHnVTv BLv6xyISmQd1B8bS7OSfKK3DbhdThubQtl7W7zqlTsmA4RaboMDuE/BGSOcnZNiyDbs1/D5KFPTV OI/tzu8CSng/LisC5QnxhTg30l8uX39ND6G7qGDG5fDy05T/2LIF4Ou4fd6wt/P7TCbQlPsceL3/ R2BZ46zfjnFlzLxHk5fq/z/LRE8bEcK1lLUWXHyO6L2dcO+SY1uMithP3D7USCEfo8juDyK2d/Wk AGtkQeIEfQFO1yj5YiFxLcvAA8jXA9rLdeQDesIYjPQp6M0aWT0Ocj27AWJ6BXvUIeVOpX7w0Y6X qcyamewfhfWciP+UT69WdBPVN9sVQOvGi0MI0oXz9Y7bxGx9mIcEkEOP+LQAMB3SPRzq5wpYNbQ2 ekgPsaqt/ykLIP2v9JGS6GQuQmPn1D5UzubEv6GvBoRKw8gNTKt9xZ3skPrtUOt5qDq/Ms5LOkB9 mp7ML1c+Lm0Fqe0LaIFOfn6mft0negj8mFGnGI1NmH+9mexDFbdpLBBiHXtgoEKb/V9nV/hChfbr Q9l0fErwtbi4y8iOrg0bbAhlgdy1b4LztLQ9hoOevyrFVwzqxqEkTWfC8wmPdjrXnDhCcKeKgH7Y KvEaUCf82ECSlkJMMXmyO/SebCdBM3e1Hg4AOq/Xqt2aDdkut6asRPTIm5t7kbxsoacvnrO7r/pN 4SplKBjLGY5kXv0QlIHWstdySKjlzY22VwHa8KRFLL9MmedgdhIwdhiIdHZXP0/u6QoenuXgyMSg hxV75agnOWLmhyuFQyAxlafLzO1qZXlxCMB1MSHVs42Kw0dxEpeW2qbJFMYqYT+3GrbOD8xE3GYk m6fygGrd7cijgzOPfUqnLYJ8aVb1wKhjaBbCpEXyCWES/BRQnuk0rAcCkEBlZ+N++Po2H5msNv3L KLIjm+lNhszeFcEtqGt8EomKhXuOcFyQAOMDOuoxhl4Xf5KSLs/q8N3ZkNLBRuvPCN7Sd+ea5FBu i70sJf/lYCvX7VgRNl9bxQDOw0+mK52uw254GN0ccpqvV6hsFqndchYnAcueT6W8SVUANGHsVCXz tj4Ckwzm3GNCj3r0zIHAA7VsZ/ShAFtpdMJehAwNLLc3bh9M0o8QuRunRSNIs21bd4JKvL43znZ4 c/qKXqacenvR17O7BSXoWwWS7ruebZ9n+Vn3N0Lm0ohR+9+L5yHZ4vVkhhhOlJ9/ohEYIzQ80hbq f30IOyaAf40h9p5YNGtlcZUoBAK1awPMBCP48vr3Cgi0jWwosH73Da/soAVEboPYcsPklgJuM2UX e5shv96OKA4Uh9QVxjQ7qRArkbQ5Y1PN3tph8pNrPxoMkE69zKtsLHfwP+Vl407L1L9bq4XLN/L+ BCIzTKlKrHNi/odDKSEyvjNz8ncdDTGLjR+APKnZpdCNGm0yFvWT8CUujeo3WckL1ixpmiRBWIoD Yc0t8PVcc6mBy6zklDwJRADFkizEWIJJ39PcDTqGnPa4Tl4n3q3RUmCoknmGGibQRKGltLty42WG 6t2l6qJZZ2l7olDp7+xJsRZ9lVfg3Wc4tBSkV3OSPOrEWQecTOcyuS4mYpOzbPfJDqkLA64w1QBO E9lXnWFhI5NK8NZjiCUcoUmb8wjORRZprtZCzEmRJi8uLdprDpxa88nfzmI8gSmFqSQaWb5ZvARA aYO6g0WeBiybnJXxj+uKwyWlNedg1JImY34MboOm1wwHTz1Xh8/Wi/4PAqcaEc2u2PcjxKVtXg53 hTS7MLPL9DMYa+YaU+98lSOHfEAnYkltr+UkxcfgEEMoxzA2hk+SddnlbLKES5EVv8OZhWPRaqRu n3mm2lm8OIltV/SqzyHsKAMI7OlU3LQhVUlu2pgIfzqKfjRKuz6mFFyJOU/l6gEw/BnLuoRIWiCh AWk8Hf+cguCuJMTk89k3pRrfxz3go2xBIext4J8JZelIi/C1UyRFMQemQH3A6bbJbtYIzJxS/3/w 3qGnp8BYTio+6blEmwokucVlfh/zPVrtCaJrcz0OFyd/b4v5JScUeuQRVg556WgKwu6V1f4TZNtF N8MgJXyqQJR0Io3zPRV9iQWblASdLZjIaXzMAN+yxAkuR+5zTHmsF/qQTmNchIZBi9eSUdQ6A0Gg ZEn8mdsZZbAL8BYXRXFAWkMc4q0mlU6oC036o2splX95iIqgD8X66e/wqQGQxB2yGya9L6J54Tv7 ujaTU/go6Qg3PaF+lTIEVWUKh04rXr+dau1cyN3wNfbZdj4MpTkkarBBRh/TiBcLlp7MdXvYEw4Z QHPID9RsE6rXNOC4Qv/usHug+2HzNsIye/amTQQQrCJ/iWlQ0VYgBm8ivSeIHPJTKz5gpdMadNQE 7yOoUrofHhD7yhe4N4FqLHSUDyueGhokjPzAHOgqZdxtL6aio/7OLcITcGfiyGKqOIcoDWfJXEg+ f3ZLVNq+pS562X0J9F6DG2/om25AyrI2yenXbs/a9TuZZ7iRWj9MiocGSJiL91AIZnny87d3IjM5 6StxbCmUKfUeYpHVNMTj1WNhmVMwB+u+LtVwgDnlCp58ULf5znXxsYIT13nbDVoNHsMoH/TeKFHa HN74eFbiI14jCQURqYliep1JwHnuhY/PATdAxKsKgWsJcZRjNHXP0Y/6cvryx/AtNY8rFYRCR2vr ZxK3k7y8WnD9Ea/gv5wOhJr9PeYxG0qC/OS18zskME1eKpacMe8Yez66KFP4/chLwMWaNH/BXASS g3p+OOHYBdLYKQ2IYefFJuW0QtzoAltiubtJexEHFPpS1akzV/ZabibYITXdxiWvrEjA0PW58r7n YzlCGh1oG6Xhg9zGxv23VXRlQKb/GoebcKXW8nu7qZqIdAKhkzdpXeVo4vqFcG7W3MqDYSYL+soU CXL02Oz5QTqqHklP9GYxp6eI9uBCcSzSvT9mbWGNZL+2hgSsjoIEZ0PcuvT+eCxpMQlmXL5XelpJ 9ufWg19cfhI9dJFIAeT2DhPgAG998RVFsYkt7LTcqC1zCXl0HoTUhA8sruqayCGzFhhdUD+JSF0i scWSyvYRDPJTR3pl80Aa6DitNdlNZHXXs1Un5S5pMGdpCJmPKrNlZJE48Mu4IcIA5kVgPepw8Vak pAtrosszzY4lAjitSdUf3ZPL2VqJ/1P2yWaUZw4lPDOTjqU3Pr2brMWLnbmbtmSWHSzC2PJJlbjH d6ybGCHPoHFfWIKuSK/zBwFT+eWgN1Q+rPgHoTCZdhRAjZx56wQTBcC9ityiLPPCF0MddNGF6U9t xz1rbCjcK1shipfJ5yBL+clXBzdj/McQ1aHKpwQr2ZohWcoH0gWeWaf6/3waiPzx0MThaoNoq9jS l1EroulTx54ItLyoqIrjE0+KPsZR/L9xVSDlqX7zS8PmQdNU9x7i7HupqaEi8ZhIZL0BPceWIL+y O/oCwlX3Is4+R8LlRT5xK4ulD+nghXL3YZB1ehQOEZCgKVEP5u9jvCGhOH5yBC7BhZt8lzrIM3JO HFGHDILESGdiNxYNqeUsauN63GlZChhqLtkOnerNz1ZYVHUh1R52kM5uJ30BsNzDigCRuvQw9BKI deiGnFRYvKo+ZDQZHzSfy/6x1ZkFHZOmG5GtEVXL6NuxvFoHHonDLMfHtAYwIVeMIamwYYa0UfRx gOtNR/18zYo8PRyndqJsMUHOuuqo9WMnnB+zp2HqP9muP+ic+r5MYYDYy67sry2sUREfu3NrMTEM JwkSUacNT5oNYe3oGHrAxC17guFKOgDoZCZigfd61TjByJd2lFDOqRXBJFrCpuETB0LmowDJhTOn HVumWKpgn8119wjqt+BD2FE+VJ9tG0W1NWYgbgcbO6swjYAe9lm/wuHXdb7K88fyK+0NBqO0GCCH MdA+isYRJuac5/DNlk/KRkEa3KET7ckJZtEpi/BMxOHy540Ws7hSnWwkEvDmvSxnsUAMkcbYcTR+ qbMte1zQZ4ejuZSLoYngrDas3qqnAEv74Tk7J8WWq81Qv97STNqAax/Oilu+2g6GDqL8fBOUVZKZ qGPdUon1l7VV55dxWJKhWFl23XPUl0lf3tah8xGMNzmHEFiS6LDWyu4cxFBT+Ndjf4n+Fzp2QkWp AcLMhbGM/0v/AARamG/ovwKJ49uQP7yzklWe239eBa0rzRATyvZ/oAdVp7HFGWrN1vrZdjjN8CQv RXshK/Zrp/Q28mhGXuuT8XbdJU9qSDS/T/SC8m0ejba97HebKEibZta7jWE7X/JqyMD0AuvtoUTI fFgnDbIIAxMcqSP2HCfUx8fMteK04Rd2KP8BE8Cjpj7sUp6dj0IrgXSjaQlBAMCAJosfWNV0anlb b5w/8NGSAkree7UPF6z8sAn15QA9ZKFurkhK1uv7IF8vHNjH8CKovDgyr/EaEQXR0TwTskTppVYj gg2Kap3ulhE+h4X1BV2UroBbUU41sj/o2/j++Vk0R4NiReUdVnQ9FV3FxwD+SZ/Lkfa6lx4LYgjl 9j0oxWIIQxp7wy8rHyTonmzvaWsmw9AcGx2+tqJx88UJDGB+0rjNeHInDBYJLjcUQS/t75F+TkNC J6hvD/UG4abtIEvmpB3o6tBmzQUJsmHC9mXLkZYqpX7P08cpFKsmDX/8yS8qctbf44OfqFRZTU6M MEt6U/p3O9c32YsRq7i9VyO97QQzPkh7RqZfcf2GKDJdPxIoRQVvibcZ3TOYkUShe0nj8IYdOM8h eBFm57pw+VcoIcyK0EGanAWotSWcC0plfBVBZmyn2aOUd/RA9xOAUkTJLN6IB4p2H75TVvbbhWpm s81mi7rNjETltDmaLzZeUfNcQEKIVK1I+Ytnnq4f0eBQFdmk3IQD6uuIf5IKN4HeuQoeKlUqzImQ xCllZqzc9TSyX79dZvvi9zYFrNJnELezYqvDWUIEVcMm4oe3cgkvSY2uyqVz0quCoV7HsIJaZcOC CjvvBCmpCQiGl4awh6fZk3lliN6eCbFEWWkCWiZXFw4k5gzFLHSpcXN78oRXuLjmrgJEabL73GwB 1n5nNYitoUrM0Gfdz2KRW4xezZMs6p8Hvh6JU2o8LMig9zWx2DF4n6YgGko3JMZrvR5OE89iJuPh fnfxRAz4Zkau4+Bl2ZP5ra4vxVH1UIU/nitK0DtkJ3wAvNxdI/LYi+vOjLpDu7eqCqBcsc9w3R1S bsWBwwj7EG04xeZ6U4ao+5aZ4wXoxd9M3ayVF+Fz+DbuHXbBs8C6WL1zwSwyJDGM7DAvWoxdxnJa lY2OyWDAqmiQYVTEaqB89EFY6am8U+ksi8yyN9sKmwoS8kVvNcN3pXAuBZWQyOgkgy5FfTQEEyBp MA+gvqxfuedoirEh36TU/hrMTlGUdsdjOI9p7yF1TM80+tYtcOVnoxthpU+wSttOVaZGkRsTLk5e aDHtSjjYictyU2oHGD/kDFwMK2R+NWrIASaZ8XwAoJ7hCHJEj7TfewSkL7F7NWNGpp24aOSiD9vI +8VHMe0oqo5RnBfyYHhv6cerBwcVTCcOZ569yE2S1WUp1fhysp3bfbj7S0eXAX1QUZ7akUvp+WB3 ykRlgql2iyHNunqgCf9rtzwqZAMoy8F5P3rPwAA2mQRheb9odyfE6p91FjKtVhvxsY/F/Y/7zZDx YhxGXE9rx6gImkfFDqEvKdoKNWVsz31CYByGhED/b0kxwQVU01XrjQ+HkvmuHIm8Us3cKcm2rKCp Sr7C3xUgGQzbD9eogpKll/LExHSNPgqZ1GhN1Piqc+SSEZC2SYwTC9h6QY7wa9022K+QwxpnZpxw dzREqDmalXQgsZNmvjBMEgnKwVkreGN7NP6nYDvyvbOSbp4RLb8LIbTQSiRJ5Yg2s6AnbGjD4gQa TprOGGXi4cNdJo3agejPiXt33YhI8g1P9uqti9FUYbnkFHKTrc8Fjo22cUfD6xSksU6Y10y5mGSA 3wsF2i3HrFFgwtwmThX1aQq1k0rNRc6ZQW0RvAB3/SH5Bxg+dF7gaHQHH1QLr3d8Nz+pzAre4/fD qtFhzlUmO0K5N1KO2GETpZoeIpBX5eBqVsA++tpfdeIaxBmLMAzskQZSDjV0qJ6Z9DnLo7Jq51EQ SS0fbraCo/rvK95hPYlIOw7Tjnxkaxrlcv0CeWtag2fGCDaYg45xWASBvL263k3T2FBId4CyoBTX J0VBLGSIQwgVC8lIQjCcp/nnExbFhFxtNAPvXj2NeBQWQ2yGQ7VFGysGSoNqP6Y6NR/T6/a3M66Y 7Sc89FuHWIFhQvCO5HyYjQ8uvrI1JBDE1ZIUs2NlEYXTCVx5QAx2aMuSOopFCbBDZgUnaY/iq8iz U3p5ESzG2tWMkM/lrCtvFvNtP5fivyEJ90wCN7xOAFK69KHseXoVTWrLg2WvEeNsmjqDvApybmeY AD1SHs3l14mMI1+7QlWnuy4ha6Q42BrSrDao3yV21dmRDY6GP30fSfv0g0ELmVuFKdYAXHuJGeYT nPng/IKuumnyVY9URC5M+GfJPy3qE+j9sfSwOycEegGaLB/OYGNEF4kXAx1+Taj2Hlkt8h6krr6a 9U0o4c1YXSGC48RRF0yHCf67fadW9/qq+nXQf7WurjM/jSt+tsB/2U0EtXd9a3vO4+1G0Tg73ZN5 9Gxb840VDY+5OCroCO3eXfXNNjj2xuUMLKd+PirSfveCRLB3lx4uHUhVeXt6ZCYyK/PC6IXt9r9g l9ZLIRPMKs4owa90A1rr8sL5VBz5qD+ixWrQDepULjPLR+QfNl8Z862JPcUz+8YTB0uXWCaC0kpU oWKDXV54CY+z8zUP1RDY34WVNbn1yiaosTbIWkpVbiJi0/WhoDwcKtWBg02iRPdCfaY3vQvhGypd t+5O+SRundbqxlMKbsVHy8jsYckKXMQJgtpAReSChSJUzp7Lft2j0Hm1R5Wx4ksw1D6+a9eGPoPU RD71Qr8vlHEHOuJbT7b6dKQeaVZPSQbVVw05GrAt3Dr64TCu8Kj8yDxAruuzUPXUu0mXAw76xUzl Cf3eWXTVW0se3puX3iHOQFwGVWhQxOvwqcCO33k9iMry6SYZQkiWOFweMsElnbPBsGugBsyXh2xV uhzS8uwwfVG75P76QydtDwfnZqYTVqQ5waWZipi/NWwX+j6RV6PavwE0wMZWBmoSa6gNnj8tAJ9f q/K6t9L+lQJIFuvfsbPBYe3a0ftHX3po3+K6rVmZ6iOokEcZyEy4Xe4jMZYgqUYs6WGEu6EjurC0 4Zl67KNkVDqNtqxXAM0aNx4ncG+wnFE6Ds5xXbSNu2dDX0IJzCg8sEQiZCagWS1LVv4S+nawj/xK IC1Blfhj7F0IdmQtiECXyWPkTkLTKwjuSTI9lty3QChxKrcgJ+kkDMUI8dsXvvCtYUycvqP7qrcz +qcej9hlyA8slHxKB6C2n+YjZiE0pZMTJox+jNIK3K2cmbE/Sv4jxTD6oIJbnCAFbe4yN4xhwzJj GSoGODCqwYd0thIvZpvqVIE/mzjnJ7UvmSef5zsqwJOdq06z/R/5lRemxwcEBD37KvcFqCgweTHC VmrQsIFINKIscP8Btmpc64UDAGfH9auQ1XEyLCmXawee0BK/Th1WHpGA/el8+tOHK/g23IWcxmY1 aZCp2/iSHDFi5I6VMD9bZGlCNQE9xfkb2tOnOEBmHqu7WDC7wcnFzDwBa5W3He1fLbIzZRQ5q95W nOIAdJotrxNl6KsWyJEml05Pfsvh/9FT0TaYQ8dGmJeKS2pQOZLySS5pC1us/Ielz1fzzA+7/7J4 PbfyiQP3FxahFwHP8DEmmKmPbgl04R5Ref6eHlMoeheiY2QsZmIqAGzm8t/r6F8AqlmmvnOjcF62 4tvKAB6JfNkBIykkySEfdiAeQQmg1U6lB2mnlV7M229Da3/a76YD+Y1H4U7w4eiLwRtcQjVobZYG 5TOmGtoVCZ+LfGNYNtya4eQK9SskiCNkGrNhzURB2VQU/j05AKsCQB/0JJnim0R9xKycm41Nruv8 LrKBGT/TRjqMxax6uERKTUOrMBGObqmiumZPW50YukDGnFb2XWxMwzOivBajbRE9fr9p66uaQCth hKj7Al0vZVuIcD3ZFftygHGRbWTgZ7slr+yiW9hrcz+duUs8Jm32JjaxxFM8OUS7KyI2Z1HCo0KQ d6f2BBxnQr8YkPfvuTLXUrh3TJ1lt9TgNOY5VHRnzmhVEI342rG9BJru8ay3SQdGZIxrff7F8xOY b9fOaJmNT6WNXBePaWmPoDW1kzW0ZPtH+p0wmWNCH1xDTfCF23L0jayPpu2oqq3epbDbhT1p16Bb BM1FYd8jbNrZKt/lZcmyUdSOoP4jtxcuy9U3pejymLZzwJG17gKlIMpL9jO66AdNv26h2nHBgv6C QIml8YVqvIb5UNKwQCUt3UxqXUoyZ8QwJ3zVLJHcCB5MMeVSfRCHWdjDo2eloIddFKo/+vUzt3K3 CaFaOroGMiGfrqrSeAbguxiYead7wVTSI6b+qo5zks69Lbxoy/N7zWamZfqQR2yz2SyW7oYPt2d/ TqQvtMHanT8KDwXUqIu/RdkL0c7ugHO76b/kwv2t4GG5mu4d0rcNlnBkcuejFaWMqfDsX0Q4jA7g lf68y32Ox6QS72n1VXjnaoEDg6t+EqQEQLWSqDHx61z48LhmcCUCvYQSYnKr63OSmmnRM1+uAz/k LRK9vb7R4c64iWQzM1BsTTXUzjiLHfwh7VH3VL/+uvWXuu12jZc37n74qIZu4BGlP9178lTWnUEH qJSJNwrktRl+NxkV5J8aWKtHUe94EizUYn59Hs5O1NplmCiKlMvJirqckxcyjJvC37+FvZbt2Qfy /0o9E7JjQ+LPCa3srBKLUdyrVKw9LsAHDUfC7cTjg2UL5FfGQYp9eStNII2Q5AT3gsBR6xQxis+a /y8c8OjGk+1wEXAGry5AJR8VLxBNN3xn9v0BXRWCrw8eUDo+y6tcdct4qvhTw78oJzfsv80QgPun qszWqFwe+dpTX1pp1jf0uDw3J1inS3k1vC+5kfgT+DOFAzzhEjkniyfs/dVBmtvCqDwGYVt7ex7D K2B6oE2MGIibnbsLK7DKJ/UjSOA7uvBqIalDG8jV16qjTk8LIQqmgnYH7CT8XyoVzmK9TAD8cnxT 7PQE3IOAsRpG10K/laMYUlZUCDbVzN+W2SG9t/2Hkl6srcHza6uPM36Hwr5DKG55fl8LR8UkKHLa aqB67Mbl14p1ViRRAs/xZ7QZGivlV/0XPl3cw0MYlIjp2TmwAzBDcNgtk74reBHMGuOmQHPh681p gs3hCdhzbYbh4Yqzxuce+SRYI11cLRh7bYDGfAJm4eO2lqxW2YmQ/4xeQXtAEno3S6aLCFsSrM3n 8h2Bh09LjRcj//diknQJUhej+MuIU0m+Ix8397ekjSQLodKG/6RXkGkpVVpXe7JAvce/WjTsHlvY 3Hy5Pux5wM4CTEXm981kN6kDe253KS4ZaAufad3xpYT6dw5H7Mto+Pss6WCHQtXUJUeavz1bzjc6 6mGOz5lRlL7c+pn/0keaN2K8YqwLeRK5zFAY0BjWiR+qrUIoYlmeUmkW71oIearERaUwGDzdt4cf Hl4pH77rZnUpYH5JO4rSqhLMacVuMqOdtrwwFA2gbvur7Ba93Vb35VJaNldR9YFaPQ+PbmnnFcuW k4PY1B1Izkv4BpE+nncgFMuNlOi/MgXpMblVpY+55HzEp98wBBVzJ/jZJy3X3USonranbi3xPW/+ 0JDmrbI0kcK1nffTPZ7yp38N0PutWPS3GGX15fI68O40qJMx+t3WBqcCiulFILpRF/HodF168RPT 6Yt7Hg9heM7tuz9CHoPV7hDJYthvrBrjHcCwfE2F7DpCo9KxcWyPRQSNKCDqC2CujV/vUosEcdVj QENXveBRG9Yd3ftCslXHtq6saLpa7gFHM8+VRcBe19ZWI/MCW8geCdsKWpWto82eIiKETOMjtwHv cVM65LIGXHaFK2kVYbZp7aG9VPKBV8k/J63MbL90nKasej3kfJXD69iOpbjpNodT6d0FsA/dOfRv v0cjK/eGiOeAKRg8gpj4Q0pJf8yn1I8MwaAHOjhrZC/WFNLv4lfzBzrAzJC4TjmGVLRs6DXynV+x mW8Gv013NSRlj+1OcOwkxxckBOtt88qoXwUDUoojGo65xiNYoUIVgfE2yxs4bO2njTt0ukIpt26M PfC4XxUdWanVxcsdwRebWRLagZOrdWQFdZ/jpBAmdWEadpX5MmRGejvrrBfMzsqQgj9cXhU9bKEs ZhYRvhWtrAu1vxJ7VJS2MJgGP1KH0tnRPRbMw4y1RcV3qdUapWO8LsynJauR1LeIwiH8XnNV2Bxx WjwVxrmD0hvbMJZVuRU0kt9+p1dt9xO9cG7kJFT5JoLYSfXp1VrOvCw7TAzwjPpdB3bLdS72JjOX esYjA00gD82y6rpd9gvxuFv+wm4+OKd4jtMuZAJLwc2q3fyZ2Rl/dGagMQvjtjpAjIWG4QT+bnqR 54xgxFAVI3L6YxtteX7VrpEvIh5l+tTTulQkR9oTvIa+yv0Wu3z7yvgWNluKhiEcCzjODdlnRbeQ uXJ8ttjmWPhxd/gEJyb/uMlABezZ0qlYyXDEmAhL1V5A1vAXbxM33WRZhc+AUsSDhUe2I1CEBv72 AAnnYVlaADUbJOKX4o6aRmttwGPhSkWYkaI7iYnKwvG3A/kG7Rd/N+TCGwsL7tiiaHdsZ00WKB67 MULX3e91a/FyB4J6CS+TSVBaczKqc0gpGoMo0R7C0+d8YazSZ26cWaMcW6A9aRQf1TOEAblg/7en B74UHmHGbO+F2BnGS0MkBgpsKzMbV7HWY3nTs2q6BdJEdel90g+RRg+v0FlBr0WXsZuUMEJ2M3Ke TJkjGH/PVawZME5n7hSjiCpkt6tRYyWqZnP3uNBA7denMYbP6XaoVm2fpTyaYC3C8VR0Ep1d6C3p xmJPMUPWFocKvAtv8a1UM3EFvsZ21TeUfH+8lhA1bHrdKSEe360x7UzZnXgrHDAssJYBI9r8qavo WdnJBlAH91n3xy6pJv95LcP5g1pYocMQcv0cVTRZZXvqdNRN+ywTpcj+X/Q3DMEmxZ2ebe0hKoub q/2lgg191fjsup3opY5mshqGciigjbGYcTRptw6UEtKx2/mEAfWGHsroW1TwkiskXz/FSPqyxCrA ssGWkcvSsnC+tc8MQbx3BDhXBHMIKaQC0AyGUDoL/0TUENvjEPl3d8SLxl57+1eNkBdtpQJ22jZr A0k3uArnsrWhAm0j5PzMccSUZWiw8ezL2V5fhGgmlF+zbFaxB/zLUvG7bMKNZt/iKFzTbThUyBGk WtAwoAKXAjS68YP0csIrw31Gb/coT+6dlU0w4CGSfjGN5PVhdygpVmcHwk+wYIC+LjuFEKAlNpq/ J2tnM/tgBP2Y8ngQTSurE8scD4/3N6pQiFICYoN7VGEpNluEOLWkxbJE2V6/B2KRHfTzhfXdXPFj GEl++2N+xlCuth3d0r1Dr/MCAY3Tz2UMPEkjyok5izGTG0gVSbr9XtXKyO0G5JrsylR+ap4DhVRi 3WFQ3l4HXxdRxw14OPpfO4KyJfBHQj7EMqg0loYcW/F15mYruDEFq754DNAjNed/VCilU8Sn4HsS UiYldeCOnIu/SvADcIZ9ZYX1kHqygoXrtvRNWDRz+Z5UWd5rWr3vfj9SRdMF8tiZXYClzyefMrUF /23Flk3RcPOALyHVEMoK8bynWacD0qXl/FzozIFeuOTdDSEVzDXKuNIvlIle0KaSI9DZZ25lDZTf MTORUYQ/83C278Gs5/SwrFmLZGzr8v3utlakZnxEKdmZmWReGDatO792k1nN2CCl29CPt2JQeQws svvkDQtzDdaXHU5XLaQ/+ecMpxtqBvyks5JCRACPtnVK9VdnJrRqz08ctVSofKfym8/r97t5ywf/ K+yyepIDrudQRZZvGzSG2aczHqNjlShTCl887uNoZujO34zVlGPl0fUXE5RXX+mb0i2PZfAsvDoD 9UU6U9KpPXiPlvNj8KE3hmb7JeFUFUgGoQtuuTGJefhRrhxcIOHh9wakkAgSHh9eInXHoTESOToa NsHTs8cYnOQ1GPK2/fFv0x8kpbsLM3A0s+dcyJXAnidmtmk5MOkdSWXTos+ywYpdDT22NW52z+xE CmVTNmBUaN76rBSiZ1giuYb36jFx28rnNpNdyR6AHbu6ympas0Tc2aeSmd562hc+yGkQb9JtOVfl 0h6I5BpOQZ3qUW+vFtOqY9+4KablbZ+m+iWmnEtvHToXEnmBe5UJ1MWbG1I3+KQrjTzLOyqW5HEG K7xRh5Rydyc5dDNW43fce5Iw4ByPsuCTk9IDCQm4jyJPbQBWccRr7vx4atgyOn8ovZIqt6h8C5iv SzyAWpRjzrGZ1A2vT6CIWG0zn58y0IHX709yXjVD3xVkh8pn3+5QCdr64CmcFLOgry/xKUdx8pFN GRQpz7juHyxBGmB2SyDtQsL6wcZBw1JKy7+iiw+RlDMRbuyjQiPiiancJ8PY0zfoJk8jIibVZ/xO QGTs6PiIloGS9uoupla4h408XBR1F9X5tPfifNYFQWcVSitTPMOzOvGNGozz4+DZN79R8fRbQNKT dPpw+9fYFZhL9pJ/niAedzs6T6S5y41HAYAXrp/jCNBGhtkcOdenWs7MMQYOA7BsMaaVaxHjkkBJ hecBhRFtcVGuC2nsOoMTrO+TPB+No8nez7fwm+8Cpv/BQNym4gptz37YybSOsqhamXEMQY8niXwF /WmMKQJscdhVNZI6h7wdj/41UCo2LdVyTTbeWXW4uIvdPyI7K3n9v4xU4WcGG2jHohcsFIL1IOTt jhi6kGlvZjG3+dUqrx3iS29wnV4BKCEUYhIfKsf8xpeatFTEP4SyJ43vUoqLVRRqVRMNP1ObvsVq D6q3KiRoOQA+1nS2KlTyYC8pPA6Wp9AULgxrrtrZbD1BWBScVbpFP3LJZhC09gw7rMR9E45kOlOB lS/JJliIuICt6oIgLnEtbyLjIIcedLKOkFu3mfDqzjIAo7ugy7IVejka4KRM9a4wp/sI4wluvP0d EUh+qtwZseB12XQLeg0hETGRaeSV0++Zh8k6UsMTPUNgHQrkzGfn1YdKxKFnUSxMN3v0ywDlYxBO Hktam57CqeuVNwyk+W3+FEjux0XOCbrYwxY8qvXyUEKOUtiasgt3iZlmejD+1FRfaR1fnELPWfQQ hNH4IaOE0m+LgExvmaB+8vk948iwAbXIhgG0H1pzRb1F/dlpqxPUuZwIQOwWweTcR542FTXZiCUr E4wXckiYYshEuh/weGg+/sJim7ogQpTiNE91DgLoId0g2c9g3aAUpg7xLrt4v2YomckrR3NbPUdM nKBbu4FwBe3ZAecUJTmidz0m9SsImec3WMN8ye3w5ZAVbvo1iQwDRXYVEaHLNAVfmJcgLIY3iPuQ MJn8ERtWz/rqSEqiBvF5ekBP9OcGiJ6VECgB8+AEz7XAQKIV2f7Orh2tFSQkfGPiuJ2pk8uddw1M 8SFMXjU9eeeJEEPiJpHfzFiHYOufEOEveuUxzbx3roDSNBRxP+wuyTxtxuTtnGIZSbDoJ3bk2fHo z0xRtq/YSYCEdkLyCsTRSwKxusI3BO9hMMg31xKV6US8gb5GAT7g1kHv5WlejIfBRHQ58hckALBi Hn4GxxbbuQiZq0QHjmbZRb31gJSJtHfWxfzVa/hrKlUnlB91DpKzIrlAWqMNhJ29f1YeK3+ZOvyF AZkEezt1Tc1cNenGIme6qLmlPHf7BnJfN9KipAZB+2REhkQi36vkmSKXHjNludVczk95MiJGa3Cm A2vWiNKcFkkTBHgw/vmeYsuzPUVKGpbA+fuAXG/um6nVVwxOz1GF01Kk9nP6aChp5sGb9zt+urNl 53FiFn17ejk8uuuefj8e5WpOfhdvl4plOv+GonNWlMNW3HzmUH6xVwl83BNflG18oQnOgmLLJuE9 8KMtSDNdEBoU+Ab9ZWNxAZL8JU5WSej9LYotqOJ+kOnte2xDakDpZVqcG9hU1iVh6JKOdiZuvy5e 80AFf2pUhxoM8t+uMsTT/Xt2KOAegXaXmg9XRkG0rJGO8KYz0nEdieCBjXtTazMnPsgTQhjeSbIo uJ9g554wSvSWboiBa6pem2MZXV3+edh/1P/AXov+RytMbmq3EGT/fDVvPJa2N/crhRGY+qeZrAXf RA4xdkXgn939gkh+wj4kOqH6mRVTmjc3BsdHe6U5Oz7q1mQ8e1M+Jf8lSHe8xI1NK2Lxan3QacO2 F8hIYBsAbYySayq316dqMxsEobklyOvg+edXALRK8Ovp4qoXRgMtZo0/hIMuuP1d0Z1m3mN8fjjf /YyggEObejg/EbB88JUFidCb6F1auNV1OQwp18lwjg0t/1zvBLkyCQHnmh3UjU7SyOdgtmDyQx4J AuEu1ZmwXrHQaKQCg31bvJpGjW3XJOQ0zDiteJz4BbiywJps/1ouHaE/ZVozwe+riyfmEsv8UuEI 4LaaWMzwXJ+B5TA37ZR792VuQxvtem4FaULFOXove9ULCmOjQsWJB77Bt14tKBC3mRCqDQcPyM2/ mYvK+qYkYJfrD6zw/ULfkGwT/MgI9eNrWz1TKBa1wjuTQuktiAjn9LzSDbbgNP7RLTbrVsSIFor0 14XL9vWuPRVmEuvMGw/vojDHO78kyg7IYtlyFytRUfFCTlx8IuFtMQy4aLbC5AOEwYtvXH7+yqFx iKd25sKAb0/lm+KjAGtHK6Rma/CbVlkoC7TnZArTIfUX9t5kMWE7p2Pi+R+VjxLoSLFs1YoggjXp GGTPjiHCG9M7hKcYBO2xDk+QQjQ1Nh79XbhXmB3pMgHPTwvAvssnMCZD9yfpsC9k70LnQoB8Z4Vt 1hh+ENFpJ8s8GNuW5vtvaUSF8AXuX/3Lo3RZpbxdyEHuXcrcpR+t/G3UoXnDzS19YCovQqPISkhx TmdiN4S/tkI+/z4YgdZfLDknaNex0/znxkqUJ63uh0I3hiJKcqIKNLmv/gMUMXn6SN5UhOskN98d gq+rnTbF84MEq82k4SWn8B/yHa0ysvdgfRyCvZdrEx0AqLsH8ATll2SXy2QJoO5M4HyaMnwM9gd8 WmNJFjRg1Zk9f6q1ARgMmZF2MVD6aznOsGc1B1GnVzwZZhUfsTzDiuA7D5PkduI4T6y2t8V/cGoE OiRSC+TVdOUUalaI0jj4MmxXYpZhqXlbLzAhbR/sxvg7iyTllOTVejMsPAEzRS3Ye6yBtBqKfm3/ WQ5ZWZhdZblbbiidOCKir0+ItQ3ZBXLoHt4ZsKPlqMdQqjdMTQwScnN+MwJqTZn9MrzJeTtxZK+p UITm10W3TMDaFcBzv3lPWWgzJMTThIw3K43AlDCV4FczIdsrtnfPnFnsZPrDKKo+CnC5ITDIID9q DUBBvUgLG9Ke1Jlkfrq/GSlHBHArKsD687ZsVNw8OjWtDJkZzvkUnzWrDGg9OlORPzkQkub4Cs2q 89p3PQtlCsZ1Vd3K5DBsYyR8+i4PjOFD1O9FqClE2Z8L7jaixd+D/w8LJeBqcNwXq6oBIuGX9u6r AqWw5TSjpnA0U458E+U/q40+i0ByhwxwjRb3CfDRZ4snFYec6zECGbkX6Yhz/IhfHJOTfsRir9fN etLrSYdT9JqDwNB2L1Tw38+Hsf9fMcZViMTUuDdZHPgj2w0s2pIURIDGOL0PtwJ/ilcR8SeQ7QZa JCfgM3J296Nis+7l6gcUAJlyrY59Hbce+0wpM/0IIOq86NJ14+C+bS6s4pillwmXt/AXRGGSNVrt LBtwEv7Ms43Y5Gh0HibRDAneIWft7TJMSLrIW3VZhvj7hO6puqrdYM+dzhNCIFD1QiSoEPB3HQwu a4H82WIg0L+2ZeH2pmqlJMfsAnCV4PqYr/kDai/dxueBRcUhJm3LsgmGJ0qdJfOno/QF9xwah6BC /dnpvGPlga3GX2AvFNx/rKYf5Plmtc2vRo2JmCSvA8VKNXhyRXvDocI5WdTJXyZ+yFLT/BGB2dQM d2lz/BD7a4ZiDRBfYP3JgrjCMTEwxBIY/bRpyVrpBxu1BBq3fD6yEDvQz4gZWlZbBUQXibu0WD00 G/RyrRIjDr0lS553mfBDVwxcvId4DZTpXSlM9+I2x45yPV2nbuplBQc4bx6DIRwoh1xvo3sCt/wi h9aob5jbrATiiXOrjL67br1hSWg6iqAgLJ+KFsyBBtIFXvgpRL8uAT8kskVutcZuDh0zVcti+Xv7 M5J3Rp45eYZeFiZJpuNzVoLyf2HaS8bVOKnNJK7jWmSRKW419qrIx+HsNmU15J7u9+CTPEcdS6GU yvYIpCR/RuFjwXFNWj/AZpkE7BDfkyjzlwkxX9+R3QQ6U98gVqtibrymgr7CaGsDe3QD09tZWZs0 IpZOKZ2z+eEyAFYEmDwCTe5IUau+0UFikoZYapndmWhu2/phKG54Hnz+FWBng01b2a1k7DpgWUgI S4ZIb/+cE61C/x/5gZy7TwhzsQeHBYhq2tjI3GkgOQmSoM8U6VVkxXocXVshj+XpZBhiW7ChP1XX VoNjQ7qVYugy9dRh+XlnkT11Ql91IoCUZSomy5k/Fe57I+A5iDX7Ae7SsDXdlchcUwtybGbeBGpi TjF/kgc0VckuGubIs/0C3bUDG0DPlhxgEFzd215pJRrtHE/0lrifYLAGbTNfMo7aHg9me5XZA0Mo uzXniSKrVMkP+qv0HOLk/86pe7wOf8IbbCojkozmui8Ukr2Sy2xAX36U5J8wVb51sxkpcDFfKjjo ETTWFmKwGIgZiMPsdwgx0M2oWGQcwGQvEfm3NiuR2O7L6q6Xg8nSqrOq/2GLvhNWc6NrK90Yh7UB /XxrS5F9WOe+DCjFhfEQAwCfUGam41SqS9nkTXtC/izSRAI2iXrO6WFHRq+sAE64HcnEcFbk6r3H 2jpntbyeiU7Mct7srMYd8gV/MXEQz40c95BV8osm+SbG+bSX6YkF2BO24mtdwFVJFF8vQRO1vXvA hzVP68AmRYmf/uR0zlSWWgHPcQI3Ob7xn5SdUOG3qYgIdDcSqtp3/9rOvUlMn2w73jPSAvMeY7jm zTASSggckOH7NhB2ctkhs0D0u5rduFif9v8ZudXV6358O+j9gURPGdkwn8BVrMQkpTd8zT30RhTK /0Rf9Mdt4/b8LjTedgEc1Waek4OlL05hoNKqoZK76NQpYSdXiZqY9Q+8j1XAEoIYAIaeobW2yqR+ d0MFMx8fBjjmH8iPlxM65f7pvEtdaJjHYDN2hJ1ZRZ/+VLWBYHGV2JqytGLjSDBerPosCxJrtJST 30R2D8MnU2bDOao0Fl7yp0HmdQOJAscYQp1bMW48r1SqEqLfpEWO7UKex2WXruJf+Ubf6xg0664L CfKo/XKu6CV1sU3WgnjID9vcV01vemPUawIofqYMYKhCot4fKv9hJ9/sOlus5RiPJ/Q1gTvL4999 iK2mjnr/FNUNklbVvaBsoGyseY0xlw+JwefqPKbfqI5BtlYt5Jav/O1PD3PnHt5gR/KnwS1qABqT KPPYY729jhLApSlJTbwKquYVvPxFLbiUaUkb5fbfQySnNlTKW4NrbX4862aMPhVGUkR+Spj1oEoT z08A5hY961opHn/EHg96tT+4v1/MKDNGPtj/XNOFTZ/JrkcHu15xlNNnpZXzEWwkgq65RFs196KS YS213wVgoputQyfmbADhRlX0zA6U1D38bW/kD6vv4/WuWQk5Ud5Il9Rh1FVmGwaNQlToalIyA7VW fuvp9pVWTofDanCAVJqJ3MH3132eA2dO/2JrD5YftcRWe2LVEcgnKBJoJBMp0JBcnhjeQxPDwRGf 0Iqg1M3yxP3n4Ue5TEooT01AAaPa0lElUOPbgg9raNJ1K0/61d9CZ63NeJhj0DbgbPaFOZapyX/q fztgA3Bhwgf2GbxhBHKTqdgTJnPah0HxUD+PC2pk2ks3Kq941J9QGdhYn5yvS7OUA0oysxyUUTwk egjtWJzXmSboASqz1QHQFk/pMiSOK5ByJMbcEi0u5ZZeEH3AkvwyN6vMQDJptNYQljIf9lqVvYy3 FJsFJPjWWSp/+jnGaiL2H4rFfrxs76urfIrVmVroFag7WnE05RwtRzw29MYkFoDqhNpBgrR9PaoE s+Mi2fJbULpD3vRc1pE9EnQ99ZeRp7nqQGeKVvIYsqZhkj/y2DmbZ7o88DY1sXfCx/lyWAmf0z3H YeXOR18FL+x//zkb6Y87thH3fMejn8uJYZCe8GPL3LjYTRHGaO+jSnCBym0H3ESCA62SopMBC1+5 yRUpN96f2+8yNwRlCDe8BiX/WvfSoTo4JqtiWE22W+DrR+Y3RzvcVSts+32/Kl4OncHXVLbbOuQP Nn7qiIeHDO69LHg1qp8uD0NYvIN83SnEks/MK8FrSDbAK4NuXHpfJYK1bmwPvJEQkQq5NH3q2qlx oaV4g+a9WNYI0yTXJ/U6V1A0YsRgdGRm1qbvWDJCQii9mxGmXdC6O2g65yKSjk4VACq1EzH3py6y wOcqDQse0h2fYJvq6QKuEmmPsKDPXi99AUmXMNpYRwMIlAUpBoICKiKyzVhdp05ec0zPQ8tGGMP8 goBe4UHa5d7tSsNFKx59a/eMDVaN3be1DDTUT+tllBGyQ01WKV0swQ6dHJDP/D9Kw4whGC/PabbH TEZs3xhHT7AH3YA0Boa52Ee+yakfJSrJqk1eDO/hOebOTJCWxeNz9t7n5QfHSXGI3KWnjcho9TR5 2rdoAGmXGA1AMZgHYaHcc1m51apj7TAtfby+jgTc3VuObtwWRuU42j3UrJ/BbaLzE7RgvyHO6Lwm JMoxorYV/ZhGgryArfr3u6T7hZrg1BBydUvcD4nbWefuqwOnXNU445gBWuem7HQy4JGtRCFR8P/+ axYvE1S50s9uCBO7dMsjdzeMKE0y8QT2ePDFF8h3JGS70/SvjwbAdSmUVVRhDPksQC9gOQ9QaCu0 eExTPPcPB2jYvwp81mRWbNDUGgjPKLcbe+ZfN0mET0dDcHUA2e2YrsWLSyK7Uw9PouuMOietc69O TOrgqyZ9UcBu0MMYHlHbP7TuJlSzcl71TZKxYA7xEE01q/g+RrmL+WHDsKUWyTRZGFWHMPuoLsCH +FdPhaZFPkBsz0n+OWW2o77FfRDB/VaAWZPMP+8RQ/WQKR5L4QLWDOE7b3GheRs8t3D0Q99frCYx e8ogM5bpTJdaJGP+PSvVhZjRMDlsocnVSdFIy15CTqx/Bpudv9BZ5Qzn2tRyWhKp3haqCe32KZFs gRlmtWWgKzM+13fAKNNQpHR91EO201J4oz2gKlft4v1FJa87yPu6L0FJN1m3s9c7kg06buOeKkx6 Pn527QV0wGbT7Sxpq0fLj1Ev1nmQQdQo3Y4JJmbGDi+f46CsA4pntztKTICzLZdgDKEkKNxKo60Q gZR6VWD6Fw3W8aK2zc0w3IBdo5V4jnuLus/zgfYuPCCQxsilMGf3SpmEMi5Ehzw18VNYStLi6F3B PTjDLnkFSgIkhv3fxplRbHdWwY3OMo8xNmjaHeu/w/OedSwOmMwZImEqBe0Ipx8Zgx4oVABrIa1p 0sM7u3RqspastjxZE9Sr7VBAqt8FTcyezcgWwHEho+pxHbPdAcFReUY0R0nJYpIQoBxrfMG235/P e1EY3fnoLKIvpk5HyUDH5weNdBZ7esfgBOdudXz40C7gOIzbPUVv8y0aBd+BvQ6+V/bLm8GSD8di Izk6h6fa3HDeKC3g1iP6A1PQ9RTzFKgEG2izAxhNOcV69fy8SY3kowM3FHLrmvKvBwa5PRzbcwI1 l/VgWYjFKE6PAbOLctAyOHbVY1bpi8U8nOpdii7DR/np91HJjUxCHKNZ/DhsnxkoklfDuZz508xV 8JeBZ9yuyO1BmW5bqeKxlXs7EkW9ecCpA/ylzw0M8EAeQuM/sq0wAl5ybqVVphbWiEVCJKVYv5qS y3owdqqzOCk3Rg/sfqUpyZ+Pg5+9pfTChl4iG6McQZXgtIFpKOisi8M3wGqUcvnxEmmTqk0FoN7Z ux8kkGZl9AYitBkuE2PIunU2z+dAPX7RPa0+P7y7iveqMq76qZYiHK05j4a7eQy29U6MRi9lahyl 7yQrP9rQgzU3HOeQPat+ErbLtLVB3iwDQHiFCRztp6Ask4DE775yQdvNB4VyIfq/wbcT5F8RX6+k CGAwmgQoXdrgj+AVmOCttqnLyPh/MOCKpMYpXtrkz0Lf+KpZD1nXsyTMHrPRsPw1DZbXfOU+iIZF K99+tnPqU/oIU73HHyuhUbZkn4wFcZqAaWwcJ/Q+srtTyDU8jalShd8i2yeGMxSiN1WRgQ7oOUml UQfnpUl3oHy0U6wQqlTfJLemmaUKHBdiOZfBBkZUzZhQSKr8yVWXY09kpndSumfOhfarCVvR1Kyg t+s8N8kAGcwoqW3PfFxxvmZxS6jyw9ExTIJ1XVGNCyVYPadZd2qRn675KCOt3Gvsuj4ii5cahB3+ x+gpr4l099Di0PsqE1pOZGS/TVEy0PaqIqzfC3mQgsT+Q1gr34/vdbEjChNARkvwvNr+S9M2CcQZ Rvn7e5O7QFeHm/Vd6S0qTIrD/qcsD+Il+LgYI4TNvz/WDR2eZzucPI4vSDdFKNjIs61XYl9LPLCM D+5taYNMCO+rrGGpDVG6H8QbyPQJwZksmSAmF2Nx2P2TCP67ytuoV8+/qqRuD7qcGo4mVQsXU/RK TWf2NCEwuxd6ccPNhccCmM3ByH9QDJVhT7HRep7o86j3aazwqO7rsNrf+jjh0xu1LdKiRBvhHYPd nb63VRFzy3jiXO2nrMhIDg7IO3DsXKwe/04R+g06jr1QHnIyFwmrVev4yThs0wbwnSJOl/f+ICYe 94p58T8lEsttazh55J5KRuN9+mVm+iW9MJWqrrNWLzHE1xsI3oAUP6lIOtkTHsd4UzjOHeQgroyM 4L2i6/R/fn7AhRPRMRta42IvwlrUO2YLh1b2zLOg5hF1UZ5Le3gNsDYiIHz+vD1Od5wdx+HjfPiQ IGDaJ7talFWaqvcpihbQ0m4HSO/mpBPIZS8G9mrJc3hxpTA29JZzuL9eDKu2G7jj+N2qspg+LGEt PVqp2hl3SBi2JuI4AILE7FUEcNstNsGHS+aciJA7iZkGeSTv98qMIhNmwV0Wqznd7GWplTxe5xi7 kERJyNmE3yyRQ499lz2dxx8f6DvlQdYUX00ri14Q6NndX0ji94vDasAcXRWvuscHRbdYYEIWN1dg UZZJqu/3dxfFuQV80TP5QAHtqgy7xQVJ2keyB65RzhHetBe8uI+my9WUdT5AyzsDWWQUVcoj90GP J/cUVZGT2diRoolOJtIrqXtQKo5UtI5U9XRVe6oforzi6yF4Dyhbql48YEhZRly3jJ2m4OtvQugs 3jpN0PgQvHd4e5kx/Gd4aVqT3cJnUGr8wYftJz0y15XWb0tkKwHpuCLW/BHHyZ/QQvdk2Z5o8dN1 /vQg6qieX3D3nRvKaHPpzDOj0Vv2DufpVl22U+zlxjtLzbkir5sytMVNbmQJRpHybXrdvZ/Z7/lm G+uUhCZ25UGswVs/4220tRDNJJO7W+JYcR/UC7Sgh0yqYInxmIgXPAY1hkCjzvb8MsQvo+Ep0ipZ zqZFqBjWCPGDUPKu79CQyrLWPMtcnpMyczRrH2/8IT8DvMJzaFbfSq+Xv+7KR0bYbKf1yHOsoh1r I9HGg5ccRDD3v5ydSFsjX7hbvm7bFuL9VfYx6xwnw+eZzX85vSj0za8U+HLeF8xTwZ/e24kEdne4 SGdLiHVrW748xqcOjyNz4l3IHsxC9YMl6SVwNYhzI4tCIVDbTjEYaFmXb/0Vj1CYZwbIrUv2YyKR XSyvLREnsSl/UM9guc6yeGsB72L2M6eJ9FoN5RrX0GsnAwCx825X3Odd+xnnyK1Rc9a6FHae27Wh 3I4/zCXW1PWmiQyX5UVJM62NfQQ9L74QwJfJyTssq4jKtmJ0RwNmiOtDA8pqgq3z38HRnVbhKJtZ CaUJAqhs6E8iNGraCA7o/qNMGv6CaKsQANtbpmCrbbBRel+BCL/cAMb3Rzz7SB9SYl4Nb/B2dJ3M cRCTh8XdSTDsuof1tFB/hneNigwUUBAOIuwIRhAA15689k1cS/xNuwcKHoH8XZfVd2qWAP59Jp2v oH1FWlBClaq0zQb4/0nqi6Qlw0CPSAEqAM0QnTYtJMaubvJDbGKzCqGaAA/+Wr03lBgp2G8t0gRq XMKd+l0RFakoeueL/s5HyjYxSHEGWynXIqmc6y1dKcEXvVpC2cz+W8rEMiLJK0IH34gO3VzZHw5Q R2AlYq92uQ/BKNokdWjIidosaLk6CBOCdJWyiEtMH6iV3nQGMsruFzlJhT7urske3CqQSotDawwE va10YaCeTsKUWODVwmFg283VUHFqlmbeZGfg6Le51r4C8yr5UOczKCNxEDHy9r9t3GOFCNoyTNBG 2+lj2QRmbbL9BLSuUQK1isXoRHeTvlRtp2M/YRJZCv92SLXcUEXUV7jStfi2gHUO8MB4bwAluJYU GIxLsNuVSF6VQA0+Aychsd8GBSbQHx6sz/kQLZ73iFQZaFsF0j7KBzQv+qDoooC5XEynyWOxrnfC 3UnXjK9wj4OByCvfVYNFsyEil7K/bddjWsa3/lrr+6PsAx7wzLfuv1xcGGCgtjp4DMkU07owaZG0 3D8ZMUvUR6MVqnjN9tUsUVTW5ETV5YNFrO24whSx2QTYxvan9wzE+ualST7qjI/og0I9avn2VSMV pg7thRv6rgNBcZ/RSYOj9b6a3RSKb9fdCZXTmProvKWUhu2ievaYH9ufaLA7kww6Hby4BNqyU/G7 YKTuLbbreDDliabsfNIvZ+pC5kMwiTqXxsJKMMzI/c/wDzb12mPIqpxxDadzz8UZGmYTm44pUnZ+ KM3k5uO2H9pS61RLkzdBK/Vzme5gk6T59pXYYDymcIGeOECF8iEfed3WQNUxTFywdBELsZBLpqcy aLBu7YPWHHINZ1J831/86+IzZfTD+28zI6lHTdcu2aF8td5G8NxribHfM3wq4hmMgD7QwLEL0jK+ k6v125O7j/8ttvYTULpivs2aPK3IiQXbgjjyWeiMx/oLhkT6KdJGm8LvjtOvCpYZwgRXEo2hJtzY 5WuYAAOOdtYM/ODcic7NpajR6+7hNKhJfqwHO/MtgoLHfr4IdOwNJKvEWpKtH5zPPdY0w1NMWfV5 9aKx9D6V3HcHTgwkm/TvQkAyZC8FdhA6Tfdt5D38ONCFJb0mwTneE0bTsjWzDRx4v8oIIIc/C5JU sVSx7Q7xO2xUA1UHMVQDR12X1Wpzta03Lb6psLjLkqdBV7IwA/MSfMl1USw4CF29bLe2Gw5bZqPD n/iqBip3jaKKDWYF1FLVu7WgtBjAK99XxYaPB2iWj6tSwEccDMLDiFdZfC9U1Pixe2w35wUVZqzB K5d3L2WruJ5ZKd5L3+lKxVgjvoKCkuSiaQUtx4YtVfRGnF/fuZH0n9Haa1DNe9bDnp3gFKyZ3+hp Kc6B13Swiz68zJ+J0E0CjvhbuONjGeMrHb6hAFIPBmX81rsRsnqx0b8wjPjpLDd40Y7uApTosfhY TaFJqfgP7f1G95u8aCVhk9wKcPnyMqsB/o3kSmLEHw6kgXfCXloB9NKJWomElaD1oIIAcyxw4czY twy6wH8GIo/bCJtDGzOT6cgwcQ8E9M9iLcxX4ACwK5fXrrWi3zNiHCg+EcXb7B6fxN2+8dSTEqwq 9/S8wx2emWMlKgPsEoYghTqK2C6JEdA3EnRyUpyRHJ0SnlEQlkQnW36yweIspeEm/77BY7JhCmpP kMpAZxNOT7KSjrRCc9jxAqXta8BtagFDnslK8KmJrLR0PiJ4/hcdBptsCNXq2zvhQiN80d67Ixni ZTlYZPd6R7XIp2zkWtWynYlegpWd0UKxL8sA3/Gvhjo38+rrlD5F8BWnv+3ux4fDR5iqccAfk7AJ m9skfiw7Kuc8zaJCf9DamwWLhgBMsG+zT9ZKsUpL8arOyG4oQ0E6I9xVSid7lriwjCUUFif7SwXO zwY7w/obO+7BbVRwxA9gGteN0fDq3RUk8CR257H5UtJdFLAOAdOdjouc+7YYRk4rBWQGyJ+e58q7 CXb5InYKFI/t6fOc55OqLJf/n2By7Chy9fNZCKj6mWK05dSkos9F3veg4vSbi4g0D++yKcMeBESP BRrujUyQP7PiDWrTfvON8/lHYW1gKnBSpjRTRLlH4gAreVPbcXtyfLMSJcf9sbX6YPWjGuv7pYaE w3dgH43Rfcvz23qVabGGD4eRnK9WIQe7MRGPzDCWRR7cJII0yiZb7OpuQvlfSOUiyA5/TkOBEdJh BZqtETB/4Iy9IFF5GNZe8peAkTpnHeyet+VdiXROxVbXvtabzRUMvFXheOQn34ODJ2bC707/Plav TjFJ/lpbm97DdaxxaRiLiKHms5b3ti6IAH9ke916nboJnygvaavJ8GVzkDiO8nAU75dt8ErBpgsr cjU7KJISqbQBxAUtbwsfbkh8Jy6vOTx4J8vvT7nKco6xWF5zsQB5eAD6GeSO7sGI0rhBYG1yOlGh tR4tp2CV67WLk1a4fpaDq2BH5xBc4bzFPGngrmZ04r+o1UOAFTb4izkX1o2B+SGTQgTxqa9NDSRu Qj6Hammhq5R2KjQQR3jIBbfNa+3XdlHjIjiszwEdZYLECDuqGlxFLk91B6TprLSZemedBeLc7l6W oDcyF3NKy3tIMcUFsql2Pg/XE3fyuXL/4Qb4Qk0zvHDCxKHelGmEtvRWm6KaQ+pKKRSebZFrbBpm ZPp6NVEBNC8rNE/HgRwCAwZWdVTRp/znJvvbhPb6NTDVAzdPSTqfgMweC9WuIgJYQyQllVGgpJ0L Nv4ceZaKNnEUZ26xExH0CvQDedt63fPvEa1z4B/V+6UQSeN2tNk97NcPj1k88fjKu8c9EOPvADWg iIGJ9VESI8QqUNb5dDaXajLRNz6YsRQRi6hiMxga0h+ypn6Pb4ImeRLRZTvocXRA6PDPdX0+NMvM sncQXjjbNCepnYS5ltrrGGxQgU37Ryd9MIac+gJsii1w9lt17UbDFrSLjF/B6BqIrkyKXh7feTNQ UWvGaWgvCAdEaJdXPOpT6M8Im7JrG/nwp0K3e3XN3oi0vCPUgqtJIatuCrOLZkQ4DuUUwf/aNfvU mU0XRuyV9m7nQwYMPk/kqQGImB5r9N3K0/3rAONp2E33sdpwbihePK1FyU97PBtcbF3eF4vrlbO5 cccFyFWYHc2O0FtJyUXJsUtMSoZcIL0KCl1dZqSEwC6+vIfPY0YcaVuZdOU83AxXvk85S/BYqfuK if9vqQhxO6uBxxY6HfSi4vSnUU2XPLFDBlMkdsUIlWb2rlKv5jdxjnrFFqC1oIhM4uooI5EnKeWr sY+6i9s/hHTedvsj0OoyfBACdCBBmcTh13SC2POpP41zpcOWGvZQ4CdKGwIr+dirvThesYAsxZb4 IYuC9KJLNqnEK6EoQAqi5UFT7eWvbE7OxvWonsqUImfiBkMuljED5vb9bx26rymLAEDZORVlCiMO spS8rvq/TyZbxM9l6uBXm2LDzkAfpMs+SNUQ3TTY8UJDccQnXbX7cELUnnzhlb0Lr3scPpgiQCqG fHFPsM5085sBUYn8k70Yawrr3M8FM6/MStLkT7/TknFHSU3RDWZZZjSSJuX9WxaTBn5s+l4jfBaR UQlNwn3ufZdY94mM4+VovTRgWzh3O94fGLvRrGoMwryzjN6tw68qSvEiPSxK7Jt8IiUsB2gHTRLM QVevnIPzs8RwUOya63ds+/jlS1t+RxQdHtbGMXg+v5ll0z3MszEkWFpWMsvgbv3VrQN4P8LkoFS/ eIBI3KNlcdqcFpDt0oox/CMEVxYgr2taQ7lJ4a6Ao/sFNBYU57ruAb1hiM8QiI5s97Dmg69tTz4G C8GBQSiyFDHsehmlGP7fPwn15MVkaHGjytSpriTpnAYKXlqgrsLBm+d7bWXNs0aH9Bv3JfPxjUKX Lfr8IbnoRzWxELt+b3S5yngyHeeOY2ipG6N3XSQoD+hXV5+MT7dlIe8kslxtqyIkrLNsaZh//hLb QzonZomXOGo2HYsroqVs24So3VwQ9h3JSbUO/T38oAPa9U4txFrN/EpO9UtxF4jDpIp981mtTwzM whITOn9+jf5x6t+P4pYJDeguGaNgGUM2V6YeVSYoEy5RQa3o9sG32HZz47bs/74Hljh9Bj8chW2T 3gHx8e4BowSpTJ2sdOeyds91rPYk09I3e8H6aINk69JnEJc3DRd7EapwgtiL9aRq4uNyKPj1tnBg T3lRGywyIwDstAGQPqtFzcppEn4OTdezd1Etq1N5bLrrKF0fE0zrMptSXUtQveeWMsd+v2j4Su4u 5I+qjBwJGz0bolMVFibOlRIeY2Sdfhyr1mFGidR1ulTX/kMBnKOX6t2RaVsdZ6hEwGn7EPDAbrry 8Ze/PCIeF11JJkegdxnpBcftDtrKotDIxgAVACRThlhIVdgI33DHUxbM83lHaDT7Kc3JMvuAf3Ev xOHpPObq090OcFQRrV3JZyIRK92K+Mts6HUl/HBEp9X2YaUCINDy+y/gYJHpNy9dONNdnFyQ6hsB u20ZgOloyJu08WZlzqcYGvT8y0ICttd5ABNaLNk9XTdveQCJ2oNOOPDfmQjMjpz3/4WSt4vrThcF wXCVoX4JOZvApXOIZicCIGZ1znEJ1I/mNpzUijKyoDZonuWWW75Ooo6/fRrtH3lGwsv/aJhRmCqZ ewydZAUnVJDlNbNf8x43PB4Uwx7ig400lmLZUWyoMUNkkbLJlfYssyYxePLnxN4K5KigblbQBDTs tMDWfspWmer1hf1Q7mSD2iIFAHnDW1k2WOOI11dcaDYeKGKKbAkFFIIs3hpeYxaVKzPsQb77ppL2 rt8fTMFAMwqUmiBl5VMz6DZNwpBjCOVao/A8H8fvi9ClmWv3kaJISvXs3gFyYTbKEfR28aeYqZHL tV9zjF/RtifxtWKsZ4pHDZEjJ8M9ffNsg8BwAKoqkVmS24ySwo43s6mixno6Ry8YO/DTr51IscVJ XgS5PuYDQSOY6J4fW4kMO4fDRgBBV/07R1AmMvQie38ILKT83yU/ABHgH5J8Ap0v6trO1l8H1S8d lQZqRnzUI4/Dvy25RHqXzhAeHRoeQeIFFpFgfk/5HHtbz2kB8sVSxxqVVMismMZm69ydYbzWyM9Z XnHZ1lXGXJ+OAzzVfOhQXO/JyBynpRKHHqd2vDs2HFjjAs1u6mC3rOhhTkSah48OaSZxqlSwe63U P4ZEcqx0BCY+dgLidBBefYr+zHodj398WyW35qxMTudEOpOK84eyAO920/yDsFaKjOlKCO4AUK8x ZCnyfsV3CLC4/Dh6aZ4rhG4fJ0muejhJdRi20GPh7SkINv+15uXat/aY/q/XbpQheAg/Hhd4bRW4 fXBF5W7l1JvxzKO8bbS/+W8jz29ta7dOhx7UWH8BsoBawgOEiApV41ptDjaqK4cJKFu39klafPt4 y/I++GrRIOl7TDG+GFxgBMx0UMqx9XDvQIn3Qd+v1sC0Fke/i7oC56N99cRkrYb4btVJSPIiExxE spDXzqMwo/9wafzZgNQ7XaAdt8v6FjkCJm5hcAGQmA5ML1dVMJy5OdBAE2TcBVTYL11ZmTp/FVC6 HL/pyOZLbdBa39Fxa+b/5+djP5LPohMrTj9PSrEpP8CChmSnJd8GT96fGcKqT6zoqM/GmaXlJH+a GpYEPrgvoGGd2aSEP+2eCOOjT7aXF4FrKsXPXmCiZ9BiIxil9s8pGLdQBAPA4TyJ0NvglG3CGzAS zhqWEpLH9B+WwVYL5bI6f0WCW5JF8V/urprMO64BTx4IgBwHnXOZ8128mX2A67AdFJ8m+sxce95A ky7qB6CDklep9w1Pk47E24mo+2t2N/PyD3bZDqMZW8vgGOe1YUcqNHICb+63RckKNssqBIBiyl5X OoeM5S9euD/LYurazY0/6ZpJkNzRrow6w1oQAs/ck9ZnANuM9FySjm+RKf7kTwIFTTomPF+bOKBe k4eL+9el11J9W7qupDE/Wc7VNBk13vXJr4ti4wFK/AvlyhfZKXuJTfGczLUCIoBEhIEMyEnHOgOc kc8CMWnTTu8GTobkYjXmEh2BqSl8Jh738gWtpXekwjO2hBUA00IuSTKwqyd8rcNtPmJFeW6c0JM7 4N3bl+HyQQqJBgdfm4W8BsG5y+J2Ddkut/0QPHycAcgCyQ1phg+wYNdfxQKMCLuKf5Rr4PuNi3+5 3wMQgkkiYvzKXL9ODMNZkxZV9jUiVvJyKq/f2TFRBNm4nwDETmJaYMCKKGNrV5gfMWIVVqQL6uXa YJGfJnZikTZUhGU1cLIwykkaLQBf5aSI6RlSqj2hIt6a3vQgXB8FrxpAYE0jhepbwG4+BgCYsHpw H/bMDxQL9ETwJupFiTiGW+689mS+rZgXtsxIobLTGyefweoIsIN1xQksIhoXdm08NkA9lnwtaOh/ 5vPYkp5RUOIHTFwNEztN3XgmHaJC3i1AjqR7JxcHeq+SbWPyhfZkai+Y0U2r+dpeIcqYOrgzydcU PINvt+Srk1VtvRfFUaXfLBXqzExDzTYbKwM9hItw/MCW600/unzLFxdDhQbhZFs0YBWIyIu07lFB 7dOPSZqv9Yz9rbs0jbICREPqHIa9JtJ1mHcbHVBG20QCW50w9PkMz7/wCFwzDbKZCwcZbZNqTAlc ssWTH4QxbckzBFkuEj1QhKqFSjikfZcPzMtLAXFBty0pvYhMEhGdXn5UHkLGC4ttuV8iZz/c9LoM wUggzmrXxvxgrJWRsa/2ckAz7ewqexuGP12Ao/CF1j3Z4hgRgl0jMOZXkH3yFjjBJ0XyWtP8KX0c /bEUicT9aGj2//hck9xNN7MMq6cSfFh7/iaGhNSwFkmXYNgyWK+e9uXNzs2UlWXVrhUgkEB4804A Xf3ijamUymQdJu+7Y2Asz5uJzSOJfrXFjZFS34NqpdkWRr2P3HVuksbpSV1QEFOUzg3Q/3u7pbfR ZX7QzyF+3Yqd2mODwo0My37EXr4Ug1Sgp91e+2/2+XJx8sI1P798HPypfclrO7nc2/ZwCZIk5eiI Nhfd/RWZkkfjdc/1Kjxhx1TEo2fVJ/aX5M8LoXE2DpuxulhfevasI+bkn6XUSbXc9tZIGHN8cmDj zIsH+a8x0HYXBoW9h8ThJT8pIgVCPH4i5xqPj5bSOAhPczWHV4fXAEyGpp89rNSGQmKGIaiAFZZD lO8C7oZ7ZeLUm/RUD4FqJYG/ip2lcpICVRDHMhUaemeh9d0FvkQhUnmqEi+Wpmg4Tn/CawWJG9Tv IsN+e48KrWRcQftZZWrm2fXysaPXJ+nkO0e01WjKgotLUQTA+3ki2o6ESRHFp8gnMFz9+02H3dxd 27214sz1ARfsKgYrirFp+u99r1Po84JA31GiNXbzfeV+0QxRqom7453uKLDv9bcT+QlZgNiKOcNE xqSwQ0D874yFjTC8p9k3bzSnDanl+cj4ir4kEIZbPLwdLgPJhe4taGnTTfQUMt8MRwk6t+1mEihb GaEjn/6po1IZwJrFwFF7fAAmSXlGN8YTH4wjZI3Ko0iVtDdjk/oV25IjPe3/1XTcGN1sxU/UwxfR LdEfqekKM+N//hL8lfpt1JzcsaR5J2h/sYivelbFnz+09/bgi8jiQrRzZqbNO9ahjjxGIdMa5esm R//NceVQNRChvkxSqb2iVPcFfnMNcvqexY3nCHN82pIyOyRyymtg8DklNBUQCUVC3EmnCMHXlP0U Gw1HeYM1cXEDK+cImB5lqisWGhsV9WYW8Cr/SLFwiM65ifCPZ0aDLAEja5MMutkkT1cn1RzbdFSe MQ6/RBKUHB/VcovSbeGv72q36oo5JwZBYpDtuO9vM5uCC3eY6odk2Ag+PdMXPc0liJ/wgMq5OUbQ nJjk2I/7SRfR/fDuiwf5SzEhBqn4b9DojoeuJa+2f9Rbbp0CahjfdubTG5cysmRweFrwPotkgj3I pyGYz49eFHTDEnZa3VXUSDv6tC+ikrgGtgbnY/ZldPF1XBkk0BRfIEpobdq8fsVMoLEh+zz7GlZp lPq3OPpRai+K3ZW/MSmRZiOe6u4+6OVT+pSPnUNqe0HoF+VaMA4pUdBwygr7Rd+7yHivn259GdNG JzXN6tL1M4NOiKlYcjNHLC95Z617yDQ78Xb0fnYJMGz0aY0W+4miQzWWihfM+RZmlEVnqPFlJf0F sGPbGjwfY7qNW/MFXCdXDIgT3VVcievmVvBXVsYuEFdrdaVzItB4d8i+lB5ie0l0QpoAKjgyyiFL Z+ye5xM3mG0U1Lmts29mnJCxaMdPXL7JnmQSN8gEP1cKunspHyPdkViFbd9KGq2gg6HiKWVd2np0 1nW2D/NSHYoHn9FNR/mzDgiO+MKUNidn8jytDZ/dLBDksDsOGZ6tsQit9QZHZGRzc1YA4itJQRpr j8r5sd+8ybKkK4I4s7/zzHS2OWYYXIYC3MrpRLn/xoAxbwspm6xm6g5gTwfaUSIf+cxFHLsM1nxX cZAPG0Hl9Ay/tsa/M47IrDXF49aJuseKyBzVmISI/vMp/VlyVrWEiuGi09oPO5Qdq5RgcMUGOGZX VnCgfhHfSuU35MEPMfG8dM6Py/Qd9dVB8k8nvykwIsfAYy76yFASQ5riXQhb61syQbtuZ8rCu3Ad 397mF8yMK0ZlYGaK91Fs9nfs4hdugQTYBwzaiySDrG5gz1fxJVWZ52qguTuYDZjESSsm1Y6sLffx 7DRLUHmSdFQZglzVCcYsobCDnio2j1kRdX/nUM7XzjRLnWbkAHsdQg0AdyXdN2sxlvd5ugzy8dNR buVrbvoJ7T4af6c4zlkwA6W5/9S2JcuU0mrBDSA/k9vFK72Vbk3+iFNObzbZdO0ohoUfGpyXnqBg 1xeHQOMaAR9jvRLNshKsHGFysxjp+vwbYC8wiZR9awIu8+z47HFQ4a2RTQuqlqM8UiCWZp/gzxJT KUQv7jYQKbsdVx4/+nKclt7HZZqI8OMXMbzDTo4mTxkcnljRJ+zlr8jgkIajCdVSUxs+7rjjdSvn jLnBjhWq4cGdP1jIi25MmLBR3h7FHZtCeITKPEZ3/ohJC8V5UmdaVuX4GWtY5TRv3IFGrsKEDY1J KxJDnocKp8NxhexPhWFOfukn4WCQWz2dEDQuCX6hF8WbCeAfQFmS4j01pLTtWNsiF0PByOO5gGqq In4C/hXN4tN9gAsAm875gncHPMnlqyfmYs3825WAInBLV3xroHv8riH0NRcSGPJRQ0CyrJMatgJY EMmMBCtRzuvbR2Aalprf3n0mywPsEbrEk2Jxll0UyJYCb9jwTydeX08fpvK2C5kfilpqPoph1LZ8 8VSCqvRAFc/6stFGxjWMd58u3piwN8lA5gZ0Gc8K5VmdZTRZgINVLRHncniEnVeYrA0IVpnDYLjq 6ceuGHNnHS/9yiXFzcHsJEUB3u5bmYWzn5JLUYF7fBJ3EQNN7fl+LqTRENc+SIgWlYNihhlTiP/9 35QS0MBbiIOOkWrhP5dXkdVb89mNYh1vX6tO+6ThXBHWct0pnWHT05ya39ls6YUkUC8GfTSKqa0A 152FVI5KY6NjaFaBvR//I7wPqbEJ1PfK5Ur7h/dWqwU90evEeDNe5paCXRISL03wyBj6LUPs3zSG LTV09z+TplHfSSY7+BiwJ7jZprTMOUevaje4Ax4ZirP28w2vhNgHv664VUwRXbPeJhAFxsv6or4A zB7DyR+/CqBM6wiIA7LjxQq4Ysgigqa+yvHt262ArUcFwEqxK0xP/L9zXVGwCrseHyFB/lvZxPIo 39UvnasNRweaH81hn+7gesQVtcA0lPKxz6kdC/ogOzJ2OlcXKr8+oH7PuSx5F7b8Kkj2Do7deeae PtHpgBTMmt6u2ui0301htzY/jA84AAvjbPTTYD6jrsk19BnqQmGxGHG+lMr0xxeukvfwrLeXkslL YySb9BahFuXR2veMP9HC0WrTu0Xkg9vm9fe5yE2A0cu2SYs5l8OORpks4upYxfEgP+iC38XQ02gU dn37G90qgVQJoDSgU1bVBLJygAxEtIlIjLljw1+9Cgeu0oXsH38Ht6uNVH9pytSlhfR2I07vxjaS fOvcoU/zFfuivTvX8CISnrujNSurAIIUW35xDUd2LLGKe7BQDZ3ds1GH6u9/j2/uCUXWka92mytx Bmp6xBl6Bb4+gkNLtZ1c8BRay2d39eB/ywWKC607/HnEBEyxlGzjChvBiC3QNkIYJwgxxQ+hpWmw 6bYQSc1nv/1LIOScKQRycdEwYoysDKx8VvLDmPkU7oRGWHAHGt36bMQ7oXk3EQfgs/W65/WlvJDt qesD+RHHQIfjzaK4Jfqr5BiMpDh6TvE824GbAV9oCWCmQymxc4wNeYdH8FXtsXMWzKQO0Ooh9zOd RSAPXlD6vjFI+vultGRlGzP8ZBsWHgnS2UgO4jiN8SQ1WtqX3D6mTvUzf4lMKzKsC/wsEJKdenuy keSAscaCKtXZYDmvbyBRRSZatxVHa6eZ4UpuqOXpB9SjrHWhKNmM6QBVGRWmou3TPSDcjG79kUD2 mVqoq3/wMevRk1O2IvqDF8u/gXe2uCFJhQLpW5g4r7oIwJ5WYQzEDZPbxqcS9+/HmhThhAC68DGM fmlUrlH+ALdwOsnchAGdsnMyOUmAnGF1HET2t1HCreHSUdX9XwJuquFR9Zv4N89GjXSGNmoAhtpr HZUrXueJcR98NLHNsLw799F0y1pJdoC6HEs30KSvs04M3aDhLOPnXR1Cue+P0lEXp32aKZre9pZ6 F601AecwTt7dfhjtBHM0bBewbEhrlWn6vru1RffAHwhdK48CNIx/Prog2n3jtf+MAb9h2jZQMZmv wYcy5i3M9VQ7aX+FAojVlDNqXL6jrIJa8wiH71/w/bTUqklhsrG4U1gK1E1awLTNluOAYj3j3KHt rSZVoLO2e0Pd5WF7BcUacywMIJ5+REH8gtrTzJO+T4jwg5PD44xLsdJ9MWQd0qp5yLpNb7M4o449 HS5OXXlMq/jSJtm2dlBjFLgyb314J1ugXRrQ7IJFuRkX9uP0IA6e3paYs6sOnviwxnB2LxEFsw6x HPlQVDmRhPFFzU96/1103TVlKkgqoGzG2NhiL+MUJgwLu9NdkK7CH0B52zjs0J5CX3cpDMc4N4QG GQC47n3mrcGjqXDIXHA0gdB4qp+vnZob4wr4WiPBM6/hK4uaXoijJHYiPArJMEWxz4PeiZVoX+aj ayL5zuHPuOtdmz0w+NUFkM02Wdvn0rJ/DwaMjltL/Z8hLpCTN+E1Yx+FLyvcIWYXGL4YB0cqvsbK 7FkEhAAeFULy+/YFzLETANjwa2NupZn2E1YvC7IPzdW09S2wnAAhARihE0e7kVk0IsyhqBwNQFPm wZTtFmsDQryNf7TDuiwYR778IRegbVCIavT6/+JuWwV9tulqSfG+jgfoPjiTQzeOls32cb7Sg7Wh I3rpE7OFoKd9rY26483XFUg0kGwdarr/PFagynEyFo6yyEVWAviIks9PuZa4ZxMcJOqT+NZ9jJYz Ig03cko14RfnNVw+zd1DzBkrAOVvevmz89E4gRrnSdXLfHp/hMDGbHzLkBmnNp+iXy3l6wrpMjxA LXas2EtpfRalPf35M1s2+3bv7zxiZGThNjg/79tE2YPN08FiW6wW/v0ybxXXqHr93e1yCgSbdrg8 mbqETyb8LrQu1RJ35x4br1oKvyaA9vFmpkcSIYTOcWU96SKcb7dsNP5YUCqxr9mcqtJjZkC6KsVB HpbfD+LIrMhsuLnPhvjYqm9S95qIGJQXdk5vvsK/nYk96QzVPLJWauIvOdQIzXHmrP+0+1PmMUpA svBDzSjEFk1HwIqAXEJ8QTd9/YvWQpCr5otpd/WA3HIcZHMvb/CD7lLGYOqH7POfMU/rfthkY0G2 e0ilcyq1bH2PRXs+DtmLmDRJdKUv2rNBMbSgP9kytm+2oEzsDPThI+Iw/8Er9X4A/Vgirv6HQ7+s wVp/Ph7mhpPbvrqRMrVmRnVROA3NPkw080YcXSum4o1RNbbVgydyfc+PthHJadI+m+jhoZAhmZ3I 7XYwDNzvR4u/fmjk5JfKUe29er2YzVqaPo/k0rfxAYRGh8DGPpCd+1X2dhGAVJnvLSlFpUYiGFy/ Wy0ITK57ZmLzZ7pBkKEMXSeDq6wOBmyrkVnLXFlx/estgsoD43l4k0H87T8I2iyemjEOj9qFdMKu Lf8rJovckddRM9dC6awS0FUV/uQ6s2f5nh+QkQhR5d+y1gnxDawzig7mCKZ+iTyyHTnTiiKF4P3Y KC4dU+UnFT3duuDo9NMTgWoARQNu1jyUR/aK2sS/4P3Kr3m44wwYQX64Jb7TFnoYywp1P87PS2A8 HfnQjChDFpgICBo0FfT/UNvSuvnksbj28cDsna0Vagdd6L1Iw9EawAXSWk7Nbn/fwG1BVpCDEjXJ 816K55LjWxEqur5oWvz/Fm+ee8x2Hqj8a0wc/gr4pxjE/8yRLoar4Mt5yYsN19bBPcUobaIrBZF1 xrtVC9LxbRfG7novE1LJoNy5vLX1JizCAOdXvNYXTFIljxxPYfU7+K8eYuZQKZaB/+DxHQXLqhho DpFTRbD7sHeXncnMsjK/ZVt/S2mT5xBBr5hCi6dQEzj0mFMgh3ZXm9io1kOE96hu8z4ArJ963cFu p3fghGtvAqKiM7Wm/hb1fVlAm91LfJCzH5GUrm8NSxuTJu9bnASu4903SmX6h5XJrUSMoVa2DCDe rzaG37gXJXbhNCYqMiJZGXdjhAItVX9oK7ZqCrGd0/1JH3GJ9Jn0Xsrsrbl8V55crzrvQIXzBCN/ Oy+F+TGQw5v5ljSisQfMvnQ7ZkfNdED/hlZL1Q+jU4h0A93PoMrL7/Jl5Mg34ic9tT+4pN5oWtIE LGx80oq8g0vCJgNXHtRvYZ+49KXDp2pVeJhpCHbPPiuNVWca/B9o5yjQYmiocVK57AEXPJomhUnk MeuTZ1dRc2l4GYSb37++6unIm1NHOYpezTYGsi0qs5hvtiuWQJkhdTqUh1ZybcWr6kWX+PpuQ6qx g32NVGWGLFsBOC8QNe9gOH6/SMiKlIvl6377y41JFDr7FUGkHS4nBJnkCSbGeOmUytzr+e58HBmD gAy4xDW3ON90s3jwasehI/Wr5Nzn01dFlJQd5RE1GnNlonODcPP+p/u+CXOuH2yu4joni36KCUf5 ag6XUB02O367lB1V6WSxPSp7g6Lw/c8m8ws5OrTjK/bsFI9rAQUzWiIX8M0CF7v1RXcQ9zXKjrM8 EUlrRNFvY9hXtTEtkXeLiI8UT7OQj5iu4jHqt0PUGo20QhK2HgZdQpB5BIPhHGEgm47UA9tbAC4e p7yXFlY4Vt1Si35Me6KJlxso0Cyudy/roNXaKc6/CaKx/cPF9Z20QFAwJYzfYXN7POcvR38LHdBq 6OVg6D6Z1Io3JXcmfsshL16AmOpXVC0IFuBEwiIJDbD8U3ajdom7DBaRT+s1yenmJvnEv5Hj8nFR UjdzS7cXipk3ymvOduczy8EGBX76v+Ld/osl6mJnbwrGBU0VuF9qDoxQ2FKYYkaJO5n5ImFJ5wEP Lxk8O6iO1FbMv/510HxinZ8pCNNE53PhbsQBWMjtl8HElpOAwkaA9GLF58nWrT+qXLXwPkAY/RSc SZHNDrr2A7dZNI2LAjBpxrLjggQk40EE4xbnJviZRHhMtZAU51umeZkNX24L8UriL/TKyjzj/rcz OwD3NzeeDavHWe3TYtiCM632HsFL2hd5ixMmJPk8br8nqVqSMjU9lygo2VJizX0h/ULb8eRxr/rU 5GXa1MbFh1p1yoe3VsHPQVkjpFu8GC44S+XzvUHjB05w65zz0N380hqEOJ0tnFoD8LdIQuWAjORO JUjANzY/cPhWmuLB9CO7UOLXBt37fBQwTF9Nbwp0hKj41tu4gbA/r4Qn0QZCH7sZvmhLvhb5XAVX I5khuO0ThK9e2CfCKn40K1iNa5bmTnnvKpMIQfJxJ24QMR4IlmImnR80Yku9Is96REluhhSea8UL uIPU5+DAHRelUKazzTCe/xTiidUWCmSPxzoSr/3O20JuHwu4t9a5Vt00/5jJYlP7+VAFyL3BSdn4 dymRH5NNaYWyK1Q7fAxciQb2BSLDnOAwtv7Gu7r3Z99T2Gu/1rR5xTongJ8Uyo/AhYsfAFkulcaP 5JsOzWXdip06qXWiiRgHUNXpcQG058/fzbPnpZCIuKmXbFsQZXgoShYGBUCVlT6P6VGemUrSzriy oB4OXjnm7FWezCd81aJu3Q/P/0B3J68f0cIGoqSs043Ni02AwJIDBdvBXFqLSJtXIRlYbjHHwjuR jduLtT+Mugzbr1eiMyWTAtisP/G3hvEnnBtLfYa3ZN1bme3ClpWRXufeUdbu+IIiin8wMIxTG2n5 Ad8YAJ33ELIvQzy0Hp/WfVDjL9DBBX/Ufscw5Lajk9bPVIGNtjVqCUjJ6nEiVponWePTVNEcelz4 UjSEk+eoakxGdC8FJ7vCbdChf1nb/Wlx0aZEd4Dk/5ioCOMwmmoysWYKtAQqWEd6bQFQYc/YveNF fVfNsjTgZO1wNk7MUWRDwGqIug/wP6vroFu/HRixTHhz6B5jyu+2xQ38a0vie3UMurKm4fKjnrR2 h8SF2GUPjZvScYkt51oZBsqE9kXKa1sNx+sY7BbGxVkIrhodMoOHwtnGlTYZvmnxYukNtbTuq4aK qqPTqxESAwyzrKKImWRrwH2R5EJ1R9EPqaVZ1q80mUlSoiJf3NDyxs9EpIvPy+sxbrsykSZIxlAY nwqVPxidWImGKMO5phldPlDASJXbract12dumUPFjRrWf0JAKii3JN6oX041T7kVcTfE4ZcLhuUK DW6X4Mpkf5xUY6HhTbD/lxCWTC6y1PhgCkOM2uFGoZnVrV/NxPJ/n8hGahjfz3RYmtUirzwrMNyD PN2eq++qWFeEnBx+HY5Z/r9sELjMpgmQpGxH0turcEPZQCwZFKELk84MoNqf6m0oSV/+B6lZge8s 5hw8Vt3p1oVzZ6YiNTzCnxCtIphJZhWOyg4XORuUpne9tNyC4Y5loItkwyJBCtJatI0dHlEkf4UB 8r8e1JY+ZdJ5wlpVtcUsNcUeuQ6OhFD6LEEoO8cPB3AT4piF2y08kKtxIUA54+aG8+6rCv9odQ9J EvtEjArI1njoIC9hzlPsU6RkCY3ORBp/oWvrtFAwk5Ik48Pk/a7dwJZeGF+ibOkVzFEevkHUmW66 30uX5+eanTn80074aaTJ4zXKZo8GKbsS5c9Wc28xztyECXfZqg3I+swQWjHUFNnQA8ecGpJL7k8t VdneFMqfQijnzSa9WX1xCp+iirrtMfoweCeMIGirH9d7GTsjs74jdyCJ9IiJFeuUNy0ZjjDT2BCG Qp047p0RQ33wrW2/Gu1cuGCPFRUFNwTHTn7BF2yWI8ORf+N59rNpohiNfzsDz/7DngrhptjLTMFk 6EmyYDarVwjSUpmPz4yDTC0C2ezn/ArR525hJHs2Q/z3JJNa901ZiY3jnMhCVMjzjB7JCREaHNgD t9n9IPkednbRfgp7cjWN1T83iAd7rh1ntl/9c7XubkDPesXKx1sYeuJHcKb3wuViCgctuaDGtTmC 75f2bHDnHOKuADNumoeFH1qrHbqQxT8TYjiMN/cNBoW8dAmg84lRF33YJgm5dLosXInWu+NygCm/ IdyE6JjhMVxvUZvzENyBOBNLQJECHLb1PZPGpDHdrA1etsB9kgmBTFHS3rqTq6mG4OxKOdHyyzpI uXIBAbAQXmffwJT90uaVETlTCKdamJZX1latbFPj+OdgSAxZ5SDniJIO0FnM+pvrgx+/eIfRSPmq JM3ii2un8U6pOK+SyyKjXlQBYidk+c37b4eu8mirjEChesPKyJpXDT5tEMIZ1aItmAScb0lrOxAT 4DH1zdMqvBH+YHBwAFYQBRCQMD3KniM9fmCcJOmdkIfyJMdD+w7NbSW8VmgBCiHq14h5xC4cVyYH lRqjwc1GlcFfneV76bM7/AMP9p/SekyVti8KpBKWkJbTEOwYHEDA+JFVjRZWGPz4oBIYviT1Rjis 1BzIQs+7Q0q9A+xwUrG0tXdfmfls6yStFQ5Qp/eAXDnNTKz2v+4CgAkh4wOjvM3Z6baIG24RAJxr lmI2JK/ZZuLqxULp5XjvF6Hul12g/XTeXrLBMKqq81+cv/ghdTfroAURQRIAV7U2Rm/bOYUaIAXo qjNRTjdED06LuYvG20SYHq8Xsvz4a9lkSsWbuk2vby2yUnFsYVgDbMfvIgexVgJqzsg2o6dP7X1T dPvB6saA74rMOeafuYG6P1++OiwqyW2qPLVak2rDSnhqYKlizrVL7Opm4+QCqTWhV5xwQDgRmGH2 3tZzYpdb2/ZEmsnsBUDmTxLhujrvKZahhmI4ZsQCKFODqgqAm1U/d0aJMjc3wZ71Rqbf+xO33Y6L QPtLeQbaDAWbXCxds60RDcYrV6y1A5nLFJXZJ0P7ZzJj78TpbTPbW92LRBe0jgPK3KILNaFn0dex mkCJ6dhzjzJ1J/cLtgXCjjX5kG59yo8cExipsmgMbFZ0jpwnMPfk5pK+UdGZVHx7W2Gh7gN1+My+ kbkr2GIUuYeFVwRfUHLsItRpLECBYUVPk+XdHLQyekRfjBdvPYXjPq/TQwIR+LKZgvlIpAsj81Xx Ama31bC4XcqWeyzgwihxUFfIxXD/8MC4ap97fpMTAU5Jjg1XmMjBTgZHjcarA2OPwtVRXAFIjhnz eSbe46lzm3L6+pxPaibJmO2z2pAWdEUsOKdoKeeyFlyLoTBTjeORhQmLpu/5rsGtkFm9mkx6hdhv pE5qqD0ljEpbiuPkVKBsWr3PG40jBLHoPdA+F20kZnzJqaWpX75Pe+dS4/LMLN3KyzA/OifhPquL cP6f8ZXOWLHmcAEm+mmIZvXIGiPhrvl1oEZ/7kcOz21oxvtmU+GXZkY9qdVY3uXeVcw3R8YQDl3Q p+nkhO+s8pK51GKYu/9Py8LxJgERabJ0/591lEHH7eHTjZXMrrn1TS5lIifpL0XgWIa1raXqU4fy KGg1tUvjJhUjuj8tjXv31brCjbsYXWkby05iLkLdc0Ye57lWRNFh0APdvhdFfMY3p6tc7R65ChFI buLlE9n3N4YfQeMT7jImCiOKArXE5FU4ftKQDbiTDcsU63JK3QNbIWILMpKu3b+M6B6qgABTOKah dEtwkVblLseIlIfuBz0FWyhVau2XJIEM5kw8p31R+U8l3823sJz23l1rsgwnbemsaEjEMYwWg4TQ ZRt42iTKy7tz26en/EZHV2IlIMnOWR4maupvNKCG/4KhGBegxs31ZQveJjQKi2ZYiFRbEjdVSVGP Q9QhC7t8arz9OaNODJ+ml8y638p1k4GeJ0FWBFcpAt3nFpEavxpG3Gu0vtDjVJw/Oayea8rChJsq Szdga6ox2AInlGkUhTLU5cV86h/szDiI6U3jDlYLpYmAdr4i6kPsBCNVxob8GXvN0w5GNrF672S6 q7fAAr6M0NuydPZEldLB3Equ3Y5REYQhhZnt5L/YobbeKO1KEBiIrs/CqSTLo+oSA/8wEKCT7GfC ISjOsorpaQhEGeJvY4vm/9uRhDwAjai41AtYmkT0xdWeaT6miYx4xVCaIEaismAyX/EpCGft1sbO EGNcG6kW7MeipvfH+goG/5s+RX2O/XeHOWUQb5ZL88CE11DDElHS4PiV2BckA7htwldw2ChZPoSi v4+1xpQcEhjQg6swI9JfUnSITX5dqYWtvBdB2xCTDdwmt5anZnFdpfGvG0NHuz/2as9JlZSf5DtX Kh8Zihgm1Hgsxt8JPPbJEw1gHKnqYEpf39yQsG3XEgQev0HLde/dnJ4eIMwj63LJR/ckeeokBtxK 6yTVpFu35syMejUNCAeazda6ILOHozKcwyPj3lXQV/ScwDZK67wUY0bT146eDpCl6EmwlEjVTI8Y 7E0u5eJX5SO5eGF1bkxzTYtiesUWXV/lf7A26GbFZTYZSASoTgdveX4txcFViv1unqaVm2CKOnSP hhzLsiQhd4mCj9+sPSUjqIg9rcYG1iElThqbOKHQG+4gC5q+iwTi2+Eg0AX+9kI7AroknIqnG0OX bhEeSpUWvQsPqWzatdnvVbJ0lH8ic+3fES14RTBmhOqkJyZHQucTghatJOX3IrGbFA8UsyhaNOUA qTFUPGkhol6QB/AlBo52afWJSL0H1FkkRJenKryJE1H8kqlYnQWOaYVy5gTx+7w0DbN0lqtlE66a 30sIJOS9Zvy488EEyQyyjMrSfawASo7Hb4grdbni9suqBjabdBrzR6Pvs7qRW0h6FGh8qAp4AQ2E 9qYVCqprY91jYucUvvs2KrM5OSoHyOBD8eS5G60jITw4CPYY/RewP4DYr2u8kRxxHmxwwIwb9n3j 7wi2iUjdvlWnUBUZzqRDeB9YfMXozW+ofHj+BNYWEjFBo0ifnIT6lVp1PfGhFAbYeRucnK8qFM/i aDP+BN5DC2HIaCAC3cZviFH5MK3l6wyRhxRnJGwCc7dPJMiwnFzABAcyG/2tTg2luhTCwZoDcIt1 Ng2EZapAOMm1/22X9nRAHVTCSVXp7xwxwE4ns0u1xvnHUoceiMDxJMbD370ui+DPgJ4keuhcOvYa dPqxQB1BCVcCxj71RLLWaRpMk5PQ8nkNlfWw7TYK0Sjj9L9DQKJG8KeayYwFhsQjLWvCecQPlWv8 f4qZV8z0ferBcvswZmSj+rGjNEHlK4i4rHYTuIKacxbmYTGZhOLnnRFF5dXCp7CnwkCc05rH6w7q tklKjU1YtI3ybyI6MzuhxDvdOHQ/o9u7pKwJ/ts/nYzlwk6X5YjGMZ6hxvCzaKYs99fASVVQZCpj eifhAt0z+itGrJiquU9Mnp7/g5tsu0PuzATeLqgXYwUzwjGGT2w+GITNmGAV0k8ErUf6+VGtjEpE HK3fGdKvRSmfTrDhKd2nxNiaiCgSqNiT5ZBJSDvkArEY0/jVcbtQJ2LR3oRd0IjKQO+RgbOsBHKP BdoRf93CmvfAved4/bRLnoDi6WT4+k0kY0nujAA5wVSDIoMH2SAp45PRH9FjxstuUlSMmeLQhKDt 8ezrj/5tUtund6k+B3ru5xPM+WEM8G/aN7tiU4J0WYoDzX3Lc4Cwj11kj/ZGjl0Iw6MudtJoUwl9 iXyWzHa8f0kNWOIIxrD8uREsj4W6oDaC66IWLcbb8SxP5n1SsuSLgqwU10qzTgyALvepKsUUFPGN r7XhQpT1nn2dleF0yvTPdHIOrQbj9nxj13mVK1Juerk+iHVeB8zzlAeg8D/U94nndZ0hn21UykBb snNA22MzwUvD66UWkD32OZN69zxpIwVAarLzCgtq1EmU8wQPKCwtU7PBjlMNIxcz3rTC6gjxhgZD miULiQgBZNgTHnoT0nbzczf9iadbBmM34jBFN5H3+WMuCx58WOpxWFjDjYeyh93auLpKoSXluIEG Ws4T/NjMVsRP/fFAAYWCaxqmBHfywQsV6ULGGC+h/ZwxtZspq+QfZ0dCo83mgU6kdx78DZxAjW0f fSKY5BY2eHXF78RIz1DX9vDJyoixcf9ixqyVAdssACcvKx0qrB2CqxxTkSx1ZPsGzU9SabB3NZXo 7IGnD8suTGrRCGFGAF8JZ6HgIJos6/hhatwesx9d37GTMeeDpvSbmzkONu7L+oCeMnlWK7aV/Ya8 TXOwOrBvCvtKVmQWYMhXpgdoLwP9MmE1PmqRKDGAkBP9VEOvb/445GB0wqFsK2D33PkDxin6tI8v voRcxMM4MsOInd0Zw80YptH++3iukE5prddaXe7LCVrNPxccKShrtlX+iWYnKXEbPI1PQmQ46P6E DbqvoivWHt61iFECZW6dzGETr2XNJ0+6CO+2OfigYkcoMw0OI93lRR604jI8u7/NuB51H3Hf9ka4 Kj3EuzVeIu96BN3c9mQaoIECYUlT5qR1j1Rv9VK8IvMf5Mnc+1pseS+CHe+QsAvrxF2IILNM2e0c thpRxk6IypfSb0YT6Sq/0+j6CrMp4ylbTH1aWipWXWM+OdZEGLCD9BABWelfi5KVaWNMF/UBkM5e o2SMW3BbPm6na6B9JYi5AGE7sT8meOxUh/UEFDNasA4WZ/Ro3HX8lOwabp4AC7WABgoUaLQX76df 2X3JjPwc1NF1FZP4OD0ivq0EbMTc2fM6/cutZmIyOVxQcAQmAQjZ498fQYodWxUrkTchdLqHyZBb ZNJGmqizY3nqu6pRz+psv1XiJ/oiSKQ1DScyNtPBvfG7gkh+KXfMqBuhAJybWZAyS40BqtihB2bg SIBDxEAtTeFELgWcpYeXflO7WNpxTz3SdyormQc9ig/c7stwJ2Kedk2B/rVFJN6CKVltkkOZJ6p+ lFANoO2acQ++OnGIECx09HngYFNDDwO4ysOMnZY7kdJ9SSpInmS9YCPL60hqfx4Kp9z1CDpkCnI5 4OZHOwlNi5t/wDX7lAsZe879oqy+6+wEbws4lnz7/riLH+YwIRgA7u+SC0LMxivFH5DdUO8fOYUu 0FOTblLe2Uh0fmOX6m1X2/Oa5ZnDzy9KUN64j2k1DDb6605wNDNGMUyM4d17pVSepsrtIi7i4HOA hCy4WwOl51ACTjGz9aIRpJbiVWA1BWUN1CHSer9GI0IYh85KPaZ6/2fxUyn3dvMYRRq17SWy/tga Aado5s0I0Z+dDw9CIATVn6BniQ6TISyYj508lXR0Q9S210ESA1ewCT8uwSVoJVM2sFxz4sZFEVh5 G7Y79zJ+TRA1LLXG+Sl6y4btVTDnrEzxtMcnLkNCsDVyY3SpL4gg8I1f4j+LFjlCnZvfyJ1Xm1F8 iCMfvYyfZg4n2j/4KFh6Bv1f3kXmd/58X+qoq2CxGQ0hOq4RcPZCTtRoGpCsG47LUCJ+jeZ4GpbP uNyEUs8/j3dZo7JFJ/Dc+DrJ3W7Cn4Z9dDm84BEdyyVavkKa0xr0+lQWA12W5Chf/kSBq0grhAOQ P000b15afobwVF5zxahLwwVlgAu0po4cFP8svTAcZCum6Has+XcI48gqejOMdkU0ARLlwGJDku8B +Z+A/uHHy/Jbpyjb8rDwEELPydKLisFLPHQFi+X+av4fuiRej6OXGzgRfhmQpMbFFnge1VswVQNA cJVeGq999487iXV0vu4Tkl3sW3RrPfiHeop50/sBeRRr5ub8YPEfYJB/erPe9aKvkEHG3oDzAPKV bd4edGCVYqAbQh2xd5NVCIFS8/TdTTCeryIFCB+cYFzMiZILSVvgE2NZYgeYKe55TQ1LYv9w//kz yOvV57LBq/zkXsvcmLel/SlElkO2wCIht7SltHcmzob0D+x0GqOLv9VZh2twTLjwfY1YAvtiJHBw UgXSZ3pENQ+Ve+HgMMbhH6NKjTmt3lEs5My4IBW4I4x6t5LJT41wJGkLeh2At17TYQDTWcW61d76 ISTn58fUNWfHhD//gfvOaXD4HrQehUUKdorirRkui5BIhHHAdu7nPKEx5u964jDIKeuzpg2TSXr1 wJnzcE9/Wu6CQwfmm05saqnqBeLANKCBwmfI1XrLPDGPXCZuFvEE0fJzV60MbWir1FUAadQqQJFh YRw2AbQYOKRFkEjqEpuLaexQEaW6wHnN1A7YFQswnPCErcgsE/jlr7+btRBDnBbteYySHZij3fk8 oZ8FPydBHKG6TMxVei6zkxKMfpuOc7i3/E7evBd74Qljo4zHSPP8C3c3EW73hd/V54rgu5HWKcgl xr0wayNrBX2iPPC6U4khiQx8ORChwcl9iF1iFhcm94QHdNTVQ0VHf38WmRILB4jnKYFUvTWNnhvg CU1O5HdEAKHOBn8ldfhFJuQxW5fpzyPM657DRYld3KuBBIqDgbMit+WLeYJX2CV7Cm2cUQbn6gto VmKQ6t22GUK5ab5tpk10/LP0Ya0AoteDW3XDj6YcLsis4zFfX7c8rlNaPijOwUJlqRot/XwGkFpe 3jKvhok+dbXBMHOCl/6XQHJkxLxvmzWQvJXdf4PPtNAg35dyivEMoU6CPA7wvqzqgWeE/pd0Viki dQBv21234izkuDjGGrJFsDCMbfLrqi4G0DYXW/i2JG8BBXvH98ZGJ783MT1kIhp2JvFrnO55tu/u HZzPDdukYbqzgio3NPVbJkgHMLhMIoIfOoJ7FrBnu7ljW6hjyJdf29CfZ84B/E/F9W+mlG4SWvce 3bK80KVFFaL4qjv35tRdeU0o61DaARoBnBk+FZ7UgtRBgdujOhVHEt92uKJExgiltEHmLIwhmN8U 7TcmFB5DPBily7JWVzGb6ct3U/ll7zVqcbsVeWqS98DqTQdODO5tT31KLoX9YL1joBku4NwqlSWn GTP6djtEeCwn/az+3pWKNtZ+vkELYcvRjfOslJ+8uk+THYAUsj+O1B+eaW38Yd+1K1c19Uevo/Et fhHJFyQFV19V8mWHoLJH9kePRhAtNx9MHf3/qMebgpSgR3FAbtYc3asNrRSKIWUbqtJj4dS57CvO tJnbGyVX2upXI3xdgrbpkHJhTg/MCuto0/Fb4eL/LpKC1898gyQi/EwBVh02qjtgwyZXnKtuTg5B k7yBDk27SLhyxedZU9c/J0g6YcS+cLHWyvwjfIwDK3VPOXHoxOTB9cWYhBdH+2H6oyBRFw+6BU5z 5McAtsPTNtWO40L7PZ/V7pLpv9iT40LBo4imqvd7jXk8WImCuXtJX6rtYApsLabeBzCqNePiumcf 4m7/kqoMj/dVEwGp51hVDr1v5SPFTgDdKQUmzmcqKkd9WMiXBM281TvUipHHsTqg6cotKQFIcN0z e2Uu49VeUE82iIIub/nh+oI5DXUJOzjeh9N/ILzdT4rHfK9VfeGDGINHlswcmmMxcYlPSLImlwvy dNEKVnyk7QhEnHjP2lAKC4ps+j+4ULRTwrjMcaGU+ROcnlW2Y+DSriyLH+lTFHdbtytg9iRxPppo sL/Juri6B2FuzwkJGMw0KiMbKEXYpxvu2jtXz2Q/bmIRiWzB3eis22bSfGSiybTVeJ6CO5YSaouj ItGicCgkPR2OlXTkxcVUugcbm6xUClAhOPl1q9Ze//RB/cycoOFcJPBJkGzsfagFbt0fznzq1Hwh snxZNEdlKuK8BoRTn4zoIfqrip350d8fo84U8ek521sm+hUaSIrAmE6aua4PbU2vcpGS4sQnWKm8 2HYH9UtNCFa+sf+mmkKsuTVu2WqJbMOd7GtvRBHePXjubOYNtCa58AFXjeHq18FFHcwrSq/XrVs/ lsYVV/Zd6q+QkEmxR/+93MHExTV52a2it5yzQhkXPKVk9+K5yuQMmYb40nq8SL/VyVK+uTLS+HNf 1DQ3kuqrr0Ri9D2iqPb1JR3eqgq5u2Ri6Fx1mgefoZ7HrKfcPC7ZIBV0B1ZpcyXBkm0bLGV6zfTC 7CU9sB6DAYiDSYe4f+tL98GmbCHc1lvj0QZfSucqJCvJex8bPb+jnJ426+u4PnSX66+gCKqJhwaM 8ht1xcV8NWHYusRWsqirWOvNEezyKkO8UCqBA5QCO5t1WL/hf3kezQze0nSEEHjY0AqgiGFGNSwy Bc5apX9TU+rnfDENFuUol8jiGpDaFunwUlAbS531RyfvxlMNDVO++H3LDJvqDpo18+g9gBPUGcOY gLKN592Zh+uX5ujUEzCrmCOxlrAtM9rOW+ufMH8zh0l+Uk6bqZtQGGUs7ROobBWSKWCu4LgwdfD2 0ycRkrwsMJPaIvVadDAMCrrBJiIMvdACJsxIvV5RcYfyZM+W97KIDNTel9bMhJ9HbqmZwQHvlBE7 o+jfQxkD/fVvadPw+iV86y4bwDEQ1Ex2r7G5UOrQmd8VQqkHFNgp2BR4XR2N5eZZVdK69SWfTiKd TTC0G6EjNktvGack/GLuliHhx/TDntPLZHXkt/cp82kX5bsB7QM24141g0PPMx6l7vP7JUeDfa6+ QWAviv93XgxUkqNdsFaFzu4I0FjatI0AcPybeP3VkYJlK3Wfv1OmxJnaEuTD5PFPMeWbLps0vxF2 VQstVnZ8BYtF964KgPXFUDPhubLqNFMvx/E7fxkOEyiIli5MLZ4XXXbKFaPsNgorgMF/4diRCTVz KaY8khZAn/oEqk78mGGOVxX4BAEdIo8fBWgJCKArldSZYXLXHfZpUWu7xEPcGkq/Y7Wx5FO1Ugi9 1+1PbrgW6V9aapjilm+T2CSW1nOXItx0WiR7muLtp3k1lc8DwDrEq6KmYJaf3spBbbnIG4ZDsWan bxzQX/tF8ZaPn7/bfGnwRNPJ6AcQ68p0qsPiWXanInpynJsLw/rSgEASrdTI6g86niD8gNxkQXwa oQPLXzYeO7IwKT2VYWWHbhDgAcE98OOWuFJK+p5kqJ4ElVCUKZJVqOamPD42hQGks74fAiIXqs+f IJLM39FjcmxHl1VQccDBc3t4y7ACtbwQc5m5S2iuMjxM6W+IPQamMWeR+R8WSCbN2pHQs2FRZwaa AN2ExrGlR0jHK86PdfwSD71ICdPXMW959G6MWOV8PJdVGeLHg85ceWnuSHNWLRtBNkVVn6t8p//p Gp2y7ev0UsNjBPSuOWa3nAfGgjd7nw9ij/0z4VBGdnf5LfJe45WO3pwIE++iiULNJaWl2BU0XNU5 UH2Xa2otknMCfJhpGV69Fp3W5m7S1zBQxNisuMnGXdy1qFWKi4OVNBWDr36vMpAJu9QkxVB9fgXy Az7bezTcfPTaE+vJMra2BhHA91ji09ThzxhQnfnA2SSA+APUOMQBVHWGCzRET/mG4GYYTiw48To0 qVt9WEasewze8I509wRjI2J0Wba5AxLJ56F5GfZaHE8sXwJjjebOou2LU4IfT1R+TzEm5eMVXwrI CP84AlYCFH99E3d99sQdSfp8BlMsXp4bF2gFujTcCz4o6DPhDmhfc6KVcCXEcVg+DNBfDA2JEqZo RjYnNVZ2VoGB49r7n3YjFB1QMtA6XcYY6czodNkxBymHdpaOUTfenADO41NObDNPdjyG8um1QCkP 3+Pb5CZlqhpcqNPNNjwp3I3g4ana8HAQQmBlRFbbnGshqDDcaz5qJIUP02C6mECyrectNr8UZP1X Hk6IcOav/hYJYpxgBqWyhprD3g9uciI4Hwo2GtwuB88StDP9Oo4a3fMyD2OCMO/XjZIl4AgqhqX/ hJXMwrXfZ2OPU1KQ5Ss/4tRdiw3Zy9f9PRFdALBss6NMrE+h1LAdNEjr4zayRK05zTK94wZ3jEOU ut4c3BEttnZf/otN/sRNFsus/+rtwnACyVSedJsJE4nOsAObfbc9Zeu5TQJtlADXpBTCW4cx7tq2 SfxL+fXU3slOC0dgDTvIWe/jx0AiWcg73/oBLloVjanP0sW5ArENqeAaOuteKKBKUydd4C+3ofy1 wXlIiPjU7DfzIrCUSquCn6ElsySIbDDCa89yMtOgC8K+roH6HbAufc/70qdzCYDuGlkHXpROkZUQ Iyi6g76ylGI8cV1vYxT0E7dK1g0iDHRQC5K3PrRdRWfh4EId1VM/+1+dwmXpxG2gu/hu794FQZsc OS6hGIAr1nSF2WwYF7WA0AlQNmNMnIifofWrxyASDysUS2D1bDMdGcaWKqv6rJ2vUJjl7GPjLeXI Cq4TO2bV6Rps287h0TX11DHejHpZ/glYiMV92UXrPSvGqoO4UcLdN/fKYrLfy1ibH5IDRtMytowX ZOjB6bZmKZTNKkaISL9jYLilG827hX9hpp8X/b9iw+iSJ8Msbk97BEVhqzfK8sW+HTJkrLuwCZSy bY2NIUh8jWR6HSTDPMONeDGljJQBispDA52cynCKwHnx4nyzAmlun0BjSyON7yasP8pujrGFiYqW f2qGtvSSwhTy4W1kjaofWyOA9Vwc2o3++RQfIQe6SDJggShMOYEXP19CdsJoK0gCz3HUFn9sFczh yriqo2KljXjf/57MdLQoL1YtYv6Mo06lxd4OZWrH1q3X0QNd+ySIwOc0QxtRR/D2rFM6blQlmz9E DV/I8ePDw3ngPKaqnauyqVCSc4D6K58VZg7CeWHbu6rYD7OF6bGb7Bb81czZW+rjHa1CBT3xeTPj p4pJvdD+akJ+GUOel511Cx7gAF5idLGfFkts47pCPJxhPHB/r11bwhyfIy4AzhtJ1W/Zc+KtxqBQ UnWrZoyVeD+6E1F3lFQRAVnCtMsf8v1AgVybJd+e4FzLKt3aLp/dPAATRHRlra1sZ1gSTgBIXPSj akhlQKjxBiheRDVSCqzaJG+B0oOjfSlPaFuEMLH0yOFTSZ4nq4QWqZu7rK5dz4lMdDYa9oYdpiW7 YylcmH7vc9r1qUdjr0FMLWnX27WjyFxC8yvqAIcsdWWmbzlLHBPRnbvEjPHLUadqi+kud/JUrRlL XD4G8LQWhmm31S4LwacFH8tU3OJRqlKXmCzybXMA2pvkUN/NgvjkhDFPcTPR2FZeKffASJN1FZUX a3UO0aVwfL6fyNvCvMZrfrKJs+d5MpyqeM1jEdx9oiiuZcOlSlLX2K9aGDAWqejUUlMK1YJnZHJm aVZbV0LjMbnpwTkbXPXmGyW9gttGrldkZICOP6Zan4DBMYRT7zyNsTyJS0SD0uZ/zEdp29qP5AEU Hqm+BsDFnrzk2YIv0NJLDDCQO1wK9C/y8rlPxu3PUQt9AIcG7OpLDHKmyt5SlfK04tpWRJiPGaFu l4MaVi8yt1/GSShyL1DpjwPH387nSXrNNd0qvniPuXmbpbcuS5pB/DMAfI+UjthWhoFulvlUhVJr kT6CmRAbgcFcCdoiXEKo+m+tiy5RqhUX6LfYGbHfIBMNrZFR3KFdOi7yr3W7z9s6BV0b+Zk1uCnI 1LKPrjh+fL88C/haPoMxwqHjRbwI68ISCAf1ZJonllSZTFkyEO4Jh3MraXyJPteuv4Daud/wP8EE 8JtOiQToXmzh8jfyj8b7FL87GevH2tcaXwD6lbaI0M2xNGV8XIpr+2zVj8drI3fS7JRh5PXvNnJe F6Z7wQZIMDN/fTDW1Tjh1o7lnZ7LxOUUDrwxHCru/RM6MIcjhJmzFbb7RnfXJqdLHw7AXaBcN0Yy XqcnAn4BFtiv2XIY6EVFAqKNvCaTFvdmAStrcv1KdKqYZHnq83nTQ0tQUyD73CDYggY6ohT1swXO inYdlTAUtCKO71RohmYLwtSCd9JOLqE2WzCimnqQNM2SD0faf9UKTBohGjHg7iCZM/s6rhqZR+Lb f01gEOS4ZIhJZ9MOHv3N+xhUMIQsE8gSN9AoUrmRrZs/PLXcduM8l08RkB0dzhT21039PzY9zZqp ZIu9BJ71L4CSEg5uMSjaVSswd7GSGmoDnyvC+VjS9M2NxiM1mlGwLONhP7PQ9EX2k+mTL2e5C7Jv 3A/rkINaYWL7wUDdK20o+O420sZjBEKs8gulDc4s5rrxKTezqYPsgWnauMw3LppBWulloqAJoEtc NO0x8AwSgNbIiCXBwhYgOjHmom5BcQt2g01lal6lIor0rRJX1Kn/6Lc+JlPvTvLdXYA9a6D5zrCc KlD1AHlHlDNA7Qs2smuEZx9f7kIBht9he6Z/lO0yBQ45enZ7x1PGkF01Z99dTq2lyMvwB0FSWNw4 cKWJlDnr67T26N278/+GMXTz9glLFFKt1HGg1eO8gf9kL1/zkfUpgpsipR3K7Z2cWXUM83YH2vh/ 5BT5Q/nd4Y/tGKExolEV7F1IPDXKYq2B60H+O/ommYFNkkWN0CFkfpThdp6RxDThvVprdE9Dfvq9 OcGCX3161ZqF3YMGtfNV46BZ5Hx3eJ6ltMuvb/Y67Qxn69tEoK29+AcsrCxqZVhIjkA0tpqMPXP8 WxRS2lY9BI0LesJqhegUE0layhXnRfjylGBElBy0QFCbGS8w91fvg8i495oYACczztaRyl25dLXZ 4V9jDib/X3nRiy+ek7Eh9PGUh2KdZch7rAJYOLMyKxwvLG4mZLjsPahtQVc+rM05vzhkdPv44t1J Tkm4PLVIHpfJoHdHaXaWBGaP7oGHTF7TIwD2rK8bH9awTF1x57hMLTixPs1MgXn9Ehf2Cg3bE78F izI4OpxTX19JbOUiPTtyJMej8ypPVplJiUibFu/XjcHik5ewQdruuUrz839EfxgMG3NRydDTXcKi Ngb9qIEovmW0bDhfOjVuJM3zTcXpMSPKzNen/sVT/aKg0wBMGvS0BVF+Eq0aPWX7ICyshmvUxZVj J/21k+NKbtZ8QDaIVNedU7YtD0kywQb3F2ZqrKNyvVUPT1zSgvXCp4YlZLd31bO3nwh6McKTMXEr j4IMEDCtXGtIAY+4mPSNElLH/+whA8+f+MgbYZiryURqw5TQ1bWeVs2lmTLWM/RN+JGI1yoAekXc xFo6VCp0HcVdjI9KI15UMMIFISLGNa9h4KCcm2PA8G2WcCpwhHPgxwXH7PRIYo2IirF7IzjKDADS ieQAJArBQtzooq3y4gDXhFTO+813h//ZLmRqfcWt7M5UhbOEr07LRfJ69u+Kfzm0we7QVOkeq8Yf K0ee2+QXVOCnyPSYsTojAHMEqP+9eKzofTXnO7Qz+26IBYqK9Pl79MppMuYghOTQF6xeMZJuHgwB nKsQFngKD5ev/2zLFJIj4CngS5aTPu+YQPYfXXobfeqOOaGPWr/ynWf8iKhnQHCbR+Syha6HvW+O sWoWv6WbQDmftgJ7y7E/PuxenYcSS/RuEK/vJ5b6HBv/XnSKOu62s9dWVsVCnPkenXrIXqnOk4IV Waicbxx7n5UMi8RNjSXewHipTmYkFHStkNxolAc6kDzG/Y5gGxOf/6o4vUlmter2g9fcluk/95Vq PsWN6h584JLqksoLAe/6I5VgwnkzyUYQUeMDBpUR56a795Y45r8aJ+0U0UsypNlkjcDb7O7/MDEg PsiU4pyw7pg8+N509iW9r3b2lfIuXHYABXtKMDeIxulr/yhqlNGeWMTpMhjfUXJKWIdiFQmsZVcB zGtxoL3kufVsgVX4ygP5OTujfCIBtYuXcljeYuKfUAzNLlXXN+fBPuX6FHUm//vweW40notZHFUD NBdyzXeqa4zqnlWVHAqlk+4XyLu6+hkAKdEc3jWDGz1k2N7Rbc8g0LvtswRxKiS4AgSFJbeXR9V2 cH7GHZraIY7zii9quBshxMxhqeWgSO5mWf4opCiul3ALvVzapwWT4XyQQc7Cjsk0762Ep2UkWaGT b0nzU+2MnJBgUkxqGdbSZbaLjN5RK3g8gNwPkDxjWxXhP0YEAKVj4YtK56yE7WJWihfHrszD5AJ1 8J3b++xb42bDaSLFeO5dK7iDD7JvOkiOYTUYPD77L75nDT09d0KSejnvDXm+LdgXQdpT6YtnjIda 9yxlC2UlfsraiiMp2lfHNjT+NLvjTYgzRRKOar/rrJFGrTVo5o90W0CHcYh3nSCOWdH0Ymq220gg b+f9E+DnuVR2x8MxAAMXEJ8/yxr1+XhgHAsGOzRh/JinLSc0r5D4aflxHV7d60ji3u/vHhH6s7Aa wpICOvYgWZOL2iBLdFV1OX+LmLajz+LYWIdrWOWQtcc40TG4dvJ0I3QTpb8o8jcjt8jHwaOaVFrt MO1ktOie5nzIEOD0FpN+b+MR7W3BFAMJJJiDp+0YycL72lDpee47Gtcn+oVacM44kZ5hcE4Fv82b ih7k6GI/NmYUY+7KyZerhcQAgfBaePyc5i0aL+j8L0A8d//gEk2yvxoHiI6RlM2YcRqdhMp0nAcP 5Bxzek3qjsl+EW3m1vk0JNlINlZvrIXmr7adflmKAwLz0qCreH8N141PSDfEBCxpk5gpCBIVjWZY BOfEb3e4nE+sbt4O660BY+lSpcmGDHCx7IjMLi90bnI5fHrUx/ZhRL3DTCPMwEeYMGsYlUW4s6zf VkwUCpD571gz/ftJY4IAVGtwSyi3rue23fqQO11uEjoC+3S19RbTtFtbAPkB4dojVP11vsgxWyPW QSX1kqCU20+u0916RSgxeYbCB8w8Xn9Fvk8v7Wfqh3pWBCGkGJSniY0xwWfiK6j+Z9jgZH355pxh AIBU/aHsSEbLb8H7CPdSCnVIpd57ENo9RrFNCIONzxwIKM8cxYT3o3SMIi6e3KpJB4rWADPjsR25 1Ntz3LBIoOkIhW/Iboy6lbEnV8dT4aQi5z46KEL/FAnkoq0wM2GtP8lSSyoZ/B/rBTJlXJSj7BaN D9TcF/91P/1SDPp4g6xfHDsUakHks/LB1971ewbSGI6oBNa5Ve5k/oGz90rUegEwu7pTn0H8NndN 8iTiyv2+co7yQhPF59875b22qMEGyqtEWpomFHvaD4Bxms/lfOcYw9cbParR2AF105cGjpATCf3R jepOFe8k+iDagDo0WA4eG/G5OxuqzP2hy6zFtFkLFC5L9XaR+Gsl6CkQoPT5QQoJbY0RHPNf9T57 1TA6te+34uh8ChubD5eACmOq3ZKF40IPOMjYuy+qkMvvd9kpA3f1wALFznX/DMwpoIRL7lKx+H9J 8ttCUzsGnWTLXLXjAxttNr7E3qCHOBrBF4Pzw/ww8WmdNEofgX6+hN9DobXN1STfmak4YkbsW1sU oq5oJKPoGRiZrnlzGaJC4O3Bne/PWYzEaiaZQrpOsDqGa9kGuBJccNZspEL8YAoZz0vASpJ3jsK7 gbKaxP47mupV1przdQbivRYcO6iIq5ALfgGQjdGbdvIGilwz2rL/buY0lcBQKAcLKv9/XgOy3SGQ yZWS1QTtiZcv9GA0BGyLq3e3j1JQVi8XedvBiyqpkN/gWyBLBvSxMWlnUXH8wKlr27uSDOJ+L8wy blElj64iVDw3SrNU7Ot/hr0yVt+sje7CVjL9CxoqpZl3zci2v0VMZvgIjaRG/M7hj1bevO8HGZYu W9EMJ6fJ1OQ8w52sNhupnzjyaOwOkga5YoOCH7G2tgiKeBn5LG758CsnwE3e23xIqzblfE0NsWRn tombbtDggHyEjWSVB1Ko1JjLr/MSFcVUgjgIbqQY+/nx6axVh7puOPopSqzIH3bhmQ25DVotONWR HLLAfAgMTpKTtSlMkyCmE3JFhckLXHK3k4KiRR25hz0KuqzE7ZorTFC1Ou1lvI7+e1rWVqh2ue49 Ny78zoAgh/SYSUAGP+bdxmsleeNVgFWpcgwGqs0wXqsbS1x2Edv6ZtU25PCwdAc92jlTUfKF+Ibv st1hcfGxYz+BVqqdNt/UzsZaXpZvsm9V07T9r8vWH3oeWUnXjDMBqq0vYGra3BGbUNJa6C5Bj5wu q6AixSsbMhOWJ23MfPgNE+PutdOgzMhHarGGwiM1PJGQ3elQ6Uti4kvfSYHtveqnpDIL+ZBfzDWj 6h22rhmaJmK/AvK+Zzng9xBoeFk+io0A78YCc8xa83MzIJna/KxaQiS6eDyCOFkTReqCJDKHHcd0 7Tys6RhXIGjREFL0668xwmpbUkbhCqXicvrTE6GusIx0RNJVp+l55hLAIvXht4UPXypIHvSI9OFO ORFm7ITwRdPXqZjh9hhu+mqGZ3rYzQODZUGNAXP/gstSYHMyqIjHy3pd3bPgQtEMYG6Ust0WtfKY unBBbd7cORP/X4PEOwVxA36YzjS4YP0PEDSUU0a8wqKVpLfpecfiMtFfm3oVzO0pYq+e4kTYDVZY 6c96YA126K9iAwzS12kXkAISyg9hRvVBUF52AyIq0WdmzYaTrMMDv+sdXqhNr4btaYacpsbdKPjA 0+nkkMtUl/DXxcC1M0bl6qungQzeFKLTsHffg3XA6lJM/cGMT0aXds6/+dpw9TIUwML8+rAzd/b7 b7DZK43f9YhZxFFWRifo7tGXa90jmWzAPgl0lZVPejiSt54yyENDqr9+NitbXtembfBORzMWN8VF qK8v/ZjcodYiOmGO+KURcoQZY0p3G15d9WYpUr257AO8zzVI9c5PiCjSgcmBBFky+pmhBRs4hWZm wgKKpcgDCf3ecDj3MEo0nreOxz5hLTPI7KBbaAEsHnjAqDEvG8l0I9OEoj0rhCchIAQhqSYWajkF CChvAVV7TA+j9SjLzcO7oljz579AUhUXno9bkH+nmbxsZOVJu/9JU6DSkkEFi/n5fVHr8KGVTJeZ sgOPxJs+6caQDeQPVn/5/ywVqlYZnLo7UP6CLGpo38y9bNMYoNCXNaZyc09/qReKdqWzhNDODT8+ wujWTKcHRP8qt9thBfCUfO+mEzaWxZO7vBLtYYnMszc4sFPq3f0P0sbr+woHVgZ/fcY9ahVYex3s zBYC8RcOtAxQCn6nc2gQYuC22jAzPXWfaTv7r59V41Zl6CStL2pwc6wXQX8uTDlO19zupjpSAkCi HEYMiqulXhG7/WTu9bia8mvrKKylDj2vRubRyphh69wA1+0f/bRWKOY5IOohzf658E0O9+mlwH9M gaYO8rHSDC7asfqhmK++tY04UvZHecLHaz4Nr0K7HRe02YaK/9DPYnzEHSqH1bO0iOYBuCM5wj8g dFIIXLJo03hDQJd57szVc5hLGdjuqQi8z5ld0SCs2fHVQVFC8ohMaIgMDaX8LysnbwNr0EPNYEJr tS0ltcadplEA0cYeQXMUAqoyupRcD/tsVETp+6iqakVwGefXB5Q9wYn7KsjXRqWDPgXrCdighvSz 6OgQdIG78TZbaWoDC5yOw6cof5zAiA3A6K5QGjL5wp8VYfk/Mfj3A8wg+dduOA8N/prBIinXs5Nr Uqa89puC9WYTlQ+bdkkIUbJTsnCG3mek2RnV9Mu5cPgBmwzgzCR5a4ptX0Sn+hwQAXryBVpvi4mH Nol6L2C82jMXsw1Bu8t9Q5aAdKeVotvVq/2AFBtHlC8tHg+BMFqcu2mxJFvEqJy84RRuDSoWh8bm sNk7c2282kZhGlVTyEvWc/wvvkmUXVCb8YcykqFlak1bBFS7ixKLIVSDGSIYGLHnuI/bJL1oBgkW mct4wES5vFfX9+NbrJH7Sm7f+tBvbtql1OArvn/ouTbXfd05FybLrA40HtPmRGCH2Tp0eeEp4xLe Maf/WVaSmX1IiLMh/llMBbmbIUkaG3e3sF9iVzEDGDNemVqJFyHihEaETzqwDDKEeKowD9eMRDTr Pv5LRc0FGfdvxbc1SS9rmXtehamDXMLpLAcZdvTQuYCt4zStmGL+a7AR57/a+qOODnujpaJM6w6W PX3aUkghByfHievsV1RAULncqBsOx9a+0X9WkwY9ACSsxkjT3BK+PxiQqiVOSeYUbusUoHM/kd2P eI0Z4IPmjyTKrhFQhjJzS7pJlt95mshGkiu6u2+r3/C/WhI9FplthA80iiepSnLJcsmBhQfmnGdx X1tQKK2vGWV66M7jkqnorQVS7/kdI2ijK2p0hfgOwSAoYPHxG4s8vw9sfEgC2tX9m0Ce+K+g3cAX NOnaEphLKjr64e0M40ftsolQN08isdyoefq78oDIP5a6WPG1Y7BpS5Ii7vj6tE9LYnnT6/O4SXw+ xqtG/4c5yAhWA3WtNxzF+uvSD41Qe5L3wmDp/z59hz8gqS8MGMxzBjoUxn6HKzNhAziL6lfv7HDE By3wLyfMkJEZgfOSc3RaIRiYYP0mc4c++HnBHVgfzH94ozvCplId1yqzmsoNR6l/7nJCANe1xCdD 1p6XCsXScBwcspoU7I95BZEPdzqdg6fbQWJyt/kjvwAiDW/IBs3mO13woIqo5KUnWMFK0SgJdsT/ Z01SaWK6ESl2L37gfjj0pAu7eKP8E7uBTLw/Pl+p4pziZDnkW/Cq1WdEGWT3ERjqR/mP4RUe8kh2 F9p+HwoNtwoP3wwp6UB5cFImHWdsDc77xKrOlyhscH9fBlHBZsKJJ72LZjV00lnw1GMke/mYcWUK 0CNoYlMJze6nWA/BDdZixOQkQNXJCNfroYTsjrkqLYqzF8qoVWaMSDgiKmf/hpVikD76iQUkZvSP cGiQjMpVqsrMC2wGzMAN6T86byJm0TmS7vGuLvdZFTMfgiZ7fUtKm+JWUVaOkY2fWZLfXrpfS72F iQGg5DImXf65D9ER7KIojnWWYkfWejZ3MAIcyuFDsx94kiQYHg9qPMnSKfcCzTS/USAZnbsgr8/A ojYl2FJuBnpZoQy8IHPaMwoCT7yg5/YSxZUgxaN0l5BOaktuLcjyfASlD4/n7zp76+WXN42LFDYe wcn4XXQmhV4S3s6suOIrvf/kt2agImMz0zh0pRhz7jrOvksTL79ztTwtwCEQ4API0zja7x55ynFg Qq1jrQjWC/1MD5yhm5ymp/x44+tumouoKmYA3e14JPn6sTj7GMp4QQMZUG0LIgtSdw02tRN0f0+e 8WacSu0bQIi2pc2NYN44tpUU+IPxVaGJ7msOtIyZOIQNAAJXylL0LOHpHAzb/m3Qmzusgpq5ELRN Cqjw6bGRYp06ofnSosmxCLYZGUuarAbrM2pDKCu+bb8aj1nPYuuP7DPwLnTX7npI/cBhSPHBxmiL 7KqWdimZQ7pVeG2yHulzefF/hYtTWLnqHO4dhyyeut3u482wIjy6MfrD5Sb1PCgz3FFXXVVL/Zbl 2bZi/6cQhFzS3JTR40nu+RHoO/WfxH2JAW2F0FIEcHpNHDmQ7A2RLn4cCKeik9orOiGA7+HA750q z4QMPya1SdvGMOasdd6cDyqV3KFgsuxyClI+1SrJigToo5w3dcR5PqW/deUWCiPpl9ixy0BvySq6 UfEIHukrvLcBJbjpXaMUOeoDe1Ac7UXUDbxNvgLccbeXakQUOnUyu2+T2MIkloC6IzyyIhifohfe /rH5s3qTERKg6pyQP1J3gZuqMxYH/4qznZ4mJ99N9Lo9OpuWT3Zvd4wj8kphmY/zhCQ4nLfJoDn8 2NjPaEktwVCyu+MuI1sr4XXKPKnq0ow4/tIdtn8fYZpHQw1n7VwIBF/URO1GH6XKH/jMxW6fCr4E AY82i92cAjuRBmaiTFbZOX/zaYEmK8kYHGUkKQRiZ6cPShywQiX/N5DO9pdJB6Ra4Ztb4kDNiB11 3d5+rtPECT8+TJcCDVPwK5Zj+jsA+SzgNUm2C1l4O56w0ePVDfHlJFZx7SRkW18xjgq/C0my4hr7 ZEC1Mh5odi54C8djVGJ5oAkUTCaSSV+7pMW35FVYmiZ18D7PhX6XYONlV4dJachND/L8FJz50Er+ pLs/YL1TOaY28n6aI/DAwu6tzUzt05ORqNHX+EZBbKTCNPG38kfme6WXFcx3zF2u9KeW3zOU+fTi KY7LjJ39yERtSHgKeGqNvFlDcH96vkQpIQ26zYamDHyNiMiRKGGpep+mXxDXn2Qm/+yH/JipsxSl zxSj8LRIzEoFYCqHdqD0QTGzPRkZ3clyMaPek7VwWXljkuJ9qTd4Dh93mssu+RG/s+M2yyW5h+Fd ylfcnoc11qjLv21mq1z7sXnamooMfikXk6cpWU+KMvlrqHt/6pdfKDErpMzBbhYqaJ2YcBDt9fCv pwO5SEZ6R1XXEDQLSC1PQVPqfafdyHe8yf8V3OM4GdzR7H2l3Lot6X3JLzuVkNMRc49OLC+cyjP/ 8gYvI7CVgDXjDgjgfHfztw8Na/Qg9iPTrhwW3hutfYNVdSpD8q4NsbTWutvVDadHMfgjrfRKO5P7 EYeYSHFiQ0WWDF9aOtXL1c2uPIWNebVCPnXQA0EdG0DTTbXPQX5cHhdwPboXp3LXefyoz+hYrBHf YnOwBjmwJsZD16W42ZzX02tfX/8nIqAto2p2ZpztuQQmfuYee9qKX5BuxU4DWEM+6sN03XJudVIj HFEhdgLzoXeQTumVCWjkCxg2YAlCNit8DNbOzsxm0udh7gAY6injudtdDxtbVQXrYNvG34UrdmiH LlqNo/VogXaHWQZCH3+vE2KlsuOjtq33SaPwtBc2T96qBRRw1fPqS+cLVM5z075App8KuvT1zzA4 6vtn0Vp3Gbq6+rL1/UcK98EjDHWysPw4+rcvbZTJIbyAZA0zGdwNFvF4sm4pDtig9Vn8Qqyl8lt4 ljqovrZ6++E73q/x3et2uF7JWc4RA9CqI2wMEYa1SZay1e96UgWSaegH0SlwFHREu3VZkrwTUrdd OHbgONV4+XnVX5SObwl/sNMSPlgredy/nIKspk0I2VNS9nhmXTPBSb0b+NgJ14rkErT4EsuqjAVS CjSxQz6JjSUH98PKrUO3yoOpjTHEJC92iILCRgZ5JfaIhN/ged8HaTYNYZQcKOmq8pQ3SiPb/Ezu Q8K4FDeET+X0VifrLNoS0JcbXl03+8kCOOAbMXqorUdtBq15Qf/LLybn94aU3cpjuTC53kc31Iu8 rcf0yNYVkLWBl6N8ZpXwSL9V9BJQP/aw0eBP6cGdNv4csRB7+SpFyK8G1zSfR3XrYrBOKGEugNnq YqF+ONbCAvd3ZCiYGa1t19bb+YQ7XemRZnpeWCU1zu1dJHKj04/KRLf9N/cGzfCsyo32KQDATGTp RYhmFssjr4yfT0txDp2AXmiGcncoMIYgj27ALcmTINerpjrarHoMncD8LZE1s4y/F+9vNCs0aZIe 9RT0jIQQ7vBK0w4BWA3yFUanTd1ncMz9AU0EeL/TsaB2lMV3Mp7QxHXW5gUDyqevWxu910OhbxeK 4XlwHjxlGqiDvN6BjgLR9g2apWVXlhB70FxH09lDGXr1ccEhv7gafsJVvGeHqbPFpADmlJyVTLEF cgzo+yv5RQCiqquWeeYHLXGjz9qyF+bi075NTm5hCAQjdwvIKKI8lCJ3rPEqOKrZwzHsgTyNKG1c UJmlOTXygRu4DfoKYqKrL8d6xxRfDdvmcEzY80X6akql5v/GMJQ6YcLEq9qVdew2Ok6DWaMXT8oX kol22mYcnwPNbEGeX7kn/hu+qedIdC1Q7QVVZM79lU8mzO5zJKu+/tgU3YqpgUB3JdxiUhyGg4ml e9Q/+bCmVWEBIVi1RTbMk3BbPEjw95OPe9ehuvq4fL9nZv9wVdQh+GsMe9QE2PEe+g81tm3FqxQU I4s1eVnKOXLLI0tSZahxWOhSxLCRWUsx3lQAOY30iNEwrZ1Rn9fVgCHDJ1OzOt8KlnghWMkZlDPm pLx/bsYp5gqQn1ORyT40gWuxw/m3G4fgJzRWjZJK7ttDeHmoHJE4lTBrQqrApY8gnHC9XEPqUYaA uVHx8yzPwufJQmy9U9MhOU1zxtGt1Xr2GRX4K18rdnAER/ROidBOGcGUweUbQtNwiF3CdcDVqC3a 9iRe0GS4fhLdNNKV40akAuywxi/nh2TfbSaYR6eb4ks6OHO35c4u5LNDCEKVdPVjS3B20cmIPvA9 J63lN0EbfoHD6yKUNuoKX56zDTBjhdDNdexhP4c86ru1BiW+AtfxGel61KGB+toUj/YjMiDftuvO 9ecpcPUq0USECRjDYQcDBOGr7FERQATgYvAX5vXm8mKLN7pohGI6XYszhogf8PUIrqMsRNa3PMMk lIYtJ5vfqYdehzzdrF/1rEJiEGviXuSkJnMqIlAqrQ3eNhdDedWZgErRZ+rmCDl/g7XmmoEjwfd4 EdhN2hwC5KaLUqxB/2qQG3LxFyvr8tSR9oGQxziYhAnHb+0GDwwCYUIg5/E/AI/KR8YkaSrXORJJ zTd76JbkxiAYUvd+zmnubTQ+n1cDqwMLCBDztdcElrntqRH5qmnvmu0L4Z3cQqvO8kg7gbBfDKra 0b9Z+0NSoapWTwZ/RN8mExBgvUi3tV85ClHOM9RvR4s7WKN10xbGLVhJ8VNeaBO4ThSVYzRUtEk5 a376iCyLPx5qBYp6BSIa+YbFEAC16Dc373sOt/suF+juZ5rwlmpPzYEZLacB4ml2i0ktn1SgMoVg Uny7OEIEdoUPm2Xp9eMOHBZu79cpf4q73WhHmB7r8grzLFDcfsi9mZHASBLkSfPODJdU7MtF/bbx s+HtPnek7cvdiwvXOy0JNzfGJO5p+uYXrQwG4jaR/3Cn2zvgQG1lXr3Ba66AgnBXO3bfxtntQYhn mMckmp/Mu+9ymA+899qJpLCk155WBhmyaGHnvuP+BenxUB3PaYFmXm+vWX4Gd1x2m4LX68flin+5 hdjIb9mZkGgKt926NK3a1TZ/Tut017dMnuDQw3zuczgCpjlbXed+EKsun7mobGGHXZyv+u8PZ74l mct/JYClXu8FGMK6bHkJRLtLp+dWEiIFvI+0CIqbT/bPD9g+j8h+rrSTWwKXKpv4d7y7bKLQNEoK IJ6qPl1OkNmdlE00MJIPuB3/othLQQ5mbImXvIh0uU5xHkWkSE0+2MRCQFNatQ1wZBqsoVciMof7 fZzU50jzoQxvqI6/1JHu+zsXYNRonpMY+bsnUSwOZEMLVi/SvJJCDkF+ufU52ljchjQlmtI+EUGI DaPVaLKR/rce5YeeK+G1izQtsFodTs+RG7wHW47m5XEnxPbjqmFWJVk1p+HTWg8KwLSTisxoMwvi R49O9CcAEjotYxotEigUYs0C5GAkRh0n8FEY4LHB2vA64Xs2OXB2X40B5lwBh8aWX9g4OT/yEgit c8WSi/AHYc/g8i/5EoQ/9jBB+FzTLiPXZtftfXDGGRYwohg1orI8CycOUMcADwYB8fw5E10TOoMa wO3Q/9ym+nUzs1DawD9KCCC1aEha1dldgGI25LeSJ20e5vaOxlBHhL2OJM5LI8eQ9imrrN+U0ux3 gBcOcuf1ddKaEPyygFv+2AOlGw4x61K1tI1p0u5G5DYKZ8C3kyOoL5ys4Y7PifsUtpqgFrGoVdjy 4xP427iP7xSUcFCJrnevRmYjrebIL+lHORLDmutxH0SpKLrsebJFfAUclbDlg48NrNuZkK8IXUOn mOVOypQ/gx+LQB7PEASCldO2VKS49ENgWxn7tpbJh59uAO+rzvxh9YOnfC8jWHNMwiPaezqyRQ9Q /AEicBUizFC0VTtLCcQ17q03WcDPS9eA4DDsEYvPvxdoznywTdXumrVNffyJVZd5SdVIqOWAUr/i R5pV3zfSvpMKkkuXeKdDGXFFtPRVVjyyKsF+hzOuvpPoYVZ85XXQJEbG8/St8QtzlAnY9sOyYTjk Mn0vCa3zC6n81aJJ446/3lcgdezoop3HHhapKJcsrTtlBmY82UCumeW9nFdZVYBD1j44xUIxnbvg u6BPs8rP7X4RLO7kUBuF+8a+68668+F5oKTgjsfq8w1WGjFVkktfhIIRKayC7MnrCOpHQo27q5Ss wGpSEb+gi4cDcOksKjRXqIb25XShth9VV3SjquXa1wInotyNPur9TIJlG5MN3+8lqPWDKk2z56wY m3QEiA51063zMovbhezyD0bRFnxCg20jNp01vAID6Ukd7NWOBxqJ5VMrvZYkwJcTsf7ASiAYbK8f OVWFOpkmAHHLM4FA07+tZ12Gd0dFiGONTpeibh17Ub7RrsVWSJaRXnee9tJx7RxtM7BrIayOkKhq 6B5jfgxZamzwSBd3XjdLuEvhHv8BJCSlfezMQ7IJNMNSjXESe3DbCzdqx76ssa+exdNbeWTb44YF WywC9iOBae0o/OrA9SG5gm80sWr0n8w+/h6WjiZBYt1HyPRpVYSY5xWRmsbkqUEO9zigtVXfJbQL tWtUaNc4HsmKa7NgrRwR6q3EMjiHGb5XLyn4CuXZPUmy5EeU8eLp8isUQ+qsDCdsSngE4NqDnQOa t/1tCa2onNH6WCGyR8QuHf5BFJcurGrq9+kJ7c5Ipsn9frU0ah3NAVefjz2Hs54EjDevkv5mdjEv l0VNDp7MI8JGHLIJQAWpWkbFFSA9C7zT7POlRoo/1v2osV3AljmPoCyQ0UVH/LiWujsvcenoE8EQ 2GJCPKqYLSX8SNvy+PNGh8Pl9AzJvSuPnNMYDvR/JbrEhH2m87vLD3Sx5fz68EJRLMD+0gAlqrYO BnqOnhNwHLdIJIC0uvTWEqzIA39nX6yJS9DOrzmvDTTeZ1miozVBe4xmWBIWuDVVfa5THHCNW6iU q9cv7QYYqtgL1Jj4Ocxva0Pxkl2lplTkiGJSec3SikHodT2pkZXsJx61TVL6K1VMFg1tta+xZNJZ Hki/hO76PsWEfa2mEa/0nzjt2h1o0urrbLxDnDiWOuDs78y8AJPWohRopBHH9zBTOemUgcKhyuat vp3IBM6EjVICDMDEbsw5LmBOB4pOIqIkBQ6D237SUHUSp9haTQvS3urGKxhkN/PZxR0Q9i32GHtg liTajbAPCYGMojqZHlpXtndv+PCqYOSebX5J3IyYZw0WWmNt/5ooJUNabtcorangZLAekC/JUDeV XnB2vTjnqjecS+4ZdfNqCL+4ZS0FwPf7dzBZGeJN/hI1YgG2t9NUrZD/M0NFQU3qYDB4qaVXB4hN W1a7IuLweAavT16cltPrjg0l5SwDMv+bQewK2BKcnhb5xTKHg/+7YaGq38ism3iXYNmoqoNnAi96 8agnZqi70m65SMeG90KAKF/2LkkAWQTSQec0mufVXxzlVVY0domgnEE1/sVnsMmu5VKpe2N4kBaJ l8v4VLBlliSHdYDxD01cY3kkStY7JprW+ouTY64o21HXnuYuk0piDjQCMIW5u9iumJ1vrZOQEYDw +wo2lwWuW0h/u9Hqwg1Zb1kjunb0L+p6nqfZXPt50AScCF5fxwgDNThEDahQmHsI/wMXA2ayv9Tp KoIfXWOquSkwsGs9C94405wvXzoqhUIUuN8/B4s1mH+gEhJIdpuAPXuaur/qUh/aWJPJsw52WYdN 5tEr0BOB/LugIkJgedh3rNRR512jmwYQ/GXEW+fj/qauxcK1fuP7wXp88gfcLoynEZY3Ui0aLhfe YPDWoxgZ64WtI7dIZ0RHG2MA2SBueMTUTtakWYGv9h71OdQ/uvAOutLDg2tcxTta7hn38LQJNPWO jEyu1diYduojihwalVAt9QdpayBimQIMuZx5S/YRZocRxoUhGCH0FMR3IbI2PG9rgLedLWXCBh4S hyWBpkHo1WeAczbuECO8G3C9CcN+Q/zZjczUVM8RGwm7tAqF5klM0DbSbIEE09h5tgMNfNyL8QJk xBuc9f4yBhLIBAkg3y/B53hhMBDpGuJ0athRa+C4J82bEdgtPoBU2oP+QjA7nGScSnIEbl5WkOdd LaehOExe+BtbUgRmQZWpglxR6K/yo+dj5WE3No1Rek5xXMWf55Gq3X0PMo7Ifp/E4D2da19A+Ube C6RZRVAxOym3AELfS4BmnjjE5QPw/GZnXM9W7ufv7UYfJFPL65UXJpy5Qst8mkZHmkJ4Ecii2iYZ LHcmGqH2xbMD/4nW8oC9GMJO/7n3YUgz6c7Kfspt2ebr89+XUdk7znlz+L62uXIcOczYMjIBBlyl 3aQ1GZnFWo5uIkFmQ1zetoIE2C/oIpeD6Xl1fZvXL9GlHKjIGHGHLEUWd8po9PSU9xNs9+jYlK9r nu/q9ogXPHhFMutzYO0eD5yTw7X0WEanSlHoJkC7NG2cYsrRIZcVqQTlYtdhMWYD+SiaLzEDURi/ 6oWidNXjUZ7GnErPk61KZHrR1zmHKhnj6Ny5225k7RWmF1XODEaPe8KEe5m1mVE3Zd4vD3jK6pYu HKhHC8WFJXJbatXIOk3R2qflOLvLb3vTm6yFyZ873NytAaFoJ8nYpLkdGYV1EXrm88EQ7tvoUmIk s9wZRPYczQMexb1oqY5F7w4zKuh4ukUR/TvW26bq9N4RB2FEpmG16W+4V0a7f5jT0K+jmnk/KnPx 2Yzgqa1uJ0YcSOOMrBnaOfUxbH2/xaISXbiT0pWNhiWbVSqfMyvNjCC5eCdJOqohg70elfNq2wn5 NecBsgIyPSewKXGmcwa9MuiNPHP1km77/6RukBRRxiqhuL7iEmM6UcNXTV8IUpcPJczjxSR2Bu0n m9toNFyg3Cw9c9BeYbR4iVdKmFMZVosaAj6jpa3QmDy2JMvqao6YF96BkEqhxLs8XAipSglp+tpU 8MS+f0KDxpvwafazaml/O29XeVvjdi9boHnvVuQlsF3LhLCyOPojAYwH1oOus8CgpO9EIFi/i67/ y/ytMJiR5bz3p3d3aaxTfld/8K4dKXi6ApiKG1mZsXDyi8F2kb9eXvdL57lKNb62ByR6tpP4vZqz tbgKAHU91sMfFqaIKgACXeSFGNHstPrUWVYx0Lft3hq+pdXbKufdJdeLPvY30ruAzvB8A7pFN8zu n4xl8x+cLjVwaOnGm2Shz4cyEB30529UhuOG/o50of84YxronLLmBhUEazIDZDsFOsSjCvcIPQrk BHsIfpWWmx9CPxj8X7yyPIaw1VB+jvHQdIZgfX0qSmq+qDHrEmnY4DXybeEPZeKMHU9EkkTyBMb3 48rkTwn1XtPSe/xy15REMmeZ4bG1PbCTSvif1CbH/R+OBvgn0B+UaodYKWqV/H59XebY5m+mZYs3 VrXxsYm6CewMCr57zN6AVL1K6PkjirFo/PRJUGe8tQOh13CKspLYpvnc8OscHexuWpeesh00UKoJ Y8UtKIM2F75Etk9zuS5xo9K+jMQ6HVhIxbhP4T4iKbFU9+4Ns8t/vF4MqD4XYEYnF9CWTEQOav/A IEAMsSIPwdwwwKurExiF70l4vCSyfrgOVh2anCCsNyDqUAWyBpnLySyda0dOWSbWIBTQkEtIWx7T JGoi+YwkPlCCzplw+PAkHv11ydBLJZkiTIT6SEHMrKZH6ctx6UyEiVitloFfDISsxy5Fn21cc0zv yMBeRAtXCLTObeYkQx6/AQbt7RXYSQEbE0ivjeHbMZ6/TvqfNbqmyBzlJOTBt/BJBvFxn2WbeKC1 X3A0DNBqveh8+0uHhmB2YLgbAZKWTAUA6QEXD8zwXXG7orRZdj+YjPE9ok6B6fJ96GPj7hECWlV5 VSO9+piIeErTx/zFK7CG458x9TuijqZa5an3PHe7iF79FS3GF7XWGTbb+xS8/KvuvKZGUb0nIW1y sQ98qOsiQeJnbT4M5VFL36Sr5Nj5hpdH3srTLA3RA3OE+waGgBFGOdQ1qN62hYM7SiZA68hiOWhm vJoR1mLmctqip7By1h9wDNqNjt/jj9IG9EQhB9StmSfaG/b41rWIoj/ixZb+AqqeWIwdP6+8K+cu s3u3YwfJR6Aa3RMN5zCIAIvVOfG9MNWQphqJab2j4K90PPa+G7Rucd+6hMXPEt4THd0JODRqkdFO aN1WjDuvhGyVRLSox47VTiY/sZFts+/BlJVy6yy8z0ZxbbowiSHmWLeKiIjJl3S1SRthLsSV3870 0Hb+vyGDpEswCYSOB99Ms6zDVidZ/Z9ifQ3a31s7L4VbiFXTGadbZ6qtnjFexQC40GHCvfzmLj13 XKnepYuS0jpL+SsKZK10t2GWqPL9t+HOUycAwHDYt3ocpdWBlwJrfapsI3Cv2Dx9ZGrEZINY7FI9 qvLf9gD9hlQW2Unq/GQusVKi12RAjDqUFbiHoJuJadBl89GM01HAAOXZKr00krBGo6O7+FBYmTzz Op/MjSMmoQhWQy4EVd/Q1K9nPMzaaCGJG/hrSC8pUulATaCqqdtfyFQWqqoPpMVDuD2PfFWyyj2u FRMHuh7AVzFfHX0wjbNDwYIGUoYSqjIeCLXbI2PUI1kwzYXVTCnyKu+Nuc4qpg7t3PG+ByRI075E pZgu4muf2o/uaRkfy5iW/vLL7bw+fdb7yzwFkZ0ApjKPTOpSYWmSuQADxZKNvGHzVjnU0qnP18DW SzdvHVW6YWbjwatrv6Va0DE1qda3TUU4zopniQarJekdsQw+kuU0rQt18ZYtxNPjC0pvM9uaXUBp f5GsobSTCRtfo5CISqzpAUF2IUYrA9tzQ05m2HLCpfGpFtV/+e6gAThrVH6HeVMOVqyKiY5gCr8z 1gLEzuCJmnWXzdhGS0kjQfhCAGr+dRiTWJLt4K/5diH1WNuHTwMuSZNJorHBn3PsoJe2akNJC3Mw +shCWD20aC/p22Yy4dLhUIzPviK84NBkxCJumGzljmM8vGNckRihwe9Pw5jA54LV9X3pMgiOiZjF wO0Hfrkgi20Ieflwookpjih3fQt+JE+8CJ+pzikLuKVqrzlrL/kuHWsH6RviUPwMj6wFuwcARm5p cnur3LXANgFwV8YvYU1jAVJMzDIxbz5WrI541pKJv4gKfIu3SI/iL87DqRyIb+eJOFaLVoLI6c3/ Uuweapz2DiQLd9xn7xIiOhd1a6EssYbzGqRd13yiMlNDM2rjxV85iUkIcQLFiS/8d8By8N3xw1HJ iepLzKbWGhnNItrM4F72QPMnLZKIYZ6aKBkR9s59PqrUuUV4lVuOeM5G5cLzdi6EQpy3MaUvQB8C on056o6oqaYb+XqJoHB4mdbb76vkZdj2BG3FT4xsnvweF3m8LObsvgJRiFDvJIh7LCGIvLPdzmMx vLwXaC9ODOIuOt2m/NC4OZ0lv2UZKwi+EiG6KmUEV1xJMftLoe/I9TnF1rxsPQdemicRmG0BVMes sn7Trp4PW2Gx/cALrR3p386ezJmZuql7QcZQgC8Rwb9E51MYYpzWxbcgCu/db5oeykt+L6R+SwbD S3pbyV8rnaqS5NNhQwWxS30g5vMvIomLXodWJiv3k7kKEHeGNe+H+SNLv4SSS/o1Jjas5M3J5wSY YXNU+Tvv1xMlalDHQ9tny5V8TQlTa6x30fdQ/hBTq6Dgu3pWpoi4RVx+OchT0HN+rPl7caorDeV+ nc7Np2u8pjj1qvjXaRQ8u8q37UPzsx3kiqofJpjMkWCg8IlNK+PTkcM5b151dxvSd0l7Ob8hCb5R hB9Q10Jm0EgGvVjpA39sw82tz5SuHvsqFt6qhoT5jIPqZ+vRy2HnbAyKUOn58NyaTuJp8AGEs3KK gHANsasN6j0C+nutclhx4HfzHVnbPfL/OKTK4I/5WIJinbq10abK66sOS1ijhnPU8oZ6rXSrjAv0 kj1YieEbK69VymVwm6a74y7qaBqlOSHT6FJoYqateOWqxvWkDde/Uy4tXhu/Moz/vyoIXrTtVxzu P2T2L5pmEBGCLyKb2TzkzOymJE7AuyXJ7mSAN0ep/4NXJpjO76d96KjeuGd/YeBvBfBwyezuvv9P yziPybeppU2koSMZzUA620sWLabrbY4zhaEgyPmibvnGXrhShx3p5TIJf0CHnvkIuuLsPWZpyDq3 KipOlv1Vmm4A94yNAQ4tWaFBc0/DjRlWv4Y69T/VB6cSHK09a00rPSRm9qSshJeqMG0nuKinVGAr 1wEqsBvUgqpXCCrf5N6eFH/WNMZxwGYZUJ1NNh8V6QAAJxBWzGogZQtQJffLAIZJHtIW2QpqYBQM cyWqT1PGoU7XWBbrapnauSmroz+haEOHOh8XeoD5q9yoUyebz9BSg9+z7ofdsCylNnrOEXfWlxXr yEUswI9fGOu+sXc0ufI+Z8UkJSujzZzsjhztXVogg3XLH2lgkrDyNmmUZ5BSw2Vu7BQhvPRsBwMX uXXHKaZx1qyxBusnN+V7jy0GDAG1nC5WkL0VRnfMsr47mdEc/RVm5MxNc4FhlVG+Zm9ApBgc7dlK 1B7ML+dbsm5bxgx4XrWrpFAterixFmLkS9KuNcwy5Re0IKEPfbCJrLdW5FTFpQPdAmoyQDzlRGlp MnT17JwnO8JneHe3Amap2Q5qqYrpUHJPogAHghXL5EyXfbZFA41RvrmfUujsndUD/7hpFM8R/lsw OQwp917HEL0gSsBXRmly2dlf4Ou/B0t3uaQMgaLqGa1AVq2iEarEm/sDjTi/pjizAh+I3r6DEB6s o33PJzzDpvW9PsynkdtgIewbjlHDg27nA/snLcFX+bpmobwLaHfeuAOQHI5ULB/YsHCQZI+2lhgk QeSuz0aWsHixEigkUl5GSfxPW2zoN/1wL7n+EFy+EJIVG421KOJn8+FKIwKn9hg8h9uH9w6CGPU+ EHn84YNkfhAjOk2q1/n4g16r5APKtSVQ6SGLxTskI6UxDdMCGMZ9QLHNR1xfa2KCw7g28H0BZwwQ f+c1U4NwUDA189SK+nHmALXdlaO/e3jSdpLfMrktjXn1tQva1Q4ZBjrLdgBhUDLtzt0N32j32lKM 0rLnhAJ984t4s0cMAcXqee4m4bDEgNnvOXyqNV5dhWlJ7vxqFLzJL1x927PQS0W3qGeDWxloiABl +ETsUpiXcrF1Hk79VNr73tw3vKLjcPvvNJNm1Ao9avxp8QtYKIflm+gUaR/2HKBKPuzh+EjzP998 YqZKIiUgzeKA3u9MElz6LY/M+c+ZIyQ4OIqy4AzgqoZQBhKhfO9ujegpQSfWPg2j0ijepKKYvRJJ Lz/h5T0wbBjwcp6m3/JIRTNnJSiqlbJRMKPX7Bq0KRVujT4OiPddLKD3HsCsvNJ4HzSf7DJ9PDW2 WkxPb8D6Hx8W+DSguPeBvrr/i2JzZG+Lj+dfMT69rz8p5SPgEvciTAWNFdxsFqmdFv56m2zHgelE qDarfNcChnFSUQpbyhad/yauURI3FnALFp0bBxSgAfiqMF9WN0Y7XEWxCtnnss+jdJU2k8tBlM/p XbmvU/JhmpHFFRe/cb8AwNrcCt2ZEwKz89SmYESi9xdYwC1M/vCOHdMgWfhcxqBrKxiO+wveYKQ4 U3RRjJRJ5rjMALBwPgnDxpaRfGBz3z0LB1ngnZLDQHmUFy22Vbtg33AHJdaew1Ui72IB8k8QHHNv 0mzofv8zfiF16zL0MM21FgbWEsVX2WoNiOJZckvHFOhRMtFbpBIa5XS9Z07ZNDlTMuh/ObI3lhQK YqM4dvmX0hSJGZ33tbdoYxQK2MB1C6cquw35YIIGWqVs6HbumYffEQLe6elFFSnERrBoBAfbHo0a dNF945pmsuRMTqA/jfVlb1FzvRON55Z7AGHQMAV+2oWfVKGbz1DP+bmu9DlWekd6EiIgk7bWVBRs 98rmWhABlXoG+++szPDsEFz+VVCtIMeJ3t/aUmGYrGv+GOaflPrm3Fm5Wp5X6w+PINNqH0ZIfmA+ iO0LiywmNFQJtNS2kEn2uI7QwH9xp6yYgtm2VI8BwaOVC7AG4aH1w0VXnoTaYcrCSVmtsNQ+opDM BlAz/PilTlsKvDRvrJBpAFw4ynhKEc+K07mthd2BdSrI3Fnv5Tgka9NycxWmhNDjwy0Dlx3vbFM8 6Td1WzB5geyK0HDop2LXV+Xk+KqFZ03Cps4t1F2EfdfRNHXdy9vxjvJ0Ts343ReXc0k18e05z+7+ WHGQBUI7ouZPeEZ313mriCBdJuBF0nwGRhhEP0IyCWy3tMtbd173tN3gQk70ZFPrDXk0fY/MnSDW t0CYlTxgQp7G5vUbXpNC7OQX90sK15tlXzC+j7Tc1k1MZz/N5UGyAz+mSOtAZ6iSee02v8W06gNs 5cE8XDwOBVI0qgpa2j10jqK2Rix4Gkwv42IJcmMjR8unQP+5/YSF1+bxfCQLXjo+SOKYb3KuJDQX d0GGj5NlmOCkd+V0EgN2Ox3v6e1n8GVg4xdwRqJ62SbSqOc9nIT1L8zCoL73DyMtjvCmF96ZifzB 2+ixvF0KYIRJabSW81r+D/o6Di3PqxhsbzlfgIupuWaBo14aXv65Vkr41ZWNMsVNH1lBr6lf6CJl SqIGlW+kJAdgzNMYoNZlsqUIyR0nT3joQBgDv9XnhZRqSU0r71+FDM07CVJzDLeGJJc+lsDf6DFh lrX6lqsNNms0fAdrGOO54r21DJmmmFEpPCqkPImCmPKcW6CAsQEYyzoMslVBBqyDTcYbAYl8SaSy ZzdZMWx8/emOenpWpf7spZBteceC4koNKL9GG13SdABXEX33+HEyQmOkC9ZDFXnJnCtIfGWnX/UQ ws1NokOeItZ29t3mAuLtbSOyZGTE9C1ccFFlopzKiTMEFV230I3g9vkRJXt8C30NJym0NUfdhnx1 ZkJnJBGCxlZ5hsWHS6hA0nDNcfhKmx2Upg0zwihC8LMrMk/mVqlJ9ybbsEuG2Kr58K4+4UpzF2TS IicF36g2gqFBZQrSATJS7EtLdmNZQ5PBrBUKZA0BLA3X0U4A341tTACJ67Zxfd1VaPhzo3NqLzeY poSvQUuUisDWlW90MtE8yaaQC4aYhTMqyvuxKNxRrhpR0rRNmEJ+JSNG0WMB4zt0ilgfk0V4n2i0 xo4vxhHI6iRXMmgH7KjqjIUn8jp+NKU4D6di4p9bd8+eo8kjehJ5aFzEzkZyXmUcAIDCADEbZr8r crQpYYP5U1ruDdvh+SyZRq8fKnf0SUI62LvaXnAfOHJ3fLQH7hCRIeLBNV8rkSuJ59HnJjF079pN 5ClgeGkPpn7M3U7emIXcsD0rM1VJCbDxiZZa4yFVBiKeBfOK/EmWVfyfXwPSIXQB7TcdLsLEbiE7 HU108f53gb5jTnAuVsohpeUVKX0ixI9hqW/f0xFEYvRH8gPI5LAP91RtP/I4Bkn0dJb15qMKb53z MDB5NW0yK6Zu0IniLQXLpvmzkwbEKBweb+CL+W+h/wefqvSK+TR/Gt+lrwNaZ7FWtNVoSveCT7pz hHVLAG/rb8q1pjT/GaIf7bnXdEvqMM9jJkpIgczhVJFR/OUZhk5326NP9Uw//+chXoEbd1+A7qmT cmEF9QeOR0Kw7gWnPlz4UwhoVF7Ano8pOrSSZqtuFVdb538IpCLrbTcaXbyM9ZZh/5PLZzPovmHC 7k7WYuk6GXuflCR+fUUGVExZqmMpJL2hFGIbk8vgSvNMVBJJWyNCYKkotS4o0K+5N+OqN/zJytJ6 5fvZg2dV5fhouQFHmD9Ee3oGRsYKvHI1IEiIXdd7Szad6CK+okIv35RR0dlSOV+uwK2k44qec2Rh 5QoufvSrTC6zo3Oeuzk5HIN+J/sCZ5sLN0p+tJxJDIrLQMFFzAjs3/nra6JbFQ4CCjbalhKFmqgL P0OhWWIszzro0uLHbhsBhGtx17qyi6ClUaSh4i4aLypKpMNGJKczji9YyGaPzfNGMBKcKeYoXw5R 4Xq9zBjNW828IX1STKSh9ckm2qtW6AXK/3xrTfySVOMDtWcAq4nDjGkS0PWQtlHC4fMwPFgbvt98 gzQvKlDDbE0m0c0nCP7m9lhf13D7SmiD1+9ZBkVbFpcPb2GiflI6fiCTgYBIXDgtwlYRZ7BJvVyF txo5//KeldcjQjbUF4AkZxUoov0I9ZORjlCbthnAz1KjPPTMKVFd8dUFzp83p1p9OkhuhnDuZcbp 9wRIfCDFXehFQtnFG0eLfXYXM8ptk6H9prGkFqqvgo5ekZ+raFPCX5SmE6WUJv0/1N6PSscfSNCh EDJ3F12U6iGlP25MUQ/HfsUQS9ZkYqDeYf9Y026NX8Fpc+HVoEYqgAOcsH3W3mu5OZyMk18+tLEH AlS1oKc53h6o0fAz7LRVOjIPexSEcbGKVXg47nc//7hvaNJOHwvCJBMmpQwhEqrck/mAWdDsDeOu nKRP+0nDlYWIblK4A2kedTBWXZyFtSMxcYB8tyCL5Yj9neV9TP9ZeHF07oODF8x+W84E38AJ1YqJ 6OsN5UbjyuvxYBaf/q63WJ+u+PVJRV7WcFjFPcNMV/xk1qnrKS6UT1/4ihy0AFa1zkzOcRIYoGvJ HQ5vmjZmA7o7hpCf57jB5pc77dAz9yIaQnd6k3xs3Q+o0zhjaG6VTUlxFdB3ZE4TUwMabZb/EhEI d3nad8z9/4EzPBLMfCFwO34T7ENPCsc74vt2EgrAdDvl4hLBVdCtooKEr+YjVvAYX1jaOk7VJpJO nKJyoXPK5qEzjLZ3ZT2GxgAYMoRERnRuTN1kYNWpPR//xqUJKMM5102Gsm+ML/Y2GV/uIiKaa1Ij A3SYTcTyjyXpGDggXH2JORybgIAdlh6Gg7OD0yNW1v0SyCNIgMTfKl2rxsrf6IACStvI2u8qRG4v RVlc2WT7uIixEwuT9WFvzvhwfd812oV+/UhgMXcNzyT/RZ2V40xeMe2S4FwOmu9bo4TZtiYNtPh5 2CegemAb3yNvE7fcMaTyPYWOFuIxRs1GRbmY1VvFxWQMxy+HXXzM2bTMtkUWdN/26o3J6PzGnGfZ TaVrG5+HmJoZNVsxzgHtC6PwPJJd8KP28HelQiN+iVj8BAPnSPZw9556JqbS60t6iqESypTKMU8F W95QA04TQN13r/Yf6BAnQH4sjui8si+WBs6LKKsoCXaSa1ypnxsmrOdDtcKnwJz2jZl9sMFg3Mtv tN+EZ0fmgkexTntJu6mqUX+Ti9eJFe7xjxi7qm0t8lKph1/A5X9LRyHVml+fD7OmCj4QGQxk+iAO CTYaA+zdB9Xm/ox44e0EFRTqeLW/Xp7lvFCMkjO32+mBRYGeFd7y9dv2wUT89SFkCg6U+bOhIgrZ zXlsfh3Eh76P78LbVZmfKA4bHZNbMwqiPRKScKuSMA0yjKODbhpm+rZlb/LASfJR5V2W9lY3a6GS 38cFa55LWh+/Oz3K6jQeccCOovBdhP3mUJotWQI8+SEB/ip9dTRjHAyVoXEQz6GG1UDi3SQBlxwL ffwOEE7R5UitscOVbP0nwYNBnkgaDeTdySTUkpDkpq2bmrRnrSaIQNcTUgbqIQXhu5BWLypMVqa/ X8PXZJAeCVqDUKbwNgNuJP8+JwSMunSr3ElXvNYhG2aOkwgNFXZ5XAYU3Ka/3So0Q9pQyDhj53Gk ZZXARoToU3NbPam1bqacRXtzbH3nN3xH8hTa5/FUbenqAmSYmnE4cdy47XojGz5zPZhLr2/9iNln +ElbwjN0W/t66WvtEdeRTzsEryDFN6rxUK8PdFjb+jsUuv//DgD76MEw4XyRiJqHt/8T5j52wvBG Dk9ftQ82+pEKv1NxeY1g98ilt8knU8i2cqRWTKi+RXCumIfsS5vc8rM4ZeMECb/yWXvfHv0Aoxwa W8Ftqtxq2hCiQ4Gj5+or7qUvjJpN7mu8LtNCcgslYbfna4y63GIc5aAJT7WBfxOS8tcIIeaYK2NW ItOB+X1MhglLHX8KTU5+hS8wvFdhTGVo+4saovGN7LxKlaW7LBrNNP5uiEhYk6bW1IE2TZiOtn6q nyxaT7Un9/BQdh4XRLtQoV0/FCmpRz0p6ac/X16KU/ouQST3dbL4qbUQPCp8y6cYwm6kPlfJ9Yzl Wool7YVUgWuMfhACH+RC64wiPG5KeJn+SyLP0WnON6z2+eK//5uGKU2rKNMwH2ectAnn2x+e42gw MUySuzFBTY8aY9R2tMyhdaa8ltdutaMkmKQjYDfjp9Oz+UKWh2CdfOsjvSYbMqrm+bjbG8/94SZ2 PjjezfK8eKfq8VNru91CVNHLEPq4M6e8if0C5ZcwVT5Rm2pcO2A5WME4aEfpUYylerN8jGBap6GW 5D7g4bdj70L4grCJEkCe81quuHUQOAzxMShPMWaqcquwuW9DslB7gmnYzT7rB0sBA0/WbOyuIYlz GnbRpMt7LUHncUxIUbPi2c96acDGnf4ts5kXE+kg1pYGPUVqUVTpPBBH4WjcKFyaODikhIuOzXBA kQGJoEyzqLkfkPJyJB8/yRbrncN2T151709op/cjLJheNhxxLgIbFCQcdjtcON3ZgbfQm5jzfmi+ VEvo2zKXFNaXzmuOdeByJ/2Y+5nT0bVvNi7mQisg6gOz57Gpn6aDNYeCD5Rw0xzkjs138fhD+qXY 36fhO8ifJaWEtcIvzGHFBba4dm5U/WrsfrjXbytr0fY2g4nwxfUEhZTn8leZiHRtXwH/ycTcj41T Ss/4/48tRqvsCuEn92KUCk1PkK779P3Co3esE7BwxZUrJEf5VCXGVBHN8vVM6YNrOD/gjI1naaXq sU6lAz9hjnnQmllvVYqvJAGU0cwt24gExb2f04Q1ABoOQ97WXfM2OknwpGCLiIO/nrimcxRAetrH S7QijEdninCc5o0zr9+cdgkO2BiG/qSXTJFRr8dMse/9BnCe5wMMgMRWPE8Ql6a/rjhMDsYJoylU RgCwDWMTo9/jQGulxJo2P73/WpKkZbxEyduGcGko1qCa3ut6tnBITeC384rxoHA9Vzsq26x686JT c1iIYcVfqDJYyNJQdxGhLNqvTYjB31uWWaF4FySKNcdS/W0s+HQNoVd+/gQQVd5jiSOg9Ffal3G3 99MnFg13h8pjVoW/QTRLqmjWe9oOjrgbSpgFM2atFGnA3BC0+Mv2aWpn9gf6p/9W8UBH8SztQ7qP 1awyRX4hKJ91wmGEPJvHzQwEj8P8iyKoD6k4tprBfODrFXSGs3mp6U0lIsSKBmrKJp60JFXt6Ghe 55ipWT1AYMldVBXXfZYkAyKzqNzYkQaPsovKBeIBYaJD+puh7X8UZofYV+ltWLe4SJCSteNUDv0r jaXliu0bSPS4IyK1GtpdZo/lmI0n6dGsssiFg+7WPEYD2CPcVbfzsrTYFFq3VBm25nHEHNEL0Wid M0MCnjv98AvUhSIFzAUWt6MPMLdiJS3ePVxNnRGOsqNYiKqX4Xox3zmcFF3ObgddxZAUhVRd5VTD xcdUJos18aZ3Fl5gMgrjXJ/jJd7UavHRLS5R4+ggswj/qVYzutPKycFRSFm/ZiUZJyMPJKILx2/M vcbDE9ZN3YUSkiByoDwohMxzaMyPQ5TRKY/j16XgexRfFt9HKzhUxfj4+9ktMLiqZtj9aElgjK6g Ac5M7ar7LJ6+Lt8L1ahXAeUF9pYWEPkFX2sYtIuMIUdDmG/MgJqdojcrX587il/SRoPczCbj0Mf3 cRI6WhddXp25QPp//kmjD1uZI9lEiXOAkjb7DWnk/szufaJRiNUNoLw2GShLL9L58rWxMancSb+b lrUPk8Xm/nGqrGDqHkRxLrPqV/1nkqSgeoqyOu/K0nmcGOvaHsJAHiDAQKprcAp5Jk/NORL5Q1v2 Q4/JcYsMW2HcmmCNjsPoLl0w9+p4sAwZuLxzeg51jlVeIgw3rdIn0VTFanOawmR47+Jl8WXyaedK ++Tw8X//7u6FaUeXEUyVC/v65s5/mM+hP8jmSkLezB3cnjFS7MlUO0gHtl06KeMMPJelCoMFciwM OK4ygImBh8Anq59NaWKTYadQxv+N0wwEuhCdYSLOX0/XxLJz3Cpr3CbFE9EiIvNIetuGyveRpmyY 2v/pASLFG8QDi7CvJf2un7ibzk39fgcwgKKHwzsz0Xklj+xVfaqgqKWdiMHPiKDrW2kfQFX4SMDZ Yq/1RgrF9avniJeiGluyGbOEGD329g2YNtSgU/EY4HkVCXnKskQX2zZl3nMC2OtkFwWkBKhm7qJk XHq9G0/w9D14EIuMIPRZBWDyGS4SADWk1lhx7zupOJbgVLqQIJiuXpU5qE/HKcvewYiH4vI263NY /7skGJw8Z7tq6Fnm3PwDuYIgnblMmQQYSZDb1aMBsb9Db7bJdo7hE9HjLM4ilxzkmbQdcapP4oVR RjODK1qG5o6B2vAv12DI4KmsWf6ft4bRFjNExhD37Y5PazmOKHmRaoNOwUnHuhQLtlwmP+/XQbCm kD4NFHYrQxHKqTIpLU6qAAk/0gbFKfJjyUG/Qg7Loogrb2av0jpfUvMJ8HbDhL2wow/0zo+PxlLc bgwmgJUbTBKYHQnwHkEAJ10mh/G79ofa2DXu23xv1AUxnF/9P7W+z/0x/0LIQdVkolxPP3dwGiEo p8QcV17ex+PR2MAmbz0+auApfeB1d3JBWj3P3KVeltOpJibTkEwyYxQ5PTQH2oPIs2V8Zb3SgESP oYpw0Uh6OqrxshjAu6cszjgpCHyvvAhFWpLcr+r4H3x8mbMPa7K6gXaE9q6btidEfElRR8KMmjng 5Buz2JcZLWlSpPcT4CdaaZMiU9VMIajIMWuxq2I0dCcjsAYK1IsQtPMh6CX2TfCJ4CQWP9I+aTU3 7mMdU3+1am3UNqCX/9dhhcAreFk3iHVLvXXRotqiXEuxk0n/nTQ2vEeuDL2CBz0dFwC2VYUVJUYl 92tLC9jA8o2zGiwwJgF0Z2Q6ljdahRYeJEMfMPzz69DSXhzttWT2PW5hURadQ2IXCu0qMAoVX4iw UNpDbi57RRH1Xem1M+e5YN73gFaUlUo4mnhMs7jh+kkY6qnfhiWrfMwJH8LudbLtSyHYL2FUozy8 wAPkKDysz9KRmF08XW38Qwmyed624cs0X3uSEf0jvEI/sw2vDpPBIp0epyjhmP4qD2WA5lhtmkSM 83xIm0/W+Z65eRRolvjO7X7CB/0mvEolfZxKStekT/GD8qsaL3dqYVV7y7QScg1hJsFpigt1zUAj NArlIk6EAemMeID8coEjnrzPFz9sXPsT7KM7aWAcYM8GvDZab/5lHXjqaUhf71zU5puTy9yn1u1b MjWmbxRODQy14nb213WmgMJ6z85frVLf/eVoUsxs5GzJFbR4pI2I5y998UwIoJhqcVcmIy6m52T1 hDZEx2/F0lRkGAbiLntwlnCLyUYSHwzbPyZ+fUEGUmxUAii3WQ/ke3QpHCbmWkhhWHPltW2pJCDS mIA7Z2SWJDJDN0Z0l9IAeEBY7B5m/qLQcYaY/i1zZLpEGWyvGaOigFBMtKGrk44HFHLm5Y0v3t0a lj/a2jQ7Oo1kmEVRUipXElJfwZgqic4sPxZo6GbhWSR2H9hT6n809bPGyZyiYAodzzBtXPTQSPbM Pn2RK3pr0e+rWcgfvx21Wty9yS46ggcPTe5i1n5OT1YAph+r1gUnkdEfAbXMWXnKIipJpytLPvml 5zrf2+rO0fPpq66vKBWpgqCoaGNVSOau7hvoNBSx2FqFKPT3TKQzE1zSl2xOrDX8wjhtIBP2fPmE EL9mIjmJADhKF3J8j4AM0eiUySvMw+WLys2kuXlCF2xd9kVJvS3QjrKSp9QeCU8a37yfdImiUqHA ooNr06d0Hh0EOfQTbdhYVjOIPQqsvLrwcVPtu12J9EJwwJsp8IZpZoCWCPdlLtaUqk6bgo+imcX0 jSr1pdm90MoJ+FpG16UDJyZPdPZ1szDgxZQdbrZ0YSfOWan/UvCZcAVnNnPsoA17GJuByjJdtPT+ ln6svV7L7jkKnXyjjO7DddeNpSOTzK5XkTyH9oPVDVV4ivmjYnnC/9A/a48JkPO4GiZdGZDxOalC OmXD3vTi4SuFMKdwqyz3rAB4ed3AmaiM+tXG82ntEl103AL+0+i91Zie2OcKT29WxzluPDvkIyXu uSyjaP6JVDDq3ut8158L/N++VjI7IcMCP8rBzcxJYaj7tB3KgRqdppWDEd6NTY8tOFeexcEHw7Y/ +OKeSyA243BDCQqMIVC00WABi3G/RFRmUXs5cDT4SxniDLafPp0B9AQKG2SsKhvF0WjuvXeKeqIr Nn59qipTxrqB5iVhXJaDTsmLRw4Jc1IuHwUzYt2MWxIVkwIRpkt+mR6f7vW52tWTrLrmk3+mRO/0 SlQA0Ru/tHVp897qJAzaESaNf5/I8PYUUPlF1+aC31QrgnG+N0AA6/NgBD1gTbRPKROQG+QgqNmu upVFdzcOFOKogBUGegmqLGMSdSqyru+6ihT4rLc/VRtwjntLpsavh8zKD7D36Xv8pC2+zlxI6p4p QyqpdEAzVaun7g2lIATjcP7OtzO1F04g98W0wE85VCQwsiIKwTRNYsdb2QicV2WPrvU+IyWd0F38 A/7oak8FVpDAMl2Ef7w1Pa48bwhb0q7+ZY2uOfeallDmQQJ0WlGhb/DmqaEJjlqjiaHepangfA6k klo2/vHKQJLhIuthG/67ybjS7iPrUSShyKr5OrlOMkVVreZJT3NjRXMDRgM/Sl/yFrNXn6AMtE34 kPatqYz29QRWehLTepD5gC4T5PcAb9jiHcvmDwfNSF5gY99Kl/UkW1QpvsYJNEpZaxwiauyBJjtO SIqeVXeYZVTOL1gvPvzGDJI01niRErYdDXZB2GxTF+VvuOCZwYxovVNXtuktrcrl3GQBEuHAjunX ukRYLBTAzLSvp12k4CYtl3AxVStJ18Vej5uutEhkql1LV7rQGrIrXR62/ZMb729cSQ/oSmx7Q5Di 8FXBZp6gcNLSW9pwCEaWljpzRZ3WrFGfR16RINRrS9NuZ+CN2afwlEUtPQwmKwYzwTod/NQqZIj2 7tv43mle9VDPpCKAFn0WtBaRxJ/pn9Kwwa6wh3i9gHMEDMb4RJZyR5XwVh2h6TRB19cSS9tA8h4p ZWA5B7K8uS9KQjiXqn9GexuSVxCbhgVqFFYUtF+ITVJuENpd+58lMChIYjrwdLh8GDYkktGFKExU /5Ugm0lNiYd441Tyu3yiKZ3f04Ha7o8//4FKT1pjAf85w/Jmdc7gcNGFeG+nbnY1I5aG6aBLIfbG 32KX6OI1mo6IWutDUXy9rKxMdLc2atB/uH7nVsRPcpJZWnF8gXttEh1jpOEXUw24Njn+piomhBCU klDO/EWFEtRWK0V8Mly31x6QihlWyTr3Ycfwbjtra63MliD0ljYHyJZKoFKACcTOmXtTAV8C8Qb/ o8Tj4773P5684OmoaYbKi9ECrwT6KgM5xlNprc52JtW9YBDyAtYu8QbJC7gK+GJk/vLjkz1v3poC 1WDA5n9ol8ZSsmirSKeDO6XQ4zG2cLkGnZ3Phz8vxM1gOwJC0QlH2NJOs0eGGyvlwIQhwCVrf0Z2 QyAURMwzhRE1cQsOpyl2Ggqs7cQBJcbqD2RWGErjpkcrZFdwEb5Eu9Zst0udDSebV+NCC9/tWmJq vC4ZdNIBGBD7YqT6w23P1lVB41o/jnum3YrBCp38ltTAazFyoQhFRg3RIlMwF5+pSbNmefs0MKM9 gUME0yJgQo8kUAWP/2B8gsvT5WQpz8l5zFXyiCd57j2IyZCejcnuGzNrMhgT2GY2hMPCUelfKPz4 uIhfgKxHNzkm4GDin64ec+VVoerKW0CKEgG2SMEzMKsmcUXLYqIjOrd+/zpjkxGTS1D+vAMtsnda owah5tMZdxhLfB0k1x46jr1Vxt2ThxOy8b9C6ZwiKWpKH3u0OPe7dQfj2O01z3a5gHOCjfePRQDZ pEnzM0gW/aqvPF3O1MvCJyEmcgJ4dpf5Aqqp73Ts2baHlc1nUNeO1CG5ZBGynyadIoE0dXLHVqlk LbhNbcqatEJgfe3r9cYzwJZSEu+mEg+AgrKOOUBz0YDbv8DYHx5nCbzF5C2KBaCLVDRoW5K9MFbq OmNSx5GsRGrnGyLhK23VYZFQPRE/coQE/bz7mS0o+uWOlMYNdCZNgfDzfyyfU9RXdhu8Qa5F6Fqd 4Qp91X2yDoNlAOzdkt80XTFGEIYyBXwttryQ+hTYrnk7Uf20lE3UK5Cw10KTVzEEQNRNTAgd6z9w vf99VYF3jjGs/5MI+XuhkgGe5md2CsKVQGwBeZaI8Rfa9cn68iFy7C/kZhk1YPAtGqj0tO3ZQEz2 syiykdziGpYILBp7jixfU3I1IwgfSQ7EvN0yWA6B0Sf9LmzOKSn9CiNK8P/iZuVa0hiOyYVt42Ke v1No33vKqT2stHSGlfSXC+7K4QdEvpKFZZ7fR2u4OxgK23DKZO64wHWiXGlVTJmRPekLzrAIPMUt G+46PbfPVSvbwf/PnTHyHrST7lsXFbT43PTF1jRC76IvOirMVOSNZrWganMUMIc4rBbgx1L8eQmj sjA26G8toViojuaP0xQY9yMEpRTyPdS6OWEV1ZcXQ8L+/wIBLVOrSVQtzYRhdc8qB0Xp2sR+4qy7 utHiDZNQvBW98Q48lUM8xdf8oxUAcQNavSKnUD8bXR5YAhrsnD/3ITaJiW+yyKCm/dp79oq8bLO5 c8S5rwvCQLn2PEIuWNn8Ufusz3zkN6yo2gqR2JilwaIetRXOpsALDXRIdZR/Vq01A8a36Hr5qZIA qWroEQ4QjvbWNPMJRwzy9I7ELLv6lnos1kazny481e4aoYDJqmlckqQoXTS6QCta2AOgHRjBr+m8 DWXlI16L4sv8LEKbwfRxzLIBZyETCvwjL4neec5/54vGodPaal3nibC1jmp9TpuP1qYalOFRJAyF 2Z0vD8W0w43YpwP5C7dt25jnuDP2RXTwYRn28fVYRLvq+z60pzBZbYYULH6jjEhjsFi/huO0Nh2Z qFL2Uo9igxBudEdSXWohkK/N2HKoKBPfnuER3VRP4BzyykTbZLj+TQlVDe/F6lfqe1KFfSI5PlsQ PvrIaxIx4n1f/UWtR76uGuUdefI5yd13HzeeWs9WVcG0WCL7llvtiNDLm9S4ooQM8vmcqi+wAIs8 Kt0ksszqi//XiJfEt+GPwSYhER85Mx94MJPXcOchDiN5+PnLXQVQFdFQb/M1O/dLUiy+G3GJTxfn /DQ/XaW6VOlb6n/VJrG2kqHN4FsPdJW11JzuBDUCLQDbjYShzLAQYsckztH/sTrjQx/0TsDugc/9 1Quj39QIU5EVDK/sQFYz3FYDKvoemxjud5mCmdxcQZHUQlp1veiapRJgbWgEDweoEOtw7WulzB57 KkEbe9CKlXbeF8Nf5VV25DnH47gJnLwbvOHB+WvX2JUi/GsVb4Tire25Ga8TMv6WpuxOIeySPk/Q Xt+aathZZzfRpCcrqluGpTQ3DUncxH0eoHhW517YJEuENgkotHlgFkv0c7/8DNBID8sYUgFoH3VM 325mbEhxjfUv14ReEXyXqP3ad91OLFvbCe0bHt1F89FGFGYO1SUT8YpaNa8+aBb6bVUvP1vskZWr wMuN1qDSxcQRcDEBPri/pan5bA09fxrXyJOkoIJX94ztzuPyETlb95goaqIEqPb/Lj7wcNpaIJ3z pS8Rvuu+CctDbVzRFrJ4n5j4HVzoc0U0We2+LjnfmB38MCIpI/z3o6SsV38ikTV0Ua54sSDh/x2x ctdpUuaxCfPfkVFyFDe52JGp+JchMCfKKw1Mq+1BQou2StnWDfLqeTjcSalapC3LyFepTqlXtnKP CevST3XK6QoDtqMrJJCTR7AxLDaDpjraf43xlgkxFosFENK7X4AfcWWOZ0oHiKgcGdSPK7KKbUcu vQeTwNGtJPdGsQbRzl4c4knmxpuCG8ZczD3OBLB6Tku4UUT9Yo0pyKxNQr4hzt3VWI9osbsY1aNP 4TJ0Sr+0+T4QuuC2Pbh4ic4GKCxE3SLdivhodAbTkxQcBTy1cd1VJ3ZVVen6swrmyBviTQ5cYYox 23VHiVWNsoxEPfNop2g23+KObsJIiggWlOF2MInSKQox3s0LyIE1cgb1kJ+li0kDApt0+OyF4IEi bLGaWpJna5YPBdDybh15Y0659WhOyGk/sGDlFlQF7F8xtF2tBQguuqNuKIxsQ0KO5CURRCEFr7ON YCDEH0kSt8bnU7oPYJirsPVemPXH/y9qoaE45nU/HnAIxgCxWvkto/fK4virAVIRL7AQAQte8u5P MoHzuuX2yuRwO+ONSv8+XXcR9e5Hl6zDT0LpKOfJDs3vjNKywKLTfL2d9ELLUDC6yKVh0+RpqKzm KPwCWSETwHR41ci2yMEwtjlY4s0R4wTG5+LQGd8FpnSu2he+tAbQuML+f9yOnLlm+6XLROMBo2/f W2Pmw0ZTo5g387b5BCXXaNzzdFqwvV3YGdJCfkfbZd1MmnrBYTCN4ueesa4iZL1wK8FX2GVl9LLL UxFCC5cavXe18wm8xbw3h50dPwESFnVh0nhojXvJchCEQe73d/5KCjzE4xg2eP85BfsZpNkHiuSH FNuEzfIGK4fT9G8KJKT57IYBI1PkQypgUXda9lRiiOGPCfupnaBtzUMuBWYK5O5rCFaNn57D8ZWA xuxMFmZVGL7MPjJcKnBVmmK3NDSg+iq4d307wL9YuYQ4Etvw2jSjk9BATc7VhXyjEf39Gc9qEqgs J4/s6A/bI7NPbHHcwYJHqgFf8uABa+v5HhUqeqtl+JfQluwB+0AJvk3WGU3yfJyAkeI94ayRkE5u T6gXchAZRVIWbC1K5q1jhSmmxUN7Ve1iGA/SAyskmOrf77gWqXx/JAJypSoPsAv7a0DiAdrNAeay dH+R+EAuVSHwONbBIYPWC993Rf3p77k7lQR1q5BIgQYOMt09LCcVFgHPLfsvkqf6k5rfq4RGXm6p zn+MG4uEYXyu22/3wHEQ0kQvM6VJJ56w2eyo5OpOlvwdm1FoXAkEPDjwqYquEteMoArDcCyjfU1u sEqXjMootRSdU1r7q1gfGOVmVpqO00wXGnEz4+9EfoFOJ6LyvMjJFdahdtgGp6Dm4t1RfJpGj0JV sXRdeiZMOYam4tNa7ihH0EpVoeU425Pw9Y3P6ejsZTYXmInFAnAFste/kArwdjDfFkj9fjJoLH3t cPfjmoDouTtgHCKs3cEEUfDCmRJWqXJ1vNhP81KNkWjXCcJ1qTNP5s4Iqj5LObOkP5NCXslgMoI3 0iqBWzcInXtc9XK0maVKneq0llKb1bRY5I3RvgO8dpJr24RfCwylvY0QF/FD/jfOZhIdxp0bOG+L 2PDI3iBFIXXgvVh3q7Jn2trb8BVFeBUSUOfRGabVYouquWvRnmV1GMuYhu/VQtXLEpQtkhSFHES0 /TQlnk1j5J/e9mmWgfoKLA8ElObPnJQ2w1AWSNRRkRpyhgnDECeGBJkiIFrYS8lVLiqu0MT4j811 klqKbAZcgbZI2cBWj4JLfa2cWL7c/L6DvGniectZ491Fcz5xeDJF4N7smBiwr84x3dg6N7TFIAas 8mpWxzcuB78XRFeUTNNqVK+LJqVC9P9Bjvtof4+oowrq7eAY5pe/ExBjfUO5HfqGQubu5MNi8Gng KABt/nMzmqT6iYFV0Ff5QOVlHeZlC5e6kgYrYxvlDlA4+S9Na6T5ZDaDzRb9uMIYAfTG0NX/KMhK eBGGNwJviyyuXfVhzKSnFudH+m/eX/QEzwlyN+zVPbfMU9s/G4cjWYWoXl/EklQyPKO+AJcM82pB bPCkKbqSy3y54MjZ9g4yz/D5lFHnP40FehEjQRHkeEKUGQvdMf0t8QxRdkhUlHr/WHdbdbC9e1LX 3eTs5SdIlHw22QwIuMfMfzfqwf0RMJsoRR/zU0tZTWCY9rUvc4PXi9C3ENmFMgzJGObyiRqCCJWI WDIIe4mGu8ggdg+xk8GzJKLNdmTEL/j8AwnVbzB0mpBAAagYPeu5aaS9tRnx7NyFqlIcMJwkyN3A QGGxgqJlM93o28WToqI6u0sdcgc0Hl81CUxfz2xLG+9oISc5sBMCaSZxk7hUM+Kh4JFWusJTOgRW +o+ZgMKDav/pmltqaSJwJh6Rz5TiFjQ3JQknIei0LrZUG94IMy3aeKgfAsZ3bqK+rJA4mGzA+ejA VQcZBFlDqw0ffe0YAP+MBrQQYyHdBUf0JrH/gEX3cYNuwrsm30642R2hRt/zPLgS6iB5t+LA52sa Ik7VWANsT7V+kG7Za/3B/2v/zPmLAXAZxaJrD8DfVs3Xw8eWLAKaqspRyRgJSxPQRgEOuLw+xuLN EYIDtaRe/v6e3OclONwx/xtEIX1OCMa5HfRtiQNd+nyFH7m2PwTXFH1f1gDp4Ej8nS1CgVXsdY8O mAWvp7xQPVtN6T9jpKp7omRvLcY6IeMJkisXIz3NvBjQgc+EUjvnh8xVaj6ZSC6aZM1oG8Ve+xub EzrCgQZgo+kArAcFxqRNMSpB4CDpbOtNUBUNGLxERWlnMn3sxDBHrhWbRvtkYa0H1bSCkdLUV68I JPzJlrToAL1EKfwFwz7zmlErm5NtoLYantdiw8Xim4iImweXjqmgaklFx7ngzEJVgH1GZ4H2wlU8 H1ib7TgOQ90r4jKD3bVBn3o462PT+SINpjdryNNudQ73x+wZ4yI1M+1z1YQzQclld6W2VqOo+YTL RdT8zt4ZlZzrxz+GO9d13Z1E2A+CgP5jJHkbnSWQoxxP26DB6YNLl4P6OIXL6RDf03eq/aDsrAPV cI7divfnCmZZR7mURJZMEehaK22SfG13prAAj64tgTv0nU9+J2hnycsCnaK6Qi5J/WR6mkFmV6p5 hapBaYIP9DBUu/WxK6ZZk50IXIY4nMgQGk2uGUJH/EvQlRgid31EQABJAjUenanCgApfz29gqrgg j40fWhLxkergP2ZDl4g6+mFvTnBlpVOsEsODNgRRvkblx5VdcDKuo6g2QQTHW4wv1atrwgjKxKHz 1d1nNtT1FacBv/N+JNNc/Bfhj2YjS+JMtClSzkNuwOv0L2/IAyCBBIr2w02DkQcsPKJvnF3SGhUG 74V27FqbiBlY/KfucXt7O0l9kH73bZEW7DcKsiOczVPWzqy6RgJj1d/gW1y6+VAJIDpyeKcC0DTn weXyHbeqZeetP7eSGna7TbGDKHtWTSdMIOzhF1t7XPnT1XV6qo4GhPeQ6XbOFN0H9ql5uh2HbPhb 6ue9ANRIgkhJFgZXEhDEw5R3vs5Yo0TNYSvacyFleHcgMyz/QviQKo9jGUh/9lMmd3LPO3aolTib 3v4lp5lNoolAzb7uSRHFYfRSxn6X3rYmCsuNzpEiLL6I3vklC1KnpYiVaO+ybDy6He2hL84SAruG +XnjZLA4TNmHRmAb/ipAFPGa4HJTel0Gf8VlawewShjQnIsF5AGdNIUDA6QyDSk9z5Tkq6KJQtzi m8zG8ydud7CpgS7xbCm1ynk6NAbq9sHinwHa6wv0Ts1lB62M5eIxINBRGZBL2l59d7+2JVhYcsPu FY3O/Ak2p6ZMmBgx4r62aePl0sMtUEmYfqDYDQYs7XW282zcSOdm8dnb2QVpxMOfDZssRF8o642P /TWhupbfy4SPrUoi8kk+rx0SR99kIO7T4TYjHWoYx4rj+0hdNP6h45bRfMGDmlXIyjfDSkYGuNJI tYnrk9Dxv8+qqiLeVpr4FARWP8cVCvgevLbPjGyhtfwEKPeXsrbgApDcuRZbLgJPIOIh1K5YvHvw F8aq1OJceeCNFX54mel+/Mfbq3ubsnMezcxORtTMNATEIFFu8BDp4mxFYj1Lhnuv1vxrm0qXgNaN HY6YXPE9Z0B/ymMCiX3UYKKJpn5f+MOrhynkMWKtAKuW5FH0jGJoylk/Ua5mDNZeuZwWM4Bg2iDH IHu76Ix2rzZ/CQwW23GqQR5Z89VVRkOj40VXvzh+PkRvibVMINY5IgCKRseadPBZssrR39agRz0O m4wua7f/RJvEO2OLkXf1pTumSDzmz4Z5KemMaAnCQm1k/ollXcXa2lwpu6EnoJpAnp/xhqAJr/nH up8LLUADxDx1AJU++lw8Nn9+dBZF1qs0YliGJwB8WvcqwuLN6YEvYvc6wIa0A98g+4hFeUiwigrE wlREBvIWbD/Imsrawr50lRZpJyNyfPsR3m34ecNmCjmA5xbEmOK/rZNuvKbEbPcSVBxKtp7m+xvo OuAmMk6+B+bneeFkFNhBLHGWvmLxKaMLwSLQCVzPxcAlL4/Ocz6qwo+5ULDs9trqu512/6CL5TP1 FxCQwkfsYA9uRNDWxBnsrnbYM7pyP3m5/SkVg6FUZv4GjWZekFymXkjwTMc9gx0D/Euv+0W0tt39 KCRS1PPH9Jay7bbzn68WrpmKigChNtu397qc8wShbeL5VKAL+etGpduOspMMbgItVBl5fQParurK ktpN8erQFNU07sYXLGz1vgivzUZBZR3HXuG5vrm35Xu0fs6YVA0w8WgxLiAEhkIBKNv54sJfTp5E YafXp/UQN1T8hwG/j65Ei39ttY5MtoxbjJ1laHUNwJkEL4xXK+4b7/HYSsS6VXHJpPZF71CE0Jdw djb3jh/jmVYuKmMigOLmr1g5tx2eIrTZVnEYa6zQWvjxxq12FWn5u/3kyKuofz3zZdMo3gvRANff g+RFoQJHFSOoKgLxxYZ68eUCREQFI9LFHIngOTLK2NwnF2e2GSl51hYjKnPLuYhTO6DpB2VuLI1y OupVlKp8c3w1g4a4Y5K5UNt4W97QRnA7z0c8tHHEpC0t2KqNRUp1P46uzTvhv93FZ3N4u0Kz1yc6 D0OoU25bkxENjM0Q5fhEY5PNXtsAchIKr7woUggBnySVCFAYTo2F3+ow08TquNdRvG94B/gLHbhd 2KGJV0NO0eiaNdVTrk7rgfuTdrSReqyyhKeyJ+Ku+5PyhOXqjkRls27c6BRdvyShqLisAo/mj0Hb 0zbtFqnswrKbk21yYiSRbDl+e+/aZ/N0UnO3ght1O5LRJdg5hNGRu8Ae7tp4dHNMnaw1YGo/AiFN jOqbVcwKz9KbA1pdllUiZQDdiaYEtrS8tem4waNSvynu7G6EpEDDoxKttneFTO5pbACnjHhtpElU ZVafjv/b2lJjFJbhzE4ceCXNSXWU8vTDZOVBUkiPC5CobNSWA9tCpNajWXMfsdmVpWvcRIXudKJo WLnStGgXeZE/nLcx9av3K7siuRAPbMPpNq2mGg9mgI58JLvg7hOAkcdsbtH6ceAgAUOim0KQPmSH Ie8G7qWxGykJ+yZ3oOgoGctgYIhilbPva+WXJAmVFBD7yl1slZC73pB3CMrhHWsDmdjHTt7E9jy5 uVCtbJf4mStBI6WDsdzmuYTo/lxQsc15FOvLGeg9xxIZt19wp9fxDarEbRwuG9GVFlafRkGsm4eB AsoQxQBuBr+KxDQ4eLaltq8Nr+MPd2MO6kqwMOyQPsklBHFPnI3r6sk2MEXRUiwaHEqDP+URfEeU iI+LZEzuTRREnXQd4ldkO87Txh8MUVSGanaBBHque1S1I9j26wIJEYbofQp0Bz/RN4oPVCqHhBLB AWZXRRXKXei8PfdWRA6LZYFYbDC81Kosoenz4JEGHiI4QUrBJcADA8sgYaLR20h9RCEAwEs924OU vtnkgIEW5CNDXz4CQHj7evXuHVrK9Ir1g3qEfU/2JIskCng8TncZKjcgvpaj/EJK6Z7fAWq78JmC /Zn9KhntN36m4YcJfUD3/zfTxrWKPbChVl+Aa4FoY9D1eqThOei4E0UZ28e2rP+BZ6e3kv1nZRBS KLvHAZ2ldOAlL3enXlpA9tY8ZEujBW+8O25t7w+OZ5JvhD/7rj3J7ZQL/RquoLpRChVUtGv8Q2W3 SIpEJXq6GEppc/z9Xe/TBbKeEPqX+QF72xsCZ4FwXUrio3SmbDxP5tHvHRAhnd9amY2BydFdYex6 npjnH9CJra/ad9vR7dt4uleAzOBUMKAi+62avbHw+6LRl26o25UArGniSoND2FjubL92K8weOpRN rxnf++36wHPA3MF3+JI9rcGfCtjXoqJyhtxycFqqBoaGlsNISxPYj3OZShoAJkX7lN1pNvdiHrVR a2UUmZXF6mHJKIU/cBGrFw2MK25QtT49dBaD7OSIPbbNsbEc/olYnGSMerJ/+036a2N9FMRlu1bu igL4+rieYowPFTWrykM1HsGkNdChNosuG4vqnkFAx0JJ38kzeCFo0gjT2KvAOxS7pcxuWP1cnQ4b rErmAvJPQtBgJN9iDXu2cQvSZyWfhIpHmp/q0r3HObQ4QtetrnLUfQQQop+awJ4tvESX9FLpd48+ /pxNfTrKp6b3AFbpvOfi+IVLNcRoVoeYeHwTczvk4hxj2rfqNfol06SH/4cDjUcZXcLWNXcSAhv9 yf/foiEectVqb9EsNyQtjrBqJGfz45ItJunZkciZzBR2MSz1H5NWpWlvnetsPTeVCvkmN5G5heTJ zvfrFZBlKl5ffTGZc2Pl8xfi7+z8SXvHbLp7cSNNjsQiZKqRCLCi4zQa2H4LRcasoo/ZyJGlPDmf 30Co74brjgJNLusEz4vZswp6JQSwsQZKJXDI/Ydt7jxagjoev4/EL3+D1+yqGn12w7KzOnR3cd7P EsaF7jjhFTkUpp1nsA38CshqkJa6sbhP2bplYe3esIYaVA2oQgQbKYZeeQXRlYJTeaWDssnOVngo ptzd8m0N8O1qHgaN2P2EkMGzgwK7gISynanjRE1a8ROlWB+A1HFLr6Nn3PBjHHUUjKERE3vabuKq R6oOxULCuo3Gt2epIKc+Iq4czVC4SBQ2g9pn1SV9qt/YW+kx7tdOaC+SMf07frK8mfdSsmAae8nw QEQ3hxQVrxrclfF942IvdSfsq+vDqzHej5LrqUQnetnvZf/ZwHTgAbuFIzi2rbxlMzJk3yauTYDw qk9Q7HZeitwWGZlkXiydDGBqu98BqKaU64yWBxCC/8+olvQl/DR/ACknGI1IiK2Mk68r6dXjkpr2 ti/grXs5lW8VOuPzdVClxHBdwLZqRUPGHZcOhynRwVTShk9ravrkZ4B2lpBASF+Dk96bsTJX+ubR ajleU2zRi1KIv1tkn20UQH+VU4NvjfrHgFq9O9dMEUYPbohKZBS77llRbPeHR/RDP11TIvM+LZM6 NgxjqaChxfJhMJ3dhSVKOq6mA0spgm7HWnm3fYwPvl18d748LsIm6sli8U60Cd9b+/ShZ1sQ3gIV XrEHg1VK+6O9Ff8kvPsY7RZmuYCIoKAvh/iGEJ9ZnA6lKeE+o7d8CqP6AptseVqxhngJtjQ4UV5F RAW1OI3L2jzRzlQWGeosSWtTMZzgr3Rk868efPNBEqH3JO07XJXoUkG7KUShvyerzyq03zyN53Iz O9Si1Cc7MPyzB+faVkxluLLOApnhYAEHSzcS3mqq0/3T0th43I0G7N9/DlTfQaqC6ccB2nh++4+O 8U6jDbtvC+K1u+N+Jd462Xwjdsk0q3HL1VSrvyVuyvzraYAK6WdFYOkH0vK/YeiC8UHStJyhIv7E 2CirP2GRj7Z3b5OedLEyBUNY5YBPrv74XzBofOh/9L4SLtNJy4vbMVpnmjQoxhiGapGsdu6LhAvv 8QCOAs1rWGX+KTWLiHhrZBlYL+2oXdWIgiIG9bwGfkJXkMRflBGBLpzokO43CvQyZUjqzliiJkNE ztPkLMnXx8h722jYeKCJWRpKQvpqqS7v0fkw3FHPH7Ukx9KmTUSx1BvBbSC9NoFqd9EmufHoFbjR EOMGfDj9i2/1IKxj0BF1c9ePkUX50HRjgcb85/2s0pJqqFHAoieW2zVmdBdmN9/5LpBCUj5HIOxC sS+Xl0HKyqjIeaQdm8a86BoKvFS5jZowHQUvWhQ1x7ZjBWMVS5/U2NwEXFCEdVZtDEUP/opSQQCI mGb262iEXs05JgTSOrEBeZSgQS7CAYpd3pRrwsdJZTvCNB6ZN23qJNGgxvfdEppWBkHYgmFf8q43 ZJdSHrXZjYJC4AWUOTzh+ogJg3EDNf+SwE1aZFSSDvCGyUQkIPfm6wnM14AoFwEfGY5acN4PIJz/ KKhHwjdL6rJueGp0mBqHbMdByuqmgSXTQkSCTYdsgvzju57tKyNezQ5abc5g1UvnvExLg9hDhyV9 qKgczyJ2UvSyRksNfHpW3xmMTYUt2yfg4LTTYEeL921smL451Db1M5Vt5Ctn2dl1XvynpAX2tqDv dJa2RXAWeberUBWtNI02//X2XweYbrNuOPm4g7L1VzAHLlItoBcGfBL5O2h5PZI28nFFJVS88WEz M506Q/EUpL+xV3O2qKe/bM3jGghkeot0H62NYJ+lfwO73fmCKqrNxAyU0/h+K6ZyeZ4qXnOKvxQU FhzU9l/9oDfNcalWQoUUhLLTvDFVEn7CgZKC9O74u4fW8SvjKVC7WLmGCisbgBaqK5OUFst0xC08 W4P0D0WsK8C+9Ytw16Cc3q69eL2xE9c/kbL0FsOEY1QGl6Vl4B1s7jKYzjpmk0xFo6F1FTSPd44X 7IaRb8nrR4acjVz5lr1h2U9JNbCmJJXVzgHaYgNyGil9B8nEYuFeSXtY3QWciNGjwbsIEA0uBl4z 6p2pJi36NXKCTutzaNZu0UiLOKEb2eEWAnU+recIID1rmuTup88IKz5c6V9g8edcvxNdVwC1y92I GeHcqX/hvDUc9CaH7VUVN2LOH2Kw6Glyna84uR06I+LnnmY4dmy5bEK50jAFjcYXoTSvdswUK7mb BwYDv3PIO+Q+gXOUay1Ucih5GBSd2wpgjnUVg39r0FOZdnvuid60YLTG0ScCArlEFTPy4U5b1Qig qkg+uSGU62O/93Fbgi0roZCNzYq8mzF+R3yer18fJsajNuMfgtLtx0kofl4U95/doiLw0BMKyY6z A8YM3GWnpny3duj8D6Yn+39SIqX2DJf+nmVFfqrt3dK6Ezk6lIO81tD1d6ZOKh9q5SpLnQrGWq98 B3YfylOS6vzitXDbS53O+nUFjwTfRIRoQXvBrtS357GLbLFZYcg5jzmMgEQQ2UNDwVxmrFUVOpQS Pc5/vtP9JHwDEMCHgI+A9fnaM77+nfV4kbREWJcocHeFTP0+GMKCqNFaON+XHPZul/JVYUzrw9ZR 1VZnsM0spI/Fsio1ADa74l8sF7jn1zepwhsdGKD/LXH3+cqciUJ272CMGMwtTPXOkKTLLBrGOnBd IBqogzTaoHX1fgARDrTBGxXlHnP8E4wy17uYhH5kgHnmH42kpnkWVSsjc3nqs5plDk/YDl3YGRdo iu7kA0VvzfcuFJMgWUvZxmLl4KQqR8OiZGnJh1BpbIayyyFxMsFLYfuvUWKrmjaSw0GAOI+Zm10q 1XM5w/FDnpzlppNSA7g1Uuil5ZkAk1JSFzejiGYO1eXm146Bq7b9ekWKnHTqt3nF5qihfGl89B+a C83AAgZJ4h2ZXu6s3hOoqc/ERc4DN2CPkSOKLQsh5xNwg05k9P5SxWWP3LtvNNx4KXbesArvkOoT A1jjWNw/G+bNr7pKriLsuoU3/Z1g/lRv+VFWVHRBN9vt0uRtQHeP5XcLFlt+5XW20K/jmybrjLyy qMiYUrGYJfaaKIK8D3X5fkDJscTX/a2gIoPdvp67JjBktCqwzwvQUCXM0en0jsEp8/4nnsrOm+7U ZaDUdsEacUCDOBSpbLjZQ/M6vwbXpF+85IMcsTLlBubGFJ+QDx+D9tU+UIjvOotPO/sNYTgjW3SA qAsSJWyV+R1kpHvKl/3INZg0h8H7u2Zrh1CMqIU2/6yEjzBKWWI+o6cR/EWATv9Z3RWGw7OgHe1e 7oM6GvDeL168PkTsTgoqmhstiOoza9mh4XYYIpLxxCAa8DQwJUUTsRRJD6HhLYvFYnXHl8Lpc++y DCDWl74pUl7NIenH9VRN4Dk3j7RZYDEJu3EpeO5DVBTs7h1pp/lbAYS9C20/6tjq2POKeKTFr7UX gsL1K0PQj97SCIh1QOa6Y0BKy+bgHwHE/oH1pHPnT135apUZe5o65iTeEnq5IVnANKFa7H4ghaU5 GSJdVhEOBzCoLc8ly4wcL72w5OaQfDGyoDnVZ5abV7Uv9QOdTxEebvsTcPfUBhQg+mS6Z2a3A8En kwhzEMm2v8FdFjeLKwj1lxwWwG6Feqe6qkcnTlwyuvCzypdgXDiY3a06tz91C5aLqlmLk4srW2Ns qNous8lmMtV3NCLH4b7ahuKzU4w95RPc5Vv8UqAp7QIDlI989NTFLEA01+ki+SwzMiZaQyY0C8oH Cr7ElGfX74EnDPCR8zIQ7Ufu5WkbAn3ioLwLNGRZh8QzpX3UTrtzRH6Ponf8IyzYwFN+ElpWy0tJ EhLy0GcSmysp4/P0LI9ovc3cWMW/k80rdr/EWli4/O8wI84f5vli+HxlbZoW3/jTb4gcw0aLM4wM 9dHw5EHsZghhC7ozCVYt8QCkbpc7+gzc99wYUqRrmEIZmTmPN4wJ8RONHDaQQ84/looT82a1jLWK PYen+x0DQ1zwY2kV536fBoyX45qhd5q4CFpvRFD5TKn1Tt5G5QIdgDtQCwVc4IOURLw9tZpS8sb1 nnLXOodzkMvqg96uCcoiuIE8M2Xo8fjwMnSHaX+/6UFzB4OBxZwJG6rob+PcKy+XJEk7yp5B5A5E X+0iUaZJ6VkoQdOmUWCA/6xElnFtwAvzxOa4c5YgW/Mu6xiBXJFfh+y9rFRCAxBKj+vcC0BOF5Un yl5S7tB/eLb79LBNHmvOvg/Lz4VemVjxyht7dpFAe/LrrZAikU+E1Kf5DDyj0VVSBNtuLRb+6bMR rQPY9pixFdvcJkpFK3NL2l0J/7lnCdku++cn/Lfa+Vk1zc/Q7eTKpRTFgJVvQRP2vPMfNOOeqQLJ 6y8BU/Skbj7KUy3+U6OEJq36+zUhnjevjGxLrajqnhAKQc4zgNqMtMRHDf5rWngWm6PEzjRjWNNc dEpDQhfvAnh7ihElWnkiQQ6uF46X04LNyFhXnqBKxQ781BaSGrjszrkPEy+0Yvx8SikfRP/MdP7I hAylHqwfMU7KfKzxIeHB/qW8+v3movFl+O3JP8+FGzG6NGT1lM3ZaMzRN8SVUY5dTo85lrTb5yoS Qeyjxd3aro+p3AdsYD1jlDdIMH0mOgqqVyAnpZWleaS+cU5ndaUViMAUdTMPfpu5FNZON8uzYKdW T1uxzTFdXwfgWFGYf+dFauxGnmEl2js2eK3F3S6NTrtfDZttdJZcpgO7Apc8yKXjgLlTI2HamYQl Rw4JyyV7ava9rEWlbzmsvCwPwyx+wbQ79SuEtTuanG7Z8uEkt/DJapQTaiqvPP8DQr/+oOaVvno/ ieq3wWS7bwhUaksiQU7uvHwMCD7KBWXRiyILGm4UIzfnWe1FLtPgVGtyXaEpHesHlLk35TDs/DMY kiERAsK9X+W4BXtaFbYjbf48/tsC2ZGAklUg7dKajh8ygXaImcVNGZDuDTYmJEfJKyw9scm6A/4W yrftR2eJcCS3Fqn0nE6eRZJlygcy/X+ACyDIh5vV3u6VzvuW40ntbqjB+f6dKJqJiArUASKT7/wD QGVr3nSsLMfcrz415k4TpJ8R240Xbzh33lr+EdrxMEpeqh4MLTR0nQ6RopQtJXm+0vv3bFrH1MFX DV0QcgYjwk3uv68IUxG6lcEL1PwvjDolXQtivfYS2FQutEqo+7bSbHuJI79+lkf/mJ15pMV+CMPs ikgR5xCa7rP/TlHWeu+B+P3exce31z58CxcigoXhu0jQvzYgidZu+cB3cEMgokSqdcyyQSOp+bM4 GHCudTPecdYdzvmgFfPd79De6OIXF/STCAaoRxEoP5m/11wdLxEtoQfijJmUJZdqeOz5tH8IUERX RpQhLwD+nTCqoiXnEluBmaeh9Ym5ZBEKt7SykCA7MnscgeHdN7Kh9eNq1aA8Gpf0Av3HuujLmDSU iAGx8J2K9FllcsH8S0vLzQikZzt4mebohDVM0gGWONHOKh9afpYa5YzRhJkAanPdl9Y29uoI1o/1 s1ndQPZNMfcCKhT2r6gaBVcFlb14MHoW/FWFusM/YPAvHeYve2qMb/i2tgLCipunEl7ie18T6iC8 h23jQxobBVut8eCofwezZMjVA7qTt57blOCcVZXGNUxhuSHTBd6qkrM738LPctAnlEqA2EK5n1fu 3P3xUOcBB5iY3dVXCJFaStA3jsVdw7NvTwbqD2L91OgruzGKB7J4Cje21y2rFiHIIZijGDJ8uePb MGBaAQH/P308iWsQ2BvKXf/tYcRlSnr05Zh8mWhyU4xsVW8q+sByJiaw3uahXzGM3foMJ7q4Bi/p sXNFcevcnLMiS09stg3pQDNrNrkifgg+Jqe3lCn+bGS+9f3X1I7vpAGiF/2/D8Qy9Xn+ZZhES9qu feC/TekSwM6tkIqWj+dgBruxY/+8mRrT+KVXa2XyrVnbszdx8Ul9ZAxiUVN3udZ+LRcMPZPegwxm GXAU8UtZMB40DKYeDLaAmIQKdhUi3UYzCy75bUcDqOzGQUakeGvdExYRLgx0uK7S1ruhkx4mOnWk qPNqqnv+AEsDTOY5IG38+LGYlUD9bZX9H6bikfP4XJfC2DIw+/1OWAtdhQJ4yf2b1k754hyjQHGl d8RN+Fw6JzIST/DT/aqvkhEGpRxCQhJsYr4o0aFSKUBXBt6rLM2+S+ulZR2KS6BhWJQF8FDnsB17 H239NZBgLzg0X58yFBfPcsQe65JhAhRXDQoqFp0BzxHPXKwUNXmnHOx03VT/tmdjhWMuqYwjGL3r +FyzOG7406SrzetE5fNih+PE0dNy2DbPUNkeft65xi/t5vz5ArQLT2gjtJsUMZxczDWxlZbDzlPA tv1r4kqdISL5ayXasfJZsxdzX5bIbQk/nd6jwW8xEYNUxROmkih73N+nGNnv/PGhei7m1jiyyx4J 7tQhscLimYH4rzyoQlmStXy9rB3t2Tnt2HH2wiNb4TBbpXtaxJBIELQbJLE56EzGhETJG79ElMV7 1fhRnJtp31c+sEHegTEJ1vwAVUQlm1tEP28CcBeCDiMgHITzRLvqR/IUtNLvbS7ccIHJQjJ/Hn2g dQQTBCjyzaAm9vN5q3+2fvZplbKEkfMnExXxD8bOdKQqWjVmLpcHQeOeF17MdC8u8c7b59O6TFvw 5y4Gjrgh44GcFNZCGAvMcCn2Q14phNsa7mgKKBmcrvgqQQpTN3jKomBGjlEe8ZvL9bTp8Ry9aezq JOSi+AW7cZyOo7sOufVvQpw7F4innFsjbd0maidjwFB2Bmr7VTb+WO9h2ikqp51K9NOQfW65KLLl kGimNLQ+TzNnu7B24cEthj+PI0uO6meK0JkrCFCHhUeFLgozS/q3aky0ah2xhtLvpB3HSz5bmS+i 068lNufONxGxtlW8nmztEaXMBHtNiaHl++pB71cs+kem07LMqn9ZSMsJnFqw8xBvK1+75c0mbD74 FXVgXZTfNaJrwqDL3woojgUIvYSZc8QSV2zn0ejrd9KipjyRNzOVFWxrUCxfHqjMXSyDhc9Qr1zB Tn1yiIc/k7uKO+lo281rdb0Dg8pblSqlckgGXmAXgPQg43bHhNQmrD1ss/vjk+RX2HfFXUabmpDG 0wHJeK5tJ0nkDAtxyGULw+sk4EgznN+ngI2ldS1D5J6pLB96oC/pTw+MLuCf38Z52VxoMZLXE28e s4cRXBs4lthjL+D2zhuAnt4Pj3pEDvmCDFXQGmwuEy9QOELUWIRKjpA7CzKys4uXC1D6RxMqxvPT YHXD4pCENFSPmL1WUjgjWIi/FxPUp48Abp2ICONAD6fS8BWncXpAX33EozkL5vEeXr9ae73YCgqd eC/0luRgJeEKV3mCp6heFLsIHV5Y/Zdc/HngoEKriNVKCD8EdqzRzFD3FlJgMiOjcE4gzkkr24Mx HyS4elHylYeA9MT0xaUB8Df7Veg5kueZTBVeA1yzJH5R5JBk5l23R99f6XMW25sb1UJ1hKgFB6Ix +TBvW0heBO7GeRi5LPVMhD8aBZRxGLEIA5hfLh+47EoQ+DED157rzPqD+MMbjOuLQpVzt49vHzeS 289lF0xdxksgTnwa258qnTbgEFBlbV8Oo/73j9Mg6ilax1WCCsXivsAclDkAoRliN288mzeQqbjK kIYztQIzvaUm2thS5jdK4hzv97TnJwfQrRlSYYc8VsedWKkJOhYr2pud9QAZCWbxkQWpwDZlucG3 7awBMaQEaAeiiiEqc6YqkZEqoPq8uvJbc83jlkPN3llZrtRnBHs2dsDg7Gx2LdZ46z9mhne3eujs Hn2qpQCpupJt+vl8nS96hW/T7nw48oHYG7o+4Tff1CAaB9cDSV8zDm9rJDf7uUoOX1E+pYoL/HyV dyQaKU1grrFt/N2FSPxWiJNH+MpBaXtgFwYGJl8qUnECgv4rPMW1PvfMbJ4FBBuOx1wLaJ68xbJk +8WmLVLTG5OpzjXmvJ4Cwi0DhRcctPZwYdl4AMYT/H3wssVi4nzq8zdm0f+KYSA3lmA9H2qOLUgg DZJvdWW9RFnT4ErXzyfJrWOR/3OsiSmma+IgyzHsdXuKs8Fw7jlVr4O8VRGN0xO0XWe1QsDhqwYM gDEFSlGmy8X5V/uI39ylknWzfj1VEK8sxTP0zA+B117yr08h8UQAh9w7nTK7KVBOLevIQ8BLSM7u 920omIo5VoLMvmOmZXwF1KOJKgw8mZVEHop76ML5kt1cOQivkkXsQMij5WpoFjwbncJ7UZb9ZpUo lUb2N+NXInwVhiCcjm2t5elKA+UfscgExo4WOfzUcY02ZzrlezMuB36GT3RlfKKdLmkl2JZ7loIC ibHKrX9Mvyp1I2yPXLT7RQ2ufwrBkvWg2Xb0Mc5VsQVFHGRn4Aj/h/Eg7HDCsZnriO+u9pr+Vyuz AZzqIhLcQ3Atrwkfq335nIsOgCYENsNuZi2hmgj6v6MfIAj8nGDwG2vZZNduV/zCmboKEAbP2S2o wY7mE9Ho2HqnEwfGZN4zZNiR5AetcVWjtA1O3Xw2BaKg4C3kOo/nr3XtUYwnE83cdl66uRtOVnay GuP5wDGojkCHNl0KnNwI0PTUuq3xvHbO/8pR/dj61WU7wzHNzFGsH/ccxEUKQsw/pQpFzPz21N0G HrpvoO0mrK4KXoN1Sv6YXx3YO727rV4wop/MGUDqqmQJu3lS08C1ttXSv5IJf13+XTSx3jvWHvaZ eGwX9JKI26GRPbti5eKTWbk0rbYpiOinRD6aw+WyiaDdjq1D5iZl5JqvZHO4teip43z7Q/1AaYIW loAviwKrwG5kvFThQugyeCbxAejDmGrA9F22XVCTHWi/7S/MgwOCvXvNpBPoQDYm1aCDgxZkiYeC vceqnzHjETHWYIV2L0wAxDGxh1aRuh1hMSvBTWTkWs07AHbvuaJeqBJ6hT8pWG4nohH/mnuTLXRi IBy1nvVbVAk0McVGt0DDn1fIjRiCYQNTaRdPqm++mGIJtMMCQgxOYsuXSPoGQNCkd+W2RsAvMnYQ +0Hr/KbMkD0VWSY83F3cbMLZ1efaCv5h+7GadY69iyBllgRHj+SiAVtp5l4jywzgJcv5WbOrTMoG G2+BgEh6OlzP/Jp+tpOXwo55pyVZeD8NdKlIOKBx/H4m2GBeMY3FyjaTNgcZ1yRC8Cl8EA9Ms61h obSLn2j3tcOjKiVxupupssWHQL9bROgLon81Y/bJ18IFGJniYs0I3k+hCMDH0pFyRUlDqnM3PR0U 8KdL57N2gUccohJYoNS8FcVN5uh83hoRf9+mKW0hFDS10EZxdqqHEKxC9uTSDcZ2NMSwpgnAqm10 dTcVjI0Gry8cECARH+Oe/DP7x9zPBWkV/hrkOA3wvgbWISIDmzUekFr5vvEw7Ww0ltM7VJzB8EXr xt+KbTQWhirNr8f2D5u1kRpU2No+MT2txMrnkdgLvXkviIOVQq5+9JtBpR8X5SLcS8wxyY3A/vJS y6I44wez4nL8SL1c11zW0zeSGpHXNIaNN5texoKje1W7AaJmrhfT1PI9/nO6y9zVkEXiZ8cvqpac oPNP18uAcQQI6lgpKr1FRNEEJW64iRjWPgKDQEkYJuVOCCEU6+LxM+9j2v1pmkFIiKYiHdcEjsUu PD7OvOU9T5xvzg0n87gsPG6qili3iifadx+Snt2QTlNKSlGuv7kGsS1yMyFOMjkgyn1+qdV4P0po dwBZr1XfjmByc1gai/PATEh1S3K4+GIx0PyObxvbfoQTj4ov6gvUE12nXBtP6VLHWo+1mRWnIjoi uGZa1fiGRu15FWhTc7XRr7+sa/qNlxZbV44E3ipJAPCRZX+ZM79LSSxHcuGkf2MmF7+pEGZx0vGR xZAMGRfNdt5hg0XrP77jGFWe2EkVIRUvjPKwA2L54w3I8XR2KMIQdwAZ4S2gawInLpJ+5FW6vGqW Wr32QHCE3fjZmpGcXotjLZMsDmj2RD0Nby4cxgUnBj7xIEf6gnr4XqcjV5px8IliXit803OCQo4a Dp5t2pdiCmILntbwWVlpy8aPvAQkzB51XluHNvvvpNd0V9yZC5hlqanGdwF2F6p45EB9/1qrTKbE QC+U94N94IjI841BryORfh/mPw8RHqiGzxU+TVAlaT60lAhdFbIpdCQ3CfXvAa3mGty78tg5hqNu lV9Jom55+Tg7NcZoIDYD21BamgTB2oJ+IWwesm12U2DSJNGgOMncZKIJVcJB7pfZ6shp6HvMVY8b m4r9Tls6GoDgv/WtkekoA+IgCQslsx19B7K9Dj40pqRHgT9gsGJF8fcxz725OhzbvTau6zvMrs6v O0+Frs3ZKy3T9N6LPhA2Tz70YCfzF3w/YgAwY5bywQT+y7ENQQ+JBzMYe8JY+9hZvmT0rSN1zDDR 5CS281DLtpoIa43go43JTuBPe+E1qhV5fOiuCOQFuifp7cY7W5ErCi9gCAduyXD8KEKGzYt083I1 Fq5ylSggPT2QDY1M3rIlRb8JXOFg56RL3N9mU/Qt3KTq6gLmZ+qKdvTjBNk7wIlkbfhZPaDHkCBG JPqfU+C9JHMgKm2DnALlJEiz9H6p0/GJ9z3XyLfhNGipuHjdUaloxdbncg+VCmlH8CQ7UnEYfa/d 2rGs+h7vcnyL8JdXNWfRGwnIzomOiYy1XGH3odLpsZPi3dfob4NgdeMxIqTe+paz2Z1bM916EuBh XC5moINFdBG95KX1cLXqJ81VRsil7RBaZcKq+OerbhRPo+a9RDaf9j3IING1tPMHoQWSfVV/qhxo hFGCUkSfCFoARt36YDqNqHBAetdvFhwjCsUAkhaziqIxCwXvAEJzot2eWmqMfCeaUifGqJkozE9U 1BgK7R4+K0LGG4lgd/n+ln9grkAFp/tGnxFzNprsabV4on5GzFnpQ0+DpWdEfppIJEUt3zw7dPXE L2hn9cD2hqXpVqDSoq4Q4FYYE4N+6zRo+XsKgVJYqJ1teVUZWSYfoGYsib4RqbKM7r1CsuM3dPQQ NPi/72mZJDqLbs4WOHhdt0rUtJob+MEcdanFGbrsim6aGg5T99xOJglZSbD0vAPbKLL3b1QW5bwm ZQ9+0H4jzzmczsEqzj+iyCCTSN8FrQI5ANb7aVHmBq89pvH9/R8iOGse1DP2hKzJkwp3VBFI5Ord sG/AZT3VEh6H7+ap5w7RtNXyH15x5/W+dfMXeiWwFL6Vy0cL+kXRncR1X89+kbDRo9vvfH0XPh6p y49IcOVvMyJf1kY4okrtG5mfdJXhgLTYLlUdjum6tCQ701pGw+6A+Ud8QrKo2vyR0FVp3betVBlV 5UQ0iI/zxy33lutavBal7BRAlU7ERL5H3v0gzh9svv0nz4lFI4Dc2dLztlEpmB37BraWl9OWzX1N 8XPk3/M+PMGwtC7x1yX5n4jFNGqidGh0+chuwoc8X5osQQncICK0ZtZ2YVTQ2n4CX7pWcvJMHfQh i5+zYM4GEaTTLrsFZCbKXQvSCd8+NgsPgfhlDhmOBUEuZeYzbC6uz4ZEgf5jkwm4mFhb/rhQFlDY 3QBQCq+XwgDX5MhpZCvfXeg202fpYOCwBrl1ZMU45PmVcxgJYhJ60R1gDl4FqkBJPhUcgf9pjIlo TVJZilhx1RoJtEckFIFQAxZXZyxlwUnXv2gkXFKoSAvieYfVq0CAk+tSsCNaoY4cBLkOgJVh2I6V mahp0xcoQaGRz3me2MW8lNcNUnj5K6edSaiUeiNJ9vJ7V7OcVzy6HYVXovgYVuzS6Mvd8mIMHEXo DGX7f7gukWWEvgC/cYHNh9ok52+Z8H4szJPsWuv0PnJLDyLuAsoGpIQodzszN5eibAUWMJA4bpbn hIazbh7epvMoiuEKwmhhfsQIMtuy0G6f0lW9Qi6YyuTjz0ycx7PIMhhqWk1X/+/xLquCIUr3AZyJ 3r0ZSeIo1sb9lwe/qv7cTADymXMu1wDpkBC/orK7xAnGXXj3/fzl4t6fL1fnaD8+4OUU3rmzGhW2 M4amY3oZi1/R30J8FOaXHRRfgaDlyf7bw/vLJ0C85tRbyFuSsyMsaGBD9rC99i5oVMaFliDvuwvv SCVKffMxsGXsw/brpO+vyAmPbDYWFpbJOw8PRXxMFLNDRYUn3yJAUDT8LdjzHDovzc2nDkfFU13M n0z300s0g5/o+HE9v09VfqGHhj6sLUKWFXr8aBp9jGqxbZNLIkfplYpuCLmHEfXS6FTOJErNu/Jm /Ovg8f/NofbuBJY7ojV8UfqID6cfpYi6WmB+wEoUe3Wx6s9wR4YNMoLx9Eka2EATzOaJnL3HOaim KxuWbXQE57tLJESbaK1atLOhIXiDHs+o/D+3VgqeQXeeNvg6VRluvjUGjVeLrS7LTevcy3yPKpgx 0l1DmFLzPabXgk68+OW8Kdu3/gkeK2v1fYC9CQ21tUiVOP4eKT971BceSJNqQeDzh1C2rp1jm6hN 9+PN15tGeN+K17S1t7Uh9vX5+wn+ZHuBzoweWdmjdvFUWF5biiXEHZpUyHhhCASL/+bHV6juYvKJ ZMxi/TlKuf12xgPespO8So5FoqHU3ESE53XgYT1zBHATHkoi+MDEtYAKZhDY595645kFe9odF00B c+wWUf/XU7wZVCrQU+dmUsIIYKgSXDpMjTbAXceCaLM4uoCBV7TAcosoEjPQQ0r5BVjE/SVzNqx6 7+8Rq1NlHIMGup8Gu7T1QOaldowY+4vzCl2or7PQ5BqmFLzlB27peWeqxpMUgLLtvfHWwRRJlqGH vOTMFz5EgcY0S54al9djvxgD02siXB/FfvvdkViB8RJauMvXL0i/WSW+Nrb/lAW10x/NFfeinb64 iSz/75mnI84TcrtXuIy4WIA6BMyxGFNBHDw3rmieYT5wXiXkWKjwFKz24vLbW0dlETmoxZiK2Uad tZOyrEGRCkh11QUTFSKCS8vL9nwstkPa8giO45qkuf30HjnC47lJfOEytuurf15KxRzZxR3HBV7h B1sDUP1CGaJXcNn8YninFRzqs49X/xJVUPnRCnbYUxZu0wZDxn3IT/MQdXmYjU/GFI85C8jyfLki BXY/G8TVhuEBaHjwYDD52pVeCa5B9P8q3L4gEu96jXSUBPoXrvKyd4quTiXYC2aCVCMS2DBtgE/D xtM8Kv3QeXKKm+DVHyA+hPVHAw7Pmaugyn4xCT0JP9OjnhUp8k8a1J9APzLp7gI9DQ8zQurjyAT1 UbOlUp/XlMCJ3e8I/1BixMd4Sl3c4dxV67nJWLAXc7GOJ2mtbkfLvs3dtXSM5G3taX25+75tXR7x 8APam6iumiukO5oQFfnP4XK7n/UAzu0gFqzRsVJRlk7kaj308E0lR9Gm21HQHR4zfGSku7wePH0F 5DuI14rdRXb1SBlnCZ1I4rvUgZffCjjBsDD+9s6zYASstdyDtQ2wArJP1LsQc3lXY3usCGj3OXCC cbqsv3ENtbAVvelylfxaMZmQqc8PTh+8OvZ/2TO/m9O6RjUKTIJXSkv88JycdjLYOaCYzoChA8qY Xhf+th/zvgW/oGi0fL2bfM5V+7b3Ke7LTagGsTOrAjM/xwibX6qrDbTn9cifC+ykrpUIiLTgYX5q kO8Xo3f79VYdN5Q+oaTNHlpJuBWBNzK+mP6nsjpKZ+WMHtg+D3huaw3yOME4BqLe4KhuGqgcO0RF znaqPnV+2Vde+tq/GTxFtuPS+WWT0hRSsbMMEA/DyOYUwXdfOl6lkYwdtKpVvRFihy6cPO66KT12 0vKCj1WtFJraf1Fj0Y6vfjChaEm8v1pEJ/Vo+TQTwBjAQpwfY03WpOws72yn4utbviFEnz+f7pl6 rc1I+zgfUGHP3GMo9+4njDRbWstemd5HZE+phTluqHnrPkQUpm8sF0GHFD303bnu6uaAMO+VQs8M +r5WFGoJm/ASra2189FVi5lZFnXdtYfnN93PvnXd0KKJEkJrCM6nH3gVOuMFWNz8IRr4teKhXwx8 oUWFA5QqTC2yHP2+9f+mqqfhZQv9t/K46pm5T/lGHhrEhV7oKVtXbr/HbotEIb7xmVBkjBa7Xq2C ZOVaT9Y1KhN5h85WY9tT7PT8PjxJ1ey9ToQJZKo1jZBGXRyVhbWQT/1pTyCgL+yj5V6d+0guHjv2 b5GxfP6+XlzTaODSnF5OoMzJhiNNSuf/X45ZhCzfWeYv6q/q00X6S684Jvue0+balvHs7HZuTB15 L1MD7KfaN8Y3a7wOss8hJeQA7zIJUeRKccGl/z1jtKVF3sxEzfZTECgXsRYOEAV99RPA5qGAEQgX xlBEcMCUnJMmqJ/H+f0sD4ICicCPxi34sAYexztT0avD6nlmxNOshazx1TuO4TNmsvGV2GjdPKT/ UlignMGjTbLchj+TOKLhUVRX0LoDKMsaq8g5BkwhcnhuBZxCaocfZbcZ5qDa+Js9VQngETWSrTy6 N7BYPQxYX28/u/B5XWek5ellEx7vIVQVLOTKg7+BPYMLJJIEk/taNjQWUJhJcSnRhV72BUEiFHt0 NcQyPIv9+7krB3hxqaWLiN9WPv9E2K75rJ2v7BVieJ/Yki6JtVenaJSyRa8C8u8iseleiK/+UAQc JpuE8QNx3levyuBNWvZuV7y7XgIn9W62DMk9OsXzXMSQJVJLSa8aMw1erNRe0YXWhHz+TZs+oIZe OPmerqjuHwqHpMa/GcmT+pGg9iWkTEWDroYLDXTAQr9Uuv7765irFJmwId/IAtAZnMke2XCPeFEc 0zAD4ee+xTHDyya33aFcQI2mKVR5/74qwfZICGzmSRoYNeIYCyM0ToMY2Cu8e3ssUcoQINzfXN9H tECrvssdgP750bhlrVl4agLPGL48P83Tn7z5eNLATWVimZOzWs17KHkWFAXBq6gt4jfi1HR0tJg8 BQez8M/waJkbmPd3ToYVQDM4uKvbE2YW0eXT+UlKiMojl0cIq72Hx5uGaSTGWEqUUY22grN5pYL2 /ToHUE0CLpmt0Xl6UII8KmNOACSl2XtBQy8BCv7y0zYfQqXviJ3dvzTe0KrvyzR3m0uThw2Eg795 X0TJmDhUNIwsgMW9jkIAyCs0GVbaufUCNOjwf7mhwtqUxAyB2crqH87IFkpACH6CWEUi9s9eoG0f 5yRwK2fKBDjzTGWBlw3BplHyOu/N5i9P5QlxoF2NiiwUyvHwkYjGUlj7wAZExBpCLu6AsZu3JSyu NuUEovAQ3+yZ3aG2KHQEOjRpzgtulNeSq+6gG4VyK4+mfaCipF8pxAEv4GVbKElkd4buEPPXZDa3 4deXm1HNtiq+8Y+sNcpjplvG79wtqN/+LKHEGPo1JUat1aKbrnsQE65zVkkCRbcDK+i+OCaadst8 JBU/ghEfnuMCqPkSdkSZT/tTMhgx+ORx3IwzX5iuXAYnnA/Pp94CUsGxkBWhfEYuWqYuFjjzduE2 DBgFCfjx1PBPOuALhgKW+NJFsFTpRSbsgDGyaY1N2vlE1IadNNbPPP6ksxV6KKZM2TWW04DJUtag 4XYeuguJP8IHANXwqQ21o2pu2re7E4opQxv8TPaJBrpN4fgWoPObmqLRYNqXfted2IyDT6XcXI9E NqSHptR5IzH5UbquBwVxAj1rlhmSUqTuHDlR3SXSkKrSTWJWifp0WKW422k3F+2i2bXiPjYHtaJs IybrPUte2EgKDJEfZBDN/dryMxna9nIjr1CrgPUX+NI1YeEAKBzJEeNNJiC7CLFvMJUKzdZ3BAUM gjpU1QAv8bhGYyx3G9Ou3sabE66Nws+sMQcl8XO2Okn8fSXp1KEBG0mFnHI6T3Camgip9KvyNEUp GA5Hftva+2P8K/PSSNhYa8duHzMAGJTExe1VqP7Eaq/WssoqpX8jkG+TlYswkAxoJwtb9iZgNkkf gL1epW3bBfFbmUZ7ie3D4Yllydhc9EOqRIqGw9Ak+uWLxhNJCAgisJXVm8P31wYKlhx6xmcGHfdD Wc5PtJEVeS1Mr/TCd8mBc0VHovyUi+1VGGlQ3gzzWL8rkUWvL4P647Iea6TaLawaukEoXcO0HB40 i9Yk36WveuOVPfrEFIoUhlwUwD+DN9ZB2UW2bosQGEwqN1XSY2yEvc1XF0xWXDI0uoPxPv9mbz3b 0ww6fQxJaw7F2a1MfdbiqkI5LU4viQu6KuBL/YnZ1i2/FNw1LaykLtJh+6nmR7OHC66bZsunaTkj KaCcvtItyfXxWuigZnMfWw8SAw5OCGfTse7DX5TW6/kUMZHpoDiUuAj0dvri9a6dI3NyECPonBa7 GuOUK5WN9sUbCJQ2fsakCR4oaTDJodDpNoHFar4QYXNk9dW52ryuxO0eWtdggefiK6frAB4AC+X4 AFLKFd/cf/S0uObEF3NzoMSq4I9x67sIwZ/niX1Cc8mk+wKQ3CQ0AcWsj6KGHB4QWftS8OHWtYFf TaSe6xidmiwQdFfspC/4ICe80u/2s8ETnVY9brfqtSqkemmEgXn/AcRMl5OeLlUhV8fzDybZ9vU1 rXAPC2t2cO14WaETDKVDjsRcDuM9IZU7WaMASh+mMITAS/Qb/T5Vw1SShZC2SySXXBVhMEsSpycy Qt85J0YmgxEBw1usYG2HrpUDmX3Roy3tNMQS1W+tbX+kfPZFaATxmFa0BtW6kGClMCELbjnHcdUr qAcnceSbZ+rfQr9cD9WPmvdkUqqUfB8m9/LmNAhODYPK8CFz3/8pPY19zSpE7eOtpUhF60/euhRW nk/jJqmiWgBk211Rwq5c9N4+TUm7W33Xxa5Yr6423IdTgxJGQxaz1h+6Jlg9BAYHrqQlal3PIz6C 469PGEOnMcwH7jkb0ThceIDIlqAV0OyHp2ncjbIoPy1WEpCSO45wBT/K+zKsH83gTu+UXbiEyB6+ M6E//kKtDPVxe858zGWygZlgCnbOFzJatlu36yR6EFts33h4Z/L3BBLzixg7WKdSSPFR5nhv9Yxu lDk+wHobqDZqaUzmNPawCBMPxm9Q716IgrCVSU2HbiIGAfWpDQ1Yr3KSorp6E5Gi/z7kvcWHPKkX xh90O5s9VckP5wiZAOAP5PXiWwXseiE3p+eP0WnjEnPrPPM4Q8Z6KvOv3NxPJA3Ctys6WRMIEIU+ FCijm4qYk4Z8pEXg2WkVGwsL5HoreYoPpkRPaamqdPqGgRhdI6p+miEb6rUDufr93tIqOlSEKFi3 CX4nN6Ni7ytyYqPhpZtTZQUZRn9P/xbp9x27s4lZxqqiYoM7IHJxGbqtdsc5ulM+ShDa5jt16um1 m/p4Qd+Ia98KFG0pjEEv1VDZQJs9x49+YNge0+s1eNIOW12HO4arLdRanhaVoq6IXJcc7fWJOcP7 jkt+6NHAfJIbYMWsV0wJx+ICoo27TUAye+5+hJxL2B91ND2n/6J6lc5tuZh/0cDP6Pl+Y3UfZn6q l/ug8V962dA8/KMvDp/WIBCKn24vLaku+OMdr41BY2/3q/1GCe9Izcc0IpuiaFgdnobyJvN+MebF q+cCtw8cssXSSPYQHkS/rUW/ruPfx3xjurLbA6OdTTUy56v0rOdG0vQIuNTEGfaGnnosQJlpCROR WRr6CjG9ZIksd/pqKA2qN2j6W0HiIaFGbdmJxwnpxoBftr9nhmfEQ8UZYMFN4gpsTUXti6kU0QZq ZIaSv613JZ9gM7iQ4TWz4TgOVUFzbbGeaoKtPNidT77cYwUOL/fJ7cB311xp20XQfnl5BA1s3o+e 3iF50giK8R/mIJrHvtowFDxBv5JmO6SZqq2NsaSf9011fHbh2QnufhXE7Sqsb9G1mcX6ZSucnjQO oW8ioWYGJQ9E+e26e6FbxfGnkWmU+09kieDxHnQa5zaJnfOKGfX4t0OSVS7scrSrN+5c8YVmcvZN GpkL0k8/g1x/qlbGN6oWDeJ1Fo7y+U/ZntfMk2JySHW0cqL/MZ6vWWIrfuhJqOrZ7pRiQliPjs4h Jw59ZpHzmyezk9mzBmIXDTfA5Zbq74IdiqL7IGJVUkSAcD5aHjYfWDyKCSungoYZLALLj2e4+3x+ LsaoZgdbYwc3MQXYtfT1FZx9DhxgpL2GBR4VHKpiyKGsRETYa/xvJSYFVeMabxodLQM6t0FGktrX AbFIFWU8vJmeBKmmXL6oVvultOJAEIjW5WWJEPyEoWaRtOJPVBYxglVayif8irtsn1S8rJD9Yi4V PQM3INIGPnkuko4bxuVYzJYmKC1YwCG8KquFAZd75LLiHChtH+LVWvRZ/FTn+56OTUZTgaH2kwlQ 41KtkfEUp7RyMfaTQ/e2n0Mov+X/aGRqFs085xYg2ifpqGC8Nmp41B9hod/K3jDyVEuEjF7Fu9ki 3C1LkYIXCh3GMQkf2R7i4pUGNneHbtiPm0pYSguOLF337V8XJaNPqa6mDHZW8H5S69fOvbHzK9qO kWSh/e77+8sJR298o278voWIUIuELBJExxvizBB9wCrplkLSYg7TRJ6wsdHbwXciCpzdjmQABARr QGROruusKfbgawtmEZATj77lQ0eBtTVn5jLdyaM+kiKVU/YdMvg1VLA9pdYEFCXEmoBNuNQn4vb5 k94UMJMGvzzef23Tu7qW3Z5QONG1wLRUWMjQqYO+ONQNU4Vld3HvoHUiunmXNETX6inGdPFVY+an HQg8Ytu00WYj6Pp08ktzUeyCDA5cJ1zweYUfZCAsTLcftEXH3DVkTHtGcnYEPWeQQO5CnSqHVZ89 w7+trYLIPNeGPyfPNvIyMOgLTmvcB8reLB/FGxsAtWo5p7p0/XrO9801rr6n3eZK/JTmJ05gJwER 6089FwRW6rMkuDRBr4sObONXrQ7kFbQdAyrXhUgAjBWsZb26PskZFTVWuOXIvpKr59ELqr0lj2lo E2cKbUHaW61OsghQOX9o39PkMjeywpTkhDGQ8BN3Bv0sVq8E0NBl+SEFVnkI4ol6Km+y/rMqX7xy EDTiGYBIhSTEjNP5dIqpnXM07MY5pIu989z/K4/f3YfeEPvEt0puaHH7ttr7RWsjUUgGOA7u/vXS /cmCAwTpxJidNoCmCu3orLuUEJ5PKyrA5jYRL4K3Pd7xYIN6nwhFjk9IsWhidLwqBft3VhOtyKWv JwxrunmYnqwrb5iJDDP7OCcs/pzIJ8eHGHS2JitmX4XbSoCydZDt+rFDPEVZzTl+l3v+Ro53l24b nRRf0ZrVidiKePPBGcl6+oV15kfNCBjJjxVqihcrP2agqkITvV5LTM9JMqTEOD1nvGHLli2RbJL8 805uu+7sNiXAO1NUbzrvxCQm+oZtsJTvRop7OPlxXdX1Y1TQWXlkJIMPXQCmYMCUnbV9FukGDded RyMF+rwb9EQhyoEBuf52uv8mYQD0/s//mZUMCWNuKu/+roxMOactDlBKyShj9vimVo6uD/hwCnuk 9Vxh/VX4nUidAG1rCkv84irxrUlNOvFiNh92EvnUs9DqGHm+xiUkrI6ikFiXL6P4oMbR+M2SVIW5 3SNMGgkZ+5I50FGBxtxCKPWZqbBV9FLJLhyLPRoxO1ETTXOHFyRRbZCYtynpbe84Gye8T3nkEFXo SU9bPIBenV86ZzJrhE1qCR3/bfDdwAoExxW8Pzaonm1zWF+FSZUSs8MDfoeXUbM6QJc5lTfHVTEA 8ND5ZXMT6USgs/Z5RKOFqb+pfaDIxBZFy3kkTgA4kH8MIhKXYBChrPBUucjcQRjcVa4ofvndjUTk 7/qyrPCRbgXxvk+w4Ism3FihxBRDoR2vS1kgsirCoL3kgfO91OFenlFEqXM4fhMyDIqjNkM1F0Wa uvTCBHAvOxeM3BNtdi8hLv5RvP7X1oaNGZ1LYYy4XtJ4DH0aUiab7xUwiAUFZLzrw2dDrB3VPeFJ +E5gLwxi21W/wQVvzIosBr1jkaNDZqs3MLlel5eo5CAsSB7hoxBwqZS+VC382Hk81Vn2yLknGenv whpZOq+CnXPlIKj0WHmVz070TJq2K2ET8G88Nu3H9pyH0ZbcsxeNUfPsRej76kVHu7E4D26dvslR DgRI3rw6BA8xF36ZWCZ3ZMPcnGbiP/EObN/AKuzGo71Yc8MOXEDEUruZyYpmlEWeDH/c+My3T3zm Ifc/QLbetFjl9+txhTmHbz2Qg1XvY7Ti4519w9z9nF5ip9yYP5UB7AD5E8UR4ozZyQ1YewVaH9qw 6hHT0+k89LrhXT4Suy7BXPbjC13e8xxwCieMd9XcElLWFyoXp4NX7kqyBhWlNsNsv5lNAmtiH0kK 8u9yiJglveD1ZmZBZ8Ft9DdD52xRUCJRlumgZ7sF6D2KqxQvynIIH5vtaHXG6rLt+gJf74hMJdY1 zvcftwUyBtSqnNXIkRzoktFMa+81m++1BoFggF2rJ0ArKaBnFYf/f7ocWw90KPu0b5rJOWtCdMcy vlPJ+Z4foPQ9swrCrymy5Plz9+ZCBH4/jRgV8CNsyOU0Gi5gqOlN9NGWwkFKbu9Iy3Fq2DBvyY3/ peC8RIXLuE60oz3iJ6tBa1D+e30J/J0PqcjmH1zASW6n1I1uuHyetq1pvrsNfVU64vpVIeZ89vpC UY67zuQMcz4ziBNvXK2CbTinBswe2kQKCnGu5tblyJQCLnbyZBFgNv4M1dcCvYg1DnC3buo9UMX5 6bv3N/vulxgU/c7OU/9Co/lMONpefR90DrH3zuV6Je1VrkgEDecx2XpKTgk4pBhQUMfySwmk1GS/ Pen/NdE7pPIopHDKuBJ4MvLR7XiF07xbHxQmjhZaICT/V7MKps+ZDqGVdFI3kCeOfEcj9B2sdnjD 4+oQGFHscJZ1m5FYuW823Oz8D+Ov7G2fhqXmk3VHWTKvfK1/Jh8mKwb7uGcsr/gbK/3rOr3nL6wz EamU3XLgGnulwdmqutIq0ginWqQ9KU5Wy8NKTgZ44hlkaOtuc/eO5Iu4OEdqPuptQVQozrQUfcBV f3Qm5T/Zw0Buf6JsTpiWZUsWzDZsHkn7ToZl6Mfxjg5KjBJ+3e14YDu6NcfCv8GsakHnCk1a+WtO mvAN5U9o/NQX9V7sNhxbakBkbKFJR8wphQyOObVaKIdJ/DbwFsBLu2yFB2Gns1vRUuNKxSzba8EJ Rt7Pz24+JpnW9mcB7zsgQVwOu5PkArdsGoX9RGrcHEPpBGtuEmMAslQcWkP9y4RilpFhxRtW5HOr n4modb3wzErrSW6IzobZFjR4oJfS0WE/E9kk7iJcYrdqDCsIpmuvKDAs7IrguLCrBcnZEzj5IVxq ANgLZn5JuAiXBiA+ApbHsYwf2KkrsqfpYhFfu3DoMmlodwxuSY8oAWgSrLbrYRGJ/Y4svFZr06G2 eF4NCCVtJS98O+WnC/zfF8IsuwhbTXC7xu6CUNZCiUYUjSWko36kfSD3xDwEjjv+pGPyfcX+zWqW Lb4IRAUJcrTQVCSX5BOxxyGOtHZVqVHXxF7BX8OQvSf+bwEZLvKT+ADnNpbkscKJink56ZtaWhxh KIj+NXbQ+fa7McFoHjomyA7Oy/wlCRPirAFEQ16fRQ/VS2np2+LeJEM1HElzgMJemYHzgyOPMgUT 9kKrRBnAmGGkeYioEVes0knYcyE8MHpW9OXsyIY3psKToxUWGP0Id7TLH6srq1qdMrWdIzOccrho bheCgjdlsfCA/9QNTizXq2bdBN3qNGry4lHMidfg0Cl1QyCUBxQnx7iG8QWMoPUXh5YMttU0n8VF jVu2B9h5HAGCQVKZo0xmXtj7ZXYK5u07tuVRhmFvqRltMmnEZsuYaXPw7ludTMEZmszDMhifj1JK 5YNlMozv8cLeGnd7FOK2r9aZMW/F9+U0t4L2kHE/xewV9D3FM6pF0Z9lbX8pSuYS/eV0TZFJb07i UtuoofyVT9p+BjExJSi1sROaBIpZrRVR4noGZbp9zZwhvKcXNFniPpphZz3uUhXq6hZ3eUfibXj6 6YqkljcJh/FJL4L3OXAWukYRCDSOrgjtx3LH1RXNkuMYbtMg8yuhGEOM1SycdzeHSlpIMBJANt7g Q3Y2t7urr0JFDlgG/xaws2+BVSffh5PFyLMLniZe0wE+Pj/YIiBTwjD8RLb0W1zB25+CfT77vl/a LAAWXOFoydpWEurk7epPD2JPGTe55kpG2SktbcVwMqYet+77336BaqSk/14VOFArZ/Se5t9Os2cY ucbMYLO0xHVEbOB9CICrZc89voq9NdKzWNbvEMwGuLUW2EgeUELZ/clgHxPrnBpsZy0csNk/G6s7 N2hOcwgaLhwZ6eAcerQUuSgKlTa30oKk69mvAXp0lG40rER16G38PhMQQaEncgG02BGjHYmsf3mz x1JJT6WpBaal/Fl8VTapQ0ZAPmtSZ7fLMkVnOVgP9vBwEtjoNOCMfacBUxi0o8t55Jl0Bwn3aGwe 2f9NWQJ5AekrR2H3LbrlcV01hjfxiGyciHU5heaNjGjc9Aq6U+STRVyqAQqmm8IBX+1NSbY3fIHZ QvEKY6iNuVkLPf0U1GZ8LlMm73w431iXCPp9lpF2V1cGpvnLtPfq8GriU5bUuGlqgVB0E6GOTLWP CPFAhb5ltWzmIz+KFRIgEW1cKMxXvDSok5b3nLpN+KeWVqXM0CjawgYMSvPnhwolPc42Nd92v9q+ dJUFqDMKH7dTfVJ41sveapeqeUPI0MCO4hiyeCNCmksat3N7GI8j2eyWKlsYwG+a1Hf1jdFpFQ02 IA+JdM8wR+iIhH15ZRMKw6KX6NrouJtka1fPgSI8Dg9wdbWv1ATdCeN8V6hTAeite0H8ASJA90Sk P0vNicgtX7hbijyn4M4p9Vha9vu90vR3uYFlf4H7AABNe1JgZmVGs7MTb/PdGEF8e8hoeY2N+RpD yKfYYf9d5o5kYBKLfjIGZKZeoX9lh1TCmIUgz2JPeZcuhcD0W77kCy6Sm38VMGn8l/qJOv67KuYv jMeCbyHE4W6n0uKclOZHzlHN56qOZbYcdC4EC8iev05ffhJEbyAPnSphyr0jUQ46XTVG23b6Fy62 LHeBvyItXcU/ey7FonAEHd/7Zz+P/DwEX30D97BBsD55fnncURPQA1CSYcOow+AN357+eIjr/btU dxE7mPoizWa5mnKhwZ7NfcbO2oBAQPzcVgjHneYeLAJixz1k9qWfiP/7CjkcNBF6hzoyg9sdgMPz m5BM9nmh2yv1d9XMkDZAvy8ulwgm1O8RgCE5qxg+cYmWNVmZgXGfOYRoMNgbhETNJCb5ifhX7GVe jxcFgDmyeFHA4gE5YQVXpGjEax+nRogecEu53OjiGuubt0ky+fIHPV5gaXU5Apz6nQ2lrE54bnX2 6wkXz6wg7q1NXvyFOWVZoyl0Is8Pu4E/P7gA7mJOeNDBpdVrcAdLTjNI7MFhbKzuBtNbW/O77PHQ 4hRi0jO/BRHt51cvUsg2oOtUbb0Pulv1LIA2stEqICLACBa4PcSe+EVh7rV76blwPlq8jjnQ4a75 JdQ0ysbaPgVqkUx5KLA6SzVjpxoPknagB5yL6hlSnSKaEBQBLPH2eB1iw4IbmGwEx9El1QPjaS/a fhA+ZGIVBone+SyMtYkPF7W8KE9zpVpmPRYVy8I56w1a+zO6BjrRkHkJQspRXSys8WyMX7XZJnOo 1wfi6EJhvkby++3mu8RVpO5AaCi8fNOSgOQI454g8YB/fmBVZ6UYyiRFTZKjaYDqjY3stnttncyL S35bCGWGTirNRw+jQYTWKBF5w0k0MgtnzYAW+NeKceCREgA/kFhr2i4r3Aldf30QDdD2Pa4GxY95 rp/QB9AxenxnCbTBWHmOnHtZNzLaTQ8/l2D/oa0CpF6aAojtfEkyLR0209/RiHOPMOXL407wM+lV fqRuvbsiBd7ZKCIttW1HeiEMzSsODyEk9e4/R4ngBwYPDFfz4o+j9oODcxyoT5MzJyWCin+kWaRr Y02mCdMowzbSslhyYXepzIEn0c+UAOQ8SlX9+KOC+3OHFeZVI84hq+F7UeRGKf5OpsWtRgOt5y86 xu99BaONFkb/YmG03o2vADwnLwKaFnMaRr4FiBQldTvZeDn8DaTTciELRB2RuQ6vUz0cs6CDDUNN w3csTpTOV9hfwGfdkhpW2/c3LvNR9Tg5NL+nhS8CpDZFG7GN0lj7POrB7ebmyYkEiaS+z+cyJOkK OMIqD/Y5AkIpPbmrewzM09FAyU81Kk6FIBx+L5IBvTJX7/+mgmAhQT8gg+R/OwUlbE1GVfHwe/V/ vk+DOuBAufHKBK6b7oG6Jvzzok+UlVgacdD45wyebuV7aIHzLv9LJWYIdfQ7Z/axWioUHRKiBPTt S6Za5n3BRJDoJfhxG/dfd2eL7nVSRG3/nkh5S5cU37ZEhZtMPHcYXAMpSIH8+WAe/wJQNqzyWmq0 mEo8XlcrnO+s+QmOy66vMFeUJq5uPYv2fpgTjHMxOY5sreWecbTR+HCB/koAEZqHmZlKMgdeFy7b 9RjBKyK1Qhq/QEqHWnfzQ1OolF+hkI4zm77gYnshStV4IwwLDvxCPsS0wjLEuS44g40jsIXv/kqg tZG+ar6+UuOEsmhnyrbmWzz1OJjtPqFp8HI3FPIcZZxRsmDfefVOCSQzfMWPCrd46gAxpe0/YV4H RJdsNqO8nICLxvzsdeCozWwXj9fwy7QwDbsXRmX8AS+6he9WOGha6EGIbG7rSxPuB2bi//gscRNj sADMI7pXKYJF9AyPHRpia/7D5nlw76OIKrrhnxskXkeiJeLtKoEWhsgfsefn5pSz249SrasOSrCO eZCx6HjbX2yMlg4g6dc4mZrxYg2KwNdK46ZAkeZEWRHM7zlgYywHIxbbFKgaWFxLHDz7vYCTUOFV QhJXV1mwKLn8x80udQOsFjvLiu5OVSQsQnF8usRPckOCboZ9eXiQcX65Uy1JR9K8KLRcE9xEqu/2 Ac4ZplLVv+1XcBo1QDvByEooG0yQPidZ/2bur7HAgYzIMkvAdZYDLZobfawkuYEygGXjUTmYIMsM Zt5wfZlkNceSfA2m2ykB1c9BvyUwbBaKySxiWhnuajloq1Oi7u/TJJ0zHZYxl1qPvGib3kocP0tZ YrwX7SzWXbXBZBZjhIOeCs5jA6kVfenrPV3YDk7FlMcFGId/iYkmTevawfpe6OUC1oW5baDOGSw0 ISU9z4aEr/8jtJm1T+yGjan19g4+Fqe38vdYDHjJj9kWXntauFsiGKVRz9hsQr865hbpaBGD3dWJ b0GfMOAso/FPtDtfEQcwGwDdskIy9BgRrFp2r8dTdh5sjjADw9sKTnvytLxpvWK2c8zoL5QHPYVw TjspzhMbmU3EYQKUrz8Ea/Ajm5TdwyGQHbNLkXKWKzpf8jzCG0lsOCq9ARKOvRExEX3gWEu9dZBv RidRvy3q3EtITrVuYvqAG2T3XBx/ecaGmK+okUBdOwby4FQywnDiKy6AX7xDNpmuos7l6Z0l28yK JtkqxhcOxpY0Fq1WRv8X6rOJbKmWjovNPQ0q4K3q+KA8Adar4WtoxlkGm0H/pPgKPLb2t77LA3uJ iqCXUqWyvG5VNeL56oXGK1g7KRVWqsSwSGuMEoeNOZ0SH1ZYL9oCSkWm4diO6PJcMIt1VKBSEpf0 0exnbnliU+JQSPXDsnp2RSKjAll5oUmCn9gL1i0O3deWp5PLn0Piyl/or0uCFwaYouEdk8HCBtie 5I1EIfBFxejg+anzuqNIMpLaYP1TtwvBF+NEwsWR49gavu3TsZJyse38BpiqT5KYO4gs98jI31eO 2uYm5FKe4NgCkoLH/5ASzCrJ1sZYqaRWwEuA2xRwxYtdxAWJZMnoEd4opk9D5JTdQu4by9s3BzHp DFayu3KYpxu3yW02bbOVmfxrlvJZrQisIMRijdJIiiChMjqYcN7g2yGtlHyEFJ60mAiUeZnZoAtn GUOGsTQHqbby+7idz+N0oEIFARyYQi7Bq3V6pl33BWBmWrfwgHvhiV+mPCdAqQzJcWzCql2pN8Wh z9AHqqgrZGtL+53LNyuiTlyF/P8R8XRG+Sw27cFQDSP3WACvuXWk0zW0nyV7IQrIaMGs1NsccG8m cbKbejFUss6IpvjvPcNNVdjX6sso1MjzDT50L6FifktXiNqh17pRkwscUL6BLShW+rRssho6XgK6 KyTAx93U9kNjnWmkp4dM7v8LVVpg3YMTQodO2NFut6R+KjWMGtmo1Uw+MHw8dfgIZGKaj+/8I69M cVTzf+qAoPxgWdA9As89tUnFWSjIrFwlrEsEAsiJR+LrFB7yWZpqjbKDGhQd/ai+uwx0R6yvh71L L+J5waAvu6IKmuf0mCkUQSPODquf71JDy4pbGTEeYa8CpIl3pcmY9bE3K8RHAsAnLIuhYAtjGbnt x/aTYsjL495fazqSd9TXbZyC+fAqYWBOTuYfFd+//kHZA7s8RsE0Ozu3OAybCpEdxj2QCn0tQIyv Qh+MMYrjY+q7hsPGN3sALqL5SPKviDZqGchZJAXwC8ju0LwiSh/zYGAbxjB9oac2gTWWl9e9e5bN AtXqBMn09u3URYP/XqCrmSZOGuah8MNJ6MYsO6TXKGXgC63A7ZJXkvcr+9fO5kYp3TFU0eMmZ/Pb +fJ+/RiLQ/XdxqAPJGx6eLQGdt4b2q6MoMIdbKhbNYTEJbOjyQhqaL3SseWz2MvUrcVADqGhQ3UF gWFAjWOrH0KZwb8+YxoyyH04Bvs3eAM8OuyuE0sXIwWMfqbs44a02VcI69QvC3JU3dpa/zIB6xXw ejtRjcjUsavG2xwadCB/8Qmjq3XL04+N3jFzrZv3JHyakPV0sqlphl4ksRWuNGCJsVfK8p6PXmOb aygP5OePae+VuQ0X3HyFwTo002SJWmFJbPjBxz1i71iSiuL+3MgtALUxEidg8ZzUwun3JNne/gHb uBC6iISfoC/kF8ddqe1e71LUT/XFj9q1Ycv5CH/79k40uPAFIM7yzd+P5xuxMLYqKwyoUATWxzss +xJT7bB2E6KO7QaWE6aTGbNsVLRDc/liM7mvTMBLWNXO6OuR5zCl5s+yKNfyWUZkM9nODUzGo7Fi jLo2gwgHqmd1EP8xzZiCHhQIl9tQTDa5VTMzVbrF05eg2Mk8sjnaa62249/l3M+3VDNcdW6Jw+Sk nOBSc5t7ay3r6FAY/tJ/u8vo+DvUvYQEXDLxaGflD09wQ+9EMmzKuemD+eRvk1toqlI3ApEVhyIp CZFGKeg35Rmxpj1vGKJrOkBjkEtvcIyh59wQvhqu5a8emWtnkuUFXECSQ9iMFE/C9FxdrCMVd94H /avzUugolwczIGSnLJoeDjEfREVo0ZTDyidTM2F93ks/XSyAqP7Kq5BWg9XF6aK2r/sMM22kmBWm ErJu2E3sFQWpDGhPh5hvMQX32MsZFt06TajRknga5yoEAxIW07rlRsYeznCL06Ug7AiJqhKZhrCX N+u+O/ZsZZTYjWw+k85+OzXVhmgwRPZJ+12cSIy0jLwir/2CTJSB2f7UE5gylU00jV971TvFkW6z DL/bzofnhxTSG54amKNJgJ8Zz8cnqAU8UzRJA32tTjLdiIxoo7rilOj6gFewC+AX8QMt2aSqYqFU icWoeGw762EWZzfhjgN/MSxohTOrdePv4MnhkuDmMvS2lhJIy4ieUIUlKlyi2skfqCkEOD1rYkXc lOu+Rklj/9bSjcPLlDI9DHQEKRT1AhjsVYu22Ym5+Es6tf076UFAavVF7zTm5tdOhtafeBIy5oeT vex+E/pY7vs42tTnG7qCDFoDvUs/Zecxz8Suc9FMkzpLGkDcQc+LsNOagVHu6BHxjaZ00iyTNWue YjLX/quQF91NU1NCSJmQ18N9YoXjRKtu/4thdRA6/m5eEVzJ/WnjSSuAcb0D5WS4iOlZBc5W77Nr HPL0HTzPCOE2QIanTPRTKgj22t58GDlJip/GGRL71p2pbjUMzIGRPXORyRyQbXM64DuDEShv0lny Qf6weKjC+jMPePWNj4Axf5uVwTccjJ87ON3LsKL9/smq6uaxY+PTFPUd/PrIwkZWakuBg0qKUbDz yoPqAttEnoHX91QqRxn/kDZFcg3TZpCGdrsk5bR5aIp5f0D2je8ON9WshAHw1eqzU190wA5aK+Jh ApN95VXMxxrlXscZoq2qfedwgcVUpWOxoIwBJ4BkYbO8cKW5vlO9dwBbKLEpp42EUr3AprVdrybl HTGy30GrW6f6/CQ458p5eWfKSXEWdrBTCASgeCTfMWz19npgMxBZ/gsOyBgbmDg6aWpINSf9OIvg mlx4/z/b9E8R+OIwsjfNIr8dX8N6XzPIdqMoDGfvT7n6HWj/vCNLjy5H5YBTb7JoCdNvecFXtK8f onGuGSJp842hXC0itBs2sQ1N0/3TBzxejrkrtt2wyrFSISNLXcsnto8gwGfrAPXJjelI6EHzDzXc Wld6XhTwC/1cOUQ4tHQh9bfydAnuD3b5gaw4qE+jhRbOE0YDYEf///xh2q+ydzdsgwmveihV8G3y bdJbAaT3yHoTf/CNV7yC4YhvvDhUNawZqeP8aCCWnSh9LKCB9j2sDvQkVGEXQZwYPy7PuxJnR37j r5aOLlFLaczK+V8n4Cm3es7PLwnYaq3YKwS87ttwgimcFWLq+5WT1vMDI9dYbGfC9NaixPFXd+0j E0oAWYhbNIxh5fHEiUS7P8enmm+GgRZSYxj5W5WWPWxwnD+l/wdSZqTM6XC0SsSDyP+VtcpT9xyx 4m0OYoJ3pzahS20Cl3hs1pdAvzQrdNhVp/xH3IlbGU1nTcpiNbUQXjD0pFXmD8sHf220oe7wwEUI d6tvaH4HxiNVPsDA5S+1CoR7xas4xxmKXfymEc0+Ne6WeJumkS09Jjn6DbV1pOKXTVqrtoHiUHoE oSOQ+4n4C/rkFq+2tc0LMcy2oZflC/dsJdc/jDjq6VNoW9u1YfgXesvcl/51D0sPpYK8qqAC6tlA QUrp+QbjXvwApt/CmSHMehF3CXzcQKgXdVDsiLUCYd1gQ49uRsFwNDTv4Kc0WsJLfYp6nOYhNAQ7 fnwMC+5VnJH8GC9U8yB6Lg60UwCFfJ1oz3GnCw4IIzrva/++r/KyP98IDhlMEAr+5V4OEvFFAveb /yOkJTpmG4TjG062hPQnBcrF8W6PKrYkxsGrA5AYS3k1ZE742PvEOcnl7elEU+ko33+jvu3aEb/W u1q0ZBZXfhedIE/qziV60dbwLjb7p9mmPtZ2RKz+lFTHRjfdO201rG9Zu/FqDs861P/Ztn3i+wC3 H9/6TaKEJvmsLTDvAtG8m6UX9bV88p+zqcVVLqXZTeKJfSik07AzdNpeMyVSyp4wQ/PUKQJFjV/w PQJdFgYX0YXmFdPaFcNe1tGT4YO3NjEN4fRCNycJp1oq7YNaEfNW7hVZt3+/No26sQOIhOGRKrib A7AuptcJrANSkRCj//cevc+m+7D7prf9Mbk3PFk+I6GXH5bRi6nzWO1RAOB5OUsO79ez+szzvEYE TR2ik83g4KlwoWb9ZNPzhv8eY+GfB1hhWrAaHvTOw5yJEJaZLPI/ZMNhGUam0VNeOkBUv6dLaWtt CYKa5251I+l12lDX4d/L9DkXMsjSYc+hW0C8NUEb5X3mUyps3FA18v0P4NrZwYNP9ED7jtnzghBo jrhrBCJ04uvyl/7rNw31ifFdNEXTjSc5kUyQTEdnJhZ6f3XZJ7N8W6p7nkwS4w5yeglXdRokL9eL xLnmiyKNP/ppeHumoKBs/KzD+D2WtAXatxNkrRKU62e2dpVD+JSJJj4U2z6qCFqXQ5Uj46BWlOJl IQyaHAeWOX7awJHkhiid4oS9Mm3X8dDt7AXWNt+bZKeX3bOnDx+pmlPIIJVq8p6VufMjx3dy93E7 A5EBiMabVyOUGZOuo6zB+Lq1pGsZRxH6NxmZOmHPkZ5FcFR4NsT9ikHr6FdgkQCJRafN6jdycSgo +tPZK0h7qx+fKQ5PlaaLiHyCj4CRJzSsnVvoX3xT/LjO4S9SgxUzL6bo//DgxoWhTnn8vQ42mkXk 0UkeRZERvFWyIURzsU9sBOy/HMdZsmQct4pn/iCYYw5c9U+qQe0ub3rfuHMSoMdFQYcfe2DoR5u0 aziMFMULFzOjof6J3XaK0EhHEfSaJJsg9lMCp/Y5TvGl8+PtBcvQ2EhjMLgsIOuj8cojR5OYACtb B3vwT/XF8ToCltuplQsbZeDcW0AGPR/38HU1EE04X5+RHJ9HCMpeDLM64aL5QLSPuUj73zJx4osL EnYORpxVRBGuLD+fxxnjfOn7W9SQmqVS8BtUFOkKy1zAV/eTQcBW5G9agBSNvsvjvGfU2zxT9pUM wHIwDubgqzyV3FzmzRlILnJl2ad8rBx7KzvaALY6B9MKaXFgCl4UKooBT1pR0VMz4LRDMrGyVAH8 G/fVwCG9M0egid3KILYNH6xP2UvQyr73XSgSQ6vN1a3BX2rDyibdSHQ1RW9ugKWrUkExYAs+9xqg 2OM5PXeJZjBgNW73NZp4YfBlZS9Pk4wC/c8EUPNO6RyQbbkGdoJ5xsMc+dkxAwd3eH/iU/Tsjxdd Pw8VyClMTGRDLzOfRv8FtTHPCCrtxlkAhN0YyJn34HpaLmDkSZ6w5sq4kyniMonwIr/8DfmJet2t VFGC2gPwgjyxm6sA9fA84EHu/u9TchzijLpVRnNYzXJ1eScBwUeEp+L+tLePDDDXQbfAG6eOWqEd me5d9J1LbfkQVTk0GA81rsto5vg559ukQhCm89ggBEf3YrcFdCl8530eVHAqSB5oPZSE08MRKNaZ E/fyYQDLChXaUKAP3i/dZhkZpdl4mZP8Odai1W48tu/TNVVyvevTe+UyqJCowMa6g+3gs2CgsKMK iMSfI2bI+66cSpeBzknXqY6NSD/T5fPYOUKtoq2v5KL+lH7ejn2aBjYKaqn7xRvF2jtqEWYych3S rLNRjJtoZsaUsUzlQI6qCCYdGsbnGh5u7Xm2EDcwVSWQ34+OaCVD7Ayx2fG9k6dJYHoC7iFZJZkH bAlBhtB0ZMYpVosIASQVAGFjx3XWpHc7P9mBThWxuSmgZid+DRHLW0Luft6BKXzqDQCFlCMj9JVw 0lGRh9eSAAWqjl4VczcZgwCb3TQjsAtM04zz+U7um8a3b2/wJiM/Kjdyf4l6ClPpvjt8ZGVEwQe+ iQiyKnW752BWOaBFYw3XskFpd04/SYTD2bvvz4Ra0xKI9ZHWiuDvqYU3SIY+rzRvj7pY5M8kCa2l wiGMA03FpV4qGe63W35lrMnNO5ueyw1YS4HJssaQ8mmiSS9zI5XgiT27RKnr73KyjJSxRY6vFhnx DrLBRZqAfh6P0DPx4/IoKWwV1v8SbbDCTrFuon7aev7kCheA8kw8KO/FKp8e6qNzIU/P/fpHTbqD PTUKRLYb59V6Z+ZhqXeKq0sBIaAb/ywLn3UdrsUvBSarBlZNLCWm+dY9r/kYBI+QG0XKSAOTyicb 9inVTUrZYWuGGfXM9nBqzvAhBUvAo0zNy+tlXFoaQyxoMtaSF47N2+HB7Tdw804PoZU7EHNRoY1y H5bKsQO2veyFGxQu7GHgFhrv2pnVh+G5ZhTufZOnYRoTxF+r/5l2xuC0zh0oajwhBB/wuOrNc2V3 J98tO7MuU4a/2GHe7zZS3S31oK98DzzB/NwFUOTpSvPJTl5JNWSy9tMPk0LA/mUBxFdWM4TataXJ BtvHCQ/wZkMES451RT2mLta9NC6ch9nx1/UoD/HfDcbMnrkrkCKbnwGO9+dHN0AC7wMGsTXR2DhW +criIZ2spPygz+wZTSFg00FdAn/w1K5o9WBEjqiA82UCEvFI4uGnfJdr1grfpXmMdYh19ROB3qPH /ctFWRUx5vazJEUHWJnDtBxab7psIi5aUPWsDUgdTzrjqMKsAA2vZ2czTypFmbiciL+ziwEDPNh0 KiGZRWVk7kQvh7jx+IRsokrciN+Ww3hSNSP9QzWkLG94yNkJsJYHnSaktcF8yhA9O/62JlQdd/IR CG+sNcQXOflmxmMVEXm7Sv1s3rg3v3qcBFvXKMPs8Z32+Tsh+ME3NyV6nxnNsLmX4j4XpZxFlNoJ cwB5vKeHwQGJklXbyaSMNp95xAwBZCbiNqrhHYj5DDq/eeHTurFcbi1htY4I+8eOksKvAvE0ADsP AUAmcgcLrs/TtTU6JhH9GRwBVbWY5m/htfu8S6BrIPBHINFP/0i2YrdJvKJIERTWfNoX7GMWXhIl zbw12qH0DjrTvWWfdBp/UTLmWi+GYwNZkXMl7ZeHiq+EFeGrjVO/16hCmh6Gm54XM+5I1hEB2ll1 eLNpDdNdvW/Yr/bZCQweC1lGCw4mdGGmIizcyJCRhzE8eoeDyUInRHizkXBDw2e5oO6fU4A3/Fm1 7cL9jX5drbO4MEBhv4veP7k/30HMbgokqGERtfXrQAuEUv43uDCfaNJ9JZC4yfDQDKX04xrvbaI7 G5dvgu5LEe+8aT/qWAN5e7DrZbj0ImbWU4kNF1HZTxN5mt5vcI7X6kdpVZCUo1jGZqfmQVJ2vIei NBgwboSZzSua4DaOuaYx343PNJ7HB/SJQu8l8WTNb1mJ/h59W6FyDmXXkeFIHYkztwikZaz7lNGS GQvXXHeMQ/RhWpvCGOSLAkCmtbTkG90jX005H4Q75wsO5JPsy7GImFCM7qE/CIu9ZWKFWPsZ6U28 UABP8YF5qVbDNYJ2Od7n9k4aOuzmeNx5DChY5rg+oLVf2j5CtlfWTuEzLH7dCO7jP1JHewPCEXCI Dl6HQhNwRbkh6OHT6+YemgwgYHLSMTsF6KWgF0Pyv8XrA/bgbrdKiIghQaLDmfePjF/ckLzWPsOO 86TF43+bEtZkjgf/B1H5nS5i/nA1u75NEDLSetbhreeLOS6g+Iyuqt3F2bJHgzuz6hMVaFWjiSQR uuHqm1aeQrqBHQewYV1LRS+LXYQ1WmgymTyweoMxnwEdAuGo6kvfGFOn7Qu00BKXHsKAaflUOtlW hOvIL39DRBts3s9WGuPKZpzbtrl6MEmjOBtV/dY8sDZAkecilej5XJCdbJmTaauXm08s3jRKD/3u cPm13Gb0nXCShzCzohOQigRbQIqgEEC//gKUrHyWFmjmmQuLKzNElv8fBMylBSLo+cJqUeOfudgY Wi6K4N8zFt1qK4W0ae1TInRSUGopYpt4lChyGZFZvP7XuHkXa9j5ioFSK18s2iK2IH/gU0zwgcwq kmo3Kt6uMLRx/RT15GS1QSmAUpDChi/dUcf5v61EH/3fdC9VssGeYkeZHCMPda8AO8b1X0ubfbb0 WV/TAE3J5XHFAk7G+2gcgpsWb5hnokuKge34V7qQeoFLrt2gQLrlDY97mmOZiOJLJaxIBBRF77MS lG41cQbsGEMahId0khCOs/gZw/838gQtBnwdzMxT1ni1C1zyfs9pqQidFvqE1vtqhdlZG2QvR29V rXAeJJZAtxK4V1a4KjZC23gpl8dDlJCqCUc+23lRWF+UpjnbNxYQPdQwZGuAQXTv9aFSWV1WLJZt IRwDKLp2Lv2BRYIhe9ncHmQuD+H5K1Tfrp5wBEIgtkbyfws0scMHw6b9dpGGE/7ve/TV9CQNi5cg beGURHbl+lcpXg99QzKkVUuN8B7JlxEDmHRrrs0G/5wMc7O7z1knTqC5VM+7l+uQzG4CPOMOl1en XWgZ92GBxCcpz/+5g8YgjGxRfGqgXbkM0MXz6yoSdJm0oHc30hpJOLWTqnp4XFpXvn2QbyBzILZ2 TLm9mG01tDXOjwujaJxvmqN3CYMUWRTQtlTD9Ykn9ho9/z5v1w2T5sO0kSpQws5Zmt7xBTAP6XDi Ku/TihO4tyTz2wTlsGKIpyqaBZFUlmnvRnrqNFIPt1UyTisgIErSMhXSphaTjF4oxLaJNsr/DuLl fw74B5XQv7NwDdaZC2sHscX3UNilXU8HQUWCOv1PThuID4JEUantfJro6ms+pCxW9qTVNxjxZdeD jO8uFu4mo7B5EUBA0gCdvMRJdhY6DV0euZuxia3DkiZ050MR6sAko4wVKO9jM8wwfXQv6KaJyDwC maqD631AHFCGZ5EvhYTOoy2wRF8Tz2HJaVyGr2IJFC5fz6/5wDJgRPJKCbYTH+FTphDqUT/uXdOo lQkkhqfId0FCbp50KKklHna2Xr9eyPkJM08rfdvwqqbfTidcAshquMI1U8CfP7GWWerkpzfOjJjz lhrTnB+ZgnVwD5fXn1OL0z9lhY33nwp0t/ezLLv8LRS0pfvQBrB7dR/YEFm9KDGsSMcGja+TxgHs 5p858iRxKISwU6l5/F14aF9wBMxzTgITqC6vipm/aO0hIF1xMLB/6IIMgQV04jdrzO13Yv92Ad+p dsfp2NGGQCNigW4xH7lijkevTGcuiFfhp2dX7JzyqWS0iO4NMk/xhcbMbrM786ksFMOkpCVml0X8 yFILIuwmP8HHpArfypZy9lXacGmlELJ0zy51C/H4SxkxRslq3O1Q8EWnV3CKaZbiM8v3YGJEcUhJ cj/CMfucVvYH4HHFy8nGDq4M7THD4EgbFX3UA/2oz0qrIx5CRrRVi2uN2ns+FRI+2zpD57abFJfS S8qgZ8g8arqewgbMx9DUl0p9hhWaI5BhdSp6f80xENGSCksgEQpxuXMvdXEythoEWeXQ12BXgEjd Q/tLFb3tn9GzR5YN2rkI8Xq+c9IrPNgmQy1NQVvwctJPoZx1lyR2BrKpcMzcSfJATjpB8kFPCyax ftM4EvmJ48tj6/WnaZuvaoVWcGKqfIXuK5kvdb+5xl32Cxrg1aPhlmezV0+hY7KgO+P/XwKKLNg6 y7qZLMKn/yGoy32S+BC3ZN2cSioYR7KFTt6Os9MWNsBe8G4dhntTmG/+law8E6C2LCN+fw8sgyXt CM89JSuEhO97/3NoVTigv7R+GOkt8fcmdcTEkcOmtKn98VHfD2vTvhBAIS5YN7NHz3akND3uTNLy XrMU9KCp6y1TxDaDV3oRrwR3+MDC3UpDt08xsML+BIQxZy9Q1Mbep9Jvjrl/X0NINeT3shigplhE zYxC8Bil0u7q2xdLtbkhGar57scSWYdsCzJk8d17j8Usrl8RTe03UHpJLSNpYEwGmHNFbfvntnWv ZfkPiyUlIgdJnfbOyljwKwOIsikRpRTYe2/3n/g6iDcWouu/cynBKU0931k6rqVgpRxNZ1Doy8zl bGuyhXoF9CnlMVl00uZEyO9GUf28/ewUouE4n8GkIoiYre74zS1ey6abhwhQsGV8OV7vWd1aZVHu k351meckTxz8qku/O6b+5/d1GDntI5TmL8AtiMvs9WXjK3WbOia0C9gAbw3FdG950WdwDt93046M PxDpcTGuE0ydhaQcDatUxP5Dl1HAJawZQADb0ZQbxBxQnFb/Pj/I9lGSUSJsNxToV+IfU9McldyT KTMjatUQ0zNvFexHAl8l1EAnl3XnJM2ZpfA+xkk5rxcxdGtW37wCOjxWqxUAeFw+n/DafrwoHQD5 10vEDMmuyPk2tnCen7N6GUKnpjtDVtfp4lxtkTl/SA+AtWTdjBp9ey/oXYxZ+zNlalKKZXOmjBe1 ZTsnwdIsf41rU9BwLkcvB+XZEvyWyHfVzMnvDCHMDRBvkBKKeGSQXvr4EVMRj6ijdGnw22yijpfa 5oWZR38Bm7yX8w58IxOlz/De+txkgbaHPRy2kyASbN5i8guWpBr1SbL2j5SkgTJ+KU29ErKHG35K +CN588ySAxAovcb8pIwAqX83EACpUdlV5oUCZD2yxvBdy2aUuZD5QZdh3wfuouWtNel6G79oNcyh enBHTWHybHF6sL2tLcRcVakioagG7EXyIni59um3HrAqaEM4wKuUERtclnJ/ZG6htuAL5VylK4tS kN09vSk/4LZjxiUjv2gQqtF4KMciKs15JKzfDKPCRKvBJsKz2HWrgdt6GrR4/08UR9blYvaBT4mh M1A4obPzFGoRcdX3R7QVyLoQVs5DH5RX0+npQvCqjXZWlainz7n5HGqdcN5399vjM1gVlL1rojxs yB4hP4w1lXB7SJN3nM1xbNv7/ofaVPP11cR7KBdipr6bOnEyansDp0K1dToj0jM8/VXbI7XnoKmD qwaUQPebGSkjwIPuUuBmMqc+TcnVMHGi0ucKdkMqEEmgT2YRBAWmZzEaZn/jEgVGGyWrT7W63R7v MRmFCiU0YIG86CY+sqPamEj4YW4S22vuEupmYmGu3EXjyVmXcXW7+PGYvdfi54ATfIvPQJPA4jzH t2fEfk8vPgHSakisw40R0lqBhGugDkj7oTZZG+8CmGkwihGdE6TDlBEzFuD6rYW51jOv+Zg5qveR Rn4kVcJarqlxdiblxtB0kLHrvW42x01SWJbw8rAmrSmWn77LgaGXJrmKpRn/ZTFFhc4h8PJRANXr l+rk+6lJsf/zKvBmMYsnP3saQbU02QqMgJn5lsXPS2v3UbUdHdYbQ1B/Un4SyEcioWQcHWgqgwRv zZ79gUM5saah3L97Fma/JVNbYUOudsf9Th7TEIDJ7xZ1u3A0Cf5mB0aTCFX3eXjnYb7SoHZxUSC/ DyVaiawpmyFI80qXIR2FuAhwaAQKe2gTZK2fjlSjLimo5+0RqlBjFynW6P/1i77LuLTHGrqiFq4k H0+wbBlbs+H+B7dvD+h4YctMsx6jVnXAxMVtHbM5aukotiyIp59w9Ub53LieofAu6v8AnY4X1S26 FScUOHcchdkEiH+BuU+Pb4q/OUq1wi8lc1xIS74gimMnlwzKFcHIE/O+CshgtWeL/D7hOamZKlGJ CP2Ipd0Dp3EMwZ5Zst+caJ2I9wWjx/uYcn/3Hu5iF64Wrw/qJynNbJ5AtlrUo6KellUjTKnTmAMf Qu736YxXbkIjuTUar3qIkV9UocGQNBdn6Yy9VMqwuk2L6Oyw1AgXVyOPnXqXu+x1xKvAQYaiLfWX epZfiFKeIqo0rccez2Pe3X6zGTkfAsFiOpdrem1jUlOQjKWW8LEt5Ph/qFs31RkpS6asGUoQL/PO jB15QGPziI/ud6WVToSpYD056D7ucUu1JRbuWt0QlpguKOVNonJ+YBQ7QHEqPnmPJw00SHM28PNr YpZaD+q+7PfU2sKvLj2LSqFKP7xwVEtJLwkeYSaNArh/OssXLUxF627CiyJg0CrA1xswDkMxD7HD S9sCICPymSfSKqFoNGkqITJzSLvlNoYKtW8xEH4nIxxDfYBxtKZ83Rg9PMJrXk6cbbD8XsKOj5v7 TVCX1Olulm6t+L924tio69BWHgfQB2Ckq1wbuXyTwIEMSmPsjH9TrdgoWm9tPOm5LwwnRhu6e1D/ LsrA6vJeIxnmmKupD++NS+EhMzjHdfmrdiIKtFdjV6tc//KlBAs9tOIrVcGu22g/9SL3vhgsgejY pLb9ED4/tM4B3yd/1Hcc6J0hAIWpBCNkObTCURx4B4H7PrMti8vRhkpcFk9ZhFzslIFavGReXh0X TCZ1NPb5imbXuPPRHSRJtQsjTQ/v+trNi1qmh2YanbYm+ALkaSENagcVkLGC5+GWAcJZVNlj+Mjj 47GXl8PhjNgjGggNno/QTCDhm0kPyVKfCIV8NmIS4dMvEg5DHm8rzDJOJaXlpyYZNiOjMecroL9B KfC13ucTr1uQJ1dUNch/apdJ2saCZzmLdIiS/XLiQjkb9FWZbB94woM3ESt43GPibZ9zXVM5b2lo R4GqEnaEyXFLMcOjmLb4L6d8GkN/zxAe9v4TY/AXTT+RVODEZkw4i1c/eLi1gxgIWcBq7NPK+hOL 3f5zU+peIisCzctkNDcnY4yQkrTsXgm9yixu2hbnkDw/x+IEAn2FN4lCPGZoi0TuhPtWs/sCwu3O OtRyZ6MgALFeJnmzG79ld6tjntRZ0MZ55HP3W2kubae+QItlyECZdJt/XZPUgdqSo80QScJFQphU N4X9cNTKwVYuqEO2dGxUJ+TmRVBUVOdu6/FA9iHj60qsqA1Gsuu49yWiDxZ3hzEoFoIoeJAZEROF FeDEpnStHDgW71YFxVlwte/CCa30kEYaWFW23V0fnrusVlEQ1sPTc9t9bNYEZc7K+zdMyDw1PApj qN7rfEg2AopgXjb62gtqjCJNi/N8Gh8QPkjqiCCJdUF6wDLoAd+VkZDwgsiyhsfpYFRWb1HZ5AZx wQsM0MlaoaEWVeS/hotaIFI0ETTvtz7plJNPj9KMGq1YXc+pScaEXs03usJ/m8R4ub8FUhUqgNe0 IPh2yyswlsMHD/QfE+5Q2C6QUZI+zqg/WCXtHCaAOtKE8E7FCk1gMPZPwLaywfeKyMAQ7Qq9P7ry vKg3z2Df6qbharEJPJ+JpAmXHF2SLupnt41tyzl4W1DQ+mgXqvfCkPQ4jJJW3cCWFA2Y/575l/2h cNiO5+nvFjyCC3TgbjReS/rSaI9UA/4Pe+bBG1kKVciw9HuyOh2UV17n/p6NDquRNZb0KicLfqSm dcod7kKUVEKfz5WIfCm/QfOz8NT4sVBT94kUmeKugl/6fjYEX4NqtdHDVITrQUvLTBZNsMrS2QbS 9Tne4SUS8Rtq7OELOs2h5nrRlx/lNAjI/IvX9TlAyU+MDEoGZ9GbFplDMExftMe0jvGJfKf5zLuL KaV2RjauqyRnUiVcI4OY78jjf8LQUAG+U7N4MI1PiCtTMfy8OmB9A+pXb+rlwaJM7N6eBRx3gEbq dz9E/BrZLo6JLrqPVcfhfyOVF5R9yEJqvLjl7UAVwyOia23gsSaFoilTM3gOj7Kwu+MBKvfmmIKc PT48/97sGvlUPTfKaDwXbEOlL28o2gk7XtxEajgoZ8avOK4gwpmQlc00whhxxMv62XFHC+KxvkRS UKer81p+mt2SuQZa4Lh4uoWWy62MW/rKmf4jvmUv/JKaE6eOJx0CM5KYPoHGXU6DscKA+mLnnjLq fyxo5gOAj1KpAO5bbMpWfjCd2/LiMlPOCknJ4lHnYwPeGqfmgKsy8LagAZlX4xLBO+/jgiNy7XE2 zHh00f1CX5beEHtPeyEtFx9seiUqsR+MFoZzNgakVST+XqJVfyOCFzcxvzANzGy/PF5UBwOkRUXJ IKkCkUgjrvY/u8ZuZKJRt6x/Z4AMV1hkQUplbWLtRa39EdNcjZVuTelPi7r7i5H8gxAf7fKmcQyT r5IDTjO52mFX68GFuA+3MAW3HvObco0iGMzRl58glfQw4kESkbkNcqKlNKJ/zFA5bsDdYQnzc0FX Z+2dP4wAbCuNr9tRQB5NYtaJxeCOHnZxakVi6prcvSW7nixm0/CWqghq1mZkXY43oI8TVpfJ8Y2U 3eVdHSXnQbJSBpciokVB9xqiS0CIM0ilgH1J2SH0jDHO62aSsAHEktCGV24MpxOYuJQn+8YpP+az w9E7G23kLF8x8S1IfxUp22J/d6PWdzvNAG5rwjOcYeaLCIM0H2Jgla8/1Jn+JGo1lDSH4W+kNxmc OIb5RllLrwxqmNORbLnObuUEz+tjgsDZHHs1yv496l8Sfs0yZ7KDAnXygfOT9V6V/lqqYgKZ+8ux vX9rEgxe+yL+7jlffDgxezzEWteJfTPPTmmNkrIRd8NmqrOOWk6OpCycEzWV7y9V1vwOomHBkxlZ T3mjXPyXxSEa9dnRpatKbc3Jp/88zmrW7cTSWoaK20hi658FjqCcp3woaei790pMV6OFkZ3DfIwA aUR/zLTPup2pwvde31R8RgKGCDz+V2fQmaeExwe9EjiMNsoxbW2RxOfMDNIdIXbXP06z4/dNgcE3 SmbC0g4n8K5vIuAbZADWpaRYOzj0DD4Oa8j0vmqTlko+5kW7xY4Wd8pdhCwMXVQlDqPtU5aqWbWj PCJci/c4debNjiDTOFRn6GtQFy44dqW/UGRf3GHQBIc6Ap0AQ/z0zcbCYSCgY8qO/osoGEUCoXhM YoV6fwB3qVE+MBVVPnyUBYOwHcrSXeOsK97/kr+1m+Dtw8KSQb3IvSh3WCFcHvAwQmBFlCE8rWFQ CSp4deC9BpmQRFW5/wA2CyilML97YDHPJfLDwJbrd7U4BFn5WPtDXz+ZhEFa0q5IpG/GRDC4a8hD H5dc2aN31XCOqyTRrCkp6InaLXnkjKpWJCgcdlppkaYNgaRq5ohaTCnB4Vbufo2J56p5jZSoago2 hOcRYTeAz7bfpgFyOUEXy5zR2ss9UsuXU8X6BQf76KWvDhMECO9XcHS4bO1jYtM0u+xWBXdVA2jH jwisztxXzp547y+ErxqpsF3cgwX0Vl8yxJ/hAgEfA9XC6hA79KXHDdc5g2iRMbrhkZOFNUVHU3JZ JYPRzu9VLmQkN7loLZ83MQ4097XcLZlxernw8SdPLzX1fbqByskzqbPEAkvHQRGlK1xBaSsvAVWD 8WtLb7Wvk0HkKzaBAdpM09fV6pO3dVk3dlsjA5Yomr6bTPWF+Q5iau4RZje5c9dAqr/xf6JSQbWI 3GLAdIr4phs6kK+99051UMEX7jcncQCV4H2SZQKJyZpRUPtGGFlpq/0RQQLj2ytMqXpoDAsMZvLi n7yJIMO+dYg4mKfB/UahUlRhtFOlftYro4gBZc3kJ4wV+gkRicdsiUWiIxk0ZzRNe+FrwKu1EbLv /ZLjZ1kN6l0Ia18SbKJ4RjuqXYCJsYi44fWcUyfNU6yaXWo666ec6cn4w24aWUJG6xIpiZoRk9la ah3Sql/Joph6uJ+R3/nz5GC3cU2hTzuYX0FMoFa7HI5pXc7Bnz06tSEpkF2P/MVTtGmABSGvDClH fSpuX/Z4ssrIAWhX8y3I7dJRGyXxHB5YCJnC7T0OjvUSRCWlcavoso5wwzcBlRE7Bas5pXw3we29 QxwCDW+5hdC3vqcWpxP/+q7o2FJ5ZFQo4lDoZI4AQdICyk18j/NLX0RAJTjqpAh/fB8AB+6gTqF0 poH+g2lpuE01BJw6iC0U91SxfUDNCVne57IiBMxlV40JjhhlrYfFfbvQ8ak/UkAld9RIXPfOllBB v6drKptEO/pMwwpE9hnfI72JYiOUOJn7hFqLkiHtcV6z4QmQ28wur3ZDfmFumY2q+jadHWuKeyZ0 v4E7lkqtAJyyBOqNCEFREbWwbbetw/GVyxZxgOLMgN3AWR1RgJa8wxxUD84P+l7QMn4dLd9xqM1u TU3a/6Z6d041yzUvzAtcDSfi5T+gxjAO90YP5lPgtaK4zRlWvJ5B2hAJ2fmKNuQS4wKffjyNtMyt 8rHnOu5oMkVCCYZHWlJNAlRvRKB5EvFDCcVFzfu81Zb+XTfVuKEa1rnpHBwzLzM3X1DmTdeyQ00H /cpwfEPz7isnyuEU+5wK2CefKLa9jf44oVeNtILKJGfD319j8YfHBAwCekJl+2ARzkLur7V14A52 lFTj0JENSBG/j9oWreYCnyjvvBWGWC75R7jJhfDlfwZYyXYXA/+trsDS/1WVUSvlSvvEDVau7GL7 cdeNv79uCKzrRU8T2cG0Ts2msIFhUmW0GpIgcAUNifmdgtw4hObRspHj1sNc7aOcJF3l8qTxtC+7 3ypX9N7ZPuihGCuqfw93z5QTQqkmLEm/mr0jxj3RKtVg3xSoImFnwSE1WIt6M/1dPJnWd1ZoKuWp 3c9BB6TU5xjD7BOFTeVJzBeevYWCDbFSL75L5G2NCXN10EqsHdAr6IV2r+ejvh0pKLBK7Cso9guj w8dtATMF565OTaAVB9R8RkAsQvOYys3Yh8h/6sc9ut24ghsKMtILGBIN2DtAtBAvjQogGTu5sGzk t/8YEUjmTvHVQr2cqMo78zUurFGaQp3vQPhE6vkBbL0T7nW/635oNgRkRyAU4POdzgxn6oh4aQQJ xXofu9Xb+jB8DYqaMegTfNiXZ26n9240yqu8WQJEAQhKqI96PqU4hM9NgBmXz7O7pdQyXr9/SwkF Uo4z7Zkz64pVBtLC0f2dJJLaKczJKHmyi9tkM4hCDMz0Glt6mrxvtPrWJZFO15L5bQetupiZvvKd y38rqCyJ+glfiQaZCAbYItV+PaHaOwJ19l51bxBjTGutjKzfMRuIciidDp/zxqTNZSzuQ2CuATeU FboUXUueP7ciGOSuBBc2tRsReP7hJjXpbsahlxJO5fkPz8m/xjLCsgRev2Mjuf02S0DaoA4nWD5E 3O4LzkjU1ImSNIW9o//qzJ8fn1RarkLnXng7PpCBK2HR1lCKekGIs58WAh2IJ1R47rmaQOOMhFix Tg7pQ1+JfNMUblYDuqWSeki11xvIrNvHAdwIk/QXP1taDlSGScfr/oI+TIDvoX9nk0AgXo7O4PQn 4mjRb24ks5UCSakGI6Q4qsi+9pi8u5GtOCdLemYTiRlTQcc/q5IwqV0+HBo5TBwUt9aKKEjl0KlF clJcdNiPmPHoc+nRdMJD17CLL991tmC5ghBR1+eQQ4OFgM3EtVmFizH/KcGOayR6AKB2G9m+JrVM gMYQefYcGJK4r+3HUiQcJvl3sslAldrqjB3RbnENk2anJpsw3wTx0+JbOMzIxv1MaVESD4WC6NdL ba2KqbFsECHvgoG2EOtKPsJPSZxW0P5w10P/br349/O/7NFIfK2aorYyUyX/YP57HIxqavPdFjhw bUe2xw9uxZFTksDmFZLNSLDo41V/vFt9nCU83/VlZ81P8jQFpqt0wJ8JwZdZ963WrUo3awauIvHE qLPN4rd2V9SH20q399BsT/V2rVqUJfIld1cFafzmqzG1W7nLJLEgKH24coCWQ56GvShnGLKBTOyl 6b4BG7koL1f/34xjQaSIOLj1qLwAIN0/wpLt0dKlewOOL1esMAQ4F7EJTA+u+xQiOM3VVUnuAISF zvuZon+bwk/s8X2EUnzFN7Pu/WVk6OGlo4MA0bFm2ZTamNspjztTYDwdf05UzNKi8f6GGVpYxaMB aX/tsuQLAOwPTHmNcepkdcOxrrb3HHOZ2brqScy/sM6Q1OKvJX22HZBidqqrTwLb5Eq1uHWr/vrX cqBDJ2WzKgqCe3qpWTX9AfpKwcagPTCeieW0ozfzNcVzTIt516ySWo8h46X2FEQNqEraoi2KSkkz U2q6XN1VIjYvqhH/wvT7NYw1JVn3oBTutjxVlyRkDTTKNy8uqdHDVALcMeYDQXz/2/aUnIlS40HU Am4dbWJBQ5iTfCdC3haqvuMcwcTQ/vn/3/oG/4dO4cAzkGt5WUpOd6nxB0ABhQVUMO/ij9QJk6JS WsdHEp4nk70fPUxjgC8BRdsuutmZH5PTVH2oltk4HLQvSujxx61T+uQ+a8EkIxeqIR6cZaRLPwZ2 l/jH/vxHsCJtTJmDvJUBoLB3YYDH1bpPt+F3lqMj1dtyHJOPkYZZGPSPnVl3rwjZMdMkUv9aRg/j vOUiEg0G4atuDA7fXU6lfKm12N62RcID8KQ/oE3E0NCNu/VCktdIoxG5b/Z6x0Yg4DRmwFv0Apaz Fbez5oEEbTlqx1FwGDk0hm1IGDaFXmzz43BuwcjRXQD8V71uhrnvTzWUPeB0LkVd4jRDt5IdghCc XLBZOusO1enFHi/f5k+9H/2RKukMclkNsnmwQV8fRSkU92f6ZAg/rURDZsqoo7lZq8ypywQfYKeg buL4g/e+oOgo7WLJz2256audyHFZsH6bngT4XCbfGwX1IIngmPaPHRf5bNyWxmcoR8m9+W/oLqt1 gqXjU/Vf8K+o+oKoDkGHGWZqXYcHW0LeY6XUCHf0aNJvrvv8yjmH4JlyHHGZ/sAP/IMyOvYY/X+S XEXWzDUzPK86E96aqI8wPjW5dyZDqyt+ihsgV/uMYM4mkJtLslVRlsihpFJv1hhlLf40gLi3p9zA f3S1H63Axdd6WHxMQGU3k/dIE9ElsVk6bAI8rqgZvcBE2V4rb6KDBklCJKc3qTsfj9BIimc/Wyeo K9Y6Ow8pzJi6B6jRCJjMSyZo6ndBNPmruVD5ndzCmBOxYUDqRNFJl0bH4hpHr5lxh99evd5OsSDW zoz8JQrA7ayvOfExJcogwz81QoVxVMXUAGDZugPRsxFxbsOOwIF0SSKJ1zr4YLvXUZHn/NT8b8KW i5S/klHbaFfhiFevC/RYJP/3sSjoYJoYiXjD9LioN2To8OFM0uM8pTwrq0HUc5/eZx3xEXJKF5L5 xzWFalMACsoU6gO4GnsU2ujym8xopM7LtpyQOD/E+Op+MnPYNoYHsPxNeJGq8x4oXNcgo3/XmJW+ fNkQ5obFMZF/wR92F4SqnLIwUkN0+nfKxBeRfPEw6RwVXTrHoyDJBHomnufbpn+OGgGbKTD3wnee yKgxFbwwWunwXI5SOvWF1ZHMOV1MMvR2+MKW4DjLmlqGomfDd2cyQDyb5bbN3dtc6LL9+Jh4xmfi twkS2TGg0VLCz/WD8c3TAxqBrs8gEwlcj26yQSawfOX9FsLosk883O77Q4ZdrTAXGHuP7Wa13z29 S1w7d1me+EahWtRxXDjfkxht8Q6oUdNHlHoHRsNlOuuefu/IaYfiwGLkFIH8nvq1F9ocKsobdMbU pENm+yc+owfZ778WQWPfiL2VWHtCybvBOJVXbJP+Hvg4jZsgoodUAR2QdxsYadYQdxxsH0JZh893 767giG4zDknuBI9WhmvdmgD4Swzo74SC65Qy86DFPX9nSKWObk50wjBjJZh1WacMMA/uOCMBgidy vHDlUz3XtWV1SLJAVJU0ChSI/fsYon2m0d5/5nGJCir+09jDX9wX52aE66f4615YcLDcisVOLu5M N1Gc7xf6hGKsanXDSDYlPQqYPWzePNkEgkwcLhPlN9nt3Xn+x2leSlSHuEqo2w4iTApoYeXf+u1a sKOLcMtmDfJeW+dm0+jEfMwUvCFLbZevYOxvir1mS5pwF73ubQCUKmN7R5MCoaZazS1D+Vqp6y4P lBZmatX/kRw6nx0bBHzGugeiZ4Uu2tP2QJ7dtGILBPaaG/GTkQe9x/X6GVYlzWaNOCeQfvVvUcND rXtYEgQ3NK/KKLh6F0VH5nBIBe1g9pjYUXmew5XoZ53heAqht75k935DZq32bOTtQ3A04O/zijAB OfYS2w7tdFGHyC1MExODWwjOBWXgK4CLqRF3Z+GRQ7tDujaGAWp2lhoK2xnLIDRdgOmyzTfQIGK5 iyyj4TZJ6WXOk98sHfXWdAe/g9vWS5XVvFdq2X/+5n1mOLBn3k1hFOcrY+z/617oi/irjBpGdSsX lj9hyKF9iaxrIR5WfSBqaLK/sDOWylrC7tSwscfFP8W+TszZQzpTixAaKMMPptlkdCouvDS4luE7 mPdxiRsef4m6ORnxKSPRZozmetwvTi9xTzWHugeBjuX3yEean+oFDjAyI2VRpJWJ1CpNJiPQ2E8N /eKuwKvFum6o4ESfko8vSgpOo0IZ89QhatueIgQ7HUMoxgII40tWph1EdgRmpQMzj8mNXX35NwTk VCKRLRQE1d9WyAN6UHGRGYnKvcoV9PsQlJ/I8n0hb4dwWUqYgtygYQ657WJ+5PxhHdurCeZb77n+ AZmPNI25qL2nCvTjq8NW4cKgCIQexr+YA9U1r0QV3ME4dOeSTqtr41DH8dv3gOXMp388rylYYtuc 4eLzGLhUr/8DtYOI2XKTt1QuyZJmvQyOuGuX9KUkIT3Q7/I56bBGpaqLYv7kLv07rP+i1Jo4v28C VJq7KhLY3ksTRig2gkiTCI/q4dWpI2Mlb7D32bbsX2PeK2CZOoujWx3P41TgBMrBu7IGiIXLZL8r QKn8GtN6UGjUBp+2HAkRLt39r/rYnH+M2FNXST7LT3mHvjFE0HZy3HuxIfVR7sMK4830i9tKPn6P gIFPGHCeGyDv7GdbfutgzOhf+FR1UEAJPahQ7KKu5I/OxsW10Ub+t7FYIjBgYKlm5498H/FxGM3M IR/y6M32K/KcXRgbyYPIrmvts6EHqOICX0sTA9sMoAUc7O8WvgyXJYv7u7MryOgo6u+O/fPoM6Wq CgkR5RxYsnkGkFc6I/tkQ/aN/88UKGwuAZYrqciUTLXKFvpjj05N1mYvVGYrQWuYYw6ysKGWSDvb WzL3ObliETJEAFhSotLl2rEQMSjutcuE73HdhSYYhTaeVOk0mANmIX9JjbgYF42O6iN32PxF7Tyj S5YlOgUx5IvCrcLRhsFDszt5jQcNaKYDM1eFQr8qrrWVsTkIy3X08+1/mTiHfO/NH9N8d88+LrvI hrfgyHAWrV0t7yu4It0REXgjmXHPIGrxTUtsHp3ByVUCcT2Ld7ZJTA2VzXe2Bk7G/0p3YI5n84Kz PSV1suJceqN5xNp4889hQEBTTezElGouPN7EmUPy4P5+TBaaDIcvPd+Yb9PWSPlPD9rIo9i3DCAP VN3MVpoE0T+Kn/ZpXYNEN2kWDlwb+NtcSSKWvuMCKj1/p+Rcrj/ZkCERwgtm/uRJrUsS4gPdtvU7 b5HqM5VQY1ok1R9q4t4YY2tFpOz7MSa/BvjB4i/PF9VcaCnuEIwO/ho+YqRbE5mWu6KVkJ5TZEnu Jn55kADKXK91sNq5heFwMnfqMhEXaXzcW/YYUEJ1uAsMBlSeuLycViLaDiWQ2FUU8Ei2Q5QT5mGr hB80xQZ8VGhrF7I+EYjLhHq3DYAxSUD/ELF/UPEJBERBHhnI3rx1jaDLtbhs2xR/Mw98erTyjPQy EsQG9r4IWrcWO/Sc1wAbzo50c8uHiYitci3W/RgUMhhwrvHTDL5VniCxZYOuHK05Z/C/DehQ4liO 4B7S8QVGYQ5IB0dAlOnA51sLZGSUE0fRohoVc5kiwIqfxWKZ1RiFj0Q5JEGFzU5NXJFZsClLCMGr XiU5oQQymCK6cp+jKOmwLp7KgSf7yQbDeLDAN8oLWbl+2s/n/c7OI9PmgMduFSTxXoGLhpCb8Wp1 FS/hE2d3J7sUyHZroSwfX/RvPK3CtLy6qDH9q5X1dgV+ofUuiRpu3bVbywRm/DtOVKCnq5WYxQpF RKPPWBibMNjrspHuMKcW8VUwaWta1kC6XqGni9cwAza7eGuCaAerqPQHwp6wK2FWFQtXZJeYK7Wc 4uCP7p6p9xUFb6S4GJ3t+Z78rddOQIeRMlAMK/4gkKPAjU4PdSC8exis55sO9PSb9G0340L734gc P+WbHS7dw/ubmmrHzg9jXWGEjX3rgrbiD0p4IXII/347rNvlQunThbzvUuxrXol2GzjkkFRR5x8I vJX4cljUHdlmzn8eqMfPMLmjg+chhYKOFnTQ2DQlnPInBOS7asTv4YvEBe4LeFlTH2ZKcPnHiBMO KzagW+QMb/ptdRIs1uZ5hwVw2Yj+e5D2GXAiEPHShSKR1CV0EmFtiCE+S5l39e3qPbNNXtx43vHo 19KgDhgbElV5Xab/11gLUhmXo/GuFcMbznYYcL1lSW3uFguUs8JvpEY9pRz6P4h3zEY6lfbTwxvR fyMp8HwvgKDRPcfE3C8qVxEgCh1/4aq9ZI6XQoGnv00NdLugpUc/YBE/hMPKn7LH6y3UVEuZohTD L2KmKuA0/IOgp25W+g5kAhjmJkJJg6FGreTKl7io4iVAoONd33wiZIVlE1pIyqbxkUoVrM7VjMwz bWBS/uBoO5g7CGosWsZ18OYTE0pP4RLLNG6ptwCOjUttskFQurgvAIipAxP+SrcrBskjUJIb+vtR k0X61lPVmfMc/9kzaHjNXoodYjYWCAOe1y+idWRWZ+Vrrol3d0o2tAGLeBwSa0GvniTKfWmlx3M7 naEb64OZW/utjThG1HwpL0IfTm9tiMpzXXfPiVQFU61Tl7SpQqii2CiOa3uR0ZRKOd5tJkNAQtFP NRSoC2ZP9nWq+JiH2YZ1QS5OP+Wy8+JwYr6CqFUaKDDdWYB/WqZaBoKTPxJQhZ430rRV1YG64cZr ozkO6ry1QfJx9gAlLDzfcBPqfXXmNefnq2sjwPTat9y66TfmM65qITSh9ckbPwyApB2W27GvHiBy alqRXS0jR5DeWCLN16TdKQb4i3a3CKXfg8Umw5a/G4oQhRIDigRM1RCs6k3S4riu1roFjEmtvRyB oQmTDIzzihonA68EG3If/S9xzWeCVv9nzYsRLWyZZz3zJEVIYaZdN1JkeiNvXMJAvKVpxjREG/T5 iaV38oHdjzR9rmsObXvd5dsEQ1B2qzwOuH3gSgm1qLD9l24T+2fUiH4LKRwEovrVDuXCgylhEX32 duVBVoKu71KiX8/If0WywyqwHxeaY0o6uyFF4H6dkBy9ruUiQLCV0+UrgXUdrrfk8t1gq9crOqMj kknpuelRJK3isHdewtWr/qreadElnFgGt+P8+28laqrCwG6KCjWqz1vnQGUoxTPU6Jb8qV5aXVia tB7+P4LS2JW1B1MjgvlNqhXuOhL9l6mI/0T0EpdWKJgZ0Up5bllzZ1ADYIzuKbpTLX4tX8Bd3oqj m6aA0P9RnzOF7E7FZpvzNkckOAOG+Eo/yf8crDw2EJcSwpkRZ4Vx1uoxN+X24qS/BGHPgBOl7dG0 iqQJClSEr86OTZUR2nz2KMvSNOH/lfVnK08CXe9LPMmt7PbqanRfMKcDUttdmaKRWLwtD6SlC75E hK8p/cppr4PVNxVROSa94MIv6hPmX3HSvkswEFNvAHGthQ9sigGqmeXXwN2ltcxTU9iKi6hDi4OO uzzKAMWQW8XxiHyar1sDOu4RQ5XvjeT4wUH2Vm/Wh7nGbw4vIMbkchDo/hehS+OYJuaIBRU5Cpx9 J0ftWRISx0KVevrkzk73BsXTmIlcEnzoYy2lbPHt4Ca74yvwefgH4Ad4WgaBobaiBIvgIDML+dg9 uLWCMvtmEuyeq8WyC5sYlWc4ncWn39nlkjmArE52B2rZiAGK77eBb6L6fAevWEpaGKuHiV1wiW4g wdPiAVGIO6ttM0OFsYzj7IfaMPyfhJSay9grh8bCl56CAx8ef4uNcxHPBs1MbK+93EoZbI7kYKnK qlwo4ct+lz32hTo3Cq4d4EYBuq7yn+tgsN/8a05UXjrwjrInZcOHO3ggjvbm1jV8fQYEn3Vifvue 7RJA0A/nhsc+5tajgocQXxd3ASWeWqnn7VE+UZfQMDDzbJtqG61+8Du7W8OuYefKg8plurlpWQFd 96KnL+hLRG1Mlg6uJ7t4DUMaH/I83PBJj7DyLl19ipCjj2fOv/eGCTzreq6i2HKpp6hT+HACQCcS lXWGctKZIGQqkaNs0Dael8DkiHnWhQR/4wxftLeRKYuTr17bCBKW2SO+nVAmbxaSXlfRNDKKLX5H 7s0Be4LMfuuF5yPkN4tOAvq5EElb5gLtBoC8YbzaPqsBHaFWQ2H/q5c50ve069g/3dZLv5znS32V lC2w2mLpxQ12V8Laeb91+Ywa68ZU0kZHiwDIJdV+ipUeRfXXccwVYCGnLtG828JATADBNOzWJKea HYCTU8JVxOuexgFDMteH2kaptqSnJaQ9/Oz9dMS/BEUZ/V5ifliH8Xb3e1Jh2MDvlCkmTkRXXNFd KxpfelQap/Wrdajh/cbvKDdjSZfiiPZGE5KEe1B1gg8CBtfk0zBVicMtoFaHP7fYOdf8SFNbe6mR hGq+5rGaN1sdwnJWFqhY8cfT/eP+37vmAN8MaTpuH08EfRJAuZPcV3B5XzC/OMTULzUeobvxBu5S nj/smJ1/ULisZFmuJbvB/wyaS4THz4dsGtTl7PxPD9FpooLH/Dtx8OUWYmF6k6O1QyeyU539lLrL KXZ6DZvIZN7FPfYArCU6Mf3EffvMVkqUo5LmY6ij/YRK06NWgtuJEEeaBWbjWsjNxT7ZA2HsrOK9 zwFeh1PunhgopQ0i3vU7aBamVUZcK0iPWE+3UQRB+cQjtAnXbc8+obrHmZLYdyFeDDkuJKAr6szT 7EzlA//1X0pLx09GpO+ev6/t/VjkL9DIxV4tY2Rxjr+MGOfxKEnyR4bvUeBChe5Ph/TCqa9RJV2V VauI0VRSeXBpfO96KkC3FDaJPoTNeQIISVFlfcKm4O90sawfgSwpZqGslJQ5zytl8abWtdn9QgBO VCW5Cpsu2uDsBcbfwfXWzA2eBTfG8xj/rzMuSL0eyaRxaX/uiAs/fkqQDTzBKAH2MOeJGRT9eWLs ryqi9IvqsaXT3HuZquHmyAXPeBCRajOUEPspvcfqghqY06jahF0yjk/Ft1vplyFEas3VznMIpCxt wJIqBvrcH359D/gwdlq9g17wN53GZxZOXBNKusP9pxkpUvFcaz9QssNQVJy8gGDjQ7xa+QIBB+RO Asvm+uMf5K92nD/XXdxCi5I5C7Ws2JaNM6T/suTnZrCaj+JkueKNnzJl1CJSa8WBt6QvGSvT3SWK oc2KNjeUPyYcHRlvnXR3b1Cx/m2mEgqrQqwXqtQCa9nhF3Al3vUbC6Bu3HPUCV7MvflW5rXfO6iX SneIxc1zEPEZVtv+PkJajE0dfCFF0NoWcG6U9wLowVXVvRb+ermjNjpqVcb8mrmI3sWZfrl4NrsN ebuVUhlIu2p7Xz59KYtqtEOz8fThgjhAM1Mb/3m0iGI3T/H3SnC3sEr1GV1xQAFRYqHUWc2VGGM/ RafPioIQVSOmRmZCKoJ+Xa3xsqdmu9Wl/eSte4DjSokLqO+mUk9J/d5veFSeK4pnqLYb7GmgGPM/ QB+SCBZoMlaLi+vSpPnxTtrA0CvYvhd6a4pe+lJJ8IUEcwB/H5H4hLAVyh+JWMxcptlZGEYD93Br 29/lSTesBzbHQicS5zhAVprrD/t53Mwd5AmCFFcfaLthX0xDn+nt5bi/EIK6DVB5QTygoqfNSAEb sy5v7BGVgDAjpve/3xswI+2K85zEAZalD5YEb+eTldV2NOMzBh+ezolmCTwONk1Mh9F5kBB1sq+s NHXE5I1Y7as/UQFA6n+WasKTZKexi4ByFwYggnysIAOZfxAs0vBEoziW7m1VygZX2fmXWTChQio0 sdwIkz4OKyodtxhgGwjHCmYJWPYqAqDaVdHuXY0WACEYQRmZ3egjEzOl3B8onW2SKBh6TgtJVSz2 OguhYvvviZQh/utoIA95gCV3lWa6FHwupzy/Ll9IVkKgcTBHrmYYtdwqJigpZVFCEACQb3JeaHgh +XzVeJ94z4OjqvQwk9aSUM+vdSQdpmOJ3EGNLmNf+5YrwA/IQsZGyC2rJRt2Ig3/Je2wq1qMhBAZ QUC/MboOYlgr9i79ww/lUL4kmITum+4yQNB+Y25ijSyTVS7BCXMAvUozLSuUsuYyiPAbR30lopWp 9VSnOT3fjALxejdpUxEVQJDAZC1V6ReU5bguCZbK2lyZelDqaCZ/xPws7syyNC930KzJ62fwMd91 yeEdcopG9k0ljhDyoHuXM1P7NRebNl2ArdMwML9gqZFA2CeKWfQOBXNjJ6gpfviIrJosg/Hs5291 etvBfTffM7xc1rKEoLBS8S/05lLSUfIabzz9iNsljF/SrfkI4GY2t2vjlFNmSuDEdO2BI+StVb/D CIdExsp6Acbyzo30WXCLH+hvLINFJnIeGFdUtCazNTaF9vb6ZlISRZuZCg3KuPBodYvJwlN4ZrTl Qdku16p9qWUKn53Ddk92CjMZ/G7GwJRBmRKbILn4DBEORSW7twxJVWamAL4efYvgNiBfzFSJyXQI upS3jpFGAaRf6EOc09a+jeJkE1WuxY8hjYriy8HY40vLHdQVFqPuNQTOSU3ICLFz3k0W/lfs13OE NNF//BGo8IrmPr1rrEH5R6XxZ2PATkKdaZ8iK/w2FU9spy6QSJPqI91SQ1v5T97V3d1obsstJUY7 HSw86WvK3z0ahsrZdmWCC6eNK6SMRsu7K++aJYqJ4PA5o3AkCtXdbS29XiFP3vtA4S4ZP8Yr9XCy 3i9GdeB8rDZbLXzmK28mU3BgCQk/mt2eKopk4DjhC7RotPRHCQJmmUAT6QT78f4L8Qqat0SQnKTm DRCVSvTZNBnIkuSgw0+8uPuvOI361FveDsG04cSGNKta9mkjOjMPMCuRquaUNeKNk3ZzgzXIthW5 UiCxRcKDlgEwfU7Dn8rOZi9gbWDHmYx52r1L+mEJ0zP6aXn5PC9yWdxzyLCnG4a59R2KpGQZjKWn 2lZu5yEtVkR6wzO/uksaSiL7iiR1k1rVqEEOp5xcn3Nnph9VivwKf6HkvcpOnJVugHGPcEDNkyP9 GVdlbzlD4oWW87/sYo6rCU2+76zunQVU51EJrkygnt71FLzrk5kvxUMTv/6ossD9FPK0FboFtDRa 1ze2DdgDQT4z6LoReC4ixi+dwRp4ihDkjx9aPexX+7TQpbRbEl2R9TZWSBaTtwfV+kdzklxQ4wtc 44Y51w+l8Arpjvatqhiu74MWeoUGerZQE0V0jy7TdpZ6skNbfIpG7DJ+aFI0CM2jXp9Q87VWk3br INCzNupOCB1gl5/if2T80GaZSweoAAwufh3zAJkl5TP4uFZVcjVomyE4uKVzxmdX82nlEOt8RlVh h4GebbuzFXGFULlauie1C9bVGmXUBO6u/zN6QP+b/CXtRFWFZUppcSNsueVCc7sIAYLSBfQu3gWk MkCEUz7LoCDS9F/h8y9pASGDuTqe06XuQW0MCPFiFagslV689VYJ4rALYAvkYeUeRqnlgf2L18Kj mWVmRdALCkvw+TR6sCr0oxCX845ISfKschH8Sh2LMvIvXRXRUl60ouQWkzn6v+9WbfusfwbS9CyU WcjaEDjQ2UlSctiohTgpbt7UytZZrKxHxBSWsGkM6kD1o9gVQeDcGOaKUcOfRfI0OWVVl5M7KEYl C1ShX6d0TsUhA+4ff97SwqrF8PgrZ1v4LwAGDXCzGI72WyUvISjY2LwySucTPpxZh91WYUMOwuh+ KtQH4RLdIdi/ISdwjdIPb+Gfnzwq9ODRGY89kIsosxZEDRigsBDBIz+cy8w0KYQkzLKQJqsF/mR/ AFmmGhm/sypuvZih6ifv8tOR9XM1V+1VEkuKflbg/C64Ddu7Iv9oBKHXrLTJnwejosrc8RH2H07G 0vyALhMnH4pa2B6KYb9169dYF6wmRxjYSQIgBaqZc249StIA5Vl33jUGRDaOH9+zgolbzLqDr/zr LOVsEVMRgEw2ypWQcKIKP3gaYRrHTgl6P1YHXil/2qwzIQyTOJx+bm0b5yCKyBUlxC2ytF9A1wvA klin79Pirgdot3atw80DUJeBQEaUPTof5CuywgnmN9e7h39P4vHbdiQ0G01THKf/xGgRFS78jT7Q CWexkW0cNV298idHYIjbDGIXt6w7ECmDs4pNTivbyNCgJV17KjyxtidwRj/fSuIMUkBM6LZi/9r3 YToGSyxRbWKb4kgSXYeCwaplzA75lT67IhHtiQT1aCa86fW07rA7h1eNlWy+67E3+DHD4aWu2bVv iZtsfaCB84hZpNY1ZAZUlKZ+/Zru5AssJG6wk8/cmFQCLJlmNB6iH+IsL34YB8uo09xTc2w2mhI6 d3OQPtOkhomgxELQdI0wGNjoIUdaYXAzBKlMYOdtZOmSY5BRZLpPj+oZrQ8t5SwHtzZoDkUtMr81 /NOc0SWf5J4DCRWnw4Uf4H1qXQcjc02pm6ZNWWV1nB7Iz1pL89B6MowHpfvTPJ/YDmlbNFf5V8X2 f4bmK99Y44+95F9gyAOs4Y+idlgGEYdE6mZoqWbi15SSiC38/XXuzx0PHoTamxeeMrrNeTtpl5X/ /3XlnRLlW1H7cUhbYnS6aG/SatwGt7EdI/e82DJwDK3X54pHW+oSQ0onsVYMTnZNT5eXAm2EEhD+ ZJoyEbb/NUhSbumPXSmfI0x1FMwVvGK7FGP4eN2q0FX/8BlZMC+v/bJ7BUPKZ6U9/MUmrL4QrGdX 7kntAyvq+ZVYRTRidf3wK9D++EwFJAJKrvnXfKsDZQwqTRLbhp3Ms5abj6yyJtHct9NJ8hSnNKpy zQQCmA732xmfK5I9y87WJb/epqWqEj60Y6SHAIK7R1IMTp5kYFnJ78ECya/XJVtd2svRCupDg2Ak zX0+HrePxUENuVXsw9h1GKRh6zydtdfKAC7aQMymu4aJmVZWdPQduTvKyejm16UsLhsOERD/EaXk 0jFnJ56ND4fxm7/WTv8TDHqmYCfEHjPNVATINsioAusT0bFFxQDH5eSE2CCS8sFt0qhYoguhSCQm PJibuFdH8vNBOdfLRrzpBOS17mOBy6wAcrjt3wCr0MrZ+3ATJN+m0egh1ZUUHoZGTj52997Bnoqr CurBlx/Cpair0oN8NhmzwswJHpzlXh/DtgjR8+UcQMGcAh0ouTtRTBVZ0EZuOhN8NTJAoEmPXhvq 3b+qrHmc+AjpKTyDkPJO7eGEXs/MPoJ2nM69frFN/1sQuJB+6oz7/C6Z4Kn1ucRUTzvYDbYrS5Fn VE7eoHjRutlnHCAmHJ4IeRqj70iKOGdAhDnDN7tdOdo9fsMDSEFlqlaZPelfDG390ZL2+f4TOftM V2mGeKLxwQ6OuhysK5QWbjVmy/a2/ABa9FIthTA2yr3m6L3nAxJ3cJe79vVsNYFu9E2QfHngcBvP 189QBUQ6JHml72mSnCmZ2P8JVpFgu7COLDdrCU6rVQ7sbUhWXDPEBNNU/SZvkgUGEvP6EYMQAvIB Y+5/vhy3Utreuq5gJuIyFZQeEPSB+SmBBPgDO1Srn2xO7kpUi6KOXjQ6zfP4YIpXrFxtj/krRG2m fBIZ8HbPpVKZzs6npPDII0qhAq4aU/U3jiXdCFt8TaKL4Xfqgds3r0XfBAjOCTSRa40lDbHH/caI dDYc3EC+TTg+g8Zz0lCN6Sb+Ra8qEA3lpgEc45vGd/aocpeQkJv8E+DAeVhl0xPXqCeXXZE6zBkz 3Xe9cf7/63R8KS+zIh+IaQUzlJoYr25baKLTgRNps1Y5mOINMBmpQAK8xGz6rQ9T4eacDEbZEHTr OjN82UsHEsykpBikPsJ2cdjzwjcMfZUa2FvrOdMWeIlBIo9+Qqcr/0pQYD+VgP84gC2TepspJF1G wj/NcRxvqCirLW5XuaqpYQrD5rXiniSTEIxoHuVoFqxnEPUfwmnCOLZpvtyKnyosV4A6Ft2sDgmO 60LSnfOuzmbRTjmTpMkV63uZPLYtKdmM5O9hEKKulX43MQb7IqjQk/i6u+s3UG8xN0F24kOgDWR3 cBonAY/Txs/x0v0qd4AjmZU/JQVz15zetM8+BD8ITwa2Uaa8hohBQEN0rG65GneKcAltG/MyjiJN fQ6DeBdtyb+Zrb2Abj1iPSLmp8Oq4OR67BNPsGMnnO+01a/xLJ0O8dKzmGdVTfn0E+HOsvy7BqaZ BKMa+uPqID3Bc0T6CX4iNTVTwfNgROCauBmI+wTGUwSXKvx8JVyK/JNVJzS1medUU07mqbaoyobi ThbPSgrF5DsBVe0/UM91AyoJx1B1IKBa0RkMJOP5LnGs55+uvZiukJhDx5OL228xDJFus1/wHxSZ Kh2rQHD/tsZ3BUphxxtrazLjB/s3HseSZo3OJh8fz81QPaHEXz1piEgiVn7PyCyAQoJxGOW0Lgjs fhq5kXp4ihhMjm5zEzFl6JlMkiglYbf38oD2uqp6bYiZ/1HEZjGrQ0pkqmxOMSfMYSQuWOOWdyPp byMcM60OBmDQu1DHtEtWVE13MqcxSAfyymVqgli6JBL8ugSOl1HgFSUEuhoTvUEIY01TKbK0GInu 64XtwFUCDrCpYXLxxjOYmz1HQjr5Uj/fNHKDgzVNQjGN/9MD4qh3SL82+OJqf0vZfn/mTHHC51KW FefHyY2K5O71d4C2McMNnVfSrx/DPs8Gxdgt7dGte40Gx2VTzAKRlyutQO2FTZnsc4jfAjtiV9eA EZllUwfRrcfcXHpxEFUYeFh+4yEVJMMUBe9HydYRa7NPUyUvcqajMxDAezALf23D9ouCh8BcWKgX TkDTwBG0peG3FsOf/4y2m+c9ZSdxlXM1h6yTPeY91cNC5VaxnWnuZjJD55D4UjGPqAvWDTOBxXyr x4qGKEZJKYThjSivtd2EYXJE7jiB3Xkmu9ebWX7uZu/afjvO+CtsHnKMEnaO8/CgZGhlj9G+SSBH xrRn9xvYBoEQaTXzIbJgYqNYwkHLo/nD8y5NqNuP/QRuKG2sd7hbNmi9J0nOzX9gZ/zQcXzsdYdq 2yIJZyU2bG6qfwDw+XcqxsT8Sb4N6mCX6VpgE0Nbl2yiaC/Oak30KVL8MnCOhkUrOCNPiyI4f43l 2SfjYwYUCacJYMnNRW/43QIlbtVqFeDdfffcHlG43lMjla80UBl4PM+pjgx/TMJK2R/OWLqsgpSq G/MkO7pT4ftcvDbhjoqv15tR0q/wHDxQjLjd7bhTUTznGPnMftazhystvPZQy/fq49RSDpX2klk4 795bGYlbEsRjl3MxAFfyPW0ROvXPsDAHsCkmZwo59YdZigK8BBQct/FCYNNBGmjCnXxWJz+B0IRd cooRmyjLVF4SqfO3N8JuTAKL47YVmpqHyO2O0//AuMu58qbQKIX75Z/RsfsRB8UDT5IxW96OR6h3 tDQFyQoK01zDvwNVW5kCi2TDoVFfUQFemuAuBTBZLcxTpRTNyJkx3cKFRlSH7YNdtiDAmfwteMNQ js2AQjRSmEjh9UX5fdGbF7U/TNKAWMjWnH227xKLX1C5C7uonyjFUNgxluBD45TdirlK1kizR1bg 2K19X1aZiReioQsGXtheAnwYlnUUA/kk8cXnQjCYZ9bzHuHWJucOV/g+fZKvzQdpEeEr6oHszMR1 vXrwAbMpUpidZc/tklLlmEXuTguttfuOQAu/sIFm3XgHqZc7fH3mZK8g6YJHkrcLYBpuBrDU1XPU 5zUl9+6Sfd8v6SYI0dvn7SyKDk24Ow2SguTU7m+EolYjSuffNSWu7l7uvilgyaCpW0XlcxkW3V3s St9rn1k9URlAQVyQUBkXcUq0RvrtUWB3Ta5GbRm3se+lHylNHdsn3CSzHaK6aVP3p2hnfkHt7hlL VNzTlZXVpb62l5JdJT92pZFMSIMTUu0b+61odpuHpmoaAyNpy+9NAZuFus6DbDpvO/Eb/yOs+z5Y h8yI5UAV5k+/kdlh7xVCaJIrZIohaLx/O4eWaktW0e9Nnuvh/j4rEWcm+irInDC5LfDt3JJhNRy0 d0f0EMm859fxAZ6NgAOjfIj8z3cgwazGV+d176J5YLwuJFWJ7egEgnZMwoxSS3sqs63QdgYM6jQg vtMrhf5NBrvW0SfXzTL+h/klpWlvTx0YIrwUx8WA6UdhxOl2/yAVGjBoFrYYreY3PQPomMTAKkl0 JLi/q9cxx9+Ixk3BRKvXLJCvMWEPHzy3NkS8s2NH/HrW1ly2KCY+YeA8H5gEod2rQ2H/aEnwN4UJ QBxaoO8zDbYOSHHjimvDdbz59K/rOSBY1Ray0BjdIFbz9c9awc5rnZHL1EcI53VSGTLnMyWj93Rk vixwF1azgmrTSi7aQWkVLE6dWTt61lk7hZ+RsyQ+K8shCN6y576JWjVV/g7YYPlIyzw1s0ag3K0/ REYaL7NaKlTJBLDn+dxdDalAszyuXQfEKNPbVGSx7H8vaq2iTUFu9YEWZkPcmgL+jYS++o/Awosd EBt4Y9Ky6wEExR1WwVXW9T32QePwdosshDLIFNGiR6qjyYNQdp8E8q6UeuyNCWK679cwP1d4HmXE +XJt6603Tk5nB9raNU3ZO1MM2hMtRDY/1vuAk0zIdEA9V3QzRiXqpG7+MEJlXlh7IeTcRXoR1dLN gVr19FaL7+S63xU9SPx/uvFaigbNMIBV+HJx0pFSpc8J28ki6gVEdPSp1LWvwnUzvjTECtXubzbK M3+9zbcBADopx4SWGLgFr8f42+jUlYBoJCDgsfrVdfqJOeRk/N2rzO8m0Lqhpk9c9eI+jjaBpSET Gl/NN4fLYHdShpFaJc9nMHSjRoppgsMidRCXfpF3xfrbVNJG84WfSZIOWu5/QoHqeE1AMAOGZPci hIamuQj2BH3aThJVIoZL00yCsPr7bsCgJQ38LwudHOfKnLMnAo4ruEDFwfmlTMffDZvGKLUsklUb ZWA8zjqWGl+nzJ5HkZ0rF/QKN4z0tCaqQJhygX0xkk9F3pwIT/n+f2yBq+OrLj3Lt8WgzU0r4prN Hv893Sv2aY4mTFZQTCN4NM3NS7XyeXIp3g7HL5GuDnG9RQkxqbCE+NjiD2/2mHaYcYiIZgmlGgPi jNHxVZ04uEQdYLUfS93OdV5Tv5xpOIc8SX+ZTSGenqKMlNSdAYexpGIVpqwDgPaO0QWwj+oaFq/D itTDCnM6uD9mI30bCsFQ4Co4ycndWuljups+i5u6GZ2VDICy9bI+ar8mzRasie/KmhB/5SJJqO7n W8G6pjH//vHT/CGX3l8XIAKnatDy5xuTF1xPWGAhozUH9XYUwx1GHRnR7RZsuVqw9GDI2KJzdFsl kH+Vgs3gIxDgbvzsQ3Wk5uXoYRtJiDsOLec8s5aIWHpTzGkbkcQu9a+24qQ/QF0V4sYeb8VYoP4X uOCDBcRgBRzswIQtFVqvzequCHJoC5ehaoJKeWOCVuC/1kaG22kI/RLMQp59zzZhCPy1N+H65h1Z 0imfoA+RqkXRM0DQAXQr0rlnKV9DL15S0O2vLALX0ChgN8uYjs6cg2ml8l3Ga7uWZG0Obv3bPEgF T3IF4UV+6nGjqb+CQr8JJ+vN//lBxgmfctgCjFgObpM5wejwflPJHO9sO3AxToFKCM5Bw9UvTRVC 4n4jNDlquNRNk/5A6dcGt/0uekgIKWTUiXNGoAtnNmUfxEtwyxDQjfQwP+dT5NNDR+Mq9hST1eah xQGIrvT5RAYPpV5DdbcSi+rZheE4h5dqlTJnoZpR7LscrF+MuloRCTjYganVizyDRp3ca8xPNwqZ N3SJvkhybeI/mOWZCtHymLUJbjcCw4V//ecb8QBG1HvCPAcvuqW92ArpMtdIpwzJe16poXqp9iEJ EGm+CmyWbw3GEMT6tQ8Jxlevb9Z6kDhcjeDjwBMW3KRsAArJcFLZyDTO0hmndKKJKRSfo15wqMGp gfZzF1PgFVsnraVeyQeRwwPmWTpLz2bMIDLgaLvGC8zdZQAACeyOg3ftWkmsLcu1aI7aBKbpU1NM cUO+0Bb7c6P0NJS8bDlmCKbYcXCjX4T6SXN36S6FhWXyn+E8lStjjb2mlM+QCtzS/23wepk3p8R4 OSpaJJsesWpx1sUPW2bzomR5Z5kjorhS2zOVNjqUTS4ys+zEd6MOdRywTvLcvpwFkvJ9tpI5GORK BfnGSLoazAi1QMZdC9qvtxGmkz5C6g4t2yczbXEEjGZApg12J4BUm6D2tM7pM2Sw6A8jKrw35WwX E9JjVkTaY2X8SYo1dOgizVSvr0MOJe0Tfpq3QzR+aunuWpznSCkGwxo3sM6lNfk5ADzQDPZzrjfV 5slQY0j+Ok37skjUXwEt3U0McGGdu7VOF1YKDstWHW0hx88yI1NoHSbLD7/R7+kuVHmEBnb3e4Nh CUG28SbzdAaLIUnyMS6f2tUEf/2NZWwW4cepMr8aRr8O4P12bBPkv04E972F1G47WIazxrZ/Wo95 avL8s9pER9YX1I2mnKnCoy3KN6fEPMbJ+wsQhp0BU9FTJEZEHkdWtFLvDA/ggd3vdUsEym7QKO88 Y0kDMwMlIBOWfni27Q3LuTgTsZMQr2HAddaRO0Gf0Q6+dyXvJpAfVVG6jHEIMUU4U+V8+WS1xOaI /+45jTUf3k5tZ6uRwDCkVYBTtENP65oF0vMAFAnFxGEqrmbfBc6KN5rrSoLOZsw5CxpBT2LXB7hi +UkmklN5SzX6ziZ38y9phzid2xLcIgvFwWsaSRbW3I4zsJXAGiz+3mOInmy7rg7XySTAN40rnEht YfK6m2ygzk9WMaQBNGRGpuLJELhcLuRiCt9BgXWav0kgkoPoPm/MEPhI6BZMWBrQW06GDNJisU/p Fgk49w9F6TVKXPpLMCzRBfEOOopP3R754aQNUXWhtmQFzmgbfp7kosHYG+YyofbiV/3hM1R7pCmk +dMClcuMAtJ+bA0X+d3uwo0/VDNAJ89McXAFTN/xO2yDL6zc4Xi0YyAWiYh8Qn6ue2AmbV1+Dt7J vtZzmkCUz5FkGVgGluTWmUZBQx6lQpNXNmvg8KYqPCyaw6ftUkqhvlEAr9xyi1if5HuJGfyyWXNd Hh1EC8s6dSTOQuzLRA9W70U6llPVgG3W1UrZSSeKwrHE64ikPiGLv7KQKQBmGcOmM9jXZHT3dcQF es3dcrBT7QyBpPpZ7CfA1s22z0XP3YT6s2BPsUsdd2rJ12+vGZD7gSqr3uK7rBE04xO/Vzun3OS1 m4AH63DqGw2aiyFL6WAhM0vGMEqYITJZq+pbO+m/PoD74Q4DZ5I3Z9iN9XYLpmZleIzTCMho7tJh eCzX0Or5ixUhzlQLWx9LqRuNsts6AfZFfyilGHstWb7+8ROK+VyITxYVK/kKxjkVC4u8awXl5FJI iIlubxrwBced6HAyJOcuN2JaI+eDOrRVvcndbDZhz2oJIxOzrdCTwaNNMsIVUYLuQgTl06tBT7tw OmHGRsI9HlzSAXdHMZyhccX+Cf7yzNL09y7qLbp5w/PP+yB/LZ9D/K2zf+9pZYdoppEvpIXx6TAE MVUtninUrTZ+gW7fMJUbtBaftRC0uempUuQweu8sLgNEYBAoBBfq5ik4VTj7LtIxjo25/ps932gB wj4gmZjMhU0f5BowkTd5cvGaOJ03yQxuzDn8goa97KtqbbGVQEpseyWzON9PFKAIdVh2beikZtST 6Yy/N7y8c8I5ThxFIdpwhzjh1hs2mZYIa8ybZhRK+0Dz7J0CHQRIBmyw9F7YcU/ODA7/3MlgdUqW hyF8cf2Z6zVD6Im36oqhY9Brf/OzlQexxEHTv2RoUt5v17Dz6uhElD+gLz9GY5V0XNTnxMRooDNK 98hmQNw5yrgb1ehq1ac0TX/FizotbI2pHazV7gDf9RZn+reLcD1izVe6ZHEtzOuK1H1oNBGsizFW QOlndN2cQzxw/VRuv9c/pWtn0olr/3Q6bWezOqBV7if/lODxvBgJSqJvNdqaobXuxDafOxgckFwv o5G83tWlsHY38HHAWmo5+LDYju4C/LZqvwveaQvfIJoCnnULxFCHSjhiAN1QTqjS1Cvzt8Wf2Pt9 +duDrLHeJORJjxNhInZfFly93/MLnF3ltP+nPC7R8GvD90K0btVGY8upDn5FLiEdotp44BeawTQY LwfvtlvDry2hmTcgEe9K/Rqa2GLNJ0YT01/l9jbDaQxCCEgsPOqGeScXPdGaZC5H8is/7enR8fN1 GHf1fFkFqd+6IRHGK+1MX7diuchumxLphIrrWdhSjBxu4CBfeC4umlw30UhshdwaLO/zykLtSSZK 5ynCR7tV7xFNdeqzydPYUxZ8ELEApHzQPSWi/kfVcjx0QBG0u1AP3OBBFh9O+uNM7KxE7OqqcCPu h0LlT4e0S6xZqS+aH+s2532dhRO0hGPhkrgyvQkpE5bB+spa51GTyupQQZrHknZrQESoesQlrYLD YdZ0PQIbwHYuTsHVkzMbI2cXr5JvKl5NbPYC6q8rTcvtsbaelfIChUVd9z6g0d+CM+Feee+ksOZv nM6VAx+QCJ58qFJxg/Cxh4r81piLcxsWuRgK6jl3+toSt9SJSJzpmYVr3jXhRY2GuklX5k6hkyvb 3j5xRgn3ArkjsQCA/rhNnozXwIHa/wdCAQTB/YqCRs3zvGqOIDcsPiEOA4aIvep3oZ97zJi+Oq6G 4MsPP6AQCH5EZYe+bWeNlZQUu5KFd+1p4Glk7GaiYWNIhqTItnZ5dEtEYuO0kEdYR9G9TEmHdXAV IKOpRwus02j3QhOG3CYr0r/veXv2dTUpk3P8takCHm8te+C6x7+zUlVPEIKaBWJdhHi7b1ZUpZYj QfZYjDqdgCUcgki1YGlNmoRa2leHt1bthJXLZVO7wwpz2FMcVieXTaJSn5c0WxKdksNEtcMts2ss 9kp7xcAu86YFouySJ4dbi0fIKBu2Hir+avmQu3+/MHpE4uUopWkbDAU9npTh2UOLjxLqq06x4KWc B1Hi47miMjvPDhfZ10TTM3QsPXvb7Xpqnqj+GR0YbISD4ApeuzgmM0O3Gwoj82I8nMB980hAPwvY wu/ztzQqkh23KkGWFv7xAaMy8ntssIE/4PYSN+PnqTNcXvluY7iVcBB4zx5MXI5YVYtoIrfNwkoQ 2yCH2yVK5nsN03J9mzmHhj6um/hSHvDuABbMtddI5FLRbz41NHSlRWWizluaW1wpEo5e1YfnkJ8u MWxNfNEB2beSqd5JaFezqQ6rr2jmFQgjnzWS0/vHZ3eRNa4vxt+EEI4o/EsoC+jUeE9pKgLH7pfq URA58JevF9CahAu2CB6HSiJfnIaDirQygIZFlbgf4E68/zDjkjUD76pCP5TLpAxqCg8/ybcWh5Sf +M4yaKynAJG0W7EnSQwi2tEKEMOExb2UoeIxFaVXX7rowPR9E51jYLiKelKuLxUW+VvNoO5VesSo daj/9565wcw5lsgtzFd3S7a0StN1c04M6xQsWpUU5qqdI7YQrLSSvgg9sf24eoHTXSXpoCU5FevU dm9rf7W0s1AlhvseFgUPw3YoN/jYoOW5uFFF+bdProgA90qooj1xqx8LXnY/sf6SSlfn1YsUjhKS JnvKPXvwtiN+DWHyyphbadbUD3CklKqzg/S4OOz+WEEE1OudL02asqyxLAt9bKmin9kJo+n2RSyZ Giepff/hkluDIf241GIshWaV603YI+ctHIKCanPoUbFvgvJuhH82/zjNwixZJxmJyiiucernbtIe +CjvgVraz9lx9dunIhxT1M9nzBoDynbVV1AyFDNDCuJj8T/nwyhJ1KTlVR3NgEC9vyUJtcmhQFQq O+g0FR88g0tEbTKn77xlYz3Zbkt0SyvpL1KdyqkK5hXAfK5mzyZ7E7XjWrQ4/gMzQ66g4+DKcyF+ bMEAhc4Rer3BzmMyfbUUIW2mNNF7kP1cL9J4LLTHAyyucpTU9hr6USoMsRwjL2kVFPGz6OPIf6D9 VyLps/GySSRQZ9r2BTjtoURcQlfjQrhaCd8g7M1VyBRylCwNF3Wq/C0iZfqZow6h/dy1H8/dovyw tK0o29l4nLtJe6rEBuagPdWhwP+AibQaKkwNZSa8AcxdqPvrNguOAemKVk5rcYM0u608ChCqWHDG F9KddADbJFKkuLI4/FZpbH1CAslH9l5XamNr+1KwOojmqFa7s5F+5ny68zNItxYgXHbkB1LBn98S 21opMRNlJycvzu0B7Zwbm5qEsX/zpVr/QEDTh7uF869YM6ugRGPoEaQ3ZcnMjMtaVTpuEjTskfF+ O4uJFh1hZvx7TOk68b/fHH3FXmUT5lVuKlhUjJSh/2VGTnyq7ZP5u6JGa7yG9RcuP4QhzV/q4US3 jLpe/DirdynUcttaPWB1mPmfFsc0piVYs1e6zepES2mYSwi4rjGBR1BmRCV9z0nbOiFKNCxzhJby gNXtrb4PcY8B0S+1XLUo5tN5DWcsZRjQ+1UmkpOy8cfPtm1MZEK58yeUxW9H+Be9ImgbMJ+LC1kk H2I9RNugRus7VAvZS3xwwIbiH4aFQcRpixM6QdeLqkISI0LoPhoPXIzEzmDy246Q8Rg1ylPLVA4P lL5Oz21LYNRXSo6h+RnyyNlduRAO5vew5KEhjOCbMXhi8sLR3Qt9WZftLISDTwjdW7TfYEPKTii3 e7nyIMJmeNd5wphoPO3B4e9D4cZ3RGOaPXCq7/CLoPIgN4cM5tBTF4yd06fEUBdXsDuUz5sq++tW QWC4xdkpjt+7zNBNWkyuLUTgLIPT6QJLVAoQzshdtydirsr8qIRy+eJo6y2tUnA+zwqkP7wGhpsz ClG0HPCK/qY8reL1DsYopeqNsRYj9hE5RzZEfUP6GN/CP+CYqIBSjVn7/r1X8Qajf7q7PiAxj7yW /czLbV6QKRm1N1vmEsRaSoqlAiCPgUhARYmlYHz7kjlNAxGOUrfeZnWpXeUJo3WDPveKVn8689FM I9tOl/ardpJ5Re9jnMVl9KCGk3mAtK1Cft3rI8BC2cubCJ+TvvkBFHpBIlSd8QP5JA7YCyIoH29M 4P7A/Bp1nUAlynbk1wShmNVoD1I5tkd+TMRE6wqBYHJ+WW5atNAKopWBZrM+FqDdQcFxX/QiN5jS Jd5xxxxtUSGqriuW6pK2wTC0UeSdhgU1Re8DSXK0RHvJPyi1HNCX3EOv8bxGPrlGu8YhWRS+cDAW Ba2D23MzVwpUXdCgW7DrwMBKwX0FbRnbn1WmFPjDEIn61dp4EMQe9ZQEkkUn7g6f0M1//tn2pnyA JHdAjKq4dHF9BLQfYduuaeOKoG91pPyukrpgk99aBP8HtJnf8F/OpIEVJh/jACdyfSBvK5y58smo TxOTmwkd20Hszg5FVzW/MkBVj6aPfgsm4xjIZSAE8QUuqVq5+rzLItnFt0tRIda9r6sLuHxSSw1q imHXBI9bnV17QZRf0HYSnXl1ZzD0DNYuAxpzCjAKt2MY4ztD/qFTQAnXrwCoGYk3ArlnJnwDQ/+M QujCQ0qWzQYqRNm/ema/2bRwOXjyTkXuwyh5ta/6I+0bn/CSksf2ui2nHFwAHH7cXTm8F9vsHvO3 ugbYYq2OAPhzews3SwxHzXSrNX+iRJvjK7x68Jj3Xhs6IgsIf6fzIMok2XLkEEInJD9Df94zoO/z +VqYn1W0NyCAuGcvI7J8SzCdRKLdbTa/4pDXVT+hCSCZK0YqGbLJYRdPRr+zrE0ol5h3PdhIjOcp 0rt6eFhUAV2eRmZYsGZ7yQRyTc+8qX1+PbJ6DiRM13otVDxgh9a1aOU08L/kRGJ4lUXw2SqX4KqN zLsjRIdGh/SKbcd1xDpjCkSjYEoZ/O/e11FRqlZt8XsqrUCBpxI7rTw68bLVBfs2OiOwgGx9jIsd LOvC3nkgySydovCmDrd5IPa/YgkBT2919b3SqL5toh467ceasCg81ur2+icCFhHuxAy3zs9viIo6 HIJW0HeTYRFvAAYstnT2OI3Wmwc/LMh4JZatfSZ69ZTexZ1GQLl2tbO4Cpf6NGe+pVuKZSWTunWj h7UX8ciKT7jzn40AS3iAJfR/H/TgiQc+og5SGoaUEL5DxJ/K7NPdTfk2SV1YmFoRC6zuaA2J0Ako HFiPyeEBf1MNfdbd1Z00J7y0Deh+8H5b0cignUoEkBrctV3G/W/Foj1S0rEHcS/i5tojryqepYNx GsO72vNxB+RRQlrrd5aLv06fcP/kIZ8gH5+ZY9DzYrtIgIAkZOIBTeRqirr2JfIowvB8X8OjH+Mr FEV1WxbnvC+5Gx6v9YAF8qarcxxB2sgPSNyPDvAq4y+htCoSUeu0H1g1c79vT60ygXU5lIBXUnMr HEztqywKfII4yRhLPy8trxFm4U7GGYyDwRulwHdBwmpOO9Rm1x9uauQIzEs78x072UwmaiHr5xR4 tejbHiSUXIs7fof9jc9vnHPhmf7AnbvJcbHhhfIQHQY1q4zdQlStnssXMSsJdS68AU0tIe6PVLUS xv9DCBNOQpULYn8QHZQW7bXUDR1Rw7EWXZ/jph2D4b6eZD+m/Ef81qwGsRzvayLGJnJtQZqOfeUx 7Pl4Yob1+B/6JiQoOob9VXC5XpTvDe7VOdSWTXekPukyl1wCEspVCMdEIXFCw3643OT1h3x+w85e KKBLQ0ZMOnNomqorc9wcsxQgiwmH+XLvZPkEHVIJv+TXRAJVzC/h8ZP3uV+qMaFybmHa8tni2mVO 23PhzUztgEI106qZlcaarVxlp7iYQ/Y2Dq6QLIsbyLs0iDi2TKiJGNiK8J6M7tDcBn1FHgLQ8q2X CkmON3cYbMoLN99Od+ivc73VgZQyIjK4rC/Tqxoldp8ogf1SupTbLmHRVVvqEyUYO/eL3Q0gTtjO sNPD+FEtktFfs8ms09w8fVo1CASVOglhoUl6Jjp5ZPNrSOIRQIViSEwigRnl1t8Lu6t4Zb+UMr0b 1pp+KQHoh8sThaPGmo+NLVeU7VydKK/sLSbdtSyT8ENGOL6HN5iz9HWnpBsa1wU3MU/WjRu92le5 rhdIQc13kkjGwr1dH+t+rbz8orXs4r7VgDhRorOnr6vnjxNRLdrF2r5BtU86zi/mUG2DGKthrQcj L+6OECPN/fZSIE24cBiPuPenJ0y4U0BVKV+Y2/pOejGeuSAm4sRMU/Fdjtsr0oqZC7GxBnvPhyU8 lRvJiKcAHi35wHvHscZa9zX20ByBh8GsBv02UwEdMVvIW+7N7hzbEWK6I5FfB3FOb0EGrkGjEzfA mq1oXdzanGQf/Wx8cUelcq6skU+Hc25Kf/u3maDIgiNJ4NPkyt2vvtCyz7D/VU89SCd5rOVDz1JV uEmD2vQwSjKzxbJh1PQ3/Rh3W3+g5e1WRinZ7IkvEM5tT812ldRfZeB1gcmSA+kER9KKE4mJR0oY 9QGU5AtTrYDRIsW/6uBR4TpLNlTrrsrn4lEmLNWRn5JnxntuYFKXr0F+PHPksgUSbLePX6QMNVpB bsI4Ui4W+lbcV3pOU9hJGX6UtrniLgl1Lq9uUegeuYkW0kYxYmR9aJ7OIwPWeKITd6wQ4YRowCD4 +lEyRE4TCqAyVJUX3RXvsgS9CiMl3xlsV8slIWjFsiRBT2S/RIaiyfu7q7A9FNBoGmLxvBYj4umy 5tqCzjjQJWKOgDG6jXeMl8PMnY3s2FDZFMxFq1upOIIhmgLWDMJr3iivYkG2ML80P7jVoGMcAJmd /fZNu8sf7i+GnSMO2+ImldZxcUvglzhnvqOIOI3hPoafL221D3O/OK2u0ARlI0VKHhyYyMkbxnQO hS3Kk4a2oL28yTolj764LSAKPtqYygq/aMHjqEosqKMMyUEN3RKas5xjh57A+oeAsjFkXSDiAHEw YysC/A9lFyrdqs5JHIWEWI8gjgOcI5R+xWZqv0k6zb1wq8xnLR7SUzDO3F+ObOL/Hv092OUWdrAT aW8WZxw/hXCRCZVj2UhlocSUNKVu5NQX0rshHMpUBVgdowgA2p1aTlD0iLzwRZV45vdNOdNCW4Gp ch2jHeB03fTmjdVAa3vud+kW/bGm6OgkEk9OnY6ObDud0KniAz21hc5xxognoK75P88M7uvkEKrs FSRAnraYuCln/cMrovc1TJrRaf7Dk9Dt75JMr8UZGVf0ao/LZkWjDsouxdbTAlrfPSPrGEQ/utqt NBHbaympDPIUMHP1fzbcO3HhBZ1OZs8qLl2c0QiTY/BgBqZV/AZaY0KBUUDjB9kf02oypze2ZwAH D95Z6vRYSVC/zsSrDFffyzvVkjqpEY7HrkoCisd9kvANs3kJMewZ6jEyHvlNw++EAMrnZp3KRXoK JaqQSk3e7EOaxsi9wcz3p7JdiifSeo/PjH9Tyyby3pLc6d4Dl/DngRnRYIumU/VY2aWHHMZLrRGE J0HnQ+4TmlszOGn0gTBoxzRLWhqeIzkDyBitl8G2eJ3uoelpoOY482WS2zWXAsvORcbRPyfRTEUD 279lKFBaiK05W0M18htF4jLIn8/oJW21ZuA0wvwvVVG85xy+hK3p/m86VeJSuc2+hu+nSgjkUxfK uGOLSj7GSLe9bV4al10lclPVgNq7WqpE9J6AsP5Oa012pn+WlrfAmY+06FqiO8Wzo9zDrGGLR3FY x/3Dl6AHmlLglWsZ4GNopEVxysDx9Den7fVk+jWRE6wdVyurPnNjgmpy/OE2w1WBvoLbSzvUplrm JoMfmBmoRbYF5IAGaHGWtwgaJh09/1JBUTvlVXQ6uHbKjZLEJDGKq1Ibtfra8gpC9R4Xgiz35fw4 CdUPCyp1jJ/tbIA+R5rpP3eJAa6WIia+umkJjB+LNRaniyfsSpsofNrjPeZEl9lMFh0ntraUfHPZ UJOc5i2qOMeC3+mgJWDg640YRUIHEgGW+zUCGeILyUdF74wOXzMRiHE8UGNkb09YTFUuVW3lB6zS vR+CvB7qLoQMSDm/LWAAFT6ORhfL92zvdfeMldnN9Q9L+w0/YDb3zOjDZucpih116fGN/Slr/seO X49KWsLaL1d1jrD1YvRtVkIW61wWfrI6eEJpLXYuw6BgrOmpb4hPfTzK1+gLR35ZFkZYX3mcYrgJ Jib5iTYkHw6pOikn+x5WfHYdbYJtSbTz0pOmFSiS+CnuBDZc5BAdQmYCWI0MiYetXloHRhR4bK9m jWZ0DFmeeqhaiYHhg2oWmbF5Iw368RJ2UDxz3XeWL30SnQuHUF4pUtZzK16CS0mJiM77L6wty6pK JjhdhwchlbVJtKvmmMNki8E3d8b5EuhL/IfqQ3/ZsxlCoD86gfSlmiEXzp5+hpdc0QVp2QETsLqt 49aSG71pQgvzOuIc6tisxjaQ0g3adQ2bKixZ5M5pgARpYPkpxEQKqqCI5sQ1/npxgq6FC2GpYg+j eAYTh943lAikiF29igES2fAmk/xM9Ttxvnbn+2WDYYlsm3qz4VDiz5o07gi5sxEujw61J5NiH3ck 94dv80aIW6b/XH+SAmeXzeluAeNQ54iNAdBjbb6YvPhqgGgp8fxYGYpWNAq5eO/0nubJRy/laNZP 1f+Lfvki5l+zM4zYcazrLV2zgRAx7fCWgL0304nPw2fPJexIMGWvJ7mwxm3mzwZVwq6bACJSAUJe 3lmyDkxXOCrbaR93u9h0uj3FPVY+/OJ65kxkS/43TwyYTTcco4i/lp3uHAVA+6Nlguq48U/Kx8Lj VVo/6+D7Aru9YN1jgzMGkCTI1/w5pCQOXiO7Ws9BYcx5UvJ2N1LqO9wXG7CmSO54Q5uxr1QfVkuy 8YbUPc/B162dIXdq4IZwie7hmvEYwFcLpIJOXt64iVzKqDUbxf17VZUgxPebo/oWJHt3e3kmartW 7iW9zAq7Biv5vc57ygGd7Ra+I+osBi5DOP/w1IuFtcW4dANYQf7122dtag6rODwY/jgvRq3FScFh WAmbndLnGxkLRametWXlXG2f5F8F8Zet4vSRq45lwzT4kfr1lldqlOQaWBxlfPtvk0Mi+a2XmI2+ idWN8RhcqJdf2i27h004apLNOZjHLdhIqVEY6vEFuiiAgtAPOodHxuWjO9qeilWCGN5AhLOoGylC 43ifnDG1aHpu+vPQXHOVlfHjoGajuRLTRYSK20R4GDs98OoyBdsOTl4rUJlMfvTazO/IDH5BjnoQ 7KRMuPQuyJRCctdA7YRqVoOqNjR72ajvnQyt76SVxJevTfax7feul/Bbiu+Fs+Bl6MRVMYAN6TPj IB7LlqMZiHNOb7hX7ciDQkRSYl5b/NPNj7bm0mm5b15Nr2BtGVAGnhyqKyC4XCza1Cp79FPoRI88 7sujkslejL78zDXt8agcHwqLCjCvrke+l2i+heTwaFsSo7awmALQys0zomkV2p2XMqE0W9TmUt1I uwYm3Y14VosO7Foe/lgugSTiOcamDtlCNilWVZCcMN23YRZeZSCA+4t8N24h1iNDVlVp61CrBiH0 PoDNs1LHC9lbQU2vj77ytD4xg4qAj7RFb2mcvBq5Q+x4CkN6JJU+kvZptx5G9l+8nOwQ9maR52o4 ErN/yMLw10onCDRHW43HsYX7NBD2Sy7D+z8DbMR4IRHRXKMJhlJH9746383+xXSXH1ycqT6NR6yx 3EkYV0OxW9gqDhBSk2do7vRcQmpBzGrUbga/AP/AyP7sgTLpZi4ZyG0wptBeF+QiIBDnX7Fws2Sh 4I4HjWwtmOC7W9O3aOhpIUDXN77n6gBHrSffhp1cwuGWHqcXaQzMRqSXzFDJEEgXT9IfFlSwX5K9 eOjksyb5jtiadrtjyyJ7lJbB+a4/FCNjpO4nCfLQhSg9NrruIzkcc6TdXzerTxhswb4yjtWd94lo ly/7+SzKbHNqm3cWMww90vQJ31U9dnQxUWxyaq9GvnW+nYiA4w4gHG/ZH/2INdwHH3/Zkvq2+5pY 2IinPtk9TvIxvia6sSUxWVZTElLAdrFaQpz3f7brTSyzHfnmgWnODCAZ2UsBFi06JKY5rZxr73GV eEDAcAn5oaE6wAQIw2pe6sXmmltwc1BZWFYSCL8AYvIvVVtfMy2eMsDBhf0ICSW+r7wU5apP154o r4bK22Ox6R1Easepooe1pKby3I8bDc6w3/KFNtXS3PoIaJsyS/TsZIl2ZFatRxRCsLvH6BAuhx1N /huJHzvyIH9RoiB74gFVc7VO2mv+4S4vAQ6+4CcFjmWvacdm9a5F8ph+m+ouAl0n0QZ7IyGO373y La2HGCwd0d8aiTvkZ2x0EucKChdsFITm+IMdWWMJmDxk+fAW4Bc2Se0/ocSu8wnssLHD/oLJHKJO SKm04AioScERXrS4qU8hMsNGTuvYbaAq9pmqCzi+LGsGjEk21F/MsdGIcwIvcak5/4E80HNvHGFr 3yXDx4nfExei5QbDbTPfWe9dGzu8Vl6RaRmGl09JNybuJ+wzhT70J6/r3jcjzPb5T73tiV+deDdE X0JRh4lTCjebashPpkClDp0U6Uei+NuBoXWZ8Mglo/GeMtoddMpuse5Zn2++V8rNk5jK2Ou4FYWN Glk39ftvPx98EtywOOE4GOFVIsi/inS7r8YgiJ4l/NzU7HC6TdaPbdgp0G8DlO5JKp5rSbW5iejC FSofkm/mceDl4rsTL2NizM4IAPsrrFItYE4t7zEkw3Pl8ccKcpZ7HVKGqmzwPHTGs5YpR3rX+XNe mT1TRRXn6Io/NGHO9YaTRcKUkneLFcFCJceHMPFHOfn7jsDKN4DcExyNxxEWwtxhoJtRefAg/5rv KC4dZABPp9Ff/tDTy32ql3hG2Ux5aw/I2/GckcjiFSqWNZkyfZmNe5oGEduXtygdbvPUbX9+qVVS NVaiQwDnkB8YZhtx7ZqlqBb8rEdbehKnut1M2H5jBLHhcEP9mmoHA/JQ8718gPfjPW6YdOXT9HCT 2AE/xJMYIdu8zL0QlxkYoVZejU3XBPp+lUv0tScMfGo8gj+HNXYIP60L7ZrDlzyey7DgdMpGOUUO aP/MIUWnGvJzkJVjc2ffK2ofVnFj4EElEqgPy5fei9+Aoubt5nlTkjTnoidwvChAI0JFlXfOzVlN t2a7eteeOSkBF5u8ZCkn/Lzj8x1YcI3pzp748WBbDQQ4kHxBBe3+QM461scClJnywoACgjNucLW5 morCFDIgnsnPfWdrlo1ektF2f47aVfTkPFR3h7eavrH8Hs8ucZN4hNBotxVwm0k5O3YzH5v3LqrP bCqeLWk9EnpD4cjf+bsevrOamuHajgwFHp8mpJfD1gKYitLmoigpUPUcnkArWhZ0MMW7/ga4E4CM wdBCaGWgz2SQdlvpyo4+dNOEKoE+0VeistygS8TBs4Ip402ZuobGUOoTNOYIOq/tYeTxwGlQLGO6 ACiEPE74awZbbSh/c+OACA4Luhr4Fiu/WbNj2tpX0P0ccUvZIuBaVzDa9IHYVBgjBt2DCUlSrt1U u/AxJx//gNzNP44sMq6tZgy8XHEaZDKmNOC7d62xtiqiWJwVPxM7ASqvVA9ppqki1KkDr2njOLue McAUD+4Wuva1XKePd+Ma+tRCNqXez/IWuF/dawAN02BeVsa4c/6EQhqbmTKsxHagnPPMf1WiD2Kw J7uPDEsDJ1iS4V8HvkdueYrOZaYSe58YtTF0CREfT3KH8z1YyQ1tk3couy5r1cZtbPD+4fBTEmiN ND0x6C3a+5GUSIducBb/BtNEU3JdLRIozUF0eHVN1HINwY9bt9EOeqcRu5vLygwg16tneFojqe1M wuih65bsaWfaQymBaxdZSM2Pr7y54YicEB5Myg9KeU2Z7vLO/MFgBOZHuq1y/INRCCEB6kfW0UZj D6Pqg45PqQOq+NDoTkuNEtmvnqEMxHWVLt3e7jGDSUyOjvo4ZpKf92VMt1JDTmK0v0k2Bds7VGDP ZgwNFBQfo1mqQSC6P0QiH12gjBDdB0Rd54D07gaBthr2GZDIGcTaeYfKEoSWLMMNa+tkZ/wTC0Pf yzyrPndgW19VRK43LKuLLJyOURzvTL+CTvpj39A9bibkKlIolfcDYv45bzOX9Ves4gnn/qkr+078 RIQN+Qq5taIpEnXnEnIfDlR/GPG9wxaddeVWv87AHL6DIZ7h5BuY5lhBCjVQLQ4CPl+63q3AFNWX 3AoNaWB9MvY0GWsBVt5vSPFPCB1LJZDl6jUTt2sSQkRngbyGAgmSK629DnK6ah9ojONSRLrZJnRm sYi/RtBLLVoTs20zBUTCRtdnbKGHiVkxYK8lFAL+y3LPQ1Am7y7qBw6Q9AcuLG3TGdgIYdZ6GHlf GN3BFiP5UfopDzwg7oeCbDy7uZxGSAyLfSZP8Z59D0772+1AhjxoiIlb8+dnxyeucVdJ2l68PQqv 1wyyqe4/9UYCpq+Q/rTQfDBF5JLcZeqXztEAGoIUzIT3dI6xpbAQqxtCFmfy8A/xG7O+p+xCWe5r ULPKpzAfEyaOtt14eGPkL8ZIQrgdkYj38NyVcS5zzz6IbGRseoKi3dFQSKfq7xIKsj91/CI33urs fp7Fht6Gt1dBnM2nDQICPdN4MyUx1ZUmWrhVreDpD5fXFVndO/1kb0k4BwEbAsDFDMcOZDZcA1bk jnKLBtpBmqPc7dm88uqoyKCYo+3O0/DNzuzkJdTHaxD33iFo8GMlx7jLD5MaL6eENPjAK6E9nNF4 xCb78RiIQZzE5Uhpl+uEgyWk9jenzhHAWWmYyvgL1KbkQqVZKX+4NkVf7P7TQj8WBaTJngElNKl+ f+F+OPjDrL4g9cBbiEmaIVqhBbtmzbPOcNoXbeKRz8DhwEKzAyspv37Lm4O60NSOE6Rm4VwCRCOj grYsRRkCwwwbD+3U5Kmt9uuRwPrSypk/5/OdJivKtKrsK3BMPh866XkdoJnhqb/tW02GNMA1xZDC r4UUft9FWzvQfTM1qdbEAEAzJihCXCo7iPI4tBFv3DDNINKZ0upeWaqvczr3ZZ4VZCCTNqhBBZmQ WVFiMfMLbdm6iX4nOL/AV3yyeQySdiKFgzZGWJQIrVozYTO4eHdbEcbc1HWDIGAmTPSfzoCTsdw4 OdGvykkjY7yjkp8lAlJhkR2dtSqBpd4Kdu+jmpmYlrZuV9p1Hz0Hf+YqrQCmOe+dERM99p3wn/Ah jj1WcTDJjL6s839VQ+qgHNXeMa6iZ42kL14Ee/sMtuChyw9sF05I9UUjBRzncoe9lxnbxJsgr+WV hbALsuU7Kx8OxhrbdMsByDRMq2ni/wWQy19BqurIxH0ANM29VxEWo3isJHFcFF+zytGlihTHFayU upVDNFl7Rdz0pRp7lV1Nrr5TwNCUHII9VqJA+YGLg/s/j3l4GVPrFGxzR4qVkeUttmPNeEfypKJm 4W3YIRiDCglCklnTFW6PHEQrypoqedXEkfNLyCRUXQRG3aiNNrluzrbvQOyu4ER2+D9S91dsQ3IX a7IfqjXcD8mRRPKxvaEyPX0G8veotE4Q7t495y8Fmgd1RafzJP/l1ZaSzpRD3I6jYJwKDnYBkqZd 3zvxkTkc54RQ4oHs3K95Rjy7z7U8VXzPdrYlmZz+8pLxFTw1J/tyhBPMce711U/K7zto/d5X5Zed ShRPxUO0Hr1T/X4yqAr5UoGCUghqMLvQhD0glrd+XAcXG+EnimCdWLH4t0c19CFC2NS0TPWaCn6e vw4Vty0crTDUP6JXucV0ANcUq5s6rHZaVpoDxhBIz8smKpNSRdvhePRN7O7euqdbodPYX8RJItBQ 2duU6ogPpD6pTpRfMo/d+HwSg37qz4GTT6pnIdVaJvZtADXJZKZ/XAQLE1gFAHLdAzy1K9mJkUDq T2ti7ZD0lO7MG10RBzJt9CtH9LQ1OctkMISAxTEnNYnCvxn6xkV8/AmppQeOD5pJJK9pRXt+Qz6d QbjPmqjyeHvxXB5/03MowgW2abZMObomV1eNQFBJc+oHjpUWuFuUSUNj/FMxWR/5qSh2Fc/2+yi/ ERK/IaKygPll+jpAZq6r86LP5vztQikaHS12PNomdBAXbyp8wbxssbc422+6gb4Fmcv8UQJeSJY9 2J+mugDm2DKJJr8BH507ocUF5Kuq18VdhlbpcNB8KrQhX9+JDDo7b5zssig3H3RmZlXkEcuj9Mhb c4X9+LIers3EndhMPx/iVo4sSVstt7IcoiwpzXVj9KYTR6bs5Mk6FQZZO9TTl8wv9VYty8E+cABh xQPUWKCkaMtNkIGb++Yx9FgTUvXfX9PdWFfBziXS1to3xeTzL4LxRw16MoZhakCj012pZZBMIYxD pw1wqFUNKMx2cWw4BQNqGdHaTXluzl1UOkTtwtVvBy/1tJxS2uViL7WbpqukcTsBCPka4RHHgGFy QftoeKKmMbYsVgJH3I/RGXwXFlyMphhAbcbPpMq35SDuTJxbj02w1JoI6J4fyUbdboc1QeudXNFo fbCxBdT2jMx71FcMXo0hIdHn2829cDhRmOqdqvM/z8geOtRYHB+DubLme+Z2WGWvdt3nTdlxQy5j 3OYNV2gV/lg3Abdxn9UajrOezvenZ1IP5GmikvYv0u0hIZSgN3tuSK3rdqVvaWegsVlXoF+w4A+N wmeWwyWSoh52MT7/V9robPeexTxM97DfdB6Br3Plsw4pUUR20oDVnxCg1ybRFMV/0XcBpczlPWKj agYAyUXpIOo5SHli/0NkQTTgkz50zC3xXEsGRN3mP6Qnn82Ql55ApMHnuLyfCxky2KONBeEuze23 JMmuGRKCbpuuHKj+Jr2d0kkTzjgY63mjFj1e05ErhutIt3Gwqh81jjRTxXgFTZ7XWEpEd5ZXGNyB d+rypYxGOheuoAHWK1S1QL2oO708v9sTgiGKF8PfjiTYY9UR1H2JnAANZxC3x1UZlaaXNyR56vNH bQdXaFQvNsf4PFirAPhmgiQ8/lqqEZmZU7EQ2Xs4VB4b+QIn9awGAV3LYe2KwYgSE8hXPFE71/yt nqwFfavjHawEuuFPJ2FlZfqE60tVuwijiefnaKdq1eTJYWZdBx/2kkbm73/+4CUdbVhHLS+gdAgb uE67DDPXrZyN8Qp67PE3tGlx9UjIKpoGXGk00ulhQelyqTvq2zBBQl4j7UrONnyJ+94iltBSaMK9 8YAGnjVP0eVWET/mQli9m3Qa31ElbZDMHuAfKZeck0E5jucwjWqzssBz2iGFb0mZs7cj3bL8Bc4X J+46aqjvuxa/8nII67e9JmoWwOrCDbcFA6Zhr7QBHK+SdNs9M2wpYGokLacnL8/FwGRnGX/WY4zv liYnCkrRj2WETasEA5RZbbM2GkwknUTLiP3yqe82U/hcvLpTmKqUlf6W3fIqeW15tdDKilB2LQQI OznIKVSSiWMxiFkeRjIXKqxcon6GdzAVsAm+UrxtkhP4cTdJYqykYkMFrnmyujBJGQcGOloCEB5i CGnDYirH7BNMPpNHPD2jqX2M3eAQ3nFZiaDT7aCRyvuf0gqhfrIPRTlqvk6LckAJDmGG3bSRqk9m sQB2J5215sxF7tUjWWLyqKjfSCy1VvhASlzPfPoQsP37U8YA9wX42OkNM5CwTdoPHfIcFBVtDhvF gzaenj8vj5tFqHImBEdOkypKjiRFI9/UHFgz+hQiybwY7dIl+jkaBtxH/gAFqH25kWe0BV6GO0hb vtcLi74cUzXxailn1pwDmdzPMdPe0JHy2ZonGygVv3qYxglGRlGzN7oLTLllrBwQZyHVO4W1qYea m41XABjvqRkZsaCgCGWSYZqLJDe4KjY7lHwjmSOil84uvXU/0JD9TOppXIWmZ5pLdqfmtmeibqq7 7zQfITugsKbVA2anI2hgCAqGF4X2Ufcpb41ye2OlrxAI7K6OkeexuWXZedGNj8Kh/YVB+mhRAuY6 4rQU1Gs/4XdWpLFjd3pFudDDbkARh4x0k4QH4xzc2S6HHzZHqOoqZK6ZcdIFv2S4vNRtO4z3ui4b NPsyJtrDWnRpdHCKRbUOO4ZDwYoExRCcKU4fuJRTucd+Ka+JdS8Wv5bSimSW3bRsdtaL9jV5w3KQ QqR0YmGxl47c774tePa3PhG/vh00WDphQFtYy5ottXVqZbhvN1ffe3z+8rH9QWRojG1PK+F1BX3L fPpnOO8RyQgn+54Qik8b5y3w5K1w3yQ7K2eeOj5jOdy1MHOgqV8O4qyta3SOCuOLil7BrLAsEJwJ O1Itr7qZ2g5TvlUTKnEpzPYvyED7SxsIwRBzD0+NXvx0RG1e+MtdjlRIUT9KBqmbPMdA4fPug58G uf2YB0O0OINWy0RqB6cSASiH+YVsgYBnVwf4DhGW+HBF/lxZMqfLAZz6OouSDeyr0ea1tOQrkpCk Nx3r7q2fYVyIs2EBb1eyN6TsOiTAKpJeGgUj+/FvYN7yP6O7/dL6QMYkJJDtiMA4LGCXjm3YXxWz 76ORWPNq6FUpBhvDN9IwACluDcUd3jmbr7hstHVO922mM10b65bRiwSfK91KylX0rP6M1IcpUB1K Z42Xj+Sf+matECWIdu/7LApeojtjpSsHNfykXkx1730oE0/Gp5z4C2CiuwYp2BXat7wt85Xnzv0t eEAWx7TqxNTR7rw/cpAVulueasMlJsl5ENV77cwfaQwpusWRfM//MOxtb5KQLAsCo3eeyseufzTU c2wUgolO2mlYZb2JyNIkvDswdrEdf0fo2BD2hNT85TjwRkfHrMzMGq6sYpZFnYfYTBJbNpy4Agdf fQ5gnPrftGGhL29o/0Tk2sq6wffu0twXyAiPrOldVHdzA4pJs3rMZzSnGeZkrDxqvXBg41NzhWDg oZdc/HqIsGtY6K8rcRSd3CcyYSCRTUioWb0JfYj0fruRkEuHrNWb1Xp0YBU1qP9d1+c9VSYRuSMR lRpxxLDCkhABAYDw7Kzl7Pxg28zYfuq7LIoqYV4xYG3uJ10VEGrTW3fGCJMmypnh21AfqbtarV/6 wuNBk/wzcGPiPcX5BUd1sC2VdtIgmyr6s70xjKMedB+dPhabYocKS5ZsutGZtDRQ9TP6q85cqC4V HSd8YLp8NcntUyHQcHKHmDpJJD0DANylmuRITAdAdUmT5+5BmiDGgLA6/60XC3zlbjwYNVUsxxZK Qsxc8lk86doi2CbKmhzsoGWztS1U29q7YGZrkLwDBSPxvFgRIlXJ+XHrl28ex79VbnSwwnpDdWIN qPsSmrF1utzAFN6qRuc9+Hw5HxmnoqW4OZ4Gdycs5CDJH35sel+zS/+jMR2L3TM1dFX8QteooW4Z /KvXXtaqvzC3wnK3fBWY7T0h3X3K43lwRbzIB39UuUz8MEDqKsf0OtbqqSsQZB0R1lSwko/XpXIr 8QtFmKujJJHzD2+r1Owzlp0R8TqayPDTuidXlm8Vqg3Z0IGOWqsOm644fVZts8+PJWRKY9CUs0y7 OCC3Qyd7cFFZ5ICa1Ow6mtqXgp5mkfCa9aRMOx1p3JXGBgkaKSSrpR3feeSca1l47t3aGIhrew4I Npm5uZ/B9SiQt0InTq4o+Kw1Nyk2OCrM+lCuMwni41bAYt4nCJYfAcAWsZQJnPbVwaHiLoo+AVqs AoRVLc4hFt9xte7avp3X1XU9do7cEZnnhqjBIBZcEUOxwI6BVSqtwa/ZjuN7q4VS9IUsRNNpuMH1 TaaXRK2UG3Zo/FaRe7H5szH0tP2B3dkDgIZmeUtRMGgSJ2/iTma63t547Pch5vYcInzos35UPgSD hT9bkk9+buVUtCfn6XXJv1sNrNV+OpXE+2ZoUAjMuj8pwc21926kGPEWaiYG/q78P6Maq/+9KId8 Yb6u6S9SmX8FMij2zCQLRwlTAreFYuLdhdcbU+YDIVMy8fRgzf1LrF1r9dCkZOe/krEJ/5EU7ll8 px30JOC3sJyrUXBKldKNeUDpterT1IN5DHCPtKm1UtrdtxdSqwDWf4TsjXw/0O20kRmlYVOTcitV yQZobjC+VzA/KK1FB4+Mui9W+EIVNJybTvjJzl2SPvOBmrY+OlxECLtwDXGfK1o9n7QIgQAQb2Wz XVkMMJ2o94ZT/TpKp1Vlqf4ov5HbFnkG4fvkxXyKqeoS8saq22ycq7fVdL4rn/f/NJW8ZVPtDYRQ fMdxlhAwzq0UIhcQMQQd12RQV5QjgSfijoi6P76DLcKXMifYZlOgR/ccFtfGVj7l86YcfdzaWKVx R+6ITtszMoVLruK1Se5mlyQLb1RlPMaHPrJc14TTIxHDG1OegHv5bvNJHdsz7iQ0hfM3Hu196bCT 0dXlhkTODc+8kXd4NmGtyZfLwaZJ5/+JA6DUo3W8JwJuoURKZYZCzbIllkjgkWsu9nP280oBozlg 4LMsLBAgeRq9/8TFFBcEQiihTsVFPw5NniXqiC04+7i2WytC5Oh2XlLosjcVkQszAa0sziqkJK8y 29Q53ODrVYDXn9OWhaiHaJw4tuz5QUC0+oDSvI7Z6QK4bj5jWTEShphIk5FxvcK6RnaTRitW1Nh7 uEsQLRMpbB9srYJ/CGNktVybCrWsDqHi2k0mvR+zAarYf2korbyksMh7iH737W1M3MwqVz0qwCl1 w65PKGxEHyHOaCxGvS9BnVxkVpNGMEY4k5IHEGpvnc2Te3hoIkY2d+W7jlqgT2HDSSpZSOzjpc/I 664ybyyRrtu4+YmWr1ccAY4mX6BzQR75kc9xoWQYiCQUGQWCzng9JRq6sNvMq+7EjhB9iQEjW8+R nlekAQiBnL3kvmfUnZWV4qg14CQnlhaw3QWDExO1a7QZYu68G23KFNhQd+1PKy9borRkM5g653EL GV0+kn+1tyyLhecYhnUauuHjRAAhCCr6qiuNdzQ0FgEoDODdHvSWafmhzJAQ+N0hNystCTAMbISI 6Wzxg/dP5s4BQuc5lpYhuBHu/Tn6S1FjexMUsMGWae3nHA19HvOoR1cL+mZ652FWkwlDqu2TZ5Gl RGY2L+znNFFA64i5RMh9Nv4LvkxhkUOCQXXG5mWXn+eSN4BPNqViDTYgfczH5qKXoEO5GOcu5uoe SbwEuhYSwH8KlEpjfdHs3hI1Kh+eRZR9J8jMJwWlRd3SW7jFarifSk5MwBfrcwQgvQQnBJ3Nybc/ C2cRk1DwnKtx5eY55UZAl10z/YR4i1KAoS/S5WSxMh65Sr26Dt/h5phS582N9xjvg3wooLA8I8VX JpY/5T0dp01VsfnGDWx+ZnhR+93Ybo3Ex4eSuYm5KuPN2uLbJPDekT5aXGRvn2Ihnw3rFsFHS0Jm zI+M0OCnRtm1Ut7y6sHqZ2Ya6O+dSbYBBA4HRv82AigiXeItoX4O85XQDYFImPCFz7LzUNocoD8y /7kSSrMcWhXhBbLb+kO57WyRfNYzYXr4Cmf0Bc7EJ0/rn+RyheRZGKk0PMtpu+tcZilQqOa0EKEu dy2haBo2XtpWLuybFFLDJt8hLxaKOmqQW8MLkTjY/OXPvnofjTB1DZKH5mJQMyxws3Et3eEIaF7v QbAXkgKh4xCitZwHAqX9pY8UYziSfJoEY7T+/pw/G41JUtmbxLwTmbaGSqZDyLokBBRoSYzf3ZfQ 8AkNloqnLxvUtXpKfD4oz0Fz1AiSfQNiGmLyRYy1dxjNR6BEJ7Xa29Ye45AvbVbQmz1BDSTnPLUY 0Dls4MNlZjSO2bmn1L8BOeh+ZZ7tfug+h6QZdD3PzcxqjsuORPxWuSxeP5hoRP+cxf5CU1Z9Ot66 1gzKg+KAhdiqsBZDnxh3Fbn4RBEB3YvUniQy4uLgR7AOtpt7u6Z57IAZ7wnD2P13H/LVP5tKwIiP 8qruOlCWSW5Rp+Hu5Vh7x/82jyqhxhk2/JIMw8ao9hrErYGTXN7mb+fXfsAB6FZNYD5LWgX69l20 /+63hFxa5/bAL9jSDiO9XXtNm0wK7HbyYozrbJ9iysojt1en6Ih50qsPIhREN4PXTYi4TQ4EetsF zryP0SvyH3L39ZjNrhdvzxA9iRtB+J1E2ozB5eAigz34FqEy6mryg4x275SpEjnnIlaNubbGdAzP Z6eB8M9UApsJq7XNVrNNOSo38sAIGVTTYl6XoBmZ/a6W72/uZJWAmaGxHqXkIYiS6xTfXVkWSFtm adFutNYfIAzeNV3GhHc8px8dMz5mlpgOBxkHrDesSLuiC3xTPT7gedZYwyYEy/f9jlqL/X72zhhA S+odjHa9LX2S29kfMGmVKi3uJLYDfjfQkqbRVYhFWpatrwnu8DwhVDYG/AhvbfjMo3x1bOSMYHGq ssmj6RBjvoYEDI5yziUrmRcqSaeWIjJasAO/liAfyNNa9fPw+5MtXxz0ThSSOd6lD+ZWgyUB5UAM Iz0u9THcLK3SXVsnT/N1JTLh7fdYWQc1SSmaGEv6RFR9uNUKPV6YKSdHerhW7MxHSY4NOwzrAPvP 0WMb557HD0dKUWykrqvcoccFnh4DC8xXfU9mpbr/rCbcRuOjLrHZqIIZnaE+scRXCJ5M5jyyU1u6 UQIQlkRPt1p74Wfsws97UTP+1uAOUs3L+UBUZirHf6PtLar9qeZYZR++0gsrJ6FcchrwOIP3ngkY UevHQIA5nXn5UxpfJxEqPOQbwA2YjbZYi5yIqfA1T9yNoQOeZ/mL7jZOMW8oYlom9BcATC8Sxw1Y fb2ZmDncZqqJHYncf+0nZtyZEx1tnwOmbEL+oMosLRTeCMoSX7GbrMGkZsJIxR5cOQjzkBdnErK+ 88PSWe/XtNw9IjzqWWz3IwIQ/srE59A8ccS750JMSbL/yUBvbcdPZ0hDW3YWcoM1w6Nkl/Hr79YP 6E8jK/tEAdiCfRRFmhMpjkandYYnabwthyjTZM8LIGlwkhOovZ3EYH83/+SDWz7JmBLJMjub+Shr omjQAfUr2lUk7UAX+UxkcGDOidnpMj0JxWBIE0aQFCPhG3oI35fdhk6mmhirO+uUoBBgkmmN+cT+ ROANCVwJcdGKYe0vR9TFEvxNE4/KpDKyWT/AIaX/dE9JyLryHSVBhhfyFwIqgfEhKhXZ7/zlAlll k16PJPbJaZrKF9S819XOAKARvC/xq1dNnedDZOHBHx+LhbqZHSaepGTUW7KCd5e3lCWpix8wMUoj kZdt7Greq0faqeh2RYOD9M+BGNgAqMzMKQRvQQHACBOqyWc7bcqbOsbwuY5vpkv32zXt0X+jO0Gn 0Er5chLoeT5BaV8zxv0u80AlWyju5XavL5Yf3PJR+9bXi5pNC41tWVcZndUIYDnKnxtuEkdxvB1o TuUDUsdXnpItwOW79Ex0G7IX++0ehZ6l2Wc6Y5ZzmnkmzYFz2he/ZGWtRsBEWmCY/HJoQA56VV+W TSpLPEWpG7E/ekx3QA+lzNGF6Jhy0754BXbsYC5vr/LChGcnlOzGkk6zMLPZmaTqUr/ekGrdA4xi sngFMDPhLgG2RNEUVS0mzX7G3vCG0Bp9ScSAOkWuo2HWZ/Xuauy1x5z78mlIjPr40ssly57cQLuL SpY4R+b0snaRyiTo/CUcZ5iLd064r6X+3NOtN0y1ARZOMcEaRMcMMQpN050KR+j312s22ZX3i224 NRmfI1CINdVyfFBf3h5gk93G+CrSwgVMYeuJirumYWCyMmew8/9bnebzxk08GrsgrgX6a6GL4IPh 3ffeH6oN+J5QEChbtWjh1rljB1H4w1y8ZiWwrUaCRNEuavvdjOuIne+YVtWD7RgKaBBKU+ZDyicE yXgCfMFeOLXJ1tpb+SVdVSHWXBbelOAMpUaxxEvfkxfavWNtmcwQ81Qy1NAaC86DcSiQr7RqhbPy tH1g/3UjpK48IEXwDX2AUGafqICLjxOlTOmB9dQUGlp3GBvfv/Hix6PoPBlIygIwIaBgRQjT6wGY SCKDnsM+wNr7nHoIfRC/0PahmwZz4lboISW1d0YuMckeeuuCamjT+0aZ0Z1wEIT7poYCgwA7s/yG xnHpgpLouuOO50evDjnj46/GaLOU1aInPLE1DVPj3w0n9K01oMtkX1Silcxg6NTdpzDf0fIFb/oA oP7gWDO3Mpcsg3GA8kZjeZyMdj50KHF049WKZIaDHYpkVTncWXt+dnrj52oyHk/IfzFjtq4Nz3wi 6hzm49GppsPKylakzY7KPqlEvknYotNiu3KujzkBJWj6tapMrByDk2uVbaTcUr4ubrRuG1t0T/Mc j5J/j+5qqSI3LOg5ol31xrFZrzfxmTdAp2mwS98HWr7O2qWXx4J2RZMXts1qMvbb2p/bOJ/s5Roh tI/O2t1gWb3CvkUfOOKFD9U/a8+UP47iuXzyVBOXE+wRky75u4n1VCr40KD9OgvjmNojMWFq9YlC ss70gG17qQmgsESJ2mZhCJLUY+pjBaEGKNX+W3tg6SDd6XiVifQKL90Vomtl1z6bayicY09erU2O PB7Pw/NSxeABhzj0qRZ0w0id34Y5CHxDSCkrcZtSDwT5ozMG/9rjinJh7O/lhqH3hG1WYF7r+nEd tCE+9d/Z+48Se9K2qsyzdCfGTFtqbJaq1N7SD3eD0XH8bIoA9fEgCzvS7Yn7fNLBFrS9B/2iEXJ2 boemXmuR5xzk5/6dq14k2IiL1J6mf9MGn54nrhhI5UVggWcs0k3OJSlkTb6wWV1eNTXNmgsijfHJ RUKGBjZnqK9vYnCi+ztL5I57d1uR3b9Cbv5Phc8m7YxYmPNG6nu4vA+b3TWsi0c0KVvDJLpv4xMS yK/SyKwm9GJRQM2b7CwIoxQa0u5RoelJE1vYwLv/WyfwtBIqfqlce4YU7ielYBCy8/A0GonvquBW 9x7ZBhV/A5X9RXCOlLLPnR1zBXDY3N69lWE2Spm+cveecaRaUGvnEtxJuUQOIX6aXRL/GoVlhGm9 BXARJQQlFBFVkw2T9iCFK8Q3dZk+KlBljnsOFZ3q28cmGX8KpI0Ar/ffbFGmPR8JvYO/Z5Jp4Ufl low8kAfhjUcOmW7Lk20IoQ6LJd6T2uP0F70Sh/I3KHAcwxBOYn2MKo0kbQRk9ZBa2AGJkWnzPof9 AZuFxvFGOk3nd9vd4bbKa24WxGumFvwUqUHVa1tdLcGhj2h/RYNSqcYIJ7cPdpZID9nrZed5/+01 aEc5iTMd+USMyDy5+TQlkzKa0eYSFV8nxwE/C4yIxJu/137fihUsf7q2A/71+MdAXirCIF3vBQnt gOJxkArCIWXqfdSJOgy2z/cCuc1FXB1opQk1gLsPGSwhmo/DuiBOlTLMGwKHD/BZ3ZqPjT+dZ+il KRYPvOFF3ZFGLhQbPZRtWvywNOvH2O1OBwuVZ2PXJtqQBBJBcYIhI4SbAMRQNIxz2BIaYF6e8a/N QdFTbr09IHqAdU115SWvkNejL6LypUV5jUXlrkZpcd67JCqt4/gVKNw0OsbpEZ8/yZ+coAtxHySm CEYlMiYzpsN7yIL+lawQfuWSkJIOi9M7NDdtHwEmA/xcsOB3XuLZC0KAN3lqPm8xs9OlGlV4NXbc NinVDyGPH1sU9+8o9dyErirImkxEo+/uL3buyco2eDzpckv0jpHGOFhSu3MboYSmkqAJ9RNhzcnn 0KcyieUOvCrrCrG+rBst3sHO8NZY5MDVGSWtkg9OZfyys5PAVa7g1DKX14e8r0URqsAHhObZsJFd IZ4E3uqRKbHYbejwJGlnaBWrtoXSpsST1iG4fADa20grL5a+o+YeRiPIKHFuJydzeV1rKAv5aA9r uVyCh93RSyx5CQ3zG1nBemKjxPEu7DF7Vs2FyMH54yRJjbm6thSmzAu/Z0QXi8XRMkRd2rQfxU/y a9+BVTR2B80bSlJtaDgR5BK7G73pd4nvpd6EQOjj0q9ZUYZM155nqGXqIwdg/39wdP/+Rm1XNZT+ HgH0PhROu0BjLohH7ucfaCea3MavH1rTNSiAaQdl3km0Fy3HrALpXouDD2kdHkT/TGFuMR1QEerJ rzwVdTKez6ggT0K04m64wup4FXxrJNfmvUXFdUTCcpTENtpczIa0+n698dw7FVKvpRfWotcZs6Db xHSDBTonYDekjw14j4L4dzVvOlSANtGUmemqeb3X5k3rrIt7bRaJu+ebqqYt3XFWXtwQiV2zQPxd xUYvoGIPMXxFm5XcKBhAmoqdq7l0/tsnMYCFNjKbnXI1VeA3ai5WnNdc57vOuUrVbvGNaYPWosji xioPu0oNz0p7mNv2dBqdLuGYhwnX9Qve9DEkw8r0nHEgCADqQHK1+fTDC3Kb3lToIN4baSV/9JXL LcsiG4SEp4PxQbshdweK5oejVCuB7fuyGYntw4xBr9LzH9AV2Mp6CeECYycmqj4f6/GY9FADFGvg oNZvLWr/SQ3cHxjBC40xnQmybf56oUcdkj5lM/rbDS9r4jCyJQJb16DxXdzUdAcTvKiPS5a4QHU7 TM7bS90S8MnpOiFFfJ0jm0uup081F+qTu7yaMzQOQSiUm1QBPsTG9YIKpv95ZrnDWZ6aOwj19YDx ptSQ2QyILEYpKYfQxNniEENcBbjY7kB1QqoAQ6asb3Eo44xjGwGzENn9v0mm4/06vbw4CD1bnPSA HbgHbb/GGvhLGlBydvMcGVzKsRBsmqejT1mv7iwEVIruvLDejhvc8mw4G+YRUrJk7BIK0zOeVOw3 FmfnSrJXuCrjhls2Z1iAHFt4A+3879J28cH5OpJ7mDdw1fuYB0rickIE7zUhsWD1fDUbztGIP3nA 9SVyaPiFyHMx1wyFDVN+Vu8TlYl/6/FeA/7tlvVdS4qBlo7pVbfhrGSJtySGZHWb0uMy6LougWhT rzC+bdqjSDLFUTJpk7bGzSqcsWyVS1gfCkE/Y1CQb+/CCrD6ZI/69V2DFIpxbKXvOHDhazuHEMlI AtDfvIGwSlttJaamOW/PnC6PlzA7115vls6K1xCjz4k+v1vnFHS45RNIWp9xqE6KYmnDfR8/HXTh wlByttFUben206GTDVRw7dureP23lSDndWOiyJFR/99s191/scKXAehEg7blpyutNA8XjgAnjOei QBVA4wvPoljjysacIJBdzrLvYl0IZx9Fex2bFacJIDZ5fz2g+1cvsGbAaw4UZWoFbrplw+ts4/oG GuymY+gZLhRidWlOYHJmtgUoDkATpmsIqgnDSXsAfqRAgYerGr6atdh/ZDA6cJApWGE14a8xFxLX Q8FVE8f2XcSo/rigMEIbiTAuFn4uU8yJWa9qOX6wd/fZSA5XZb/ZFwd/8M2ifOVxCDGMl8LaifrX TryGa/3DUKnUQZmyWsuN0B0X5Sh/ArPFfMW/phEFa2jMeJ78/pIFW+BFDJ+VwX/w1tuL1jkhDsvL P/y2UuifIi7vuJexRTSCrwHHZnzjnrUT5gwseoed7yW57r5QwqVK1lVdsLStYC9p0yi+gtIJR4rC rBGaqUhFzBciDRBIaV8FCdO8GALeYQaXCxV9I94SgyT//UelVQeJHt2k6ykQ5U5xLO5RDV3nnouX iZo1QVHPj0pjY19GHBnxhXUqAnnLCNXdymWD1eSiWqC2KwCPk4dfDmvTF3o1JwtswNm+QMXd6EuY IPj1GJH5yscJ2OIiNXuZHpmOqGjNsg/InIXWegKdTp8buXPvmUzV1uYur/c8vj9lyyPM6TGn7xT6 uDwhA53XqY7gmQXyFPdMLENja6EuhGfu1WzUCOU2A7n64EL9cylhzR17pplv3cj2dgh+sB+1xVa+ rp6W1gYjTBAD4rznoAZbP2oX9nJFQ47jeV+LJHq2m9VIesaixw9HEVUTXU3aPbBJzHKbE37cxo58 UajEDaJ5CYc4hzijQ8gCa5qSwDYRCgEMO4ypbu7ATYMRWg2iQJoRm2SNSqRrzhEbms2uHGEsqGcW yi/91JoP+br1iHD7OyovZn/A3XP1FfzxKpSc0wQrTn8iNTXXZLuGX4TC7LPY0sIA33MLnZ7IVx8P ySqPjW2YXOONuONNg+BvlQvoS0EfTs4cj9MlnV3B1HbWe7z82mO6B/X5kWRq8iyuQvDk2m7SvQSn lHP7FaiXtf4BnR0+VDxVllpBOTZPqmwSjPGsKtfADny1x94xnAYz1eKGEtOMLpKWBmAZzmVWs+hz dq+HWU837L+0sqZiYAsKUCd4qwU14kmJ5GBH2A8/96EpjrdtM7oAQrMaxgWa4r8zecLv/u0/9PTT zvABUQXvuOXSMz/OcVBtZnAOEIWprAjpYWoVcZBeQwAD9FW5V2uLKlQEgxcHawjPzXDIk+iOQ6EP Zq4VblRV9f26K1S0pv/qGRRfklrh7pP1dDeBa3YYiKeKdOkF2TV3QHfA5O3UBzW7a5IMjVMfa7Yc lRjcQLdbxKVl0XV8L78keIdq5UNQ75lWSjew/PnoeO7xFHVBKnT8oPlvyGHrSALb+kXGb5iRvGRH 7PvjOQ1kGKPQ6CS+LyT7BbOMGR5YrUMjN339zEh/Bb2FeSnkWcqv1qOa7dhF0j4Fvr7Se38pg+OW RqhNJguEbjyJkQM9E9fUpblFm98iBLEBajLKatk0E0ASPydXupYWw+V6SnM6RtcsLtHhlPG2xL6A 3/MRXzaLrhThdnWcNpN7k5/D4+ocZvz/fiq5QoARWh5CWU86WvuG2I3vBi6zdXi7lDZeyj0gGOL7 u/clnmOwOFHgNFssZt7Zuqy+ApTQaRmciakK6RE2d+GEOWA56FU1axBplgKw3sYjMrPhiw8IOaMI JpsEopviXHRTXns4cG0osiUiVRBrNnK7ojuVJW6PLx3+crv2y++D+6hK9SomWM8tUo+/n2T5BnAq gEXXGWFeJ9mIXUwxxmShr6zOQmQ5wnFb/B2mCb+rfi+o+Uzr/S9Uemy5D9IoSgjV4GG+S3zxpuqE F9MG56N7GbrKAuyjiR2AtPPNL/UJheQMm5EZjZkajFBtoFvc85Fllbj2ePrpSr4Gxt5YXGBVXaMR Incrzc+00waTt/Vj6cb2gzrzYMzlmYxAhsgZHrJMbdmS8toA3fj14P82WmALxpMNJbwepTgz8Bnz 1sAS+jV0W09RBv8u7guuXL57mJzW0RJoYn4WW+W19ruE/uHrS6EcyGaR5KhVe/laQk3iqgm2lD4P OU61iDr3Akg61SnSIkGClf/1aKHdWFxh/mGhz+B8NAJZc7IYXXnkqx7sdIw9wsdAXJJOp6SZt1JX MNwRYNdduzXwTGbmqKUMyJ8ik9agoM7tKmxBtwP4DjI4MDAYTFFDCxAr3XyLJzqa46j4VsfT8srX kZDOj+ya7PAeFDDdHYZcq8RJnrQUEvAswoWp4wIPebvkU5rwIxdphB/HMI37e+6x6taRrsu38zVE RfR5Tzxr4UokVDc0v7MP1PElf4UtT3QzP+8H0volHyphEQ8ynVUAhGNxHspmQQw/OwZVCnisCP2b 60PgppyTGPxXB2byPpBxZQeosH/EZ8x+6ua8x8qw5JQtSRO/ZhgNSe1WDVI0/59d5ZfpfKRMr+eR ySOxPDr4FKCmWK+OtWwXsKQ6xmPVJZHa72ut6eFobJjUdzB8upYAVtlZjX9D4PnbjpINsu0lB6fb mFwvPRpc84kUDPUl8QTSELwkPWLxnBeAV1bSwknT7wzA1dbAjGBPbFPZwwaDffCxvZmptYP6GO3V 0UGk3K3gs8+6QpXUzHTfTxGF9RzRu8Tq3JgTSl77hDNahgL26syrDfCHWnnet4YQHpkGUMaOtUmf Hxs3VLgkxUCaVRUgYizQTi5HBRCLrOUt7+Ev/1a/0KCkhOQWdtAUifLF00+SDpBHp2oJZMfpyKju U57/bKk1zuxsp7/CLv6NsR3JQ2UOKQcCTPZVQ+1ZQUM06CrDoB4xSSUNJTG2sxZrB9zn0DIIBn9h FsZKgxgXIqEerIZD4BG3V5mjcGlTSoER6PGomFr3F5Hu5Lrl3czS9ptAaJsHImB8RxuNrbGoiZdS otZQAFYcabHz5nKxkD+3W2g79U+J68Isddz+cogtFNAMkEvT0pAuDuU/P4/CRP/uHWK7qJwb6fhp O71OSsS+oevkQT1FgZQUxQmkXn9600dWvtdJblTN+pzguCUIgp1N+hlrN0YzzXNuwbdh2u1AClGR WexEk2ubfs5tK3GOHoc/tt8/TTMQPPFgJyaVyYhM0+rsIkHfhlSIuQCA5Zremo52b8gTSkkzCgSK ixE2L8Mp1MlyxF/R/yYYShJQTE0enf9vw1y3Im/Vau6lgidMl6T4ykU/KrAxD7ex/vynBN3aKdrN yY5eoHWwNHY1fCWZalceN12to5WTkg1UNRRfKYr6G+l1ZkIqKqquFcVir3GLFpQiQ2GBc00FwSXL 66tztJnsGomC97qkVlDo1O2UVTiZ3l9Vb8B0sFiFv7I3Rdk1KvmwgNgaBNj7ksJQptDfPbkd9E8C P0hH2fVwdFlsVz476bodVXZZ+lwJNWUcKQVuazeraJZkCJHFBOhHxfJx4AGfW45WnZWtTl6g1VGP W2P+PPMvwc0Y5iRr7UsKWZ5Y7WVAy2PWTiLJfaUliIhXS5w99+BnL7b7604ik26O/JFTCE04SIpO p/AJADQTFBgCd2PWaWCvpuzkAUCf27DYYSiyaKjNBrIWs4yW38WjDgQnNSy56WsVgstc66clnxoO Kv89W/2dUeX6xKn0OsoiETQWoRwdlkir9TwKpVYiyrGkOfg0iQKDr4GKcLvw2RF7lAZui7aFy4Rr gxdL7VB3O6FMxG/cyrB38hREktOFTY32+K+ElGQGFVErLV8RAE0bTnTFI/7Is5iwsASYOVGit3OH dOeWsTPJHs3Vwj3ypr1O+8IYDfwexronjT1bPAFia6wj5w7Ob+DSKW6TrwOWmDwTe9nNzkW8j9jc 6VxaxH/jn0o0YpqxrJ5bYtAFZYfFg+iLJI2xusDyY9YhY8RmsnG6U0YYjBfcDt+7iS9ziVF/4GmE DQr5UOnOPTN/fB2gPyb6MEPhp2d8D5mw67vAD/HefP0LPywjNRwhbCojeLR0DfPFf7enhhehFpSH HC/Vm7lPVNn9NaxNaH1+H13p8WxXSdZ8nOEL9Ah/2YcmivE2y3dzd9nA6ERxi7kHXbk8eFDJ2qRI v8k5nR5F8UvAryUOjTYmoeCYT9JiBxAskK2YvjhwEEl/3BaXx28ApXXXyJjaMRYIL+MJaeY2wmgX WOUmGriZkY/81yCILrm1lh2aY0m7hCxWW6YZwvFuwHXIoogWPBZh4dnmqFmZzx3pAL9tQaVAi7GM PtawZPj4+8xsHIV+KFQX75SA9sNiNi+COnmyj/8ocuUphy6t2IIXhH3J6DbJP1YhZaZe0sULLoPp wgAYUnKQ3kCSNJjBvG/IW/uF6ys9kqS6SGVzlTPrEGXwojxLowk+hHudQOYnUlOAtEHOKIr4mL51 4vxbVM2dqxys8vZ6dva/HDMB6SIt7uZRA3hSp4hCOBlvvZI5t2Y4qAZPlabb5l72GYB5CaSKc9ek ZFyQzeg3PcYqXRDu1uG8qxlFIZNWLk2uqwCsenfXyJwKwsaZW+cNSi3CSt41+EIOzloYUZVIkCkn rXjprfxll64ausCVcKCXwcLx60r2XBI5kHD8gZ/n0VTaqZl/Bf5fAgV/EeONxEAple6Xqd7zv3fK d3PEI0GCxYM3IqP02Gci9WowiGUB7Z4LvKoBoYtoQHazttO5xgSWpHfAW/9pxV//q+T71crkrm+c NRFT6/f+UCpaP2z7xyAWce1W+78vIPlmzz/gwf+InVXuGnwjmakP4I6QPrMMMeOCwBkqOeRkEQG5 D2X3efsvwaLf3QJcm2ls/abhfxP7JbadelHsJuUw0rqdOpcrfYH+nZ6ZmSumXWbNbeTIyABOrDid 1nkNeI00pbGmBoLuVB0EwRcQK2iLea030RCvvyImoKq+7OrYGoZqwHbiuQtJLYKUko6GOR09cyeZ EtJCyhAenSWfFHvQL8YNk3f42hBxQUPG2q1OZZ+DsBPLpO1DIBrcFkFLONiCK1re5m+yOxKFFIkF CdZPogfU9L3hHLHs1JWqLkkSd9QUIxAhO5gaEz3jDup2h5d49uNq+IL77RBu/QQhS9fTdg6gZIEv g7vyIYhtatZdNlIqDlwPhfth1R8+Hs536JxGd+VBRFIGSpA10sNobn1f7ZljUEwkmgLLugJH2uIG MCyPP3vnBj3d3fjtG7E96iUH5/h9VFhTHPGVTZjmfyk8j2eo+R3yLHST1bF++HGihSd/XknNi2/W ngHlVenCvZvW30Jrbk8N88NMQ2OAB/xvV27fPuQ7BXaR9zw6Gh1U5VY70KejjQj6uUMV0QlwdpPT mEL72vhLMUbGoTeYMeFZul1t4Ie7ofwLpg7DQEPKveBCAN9igdzRX50BDaQD0+JVaEN2E1TGfE4Q At5tgd6yi8bnc3kDP3kJEE/aoLD7978A56Ziv7eABN5gxxods+ybCNNvIUnB9sNw5iTPXlb1eZwn gQRW1nd4V3mzlR8cxuqiyAgWswlAMlwL3ry0soHF4O3HOFK/NG6HPEbgzAON3t+XPcRwgImlC2op UVmT4AsPDkyhhOEKneG9uN7kLAhW2jpzfcmIZoMAPmGHbyZaTYn3MVM90eGBX3HGUnp7qLROlqzl cWr2OEyZ75oQlveKdaJN4xU53T3h2EWg9z7s/QwTQ23tZMEq0kn8soxjAb95ZCELQYi7Nj55gvka bWQHhqBJnOVz9yyyAuHTAoIe2tD4VuxwFaE406bubKwzgMpTywF20o/Oy4cVjU55S/924puSumtB zlytdJdqsHo5J9/bCzGQR6SlVQrkAbfXSaVU0ctjgyRU9GVPJR6vGqJfEKEV+Q/yFCxWzPHqa3dn Cc+u+9tbzAii+uLXby9uSzRoq0EREjItgoVuTEdF24/q/ywx63hSsMLn6kK2BF7ZMnlTAaZjhyQt 2TwE4qnIPfEFLVLF6ZdIjwXx6zOOuobnHgYhM5HTFTXNNWQnoLC6Oivyj+zsS47scHHNbzVd6C4e 2C+IAgfUP/BsxrkX8wffArWOV3D7Mp1WNeARECIlJ+i4RMUFNH/2QvsuFd75v5nmqjkBOy6hVuN2 3qfda7Q6Ta6U9wEAyUTl7yC8SKDAmoI4LPm97TLflVzSDvAJvE0G2z+A55o7vhChGV+t2FiAyWKK vyplR/PF0oPAYBE891tmr1W87EvY2/X3ApLynfBuMr0KfnN4vkkjil7weyeavpBzvLiM+ZQoHDkY CCJfjhd5v4aRUoaVu7+ACpFOUma+dSPW2yy5OSx18kUx9rZs2uJT1rOvkVxsaEbjuVxshDFCIReN WNEi87UjWmM9cVJ85eVOyYXTqwoIXAYZ5YvOFH2F/SGnUUYHRaNjqqNMJ6JNrohbCPkoyehESSNC uxEIFqXWB3x8HXmYMkPiIaFO9MFy8G0KmOFUQUOOctE4QEBFgy+/lDzSLrgVUIAFOe/cycwa9SHI /8RZfdJIF0H48Offm2g21sP1KfQaqqBsKDZKwtI+Q3ecs9IYZVJLAItZhZ7GYL6UXdPa2XQTBgtq Qeo7szc5042pS4MkcC2Lctewb+2h5TuTsc8F9A4qh1eu1MI0vFK+kIl7q1e4fvedEwtxmyNIRjeD lYNkb0uguqq5HtdhuEVRvM15GGbEOSda400K1OnkRFPng5YHv/YiyEjW4gYLU14jWzRhKvN+o9XD jmg07Ldqvjb8413AYaQO12HCre4+hYHM9BurY5zc0pbEtTIk9TOmCGCIXLGbg74SL3m6sWU80ZNS eJENKA2rZbG8Y8ku3W8aS61Ynu3zatCYPurOHg8FTctJHvGNfoCU5toJlS2mvCcLVheVwDdSBWMZ R735gFGp2m5mRad4Hirnr0xBXAf0gzhJsKfshcRNBjjAKSmm1f+SR4Lq7pe/t6qU1nu9BkTnPXh1 DZS4mGzhSPfrtpW55HFHhPu+Mnq0ERyZII5QYnsDGpFQhNIsGFLD1HvW2bcrtp47ZMhlPuaSqZGE KptC4W3VLees5TBTBlN+1A8/OWpJWjohWZdLZKAF+E5RfQspmX4FCuMRP165ff1uKd24SzJwFd2M lNgKSS/kUjOZbWxsVGXC2/m5OW2t+rpyRjvUPPy8N5hVtN15/UOYnqHWMb8n20ocHh1xkCbS9Cyc 6pKI6Q2Jom9bF9UAawoJffIhNx2OYlYewzj4UEO9+Img7YNDtrnth6LVKA0B4rCVG9vSy/4Sv5SI aeVx+Q16TdUfjjh+XYpaCbXEtBBpwQfhpza+TOfu4RlPicws4DXjERyauF9R8Y9TRgvvfE/6D6rr /uX5ERX2PFBFRq6ysQ3LZSgL7V2L0N9qIOcPSrFZKVwv4Qn3XmMux+G/vzkGoi6wr8pNyV1RgJDa Y1NO3rtBU2B8CY71MnhdE/SofaMqKsLLgOlF0nbVjPGXMc2kbVv7E22uw9LS5Deaa4/yaKWtEblF DHLQnGeWqSD+956NkF0e9TEfD8iKe2uU/bgQtIRL+aIsCTcUL0A30NZ994jY8FOLq3LacyA75XrU tEoXEJjFf0cS9s0Ib14lH3YFVPgv0PV1khmDhEveKXYyEMRVSC54Veae615KodxirDiZ2AOcCRRA 5maZiT5J5KKw7+Zs+j0BqXuqWSQ1bsl06yixPwHnqxJyUh6N2HPAymOcwDwgpuHm4nMlW4h9Y3Cg j99Qgv0321Wl+Qx7mLY7a26CYiahaKI8JPmdPzklLDPwwLQ7qyujltw7AhVGC3zHhzsmV+GcwMhe 1dwSi49NChjfgo4EQ0xa7NgXYVdPcT8mqwuaHn2/88TvNF1r2+EAcv5nmjIoiaOyUfFn3fK8aLce mStU2bMqao/LY/1FoEA+KN+BGxjHRpQ4dFwBa8VZHIChBWY/OFu4bznSOCT2pZg6xtjPRIY0Zpim H9mmPriwEz8VQeZKIfPXJd69zYrcdjGgSDq4rJpvSIDRroZp5u6MQvuEqVeCFOmzY8YypuNDRRFS K8ijF8lSmPSIXOp8ShR2hhRqPWSDMQcA4y7Knu5LgdPifEi0+tMtgeToMM17nu4JY3nO1BF/JH81 aG0IWE0pO015hQ0LML3N6qShaILO8E9t8mP8BQ0+jtHPHXXbhSTrd0XTQ0qoG6jjTh9H2fB1IIYB HLwrB29SQ4dNK4A3qCcHwFrQp7rw+VnN4oiXgH6K+TbALgiAgeWGVTnu43wO/sxEp54TrAhem8Hw xogvVA44VDYqLNIu0tnI2FA15ehjxcFE2WI0G4TeLgYJgPkW1ExIPJixkWGmyr2lt1FbNMsvc98u lYWBoKriJJ8eJSe33sam7Ghn6Lq+Z/loE423D6lMW9wC6kA/TZkhUc55iFa9JXVCKODBGXHYLvqY aBYJDc1eGa0+gTHUe97QCSPhX29RgpoL5rARS3iB99jKO27ufrEA1Vty681Bw+y8LD2jfSM06NIU UnnCRU2XK2sMy+VpKtfpsBoCDsrgeOXDngTQlq/sjIgQLwcAE5TJlI49QHG9DTLoSCwXZnBjs3lK ovkoKIKWG2s9IU/q9anZiFEhULdm3vpoLddyRh1jtD9Ut+igXjMygnGxzR1N4ERqUbYb68OlGwL+ jYZ6BD3pGa1gk7ZHUsQgnuBVIE7CYTkxza/Q/+Ewp9vVVD6rR+rJVZJv/TANAo/mX+sybZrgluCv of6+ALqURcjEULOjy5+OtPaLamkjbe9jyPq5MBoeXW71M+A7yCxABL2qJLVl6BXN0qATsUogfx3W gfppjdDYmV+n/0qrlYJ5/vUTfm8M0gjtv1akHq0Q75rOTv2EJEqxM2mBISv5AGKAf+pD8DZyaa8f gmpah+T0+rZLEayyQnGQjfeMXshEuVBQklhzRHyZmbqGXKdeDUBgUh8e8H3kTx24FOP3epd0nDRd TJwwOdn14B16kvnPaDf0LZA6mNBVnzb+VqgcTLR0sYja8q501k3V/gggZPQb/oZ/EM44pM+62xyl W8aIa5GNBs+08IPr3P5fzAlTs7CbWJ1rgs491ruO9Wml2lzt5aA0Z6mYbkW7l2PfJUg5bAFNP4L/ FpKkaMjhjpMphtuG9U0m8dGPfGW261rGKTS2sA4tFjpaTrSRZDYjnzVZDk0zKjQi36mGR3S1VEGL syDki5YYcm9rSu9rdDu8upS6+yeQOEf0afNIMbiZ+bKr5JHL4msqpf0XkXOzezZA3YsAkV0GCONn wLfrUR3pqAinNyuaV7gcC6+9SgZ7WYiJVWlQhjyTbCpQwBQhmykc5Lqjddp15qrlzsyP8O/wEPyQ CLVJWoyRZ2QQos6LW6lIG0iw75k21XBIbHwp4WFHdXAeycpIoR828SSjQs3WL6LMGLEvEjH9AnDR ulb5l7yu0yURizWlUYUpvhP8Ludz6F7jt6whNUhqCS/eMthZpuU64GLvAApUcubmFN6XJ0W9vr0N Scj4XbFRQZJPmC5wShNm6GIE3Io9MqeY/Ju7Wnz/6r+sZ5wyuIwpPYjjsiT8Yd2UIfIykmd772Z7 7wwlSXVZ0vJYVls2weD2whUrbNy/iz6QcFiYa9Zt6XXlyxYZ1hKj7qSu/gRhGPst+jHCaUwi+NeS 7IzW5vxv7O6LapbYuJoxcHBjLCNKMagOX7rMknZqdKFo4XiV9lkAt3Ssmg4as728FUYyiOiCyN5n MVIoHp+CpU85aA1wORi22NxxVe+cOh3Dv/EgTMEtIRJU5FHkkXgz4USA1Y0PIKfPCxxBieNJgYZ8 xcZM+UytZ1KggdDQOk6gcULOwIQ2vpPeVWXL2GteHNH6ITNWxLFY1DEMRMbfaRl/e179znjbhPAK Pw0Nt29vpdixZ07Cu9V9W/oOWdAYVUA8lxexKNw02YDaT2L0Mm3jG6r+j2QqMpYktz0l1nvcV4ls A7qdAKsLmqrTamUT9KnzEXczZx6pjIqsYZdE9X/OCYxQV3otJeitZRSj3QTtgZ61QV+COHKctKVC ub2gmpVn1mRn0O1rBetxSNJL0zuN3o/8TYG2OhD657qB2FPO8FH3f1E6UYNyi8cj72HWXHHQbx8Y KBGbwtIV1pHVN7XSXlGDCV7Ehyj78vti25XwivBN24a8IF+i0HamrZyeEQjo6uULF0gz5mflS8RQ W7XLTY7cLLjO+ugZ2NVHRECd1X4JKFooGQVAZ2kagWhFKyoqgk2KMzhPC6Citb8S7BSh3x0vu+er uEgiF12xKGeY9npjsXbYpqvoc4+XGuJhutMzT5XhhyBSXUu49jRVPF5wzol166ejO5Af0cjvMk0s 1F2a6cxVHC5+mOyndXfB8LsgCAtuVwm/m+LKOWDhzJkgfFDy+TWY5B6A3UXkNQnT20AzJFIjeBS6 B00gJkMtJqrAsapyGEMpWd1dz0BLG2g7pwiJmcBVkNKhy3xC4qKTA/4XHgaSJpYShuqKFFdbVk6L A6M6PN5kvVlPgKz2oSHN4i9prPCanpQduanyBd3a/10CgiOIMVZrT/ZSKmOo2uob5ayyFhr5nc3Z fJKOkvlt1eUT0rmnompU+GYwMgTYrDHnwafG6HyTwM7k1pfWR1gF2+3NMjkvOWQx8xLGuMn3+dtD 15weLXxiCdpOxpB8j9WJ2oM8FJX6k9NQYvu/LPCzvC9+HwyScZD0zFjT3BaoKAA4vk2URT0IgNnD jXDNEG4517CDxeNsLVHvGApr6z/uUTnOS9xoCaMs/EvxTECKMs5WkG3UBQFB7t+rJVVbvWGf4OFu QwlDZQIYIhUN2r4douRmmm00A2dpEaWgt2o5c0d24xryKKiv5krIFvOwMXP3wfHli6Gaaj9EpS1D ep3EKXHxINarooY2A+LrIrw8KczY3/EFHt8KvOonYc7Awp16dCMgWjXXMvndG3jW0+6JTjDvqXtb JfhtSvPaWu2s4/qrRXKBHL9nsQx5z6drbcUmFEI4/RSx1+8rEFAWr5GLd8VBAXp3si3Z/5hBeF3o DfHXrxHZrEezO2UbdQzGT5uNPncyykzZtIRIs8VMAwCD0yGHgGWxI3BmQKq+6vyk5ydJ+27KTUKT CBrJVwF9tlXBmkHrHmrwaaE5hTLqtSKrIJQJoec+PIktWt5UhoqcSEtcYgOcmCk6D2Qi7oFIHo/t VH5LybXTi30MtzW5srI0GYRILzeZsiRJ9QcX5lTo4mpuRj0idoxpS361yzchSdo5ODlX86PYy4yY Y8x7Ccb/mID9UkNebQhdC35ZtXqhESaMR9cxAxp3bN8RykeU2C5PgjmuRz4jQ82yV0ovkKrDdwFZ YhuzN+vw5b3cO9O0+A5rVDcGBiZmnVLc+s7GXqzYXpL3BJrNDXAxV8wyFAnaNACyatv+Zrpaj8uM eA97DNKyN5ELLXmbaZ/VK/N/G3cIeRhu27b0QtbhTU/jL2JIH68vDaohWVbv2XhUC3WKFGYRaYKW QdRE5FjtQtRu/K60RVDJTuZ2QRR/iu/3ItE91Aiv/qIzPWqFmnh6Wbkb7IjNLuPxaVJ8j/WfSjR2 WnYKIHiEEj4vElGb08k3dyKFns2DRsrzw0aTJVd8jknvg2omb8GDXbNZtzZ9qpVni1Ln+VJTiR1W 4HDPf5oP/GlGHjHZGok/1QA6I5+/5bIZhcCj4rQcI9ndVcDf+hgLLUp+H7EEu8L0xt6RjePKcHQ+ DppkImFSeiD+WmIvabB1/2e1CK7HLI8TmhxgqWht/qVud4IH9XxpJYQZSqINEXlOkiRrxOoDdBnM j4x7RaquQDcNNQGOSWuqtaYCb9y0X5DabBNHVSFPdz8vvBBqu7hyP60FUqZbT5AXOn1qLVuxfSGU UqgaALMoko83HZuIMf26e828B7qofnbG7IQOE0cLO4pRUVXlUAnD8hR8EuxBNLJ0MM2MtIRyjA/s LCx5BJKxgvbmzSNuW7kiTI20QqUmt6NuBoSnXwJHt8JjgOC9zULpfH5qljrHJkx7wF+i6J3KlwfH gLi8C/O0XDAcY1aJcJDMOJgmUEILB05PuXuIVVKCceFESOQIrst9zqHf0v4kL0h9ZwfW0nOWVg1v KqRiUaopNHFvfG0tY/7CL3mBKO+lByrYSSGNB/KXiq7ZgALaO9JMicxsw76l+ei7DD2qFnlUEsuh x/iRMTuiY3KtiAr47lg0AYCLFUbkwWPJpPczEivEDR9V9gHBim2CZNXKKNnme9t7XIWML1FuVpj1 FEojL8QNsCMenTFeeEKnIrmx8VFbRhYshpd31069Ny9Xo3b9eHEIqD3NsavFCiiEHA3RNha3yrY9 wGZFolNK2tWfysybVT6o2O2dUo5hReI9A9XkNt30w76QQkrboY7pQ2DYxFV76vcKoKCaWVUXkCRF I6Xqm31FwwNXvllDkEGfyFCq9Ly3Ew0/ynaW0JPgm/UUrC8mOHIRsvyYCiDFfUNrkHLEZBPPlMe3 UC7uHzPT/VX8eN1a3BGABt9p+U/+XRjzA7UHw5T4ECtd6OQbomrhox/1qN4A7qbCd9Q+J8Q3ECHB 0NoiIIVkSnI77/feJc+qoKlQ+EbaROaVTLcgEiWT4Qu95pOgqRzgmN7zGG8LvNaW/s+i1Im0owh4 ggn8TnmXJkczmEvZYVjnnAhMzIwxj3X2mzSbjd7kT0NrBpHkMnblMtuTi9piQTF7vQGwqc0yD5f3 p06+LEnXHrs/oA0r7N6nTR32qrTKY+P+q69DvBtWcvOHNxfAx1GAzB2CVOAicbSqp2RdsEVY45dr fF52tXE8yZI4DsPbXVeMRVFTlcp+lJF3Wjerac2DmbROPHnHqjjREJV3xXlTgGTNQ4xFS4g8Y4LC Y8fQdgMRMtHK0i7AZpWqzFToX+lnBwuxj3K4Uoo4DfePLsldDV1kH0T9uzKEHWjWes76iMfjAHOM FpO3omsWNdr2Mt/SCmpi+HjmVJtOsrD28AcUznEoGyjujeWvg7VaR7dWpEjAhU8j6TL3BacbxYt2 p3Vi1AcOIv11Bj3uCEdkM1ZgJBqtrlopKqZ/8Eabk8c8U05SXQKmCpdD31/63YRCVDq84MFKSKs+ ntOATG0xCyvWHTQjcOLrLiJp4MMk/G4IMLaCsvmSLNBCtfoGCl/1nLFt7RY+tDy79sAgRjEae61T P4X/EqJ/vc18txmZK657T+xiSO0NTGIiGh2H9stApCNDfJpqB2XnLl6ZTOPpYdzYH2AqCBVCexsR pkRbWdCHp4m22tR+g7E6bdW71uOdvp8iDo/JvKtfhQp0Ia4kUBkokkSQCtFEuTDVQHv/NNnX4hbZ us4yvj3Zvd2krWQZYuW/RQwwnEwdx2Xw28apZz1IWqurFGUcHoBSLZagA59RPPp8+LC8co/YLsNX bU92SVyx5/tJbQAGU3TTFo4nodK9EI5+SxOKmq6MMEE6Qx4/hfVWyw/agKL+30mFlAzrvWdNEKp5 VRQaxyx5dlcpsxRr4rbF9qMvbE+bHLYQPXJZiuag+BotIRgs5og+g+OLfwXEnwFW41XlkM38rSc8 AY4BamidmH63WUjiJwvHDznYSKfbtoi87HQzkfpLq1ETdGLiaHgDl9rN3Nh/2ua4EXEi7eOy+pBJ 1ohr4ostlqsXjslUhxokD9ZT9NXfjlAYycPrYJRQQKLL9rrJ9DduDNtidDwLxjaUt016TYLd6Cqi EsUUkdmtwEXZBNOAil5dClfYcVL7UpN5pNfpK2xuGz3wzY8+UmGVXaLm7mdN0NXOSFOAxwfJ1HXx LyEnip0iVz4mNvBRGn6ou/F62rMXvG3434B3jPZMQEaURLU/nGwwDY6KkX0PZf5qaFowgZC511s7 FmqAP9dMTuvWzCsVurUkxGbhom+UcYm2Cb5yZWBUfny+5bBe0pO0/fS+YCGpI9diGUlmpEQAMphm Czr+judS5dQ/0ujDnfidmrHB7yw7WXBLe1+0pHFl77JSQ54jT02BE93P0xrdxwGnFrjzro1h+Ybb l9l1bD1MFxwwdZK5/LY2TkvcXOQhs5CAjFmlEApgsL+k5OBjo/AC+/snnVotKh/Pq7FhVe91xdDL PIqoNsUBz4eCM2komiqgF1XDkyKfUpuawMu7z8I5CLR4gUh4meOPjbviejSXrAI+6+GAF3GC7FX0 pIA4TKbmzRW+wlpVTDwFmA0UMeAjDT1lbvKhNwybVA7X5BeK2P3j4FEbs62kGLlrKAXWSWAPgoAS DgKebKhCHDkSbNSESSxx72gkH+bjFrobohvyipYmLAyi0tFi4WLMInn2FF9BNQfNAqvNw9aA8IQX RMzVppQiEWYPMo0Xskmwa+WeIpvZtRC0ic5826yDhEjWt0qLVcTOgXhiz8KmWE/pjdp9aZrn9Xpa LCZZuJuoGOeEn8eECI8sYy3/zGOt5cjrScOCNCATqAMOw1HRCvOu4DfNGBCjVPZa2XIWRprWeUO+ uWs9Ap0NATPmtzrjQJl253bQZe4uBUVxDdQXt7Y55P8SV3E5mfT7/dCNlyWPRZHfDNEVxEe2QXx0 mBXLHcySpJYrcuxTpBALtXaAmpKfnQ86keSvWHA5hiKV/BajVmsG4L1Mm+5cX/t/mUY9FNY7A7Hj raCXI3juONI9+wnGDkAQDDdV1kzDjXwpY4s94iQYehSX2jdGGqyiYwmP9ciebqKmmdFdE6ILfxot t2/UBuA57LVq70DZWOCST71xjC4fG7eb5yZ0LrlPu0+ZyrFDh0dtzqzk3nWJjCt7Dg6TCGSyvt2T fTJMN7uWY4Fuwkf/F9zzCNuPKhnU68PPbkUPGe+8+zpOP/MMReQcpS+8pm0l2eqrY73jahtldVM/ bf9wxamL+zdQ8qpcmbiy46EMjIaBLInH5M4bicfhWrN3UzahDzvM+PnE/0fOrFCcqofla3o0W/zo quuXdM4ysyemxlpByo8o+Bpe5eTIIqAsCSrijs33Ro7apc9i07jfEJ6No5E1swMc8cSs0NDIzIjL lSebsxIxt2kcfIZ9fAKHVlrsyNUGpq8VjKZb2TOl++J93ocFZ1o9mo9sjI5DtghLozOI1poke+im kQC45Pnq2pyaSIYsfd4TYwRKPB8I4C3mlDvIqcQjQp5xH3XjNB84V5WcM6Ukvs707BqHoh6GXoFK AOJgWUPZoltISFsBJwtIUdnwxJKYeRWZUukMbTZfn+/idvU7UouYMXp5NaNX74SyEwOEOWMTC+42 z7JIBWGosNT68jUx1vt4MzONvfIEF0FW5hAb4qBQoRPUZ/k4x1LF3bGUTJOZeTS0ANWUbdC4hktv h4JLUCSu2+QtWfz3TfKQq0wqv/szSGRuXaSuNFia76ouRqEbMu16mMdd9PiMCAUKt4Si8ZdXJUlr lrqmGeqp6TusE7bBfjnt68pVG8fdRejIOXfEis+phcTnbT16xyEoNrw0w21ai2wa1d+36TISuEj/ RRrol3ONGd7py2+TGE4O5T9YY+HpnjVKU4cCmlOwRzFCi2gtHPGftg5Pee5fkQUad9WFnHEsbfoT hOMsXn8vCX1Tx3XJHhmzzXrW27gFxwAFVS76ld1y0TEkXBzB1/56m9a8/e5hDcL/OX4oR4hkWwDP O5nMTTmpRqfIhpJYev1kVt2LQ4Hvx0/LrWrKgHJ9hTYSJNXjAlQ8pdo3hc844k7jDhjH9RhD6Pk6 TZxW1H1nZRiI28002BXa1lAfk0hHwQFGNI1GAmBO69+5GMgIt1s3wfj9AXx0YH9YwQu71Ujhq49u EypEYWuF365Th6bIrtYBAPs2KeeSAU01fyHEyn0yQB/74+zbuNnn536sXbQCjjeoxS+RaD4Zyil0 aYjsZd1P8Dy9kTUQLScaPdHD0KarY2dIDSAQm825/i5eNvi46YcsB178ptw34QDSKHWywf7WBpZ4 EISjpbLRbQ5nKWH8v6MUJa8hkvmbC5Eb8nbCONYj08PD+MYOodV/BpFkGk3QSZvCoI5HmwBJp5/s 9L3Pny5nKenlNgTLJrnqwtU8nVhVfqdgcliZv//uAWn9bHiTEUafEhj7dCWkC1WAN1On5gsq/6zL ygHnyPpeh1Z4binq9huQGzbD6uW2UbJ/qz/JShcMtOpf3Cxh5GUdAGmYT5m6w+6Ghmu0vYchqITE ZJHjjs9tMxhmxNxe5AQ9ZeSjh+WDwqphrjrQ9eZtdQnzLnOu6+Gs3UwsENw2hPsfEcI/Sn19K2eQ Nn2Mp4meUOhLS0gbvVRgs4m4zdY6Hr/hsPH0t6m80meQj1JZEJaLy758jCnp7kivfnpwGDjFlMH7 Bm5mB3llaF1Js/07P6irgSy9DxxoQqTHgR3zixnpW53XnQMgRA0e6CgAcQcrMSvNmMrWGkZaDc6b jzj1+syepkh5ssqR3vl8M9PjLakHZ4b+X2qu+Lnqo2CaITsR7SvL3owKZchF2LtfdZu4H3GBQa0X IDSWHqAt06CQiStjkrhxVic7Fb3JEZA9BclHKhRWIrZNdt++CHmB/WJ15EUTsVFr2tTfW4APolB1 RbVI43OPwmuW2LneBZRDC7mrvujebNqHVM4A/Z2Smaa6PVRCII3wF26MdgYvONezQ3VN+xz5ZFC7 0lF54leO8t7UL4NvmT2ePiYt4pXEua0x+eRvZvUe2K524g7noDtjQLulkvsI6uJnr7CihUqL4iul L0y3V3a/69hJDBpbxALyNgBS3dUYxqD2u70So0Da1UOPIeXWiZ28iHZTsRgubnpLo5EvPkhb5DGs ZyF/i68AL19kPjNB9mL+JB0bPeCk5/CCkCe1tpcSHDCWKetr3VTmTHqv27gFpd4cxDUG0jGbDkao uRn9DjNckAh4mLxVoR1YTsask50zsLayZE1X8Sg07Itll4iGOcS5MXl3ZMPdHGo9CEKNilZyjv6R GTTpvi/XQpBbCFNlCq0FsndXVanP45xYM96wYnQSkRfPWVxS0mpB+hRVRrtcWLkhVf6wYh1zJNDl 2bcjlfItDZo3+bORIQ2vNO6nbq2k0MhR0fycErgqxkNKpAAUqxo22XJLpclLnn6fton01e/OB9e9 vnQgNlR72fXPHpnIR/Akinq/vF38/KMXqJNlvfayplm/p3KSgQeF89BlR+zzzz0soCqU7j/Jm1+4 Ssuh/Tyh+/A6ME6Sp+I4aJBPJSbS/bnnvNQowooCaazINEDzGINY5nzkt08mcLQlmgxNec+3Rvvh ua28UCs/WZiiogcr3WaehLpMunjnjE4+yo3xeU9idYuSeY2LmgB9oKLSybNPkkS0IuNxzpeXRXGQ 5gw2mfEAGdvBF+JY5SbiR2TMlizEzDw/K8QhIm1iWmxIIDmmjB0F+Il7+4R5SiU38iOP9VnSPOkh 5OyAKCzAO9dbZMiQYl8Ey64xe4OD2pcfbeRJrHz4xkDqCQOW1sMOuXyy2AowuD9MPS3AbtmQmU7v g+k2p0i/ouxlAj1LTZqpv0HOIK799vVpanIUkV87pDAZ+Go3zvsuXL986SMx3H4xtC0hC8+ciug7 lqmFhWjsJGgRPPdKztJmHL8zvJNJ8g0D0cXvgQdP/dauP4XQbBR9+Sdf09d3xW64sznWhg+iFgI9 bJ21YZl+FpmUI4mP6l+RvvnJstYgIpnz+SOdJC5pVGSA6VsARgyQMH0lez/vPB6Rk9JVSdfsORAd gIT7lzl22q3P7pnR4WIbw0669jFZAfYLS0Cy2AAb68hJbMtslMYTaaILPjBqEbJNUStsISD9dmRj q65eSTu9ZtOAd1zxftewTngXfFZEc4qgFXlzX0ALZalcyjWnlFvm0eJy/A4a2ZdiBKiOIpd3I99o RkWnLZ5RIQjDl/a02QKmuPC1kXVHsTgEQVbmCoxqo48Jas6b0kBbO/eLh4r3xoV4Oh1qU1dTDlpo SY0MJGs263kxBLCTrOLB1f9dtfDx8To8ANREW/CRWq+t10c1+P2LJbeXHz4FUXSckWeIT0eWSeTI LTl1xUAlmspBloxKxZkMhuMclxZ+LH4vqCcTlDM/kjXFvmyK6XfrwOJ0F+mLz4j4ynSNOmqZyBDz J+kpFDcg+L3YVphD+fNPQUC4eTHBpIHdIxWsPwJhX09S/KjuZenrOWLrON2AWvKa6/Xwzds3WRBQ jD9Zh49C+IjLDXlB2ZxmNtofZQTl7huXUp6A0Hst/Gzb9vIXPFSXuMRn5T2GCUOpBEr7qHnl0dlA ek8Lj4zkhkxJfUMcGH3gAUsIl8vnUWmfKSftaihsbUk5VjNenYHQ0znS5SCDT1ODMK+N8QnbDXBA ezcUjeQtWo1kmWhIixlQ6zL+8KpaFbhjHPtkEF04dtxN1fEqiIBbiCpjBXTOmpYisNux+ft9y4jV yos6mbCvbhA1tNyIEU0AuSBZdN9WVwwP5wG5Enc0dED7RygXjDIitqqOe8dBtpspwfQ0NolEbMPf ln7PkRPTUa6lLmD46t2w7mn09rwVyp+qEC4TRGEmj6209ooMbzSv9R+9VdE5gAejF3zUBR5yfp/v +aOOAcgwDLYv9N+ao4Ypwdt2HoDfzHwoRgnI6by1mcoSIEsmjqHBqrMmYNco69Bg2B7bwTt79lkc 7SWjw2owCPkHR9rjxB3PH/iIJajL7QDNKpKcLQHF5TpEN+HuoYjmA3jrKCc+gz5xe+6KJPkOc5e/ 4P0FkU839vP7bgKjW38sXGWIt5+YZryrZqwlBh12b4PsLKPEtBP6XEYS5YTDtRbT1KogUHvdujyO G1jlLdDYxtsd4xM9nmjnpl2hNP9qU9cW8AfF+s/W+cddOA0/nlh9fNRRybl9lLk6qbACRCrnafZL 8pH6BmA3IMG2VWjM/A6C+KYGrTszEOuuqZYLsOHN+yclMN7s6TU659n9iW/nSlrC16Ruj+nIddTL M99fFLC9VkrQlTXRi9Qgmh+7c+xeCk0OwLIluIq2s91HTwn8OHmWa7txTXIrL9fATkf0OwC+f3xh QkQbS8NQQLWiUGrUK+Hg0Eb5hX7fgshopZYXz6Eck2EM5Xm7ISy6x4U67rhLNkQjD4fxEg90sFV/ SRgpdOL4+WX6gUpCCl3hKS0MFIDeDkHOiuCc7yHVY51r+xUoj4FgQ3Q94mShyD4+4wd3xW9n+nQF QzFmkZCbNVJZCMN74nMuGbq/cCIihgBOry88pJPsSrpPJMfUoWD90bibbEwwaCy+ii+0Och2B2sN wiHaPSy+WsFFw9AmLa/hrdsWPIMRqv6MZwf/TOHdV3bHh1L8pQ3LCNtYLvnkDxo2i6GgNxltoWTL uOqH6jyJDStYiNKI5nO83CWNf62CNaTXFGi0Q5hjJaiGrsAcDdzIwWQN8OpApqFfD198v4+exm83 l/SLVzeB+8KfeBkAplODBNrLHENRsOt2W64G1flJIUH6lSKesEMLT7nOx1X1frTl+RbGi6P168Zx yZLOUTB09N6xylgulzDFy0NN8XvwudrOmpMLqbiwDCu8x/xpaHFzTyStVIMnN78tNV8y7HLoUwYS cPYl27tnEa0Vd6qRfZMTDJkVSUWU1SUh+BDwX3aN6LvFXp2ywAeblLkOdSRMy8g9XZxZHRhtOvXm Z4lc7AY2r+SdSGsdHutQ40PsMsRybROEPBpAIE/OLs2+EYUN2Fp93hs/QHIbSWtbgyY8eZYrAt8n R11ih2npqKTvwvByxxVyuDdiSr/xcPUhU41O8iiXzRk8W1e0Axxqrcl1kDNyWvUT/t3+z6fz6YMQ Tnh3e5jftLAnWx0WOkOpKsRtX4sVYvwQZgg+ANZ8l14GZDTDog5mwy4pEugXKJMyvimMl3aqwhc1 Q+B37X5hd0BCARAWyiYrEQ9Q5jxP0dxxGMf+6WT5J2i1HqCtFOy2aKjTJD/wSo2CVP8jz/L7NgUO 65q75BaiKPwYhWXHYWxDH8/G03xPxOMEewLfiKxV+X5ZwEnJJtB0cW6zVKdjqn0jtzxPGO8BaEu6 Oh9tZSw4DVJjRFlqFOcwE9Xv4RByxWSkrLkgQDm2ZoeHnXavTFapvH4BnrPOXJ1ddLI0FU9weqnH /AnjLEE/m4FXgQtg50/viQedkXjpuNW2rVgVY4t42bQh9d2kgSTrvHOR/Qu+DikK7oos8vgSUPhB rhq/5et9Oe0Mx/HLrI4Tsrl6CookAVM2ptauaFYqTGJALNFhQUAiZCpnQWggLwgaL/zaDrji8U5g HiL5CQC4HleK+b+KiBaSjGJ+9smGVBZr34Fu8HFOrFYZyTAKaImT13+UTqu6p74RhwRF5buzf1hy PPeB+g8gylvjpZGZp+sjOQxjEH7ALFCKu3eehXhF02D4dnJHl9NwycfNdbmC2UPXz7aqvqJPgYnq /yZ2wkemsSCs0N4gykEzxiKuN85JTcGXCjNBTe1m/fPCN0F8Z9iT9Wlgitrw+OBOmpydo0jWVLzY H8CWnkKmwGDuUGHh/zmrJBVnRlO6j4ZMWaEpIalybMEg74gNzPmki9U8wDMIfBpktbkLMFfKBKyP 8xwVFR4GnZbGnLPsZ4n8YoH6clNX0zcBUyLRG2cqz/pNQA972FlbV7e7+MUSbf3liumvvH3TPtjb qNOZJGTPOzF0UniGdzkTGL15bRdSDiY1RdrnOsRDl1hxq5K7PonP1jRBAmjbOeWrdb6uBvAnVQ/n Rou+KS+NHMatUnjDNEn+gAAbE6ic+BBupaN9RnR6oJxgRh12+vRdjOFaMmJ9sxzOaEllSEt+ZFY2 bd6EIhzntYaYfmZgl5kwCM0NwZMJUWj2LU7m0995ZG+mkasOITmMcZEW8CxOWD22ZPKVhDBg6SiS YYzR1rEImGENo/t5eK8JYoRGMYCzkraa0n4MgxBoEsUWrUMXibrhc1MZcDxfWl9U6Y3aJGkYqzGR hShqFQm3kKxmdLMxq0gN8N/N5+f2zhyXHXwR/pULoQ8A8LencARgS0W9YaQYP6auKBCegcM3MEnf Vy1x644bEbudwUselqs2oMHtoV9sph4H1t1efnlOBtU9fQDRjibuTJbAikUXA+fY17b08MHnNx2d 5M/do/47DPooOo/qKjwC6ExaeH0omJ48gxf5L/+rkeBuYtbO/7gCqQKTobG9TKsPti/h/fMjjmtR TElitRj7pRPSfy4K3Ipc45Fope6jn8etp94Jn0EXAKC0WNP1f3hgcOGWatcIYUAGBwh9N2UtffHN BgTdP4Cy++HcppnP9uWW989pfwBJzVznptyNyIJAUdaGzSdBrK1LGTd0jMKgGGyKmT2oQ1cF1CSr czHFnqbPJngFVn4COqqoXSHbx+hzFwMWkmvDs0u+sEk6rD5x75cMYJ71VirEBw5UPRo1o22pbR1N H1ad1ZPm3PAk8DUefp2i1h+xxIS1JoJBEKQvQK8YZok0rZfg0asoY6YborYL0I7liDtRLJ6hgAXY h9HhtcFMCJUQFBKaZsL1y+FMlQmqWyC1Y29iSyMr6iGyF7jfEXOiejodRe5LokGXqGgwYzjxrJOf Jzzw2sfrOxq4f3rhKhkbzreCQB5P5dtW2z1PDelyg+1vk0EKYO0enOlESkLIizr7to1khMLttXRM 2TUEmxKCjLoEaPQKdwmXaHiqvnwFztS8FiWqF1kduDDcVg36UXbXNkMegHzuhX/N3Je2vOwxoE0a NXYt/WaNnj1zQC9yUpvhoX8KszuKa9BOW4uAnJEYmbEFuu++R/swMC0Nu/N5/O97b7aEPBvTocRD OTeGNkJdJvpOsfZQueC5cDZaihffNNQuFb0PxugxvwhvlPsAweTDHUO4ZWHG9thFAuiGiwHRp/fm WgFKaMYv6KAKau82G4WXYK7ncpAsMs1m92sFz25fWOwHjuyjfmD8Kf5xEXZ06iVhsKAb55IsruSL qpAO7lJU/PKFDBoalh9J2lQs8Q5p26j8fAovorbNzFJvhRQRpTrMcym6vFl1tm1P5GNEgS4JYS4Y ZExp2oalxWzuJQqRyTvOstRX3/79RI8xSohVDWYmoqaqwUomK7kLAf366hhiBBcLRybvcRpe4cby 2/Pv3t6fhcbyQUsaHSgnAOStN6hQpf+wWQQaQH2+WMz0JVovrujkx0FrKp4SfEV7xPVZ0xGcX7wu 0QQsgdcn3jA0gGCZkJZP+hAHSqIQrXEZPDtqg7+YgLzylPp6Och+vj6uR5m/qp+kdupKYnI1UCyo Xy57rccL1DCQZvX76/ccpqEOKAECOSh5iU/l184/9QQuoUF8ryH9l393BNbIIbVER5XttZ25YZRs ts/WO7jedkRjgN5SCFAMPOZ9j8dI7L/VK7NW99/U1UYYxK7Wgp5E7YOB7uDw64cv/SmZ2gA/h1uY QNZiftTmyzGwm6N5H7zl+kZIsKpxYmIaE28WfjlJyVuPCWeNe2SH3Wqo6Gm16aFKJ0mmBktFIuQd 3UzD5QIo06E+CV8IZo1MSaYfpM9U3hXSaq2P68CZECEcsFuAXn3ufUW0u8T20+PrcTqEZNJuljK8 oHYTJXAQGc5kxM7mPL2TwCqxhdJz0rSwQGc2ODxiflr7c7dWof0ZnpvxI/juYPXmp/vFaRSjCLVA 6C0jJ4U7M/3lTsIiPJcxXZ5qkbYBypWegBhkXDrSHFLiS6SZnTY4tTbPmDILWVe9c6S7pEVF6YCG 2Nw+FTfIsgU5sQptclDarB2TA6A4y0IyX5GN0JI1Z6MRA/G0rYmWZtwkgnasJqQmWtirYA2nKZ+N 83B7yTfjwNzgXHszlEE5zXA0V3kk2CTkfxJOgbWIVj3LRxQesdFNVOlAb/tRVxO3/xzGJJ6GW6MT LrGqGbQcBEEnqt1TYvpGauNpI+5OumcMNHaSqNosm6OpjHmPTHE/MfQ9hDt6B+BmZ1+pYc/eBX8c k9qmelJMUeSpxaAzf+K7UFlLzqU2jdlu/11coVXRjPFfbHVW46TqDc9jpDCgEdbcJJM3/7luSIBI eJObsOJFb4Qs/RdvsDk5hewZv71AN65gpfzYivIOZdiXsUkdbINGHbseCxXeVV+yj/Lv+GiTbpAN y1MOYAvLGQ/OLA97jpVfs+uiowmnNqIusHbgGfBWCdIqRdOvE3oJCKimZ/ppDmCIJyA9hkS9Jznu eWE47i+ZpZj2iPF+IyUpWlkCtT2+LBaJVu3IRUbxwpsSHiXrL0edDDV+Im/54ZaqsqYoy/UQDr2K UIBG89lq0lOf66kHDK2sL8BOGkWohTWFPaKC/ELoEMgNT1U7cb5fAmMtjG0DLt+jtemdhp2lUGXr EjKnBLb1yDJvKSm6nPoilKQDwyMc+LPsMI1AX9nmjGzx7GWtkVUd4M0WGeipdDyQzaZES/dBgJf0 p+Ds5o6KmVW5K5h6luK1Pp+tUEZI8gjoIytI+A/z5ZGVizon/rOkyiHp7BiO7FkI2pur8ydK7s5L DRs6Ew0mWtNVd06vQDXutDYdYSyWH5LoW8jYKvgXDMFDzUlK76L35whgdDSvCQMybo1zAniXtSm4 yQ35ce7shIip8NQVT1Lgv78I1tqie8apPJ/PLcowJ9DSwpSOlwXb84fL73jp0LHpheCFxDT2u09h Up1s1Wy2Mi4NImRRt+0azlcbUvB9d0rulH3HqYANr6aiUp9ouSHtiblbPqaH8TuDaNjLvweHTq6z htWqwRjw40BHB0s9N7IUEeDDQSl1+x4KZW3LOO9XadMJ68fzGACXjIvQ8OspBoAeZu2HiWOiWKyM 3N8rkY1XJ5424ABW7chgvxZOSmnrOFttdgyZ74GYD01jHJi1331rUCRcvhss/FWkKjL7EDOgMsHR CdmyHCpzwA0RxSNUeTb1i1/GE7isFhR112P4s599msKleemspH4WnHp/FTE+feVkCJTzLKkjjqZL +TAAcufCUkpH+QaXBM4IUFiaTokCwL1iqlkMyBj+AJNwkxi8pojy1mqc6HhqghprbhL+Q+pJODxw 95VmVsEOiOHyPNfSLWmfK5NKa0umfbgNtSLKsxOeLf8QDJVVF5FlOrf+XlZBoEgmAGOfdwhTEBZY xC8DtXI24Pfc49mmrzDhZbcV61PtHWaiAIbycX+9zKi1yiEBSFB7bc8hTIj+DFa2ABA0xDbaEvBA rb2GeDoB9BDwG7K6RAu/l1rS+k9UeR6q5xuwEVHocW7C1WKWbF7v4g8URrRh2f/d+Oj78u4yCNZD SMOqvGg4jJdviKKOON9ZLIJ4aj281cVNRGXKaJQdiq3oshou5UmOBD1u6cnP5h3p/vKZ7GdadzwV uh5E5TTauBl/ONsWGlEkZVKp3K4H3uQWmd9g2DD5LM2x+p3F9ukZgBKAkYMYsfPAcbad1mQwJQ6P Fno31iNHWeVtka3KKBJ620ceI0Woj0ZU6fU8HxMk1m+R5oUyTY3uvcOxX9eGgcXV3a6HmkmUlYZK KzqDaB8GMTScvmTmuDS7IEfsfEm5NoCzgsjKh232JAQSnAei0KKhScg54koQ6llz1tlyut1sHtbX 0Cdaqjrfbd5b+kydh81CvwihV9ELsfCUDKCKm3W0Fgt7XaM52sMohwDd/gGZfs9ShCrtZlLHlrcO M8r6YsqAe6ee+Fs+UzOvVmXNIljSW3ONc3XfWSdzG8wJMjivWVxJqjkbKpi67LoSnaR8UBba6chO 0VeRLyNZPQpCf9QfDwe8W+4am8LvMc2jL9SwmSFCKprAKkt3gnm5YberiQ7WFgYEHw9ZdROWtqLa XjqwLO5eysScSWGGKFzoiK4/3dYWiFOBvq9FiqIPtIV53GblT3c8ScGLKQIgRcdDbKo53XwWwDRV UPrnnQrkYLFAp5W46aarQ6eGjOLa27/iSiIOGruTd+y8GoNn4X+J9nvfQDZ7XUxPvptaYBVl7kq/ RuxInUnYuGczx6ouOMerwXRPHcrANgK6EPR3tVnCY52DNVf9RwwvHWa/p7nNhW4Y/l7T6BsORfpT xJddmwR/aw65KiFcfpk6MiuQBhWfMqMxxPTrBVe4xLj4jeDUxmrM1VIlCntR854A4Av/BA/qJNKm HxIlSGQ+uvqg4QsHD5tlpAnDVkBilE1Pp8ip/zwKHOunndInPcONsJWGkL3ra5YfQkxlIPHI6jSw 4WhE22wAoH7LpxB70Y21CpZdFHqkry/BkXdZ0nIhwQYhi42QA/q60xWpQIej6K7KNBx0NpCVGArS XKgLA2kJ2N8pocfKkANPtgmVasoZFq+lto9kN4AMsxln0mUGDR9MG0S2b5FBk4gH0ZQKTDDJkR6Q Q6AFFKOgFkEFAYzGVKGeQsV9rrawVhBi0ub+z05o003XkrCTZOLjy/VGq4fp3lrwTdwiOgxrNJsQ z5HTkAZB+PP6XTOEJplQjpsicm2s4uRgU9O2XVUlg61vKuc2dIaCF7qd9TKvIYqvrABMogpW/7Cb a0KxIdDkTc3z+SSCu1zTtz//Qnz+CGD04I8I1QKk99TPRepw8/qYK1K95btu1fGE/W9fPRHFcvKt W+P3836M74hHPydaorGBM3EEQ0Ipw1wuEFuzekH2KN7euVIs076NMITn98NxARN4nF+gAEeni33B 2Kz2MthKzMmPcCLhudoLcg7gxjzoSKEWT8+egJUoWlWAfDFssrbNjI+qBjBJH/ZDrFGgwiSBgB/J x6QgJ4UMZeHQtLzNpKPrJaAw5sH6CP9ETbeZUG7wREa1yCwvDfpjkGjfW3zDGtkz0/OVj2QnBWFq +LB8sHyL90GmJ8JfMQ9FbRA9u8nsfA2BuL6vFlwOGH7j4ro9rWlcbbOG4l+ZmZZliXIE0Nphol7N oj2FshZimBskU2gubQntiUGXxGhcCqxjOuvua9sdsW9P02TyTW1LpgMXtcDRHOt+9uxuyD4ethgN HTUCZihSYaW79m2X8ECETnciiYs0FtGULmKTls3g9qsr3THguB96brEvPtF1qEOXDr9AX3N0B+3+ uHjLDNDWUGSRD+NmAQXMu/HFcAtht6RWWPomJhMPBbWcmZXfH9F7suzuq+tVSjGYBeDAmZfbYh5k p5eKlv+ffovc2LvWcRQ1P80dGfZNvCDoY0PbNTIm0dgrlo5Csg7UnyzJdAZCGG4IaRLY3VpeMoZC u/N8laHF3zgf28teGkzaWkd2UoJELF8rAFaiJ6r7SrubMJQC74+9bIHVcGvssP5J9/zrYG6bxAHE LSn6lQ8SlAtIKFZOwYOaALV0+xENM3LwVNKtYFa4AWpVEeANteGV5ruIkGD6wLfikacDyjz22cMC r9EBt77jUkKADCgrtiAFU4nyJz/lK1h2QCFre5NvBVxoPtnuIZ2Cm3pxC0mmQ+GOIIZzoqIkEFk5 ouKx3zG7/xMCUZQgIdQP9V6VRgpuvXt7g+7qWUT+fErOiIz4M6ISOmfm7SGm4WlnVqNTe+0EHTdr weh7ZQhLd+ZCXY/Hz5sb/P3FP9r/IpvdugoFbJm0taFDR63JxYPk6sGOg/VHS5vz9TM7jA4KsAZV tFNzq6b9EoDgqpLYUYaRWy9T8aHWhVIJdEO+tTK7R4hhBtrpybhFp/e5B61Lo4Ijpy0ARADRrPN1 XbAdU0iPI2h6voQsMecKDQo+NguOsAtqYtySOVYgOcIOzl24ZZxETU+S967MKMwdCBCGzsmAejgk MluSACQHDYrb2BCj7hJRjARTNaylOZc8OO+51k1DtbCjJLFlLc7t23dF8QBh7mWIQczc1K3T6X0w fGDYGyZwlF/iNKJ3ZXUqcSJwuSzASPEhaRFG6qmutNYH4HzEHmdpAT9MbscEw8kG0lv4dqWLq5Yc 08NwbQS7ZfQibAoDwVIT/lQEAIEix4OeWvg+2pQh8jfYSk8h7sflfvSvw0h4mwH5qP6XBz8l6VEj GkreKgoGEGzet+cE4fjfzsZ3FocVOkViVLxRA0FVUfjERvRltkVFSa6wf/sA17v+AsoXuEhuwwFP Pli58cMOlT9ktWYY2LTag5+29Z+wmqRF7hKk1dJqIl3E+Exj+r9fFS3s5ukux41MHHnghZb1Fk18 /6w0SVFMO7teZM/VxydYFrnsBHFlfsml3J19FxEXozlmo6tnDiv7tUPp5kx4O3Cq65F9dn2MEu+P T8tkCqp/qPaRYiyQpkbYoT0E+cYwNPswv+VlAxdBvxGyUrqa2MOWdEUgxgkWFELJOFaB9/BBmAHx 86F4ng0Psr9K9HKgU/jbfelOCyvMq5d0nwKx0uZiIilhfilOrt15GHMI/rrkASFgwjQjAkluKOWK dxw8GJbPQONGnpuUTvx/tSOtpABDQTlnrP5V1CWGkad0tNMpnBEUtvyU/czJ/o56R02chuRvxvpX dP2M5TMoyuFxrf9UCMfiyOvjIq+wG5z4mbFo/qXw0C9JmdQfUT1tqhjOrumM8FNjGJCptU8R/43F vXeKGsMKIj9HpIifHgGcXd+RA1ohBi765bbPyHaXe0vxVhxaDMxf/pyf7YbYub7UFBg5TLRQ/R1D cnpUAo1Qkh4OVtcRNe6UyRxZrOrxEod8x4VnOtBs0yq+UCuHsF2+2lQRfsvOQRzM/zP/6hl4VyeB HAinDguKKi6qlvtYT44iWXcJVVOxfhY3ppeci6Zn8IKENSiJFqSTnzzdxe1LcbvvglBYd7vxy6nG rAvhBj7CtSdnx/UbUu0eT5Y9TzAKIr3ovHsev8BREK3uVWYc0ZefSoQFV9M7XX9XgHqSZBW9sAL4 SylFBSccggMO/JpNkczJUVOISV/HwgzdDSxPONnWOA8XKMg3p6218oAto127V1L0B8Qvtc4D0CqD 7ke2y7ogPzcFBwOa6zgiTzoq9lopnHLQqBdLi2KlgIxwIiVrhVO/CndS9FLv57jr8mL2XTtxSh5B 4sObR8ZaRvDhHtUVSDZkn+7GVl48h+x3AFykr6NYU9o59u1lCTLQ8FJipnalXEp7lL29fkVDlb9i T7r17ApBkqXsXOveinzTbYSWqAxkqrwT84qCFg+IV1r+1Hn4PdQqP5uf8oH4ANnoNGTWrqhsiNYX 1rl5SLPLoht08XCTl8EZ78642xhB9oeoGjaXq+DX5lc/3IMVsdShSTIkVlEWAthXnTa20Vue2g7E QqdqttOl1qsShWS5TY7jHl7QpfXYmV3PAvWm8iy9gEGT4pLalwz5FbNPTK1y74wr1m5zgGsbrjKD +k1XHfWOxcU4zWACsZBXKaIrUz5pN+fY6JLsJebLj6JVeZf4S4xF7lcqlykLPADfSgvA2TYTFE76 QRyShz+36uYHNryIAg7IakpRnAcVCc1groK2S4vaEzCPOferYi1ZiF0hRoWe6SNK0AwaTBYk5NGk VbmqHBwsLSSTd1lfAk8wdPi2syAdC8uMBZkDLlshKoU0AcmFORR41Mw54lVeMPOwYsXRbF81REbb WJ06ZyiEFLa6E41O56fqkpZVIenLY+MbhamKwLI0Dvra8mzZwnKtkpFUVydSU1Ap7D1rkDV+KOaU wxc+1TCmzF19+9T6zB8hV2S0uEfsxG3Z8kjE4CZcS/XuEXIpIZjsbfNYIcVSvRj25mjfCygr+7mc CI7ePWyjKHAs9+ovntDKYeZSPdBHQYqPTnwuWygzJwSDeCPNWY5s3FCrGqEieWCsWLJ4cEoEf/zR sjqb78TIArLsbFLeEIA/eFwDUzvHb8LpMkue6BexzpH8w/bJk5KJsfgZSejv2FAtBiqL1xR/Y9Or SacD9XgFz2HsBA5OAAzG/eQsYIvCWA5fjsH0QWHCed5qyOMkyX+aq10kXyQnKFb3+P66IqNSVONn ZStagP0/zArX9IoPjl2yNMXgl0xnoa7bJNWT+6XkLmh/wVC7/k3Dkoc9IPySb85at8QfjbvC6YtX 7nCQi/BgabqPvqnnjSAda5wVQpSBqbxpcKxiIVAMFa4KZxBgUST5SUXbRIPF+mUJrB9mDpGb1AET qFj9IEXIzOwqd7CViYqbAEPGaX2CcChO5luZvGACB4NXyth9VEZnc+ZW2fULTA9LSnG1gXsnWEaW KSChLmnIzIB6ld8/p3e9NQidJWJIAo++/uM3OKser2+9wDzz020wn7bXgOWelzdxoXwSMTGfODSz 2uN3toH3WZh4BJpq/LkfOfFOxxebOqWdJHY/xNLTDRR8qcAJ07dAlw5CRTlzbiQCQUdcPNYLG+M8 whvQGiEwjEIFD/tIT1kooTB/e7TNSK7nv4lo1NtmOhPjnl3Z/hHPaGYjJevqyEVX2WWa6T364cd+ ktDDUfyA0iyrkn32429PBSFq+xXBjGupoXRlpZvf8oKKyHMsxo+P6HQXCkLRc878O+Su6JwNNpuw PhLllYBdsgSgxMIePL2TBpRsRbo2L4DsiiqoMQGdFtq2tdrioTxawrHIJg10N7F9hpP4gUoRb3V7 8tAdSC8wrShe5SkklVy5MeMMxL7bFTvKFqFW+8w4kU6yXMCavACZd9B0iYGaxvabHLUVfKu3r0Kk lt3uB2mmTLxuk4A4URfPZIrG5WcTQAq7W3fipNaJUxcbSddvsfeiZA2IjCgSzefoyMcxYSiuUcFz jGx8/bvUBAukEyvqnB2zhRBOEAFj1m8SXYpgyD5CSXwdCwqmF4YmHYD3qGYFJPdbJj91gFuo22/h pcjtlZ+7ystv2WBZa8F2+GSJmmLCnONvxZ27v4Q9tgl5yRDvrzkpZ2fWI3frhWV8yLN8WUANHq1J IxiQ26vNW6QEkx8h33kg6NJduCj94oBZ1Dk9SP6/QFlEgfTLGXH9G/zovRGc8ZWqXcTeWJ3WEO4F 9ixhTBKIOKAWBqXjmhCjS3dGeNlXYWTV3jfwWmOxA7BWaIroabO3MDlImjvM54gI0ebsfuXOMoEX 8X2jFnGg0kRZOhJggYqw93x8TLlHp84UktgyxfN3vUYuVn0rbWltsFlPhSdXfGfsjh3TJc1Te38n HkPUbCohZy+JROXnRep1iD1m6KBRwu8Rysg8RqNgTaJv1firZijIdLlTSU6Qs9Bg7DSGXgQqW9zy 6pq/6R02HwwKQqyrF/5CSV3QFI3nEHvw2+zTj5tbpGFDid0Sc6ZIpEKuZ7SXPi7zb4cTkqhR34LH shSAsQFFkT8CfuJsr5rcfU5MBkqoh4OAOWWiKF7LkCbBQdgs4Qf6nEwiiiGx7tQKe6fkS/GNr7hZ czvs7RgrAcxRsXEcY7nuFnXg67io5J755auz0WoKrLLafCxzUNi57N8t4vfVyHb+L4W9iWCzow5Y GH0qq99eJSWXRgyh88+aFwEjzvxCHtknd2rYpKBlZM7REzv/zMhoahKu3d1GwQ/a0UT2AnsYTqZ+ uL+pYX4pXujEo3J7OPegSipoVe2hkkrdCZNZTHcjUhv1Ar7lhkIye5bKSQ4LqZyCKZ7Q4OFSZfvV W5uX1wHp6lnEKIlxeihKyTFc+f3YCpE3NnlB8XVHL4C0xBRk5FGsmchaOGR7mkpIFG7G7wgO7VJl eOfrL9MOoGkUhsEkZKxm1ma9sSW6rKdEzc0+BS0XUBsHlDf7E95oLtU9xLMPJIw8SI6UVCXiF1Kz m5Dcv/ni9g31OLyiBOabHYpghTjphxRjZgORokeKM5tacNLVq8O0dJXrYE/CIkYTP8zKkKhkPAQ4 meHGYAfRFmDq3RIJtatU3+ftY/GBOff3Z3sFQhvzUMv64B4KNK9/vz51fHfSns9sureGDU1BIoJ+ CnBxlgdKNNFLtw4Wbq00hW3Jz0mDWtHiiFABoFYYLcPhSFkTJujqRXpG3O4WbFypkl9slveRZ9kx r7ink7FaEFF6YdwYPNRP7TAM+bBCi5y8WIiptv+9J8L80UvNe0j0Pc0gbYKck1lvc7c5AwazUl2C gQMQQMDiJ5CbxJhwj715ZFln+R28d+O6oXEf0d73pMuWkW7msawQcCgloyH+RB7pwduB+daH1TNL AtGgS/O8x2iKwcNw3I5/If1UhiBLwgZJtz3iyv50A/hKljuHKzDuI28+vU5O2UAOwj4asq5NYvwn wiGGa99kjPBg2zQWZYQWP9dcUBqpVPYHIJlPQtqd/dW7fo6+ygIRhn1pYLB9C1J/6uqh1u14ZSOZ zHsCsAECfLPHxXeO/D1Uku10H6tVGCGaKxYUe0qMfnEFzp8TuoglKVEW78KM1IiJVk3kd/Lm/LyO 7T3lrc2xWpg7ZrRCDZAuE5b7xc1hekqLbiswcPa/RUkH0HDMeznQVksk55SznYsDcT1ThAeJh3ps 3wZLwRZkMTK5WpaWfSMvrqs7sYRx2595l4b8YC5xuZivi41RUqV7RRzQicZzpMwlUqk6cPYegL2a SzpP1I/7WnfJ9wcLAY0O9DBg0Bqkn7z0HQ4PwE+Yd+ZPhROQnJmf5c1nTyxc9ufZ2v7Apd298m8J Mhn0yVkHQhy4hLUPbBDKgWaseruZUkRS5qr4BgkYoW8Yx2FAJM7r7RCfwKPV8iVz4gDucv6ayNas fG1/XLEuvjNwfWVC2f4CPY1M3zgYwwhIKGMpBYKUDZw/W47vp5GgsqBHC1ZXxigJXOH9VuVKJq6A zzs42/32Mx0Hz0mskyMvgvuSKxTNCfozry8CLEKhCuRR9RxB8NwXXPoQaWKU/Opxu+gJcGR/v80D WMqz7zdHpv5HDkixepUPZtjpOgijM+Qq6bK7XIhu2/0hoLpPx3O+pCiIz20bFLL8cmLfLbCdPufr PkKFHGiul+0DDuLO2a4gv7P6zwAmVA39EUD0WRP777LVn6m3Gpr5bUgfZiG1Q9o7g+wBDTBQ1zV9 j4nxizUBR6Gd+MzdDdckgksbEVKWlv7nYL5BNXwWf1jFt36RwRtTCsk1808bIP5Jq7NsV6SKQaLe hPGFpXqKO4yD6YfbwrhIspp7lIgE20Z58acWQFaQSz3yyJXPY7fDSyrk1ynsOkZcnulEXGRzgNjy G+PBFmq+6hRoMshIdyUVUOfsR1vByyqUmxfScu+zicTybqPTKHlUxjvbQ92Fn64c134yW/W5SsZP /9RHhqV/APamfciC8Box25Kv6TDFgIivtL1fPnq42WzQvSOX9Y1Xkc+LGHf9TbrUpLGK3eM6FjqM 6aAY7b4Qrnbdt3ZvR/blk0jnZ2/nDEoi1GIKAy9rISPK7FoBvL6oI9eLI//0Mf8bey3Reann0vo4 xu0D4d9uCp6AEXL/WXraxfS56qK8b0Eni+0YzCYXd6hNxVJqOpUi3ApC7mOdgPYgYkRA9ATm6ATd kXA4vVr46AucVMyBhSx79cbRz6xESQc4o3X9Yg5Wq6X7Lrr6QKbP8v4MPPeiNOarEhwdOrznha5d EXeB34jwpMz6nqTbiV4cKm9VgSk9RyTuDWz0GSeW6nmpD11JVQG6AFLTLD6HqIjNurue2v3RgoFZ HdfgaRER5tQtZMgUFXG/t4i02II4U7htHh34iS95SmdtZ80OI3FGxy7IMs43yXqnFPfXV7V3YRv9 HQtwER3CEWzZTQw4OSRyCvdKwTIDWl9oLhMb/1aylCKOItjdpLql+pkZrn6e7bcO1zp+uGKqJi/D zWrLZR4nTpePrjeXcRDcgBS6+3dMiAA8Pypp8CPnjRmeL5pF4BEOkrBkYlCoi/6OIQJKQfEY5uDO pVQw/WbLsrmt3itztJEGDM1Sk2Ynale3SiC8EHxxyvsmvF4+FviuBn8b2qs0Y7h/VpxjsJKV+7rZ rkez/ZzBO0tpd9fgBw3YlKo9GLXUkBFlIV4zVuyUckpNXo2qrlg4eUrf/wXbaOnZU6C9eVW2BrSV yIyQzwLy0WMGQT4fp4oUDP/MnsAC2U3Tc597m01roxmqz/uhkacYo02uWe4dxi+DZXd7MSBUk5tR /OelhU/Mvbo7iJ+phh5IPWHurXhnQ3k/UpTZH9Yz0X9eXaZ22uw4aRFhZd0W+A79OoXgH7SQqcTl ltjSaAk4rnAi+kB24iIth2j3/I7b/H+GOiNyR2P14H2x7nq4YqiGp3t+KXOl/64787TNoLiYghLe qmOiSq7qJDH6V4Dyxzgeiw8YTMUVZRAbUk5y7G1USn+2P0tIcd4X9nAhCa8CHCGIl3v33rcX/ZdN jH0MToIZx3RaPwSeTRx5G11gOKvriCbFB6xdWjQwYseQI7OSdJsRJyiNJ9ERaLg1vqDlB2C4S1pm p8DhMEp+WU8wIwXoXvfCpF88nadVODd/G6hQtAgFxeZ1xQP3D25IdVuZKAF785xN0Wj5NSQc5U8R SEHgJmkOLYPQNV2CDWtBgCMhItmOuk28Yys9ZGlDPhJ63mTx9v69bU/KlAMqHDokEurT97zPeZgQ jS6KaPwETiqnk9ARRAlNBifoQkoid3mOTM9prmb8rOnrK7p6GG9GN3nb2V/GfncM/JU5jvCQI31u ccele5Gr6YgwN0QBEjz6mOnkhnAkaR+bi+qpU03bL8WG2UIF/TUy5qYDpkBIwAAj8ItQQYTczV2G cPcAKRGT5HxzfdsVoZuYP47DWMAvpNig5/bHSy2JUtUQbBNPcIDBvjqfagGMzMPHHDKAtdqQjy1F THlwXJBpqr3y+WUqX5ABtOaOCdMSP5+MkqPOxbV6DMrKxh+aCaGmw2BJBdwmqW7XOKDrJoz4eAgX jXn5Qr9heb5wkWCzKx8WEJWuR72JpUgkN7SvI0z4iaQ9vYW5mVIqmSYx8Q6GRviX+1Sw5uTCcnvG A0nkdlgajZCgP9jVxKfBrpJ1IAefOWoOK+ytwDaA9qnsUgtQqPYQqBE0KDAlmO9GqvLoEcebPg8Q cTnh1pHA7Z7oFmxGluIOZEnDT4WWlQy+6ynli13tMzrmV5yzGWB1GDwVZOmD0m1oXhTBUv2oy2rP G9q33Qy+poXVRM5mqEekOeVpvv7e+EXkKH56IBcGZKXU7TVCDhVZLRCdpztFCu6FluhfeYxL9TuT 8lxIP4KsU8HjnSn7tRyMIaw1sem5KAk4++WKESJ4sOU2q+7NNhIVvu6L4Zu8gEBt2bWEcPKoHXt2 i6FyMuUgUD+yt4vz1BDvlVfg9i+HrQMcTkOli4mnl7e6PR3WTB4/LZWzhDJy9lBceIRqcMHD7TW/ cfmuAfs3PxLdLIHp25TyzgWMoOe8HZT2Im4xIlvx4tv8JhDf1LTc5iw1gSn/A5bL96tIjmAFUAOU VwNpuE1jyntYx4an1Z7zrJb+mGIAVi+z2v3LF2qF+tc79b1RGzwpftokDCjol9jpgtzStpfxfQ44 xY6QLZp3i/ubEWobUOKVaXowD1sHCUjtOUGqZ2GLDciZJULrVIdUgqChZidP+oBScGESd3ilQD0T IT6zicOqMVcoIHuwnOzPR3XE9PwZGgnoH92FXq80p9MjXaoxrwJftfiO8ZchMUhDEUuIt3YvmuKy ktIZIAEOTH4EiTZjg7AJMCxDKTTa4CKvvF/zLCRqPIOcLWKIw6e1f//11vBCbs5gfnmH2g/JNX/F xWU+AokHKPyScZamvdXvVIzgu1Gj2pH/Skz4/2XhSBdgvcWpXdyMHX9ydudmkQ0ObbK+97YSRJZu 0iV6DMxpuPaXTX0NgJ5pKPnitj75s8IzqCBs03o4b3bC4OtvmsfkQFCXydwyqb4yi4ev7uh4k2Kb 91DxdfIuPJW65Z794WgbnCpVC7jNsAemxbGGBMkyXBkPK6i07eXYKAj8g3+iLW974zLVewCu5bQy jW5KIZ66fbHwcItooAGqUvN4HNz+gE6T2FA9WoF8M9BTnPyfsMXI651fAKHsXAmmgiQuqrboB2/y Et5cKXD8X7UNhs5ylS+HF3pOd+gn1LoaIYTpyeh8Fn6XRJlHgwxWJVWg96FguQxRXBKvdxjhXNQe m9ixR/ZRLuFgA1gsQPlMlY0wzqIgxb8TuEhxV8a7R+iPvUFzcAbZYvbasHkGjhX9dJEEksW57A32 oI9gWtMYEioeks09hv5PcQC2Ok6BakVh+cPGI0Bim3ILM9RObIj5DTYVm6IOsO6yR1tgCjN8k3ee iPFR23wopktCmYoL3uG88zlSq3gDKS+oAeVNOzBTQoIFqOlH/xcQ4aKImcVtp4tFKs+1360Sgqv5 NhHAGIftvtOpJE0cWoPYT0N7okZ1VRS+dGGgPzHViBkM7cp0K9TllkHylMdciDE7qMhp6Q+F2ZfN bS1Pc9qWIcQ8kL9F+b89YZlYpvSeg2Cve8r3n7DWl7j8eVDWNIqmDjCDV56jaCzkrIKH7sNRffEL Ug6+Z2DZkhtXjNY+TeC7INtCIY6vDLwMOr5Fz2vkkrWpoRg5I736gWyrrisilbT6YJapyhlCiI49 kRB/EE3esH3a6Ozzs32TPKiGdRtMz0FBO+LnSKgxpr3AMfrpqquIdxJL8i0tZ5xRxj8ZSG8KwmJm azb7jJts6koMNx58SOLs9QeOb/tYZduJ35uX7LkUWoGQDaY0SZfQCZ+wNMu1d1AmE4lT2gi3BEMq vW79dggjj0v4UGfxQT2gHYOpX6Dv8l92QVCT5OB1mCLRAOOpaZ6qLfqGkqDoF9cWbFYTA1BXnnil JEn2Q8hiqKneittqzknK5Y/BIhNC2cg2ZvtiVrPpU9/3FtlprP8SuobD7HQ8gJPDXXLkv8GjVkCT tP3Kkd9zPZutf7WhiCLk0vDbS6jonX7mC72pPQKL5TBTNCR/ie3V+RHFOZzAUHdYxxLptLGd3Gz5 VQmTg48Nog756ZwlywKkK1g5KdSp52TH5b1thn2m0EBYboVb7vo4ZhzehCrsAKG7xN5paR7NYiKP DvAJ12YalKSgJ5d7JyQ8BEEa/xb8Oz5E0S7dyVKi4EY34RZc0HDG7Ym2/mJKIRzPF6uXKuvqVAU/ jmPfCQVmHWD9pTKg/uHxKGBPPfA6d+vCwHxUn9qeLEj3/GzIwDQKGiukniu//GFaNqmSXa4f9etC I0j9Hw3poa5GV8GnpItRalsKpJ57XxDyTm9VQ3MFzgDA1rKj6AlcwLcXVzcs0acUjdYPwrweWsju bW+X2g6fmDrjuCq5USHQlAP6hjK7aI2sjRtOeU0mzk9NSygJ2daEXcZwdU8p6jpIvwhhSAEE7t9E auCBOsjbA1LUVBPnWUjY+sa44Vsm85A72kChZnENDVtdrJ/hUeJt4S8/5631OVwyU/xdRX246/rj KYiG6Oq5T0xFo0SLkcARvsEBHiZnoa8F2/Iq6aMOEzQt5C2+8dsDWK/n7qarnnOw3ajjwZOwq84/ ptPRsZlVKeGBeJ5X/eDjAf2+Y07nn14c0H8O31aIk3SC45U78IcHmajRdrCmiV8KQaaz0jFTtCBF 02a/I9oG43JujNHuEUQ7bIXPzVL+8CwVjZ8LzeHoED22Rg5WiT3mJXJ4daaQo98yUmW2r6iHChJz XCLXRWUUULW22r8rPlyaLWMDkrTwTuWTvZVySXH8t4CQvysEiwp1rrRoXyWZ4psFQZ/AX3HZYL+M OM+qM/OGMsz6QVfb8iOhsYvDhgA6YRmNWhjjYGxYtiHd4fMaBfrVgqVssC5CrmQhEx+7IWvjgY4c 5OaUmIbjq5oOly2M+ruipFkiMAl+EyVKkdLCcjug1OjAgN942U0/Wxq6JNvGG5QJf05sAm6JIejS jWtbk6NWWyZL+bT8lrAe60cEOFE80I0AK9H4f0Yu7OIk4DzTbkf89B/sdPP7WHo+03lj9OvgAeuj K70PJDp5pCvuJkoK6vE3u/w0726Kvb4wO3RzYE26NlH23TrnflzqywvarP6QcRIo+IPSV62dckmR ZyQr84Ps2bjba/GAfuoRR5q5r1QiYm7DtJXt8X6Mryd3J4i+aYjWU+57cxSxooa6xu5P+6EhJKZh nFZ7jCiEMkGgUR7vX154ztQwQnTCsaxRQcyMetPUoOZxcVBnBp+LQFPk5koFX3usvpM5uyBHbVI7 OM5a8mARzwdAfiH0TGsiy3u+hvCkEEOMBSwB7dAx67Ei5EaCJ4NKoR7pgyu5KCVmPsF3qeViOrKm PMxhJeozr6FwZ85lNwSpy+5X5WaxNYgk4VRiQk5qrKM8pLafI/6TUp1plfzvluJt9wv+HqXts9BW soQ+Ge9tHmzucxAxoVvgjV3/t/t84DZfOj4WAe4NSM4TzoN1SO6P9tsCbdDejNyAYqmLZ+4a44KK /NzjAcVLxNPOxkcBaAoc/MNvXPE5zGyfTQCdu4zPg8MvrzhmaHtb9Rgn7B703XIMBsb38r6a8Oqm r5yLQCm6flo1ZmkkjuLSEtx1IjwvrQzkjuehlV6hxFg0yzerUgXJ+EwRbLZ0sGnSkrTMmFeh5qBG e80HL/ZrJeib0wEUe0GtNQreAbvgTuCd+BjGogutQLh2Wh7PQcrtvm4UrsoC5l/CTeryg/YuQ9A5 nW4hgtiKRpgcQzayo8xVg86+ooyNFGX32nouwNiuXrujC+0o94TujtTEXKbaIPY+Lpqi1iQF8A+n Lp0r47oyo5rOq4gr0CfplPSqYplzftvE5sv7brrBIz6BStyzfXGCNQ0FqTOP5f1T9xdSnLodsfEC VPM7M8qiIjER9o9ZL0/cSviM/vpn3iUbF31myLNpOUmAIxUojxtv48/F578IdSipQG3ljiXnJRLS McAPMrSlOTFNPkg9qmkTR4GXlSks/owi2L2kQMwly+uwVQIn7Ly5vJQM7iR/Ytn6dj2HXiusEk/C CWZ1jowDco8m4uSVCQHM4eQ1AD4juA7YKz/Cj4v4QAU6mXxYwzopKoDT+2ewiFOQs+hxdNQi+Hsa JJjIW1yq/W0gluhwWD5EXnX9lH5zc4+4KkgEu9DPtI+YwVBxOe+6mZBT6AG5f8mTHce2kWiIHlsr +OsW4zKhQLNzW7VgsSyLFUAHuqx0cXm2/T1sWe+ezaDpiDUn7LuhMZoSSCpbfwvjU+PjD8UhZKfV /xJUUX8fYKUX+pqElJmpBj+PsTXOB43Bo+Eia207/uf/4suEApd+9sCIBuYxxsSldKwiThReTDjp aEn/Gix8+4QgUjUgUV4WsZltEWo66SVagYZzvW2+3vH8MjNe2aTqfziLsFOKGc8oz4XHoLtl+Rvo oeVbkfohqSP3j5scW8XaL2uwTTJngGXn3txIf2Y6YWOnEUMO8KqNyc2iwx92qoN0LxTGXnwh4oBf Ro53mI4CxRYjMsI4Ibr4Np0vzbn9qgYxhgIvHlmjfg5xFEuqmFsFht/xZbx+I6zrN79o9h5cendk lPEOciQ4SVYcK7IPQBTOFqcnttalJep8qbljAVEG89IZrkE2oQyhwXQOfEeNRLo+/BHwExPCTu1N jvdHABeZOHgvBmJW+cy2KPOoiT2f00VZmSPBEsKf/idEnePQgaQUrQSrKmG70yu3Rx3aM0gaWzVJ d/3NDIKZiz7L7YV3U5v26hAcVjTaUPpB84tNkgN48TzkVEy9O6UkNxWjadqPrZ3IiDxr7EFdAMFL +9FVZ4eStq7RJuu+W1C5aEnENPt87eTmPzE/HjFdwM7e81QLOp7af8jzYlWK1jK/cle7sLSX4hB7 hnJxqdqhc6NtU6Vju/KtmejyCs1fRf8ro4HKy7l7XrzTtF0hbz6JLj/uzat1Y45g1YFBj0nQTKJE 2iVJ+F3oE8PlDEWSY2xbMCX9/CPLXSR1ZpHOmIevz+s+/8PY6Sjr4tK1wwUz8EtaQLUaUoZcAWaW +9G6H8S6lbAwklonLVoKsS+kknoat4/4Ll98MMOjZXZx3+yYhfquDQBlbcJQgfXtLF1dbk0he3Ri J6RzzeKxbgaSR8OLIpGqOeoWkDZUv0xhFTiDaoPBTLdkPnPSZhnpxAEig31qShQHRWMRLWk0ZjHV fxBcTBWL+lL8+lq0hZTCfreA6GHKaZ+/aXZDOm2Qej/LoZPse6bLjjlnB/b0R1ZPWTnRa2FnA8/0 s/6T8c5eVEpiigyu9BCmndQny0rLhJRLZexv5xCxVWcMY+UC47dOS+DZcCGXmMxv648L0TgZnmh6 ApOmWwOXJp3GAblbgm8REm2kiKbHzr+2+5J2Cs+VMCChEONb8zmYyjJ6uWwJtbs2fDU4KoZOq5kn W9xDBfokrHjscoViodfcpil8YplCoQxgUx9PTuDFCqWFgoFuLedh14xr+6kTsASfqCBvYefa/Dhu TI74sevRDsJMiKolblYxPROkxG8v7gXGnD+yDimYJ61+WBJMUE2QCAV05QczCex64g4IujylWM6S SbBmvzKtOxe8IHd82npnQQ1TYdR5vMDM7pw9qzKlgFyiMpOjg8ZD4bFEhrhFFcllD0FshPfGdCbl dnpMkPULQGXk5Wyg26yzoW7gNARs+YQrDKOak+W+b/r+6cRu5BPrCR/lSnkfJlZFhzM30Ie1B8+9 cIV5gSLlSY1rbNJrsUkZF6lYpL8VNXQ2fZs6vUABusCUZhgxCIvkcDzXh4UyFG8uxs+q6hc2m0ce CJt49jX5ek6Ua5IKp7FijI/wLRCqVM115FjaDVc9JRiM95oBejR8X9crBqxdL/RtYfIRUp30COX0 XxviDLCGPcZjPfxmaFPq/BhZJusK68Uj46S2XLaOmDPdyZFnphP2HnDoyyOKaFtVKaNOLmQUXs9O 3EZtKE0n3SjCl3J2lgVO5r5Fb+HrQPUrwUgbNuXeegZ84U6oHfT6KbGu1dbzWY1vJUgTXBa+gLGx Z7G53ua5zjC04yyfDv3EhozuRLR/tWEkBQKa9Y9KSJsc/72cADd4VWM8ffQYCh2Xt62TZUoh2S1A QWoZPzjrKfBthUeKOmb+hv6dosdzFDwY0EAsdZ+j865o1bCEg/D+DGFvD1t6jBaxDW0RfbSoifxe 7+mDzNje/Dw88y3/W9yNEOTeH1/wgXRjQctghknYk5XuIEcylAezCMK/SsBFOwbGS94TNS1ZXc9J irpdO5VbE3CTpajnb6Lvc5Dkrlg1q6iv5PcBX05JOfLVx55xDAA6kXpl534JjhKPNC3WWyXhTy5I Toy38gWKJN649vBOF8K+OFSXqNOphnwLmpvqwZZLjFN5cuagHUYTqBbSZtel9vJXfyKeoKGHJu9F 1gNCqTuLmkYlwaWpDGtJvvrvjs+USLWQ0CH5XZbQjXZVYMLQ5gyvo3E1e7Tr2YOMLvH8biT622ue UUtD59PuN7aQ1Pfmi1sxLqMnCjW7vIjJCMu0TLpnHhUSpoqNTHI4elsDY58BCXtrRv5usozIMu0/ wcRLNb03/97h7DbfpuJ9cDyvvbTKWX0o6J07KVskxzkIHhrwvYEXXs6CjA61DZjZWXa8fe+RQuX8 PzFdxWEIKq9m9cC4E5zRI6GyVOIHADnUuyim5U3ZyKIDG4A/0NZuumWCD8Qe44DTX5iHofrctqai 91350Z72OjT3kFDDREPlQdDJVXuN66F+o13RHFQDjgabCLtOaFsDkgPM1sH3pTrmEdBLzjsIama2 GPA2nD4lsTSUFqwZ7upBjigE2HeYq1k+iASsSxySHMjn1lLFy0D5AN/kjq3ClBnedWxzVtLG5Ww3 jPUhF+OA14q5u1NiMPAZ28fsGyOgIt8TA68WaT0hzaO/3sWM7L8cHeCHrVPRBfznks8eOOd+M8GN fI2cj2lGoU3CYIgQfqrI9ai0mV2G6teD31F8XeV3bE02DUNMMxKOJ/tYhnHZ1nDjc1hgxpMuC9vG 5XNe2rGjoqJGl5qBUmP4Slg9c/59uBi3UTWGIjUWithkC16gF4/u4y58KEhlSPpIIrmfUryMnzve F4qYDrYvr1hOJ6FQXAa634tbRaAGJ87tc0JYwYX3bH5REmMfy2lJ2lfEaIYKkVkjb2Pr2yGFAQdj TJ8FF3KjenzhG+La2SFS2H1keI71bHV2Ofe3goKn8zo/93tLdRjMlf6PLO+dd8VTvJi+ktZD1rn5 FxELbSdYohEhCgQNKK7g/5QbqRwGyyjUAsMblHciXoZMPEIkE5hFy0mxVcOhypDdu9gnLrQuiZ2Z XftdZLLTKqWqEDKaHecqv7YbJHyCZVHd6z1XHROESLjlJITHWAJVjR8mmLSCUzARVwtqKy8IF8jx Y0HITLMYe1r5df2yRvkzT+jQj8pfxt6vKvtj+tO77a0c4jOrQmLIzzd9Lgk4JRKOQrG4Rl6OeRhu yFG8eOPJCTHTjZIbt1rsUzrGwzNmLYleOgJ9Ov0xSF5CTcrR2s6vsy3NqE1DxJKxeIhe3PyZMO9k WPczGfti9wdjmHlgYl/Gj4GW6OHIVgh8rxqCmCT2CrbXNk9eEXSSJY36H3NpA9SntQQ6+S9namqx 6cO4wbb2pv+QnMvhNhCKR/ePm1OW6D/Zv70FfqzsQG3ezmtlQEbO8FxoyTZ3JtVvsnAl9uI7z2NW VDC9PJsLFryyuuobD/2S3M5x+GF8ujjc8Ofl8Bhivks98Quefuytcey/KhfAP6VZPjkz77I/Nkyi PhDl4pjRJN0Kvb84P0euPRi/sFBAthsWZCmaFkPzURVT6G/OjZeoAR5ohYiuVBFhDXrwj2hSMlGC VK4/7X6QIaBgcysOIutc6t+HKTmkMnUFg83XcAeOvidqf4Gt7v15E0+PKdSHV35LuUQyIQ+MrtDt cca2DXQEscYMJca0XJvP2vE520AFs92uvJgLL6L5iYb5Fox6s+6Utf2k25PoAxVV4LlhHcqlS18r /pFKu2zeOpjJy340QVncdQT8iE4HbXGMy/vQFR2g+t6T1d8QXBHT+2N4R0IFAd7QwpEYxs2rBaGc PnQoIzljq6uIXB67RJ9Pu+c0nLA0IgDUbU1qhkf/x6fHZr47IB57VXWQCl3bzde/X5cloFdSIuvB dQ8VJIBaqndddXOdl4vuaiYKZOgyUno95h+b0xHCzg3pa3/Pn+rgwM2FIb2xosw91czPFBUHy7UY MQ+Qv0ZFP0tvECflFkIn+S7nNfUAM2zHGBRwPdC0xF+x1aKQbTxM5DhrKS2lMweaNfiwOLccHNPG JVNSwTJNhOCWiyWvNfys/o5mOSwpnaLevjGBJXQgzH8VPh+JMJ/vPHVssiN5DS5yaHBOKiuRQagp X8usL6C+wSwCrhVsck6Al5OaQXH8ed5zkXW2Kz2jnJ090gkm5M21f87Oe22Ns+XSKsrcrX3QVRuU oiQefvA9giU/jgaVKdIK/TzUY9prRo/TB96ohf3LFb7TxliwCUU9XJY5w2lBE30CpvO1WysqVRqX 4vi5VxhqyOwWNJiKu1j40u/lPe5oVusv/mN7HsN5jqsEmuuAe2drKaj0fsY4euYrSR2GJB2qbomg Z2EynsYVcr2hUG1/EQorJRLSvW+mOteYINAMahZ4WoNNXq54fOq6iRe2djNK+dK+eern60bIdftJ mnEVKYWTaQ4yz57xXq5ZXPG/pzen0hafmGmao9VWe7d7UvVrJE2vd6vH+aiID9Thgg90q1HpuLAG c0ZZGaEWWrRWuz3hnmTSPSAl303WTKYNPMT/xfbs5dm8oCJfTspxVuTgeNkPr0X0mGqHo8Y2/z6F b64EMsdDLSw8K/kafXhtI/wrNw0lQWDUt0M1cvbar5Gi1MdvnErTJHE5v/4hRSUt8BRaxvkyrWSg uQ4vNeFw3kyPzPTrh83V12m90mAwnLJoJnlKP7hVP/Es6idF6FGJPeNGUDVsDeehjkTJk+YpxiNx vKR7JnlVzaw3NiU5BgzOIyC2v93cCke2TtfAiY75L2mrnY0xYJoZzMJwvWeTg12Kq7d6Wnpjsfvg dMXFYyyHyXHD5sPArOv4SbliNdMi0Fq/PEdu/zJiUaD83I6I5UYc5aygqI5eZpBvVNZvQ9JXvKIH rKdNbXkspAGmw4e/fzAxboipWePMzu8MYJ4LJtXkveR+Mrq4mhQzNDyiihij9+wHNyKLc0wQWWA0 7LIIk21uMLo/ixj5ChnHmGY6ROSihjI7L2ZTwnq2sXhZxCar6buGt9VSPbAbUQEjreikE6VaMjH1 2mDhS6ZE4acryKkm6syzjLtrme+pPzXsFZtbL4cKE4K16w0Nm2q1LTMtOmXCyIMXeQbQSnpUYkmz HIXy9QmJZdWGoCMfjAvz0qLRtoeiL5GvtlHUtD6evG8uLero2iMeYxRFWSPA68UvkoNkWiGNptqS Gr8BeefiZxz/DuBUX+m9FBGtRYdpX0raita1Xk+OerGrtzzeuvaXCAEKIP2fHU9ghp7O+KdPA8Kb OhKF5aYnDeyzePm+j4bgHLQzYXfeEVnyiFLgCvCEDSwTc5HHHooY9L/JXWfofoUrEWHsllN0qofB cxwb6kHB6HHrINY4ktHuau3E6o35BDXPUYGuyVQgfuij5j8L/u74RdyEUFxYXf6Tla/G4uroqOuV JLouAOT64Us1233yH8KX76mHZcOsrBAlR01hsc+AYjOViIlb2Chg3llMJ51plXbmI5pO5yQXd2C/ 76nMbZJYBCBtCcMskkstbhkxNLt9rfPijt55cgJf18d2ryAQAlhaEy0/vRh64gtZzQ0wIgCcnIta qwqZclBBSkPQl060ZFjbiBwHhSPHqVOAkODRIC2BJNBZ1SAMG6kkCaeoHQf81bTiL0r1Za8zCnBo buAgOcLMlk7rzT1eyelpwHkAdOTQT0OL6FcTMwIFNpwyh91+76rA18l24k7zWmt++p2j+/QNWEyG bjt/Qg2jAZfSwZ6m+Yng43oTE3dp0/cD19VQ1yoQK6JFeIUEe++1DpxGxf8J8NyUwFZxIzia2UmV j5vbcZ8zLYn+7CjW+8dLhIM9kN0b0ez26Tg1GV0kIxheEj+OdsLczzuaZhWmvHN9wnKgKNs3N7ZB TIccf40IU0ySJ9++BT0JInd8UPaUPUk2dUo60calcklE1OxhfjWnOuBC5+j2Li3x5dv4kZFNuNcU fX+SfiMAwfgepjIjbZrwWhmdxrERejOl1s289ZOdsYYdYAJ/LdsSQ4um4AdcuZrOBdFGRCIUPX21 5Lw0x/1gi1LbXuoCVhTlOdteFq8hFI9+b84V2q0fhCxZ9lKj7D84Q/YwTHcQ/BRPp78ovQhqmDAX yV9c38hfibMy/Mpe6/Y2Kg1kQ/OXjzZk8VLdhY2s+mLt5MWC6nT3AI499UVZZLK8oP16oFSxpq2F 91LEvo4TpPP1wYkDpZrzOVaOQIOtZOrygp9mjMRkABQ15Y4zKxq/6ZdLXHB8mExYc6F1kHoKO1/w 065CScAMxoKRrfJafuTd4smfYCjc1hKcN3GlNfGQKWdx9SVQh5TUllqHn33XevqQV/wAE0Ik3Uhm VJsteu0BcExq5NJib9Rkr2cac/ID4nE4KjZmjkfv6fWVEPfl5WpTEV7I8s/zdeC3xVTEAbjV4F+c TS3+e5SwnnqHvQJ37dv3NYUW4h6NQwB+hBCVfbF7Bg7v9D4EyVDS6aRZb+BWM2Tfh17hzgymFthq ZQZ6Ck2UhFcq+pwH68U7QDNr0paElEHovuT6Kj08S7U2NxOYNpfHFNgoV1dkCguIVU1Y8rNTUnXF 1IEjUuzF4+YwOokSyRdR38GjkHnuJh0gGnMn9AIJi0Hq7jX6312OdWKb9aXy6YwJzrfmcs6x5Nya 9aKOl68K5tqsbyLwLnisXoawKiV095oJUdX53ygN9nHBcgjVpn/kSe/HUhfEmiuiD0aK7+rBTOB8 E+rtbOW2u66HGYFr/joQy2BdxnkgQ4EkbWOS2DQszW38sjwk8hZQN3012ZGYuzeNQ8U9LRBhboLa lF4un4mOLzlLqnrNVTsMOt/s05bQF95jPwdfxuL1t1R/r5RQsy/yNNTeEr/BZ+TwnRq8CV7qpSCK kQnHrL9fYIQ/z7uueHQwPgvIvmE/2D1f5qkkyJ7MsY909QP7+kmir/OP4wR8c4154+L16FxfsDib q8Uvfp+rDShZBdSd1lzArB+R7VHtfX1Y6pL47UOLyDxKVgTDyNllj+2iQXgvR3WbkdW2q5yNC5GP eHMIc+UOUsS0/8iXXXDdlrdSi2uaH1POdZFlEOC9hGil5+AF0fZL7nPC4V2EMArS7e1li0Fe5Gf3 1whVxvnXZxlPFFlP+HBLHnsmNYbORRlKKcZzkwzkeD+n62QIWS1NcCRF/Mm+fS8TTps1W1B2ftmc ZChPaFSmTDEsc8uE9j7aCiRXOy3eb3q65WXM6jbMFkanXcvHxa1qZErj6k1+wmaYpx9YEpUho99y JYKIZg1yXYCiA5LdtbjPwtxNVv64jSJLt0A34h/dDVw+0/yCFZVCf784i8I0pj3owGkjJU42uCdf gJzeQzLcb5pgCtAJDLrkp5U/VBjKQ6B8dSK1H/AjGAt7YZRHC4zF+749jCtovQmIIdiRRxMXScYw va8Say8uvmb8wXwbg5Wom0iLyK94CMNoH7aZcmjf3hKlAN+59dYJ+BoLMm2rHWAh2e+li1OP17qe Pg34BFPbJPPrJSzTyvkFWW0vCkXQ4lpAB6elDj/peIpCeZpi6utDM1z9P3JPTl1xZv5qHaiy8X3X 4Cx0WJ4fxnEVxATWnHAh3rYDrMFbVnaJp2RDDjthBf0J/z2GkP7PKcb+O4wngUvSwgIgBPxIQWnU KLRay11+W0nHycQ7blJAZ/yLmpwdXRoulPh2CpeSTZ5gvmEtJg3bbq4R7WWwlRkNeM6HgxxnPVyW OoKuFEHrYgVR3pFz1pSw24hrTTFzDbtH28J+c0E3Sdwk7X/MZTqU3oN1AyNLOCEfunvt3ozp5iji 1/ySTp3BX8nJbiSDdO+eHjn74MiVS7uqtUkt+6hvVMemzt1Ew7Vbb8URWiiesoQp8kS1zBswAckZ uacwM5IIU9NTV7MGIOPsOXSMepZKwMzvPl4QpvM+Uw70rB5OVKu1XbFow0mmEew2cR926FkcLn+Z sElQ6zYiH9GKmuUJaBMCFUduv/jPKngBQ5o3LrbwhVzZ5V5zG7POl/mUe8MHVj7jC297B9u0z2I/ VOfXXUgTIJ+auGX2j1b0LowX3YvdjcXXBTelDf5bQ/HR7y01Xs9tj5g7g4So/wRVCBQ1rZmBPjZv Pz6PeeL1OdVovrQ0sSrrTPYB/FZ90GVs/MMFGjSiLic3d7Taen8tzAnVJFNqb0iiyun27MhHiGrd Z6Sidd54XbtgnqtXm+NsV8f9yBrLJhyWn3b+1tDh1GsnlshY7X//R8PLCGgS3p+pTQkkYAKZJHTw GbTKzH/BF8GxmvgfwkxEZh4pU1qmkrDrn2bhJNE3CP80lsBxrF7C/v7+DpwHBeHHuUj6wLhTFg3m EK3Z41uLj0Gcd75ti0pW/kOuYQoMPKt6a8+2jUqQBM/SJSpz3j5GDiDrVFqUvFNlzkdfnaw4BxGU wk60lPZBrOuq5lglyT0QmBp1cSFIm/806EodmE34QkGpfrHArncSR8qEWprgn5T/R7sAYoa1MT5l Zu658olWqkJ68m3SZcKa5edhzhDOO64VbshosOJ2hFGQVsPUaf1L6fUgMvi4g6yhpCnOtd4Ep/oO e/Lj1F4B/ax4A+U/ZcgjQSY4CaHTCaBH8Jgf/26nEFYE8uSHbwxcR2uycSxjz/d2ysg323C2/KEf JCw+w9Lmy4w2+U1T9+/JVPIEdZA/9qY+ViO9tv6LgArocvVMFvnUBAK0PV/w2c26ZodgltVyWv1S k8yArVMrF08XKsagsYX3TodD7QFV7+lVKUXMeDVlwxiWJyTcdHNd9XUVaGRuqmdy1aWf85BCiB05 z/vbGCM1vGDG5VvLDFqGPS9W/RMCbU93VXRcpjojPs/mUZnf6vgEK0WCo1M5s31hYMy2SsY9ygDP YzRNweZ5HeylrKmfb2B9zkvSotFE6JxcyrxW8UwWqdozFVQPJniOcTY79eo0tVMLZefJwnfMW0q3 ebV5q80UWAw+m+mCSVhHwDLYdsl9+n+reExi6CziNkZfk3szGwBRmObIvX7g9N3speeCVOwF1uiE ewYgky0alg/ugU++MFQsE6Xl6py8+hP1KP9Q2sEY/Be1Bl/Qigpn+d5ESG8HjzIDaPEqnNuoeSwr F3aVLcud901voqGHTuQ/e896nW5kUI4Tdhf7+w7/tz832KOHpf61RZvKyEUhZSbtO6jkjrzMlsgB MTWtkP6x8r4ZKunf8WO0D+0tqyAVttyYJsolQkO7+Ub5C9XkyDXvtDe0meR3n2pmVNelIPYqfWAm n6pszGlP/y+6iPJwgxTZPkbT1xGK8+K/MNSnVGYDL27UAYU2MQLU0EsXpSNVO5Xlh+ALd8OyHxm2 YRNFAwxwH0C+zKUlqQjich9uP+SmrGGq4AahUOdqvB1p09hGbLDYb2V6kxNMdMLCPiUbES7W5FHS EPWvZdfojBwaAdeH68zXh8zO9OwGy3U8/+A/BSaf1+752wuvCt6QB/3xMlQtnyn9MBRb4wE7/nQn GTjx9o/YcYWdIHqPUkUhJbxOWapFKflNjjoQ7mogwWUXri4+04etUcsEE8y2MWIe0a8csQ6+nIDv Fcs6z8A3nm2K4XcD7/rJfsB9tEgFSEJG6zz5u8tFwbGUqzVh5mwOsryFUVdabZxQoxG9wJIOPn++ W4kWwGKQQLnRoiVcS7XphmRN3LLbpyVikOGK8QmxWC8sg+6HsgdZHm04+eif6liTJZgBTs3bExg0 Wl7gj5TcYpirhp0oMYKAhfT8Y4CxZ+3IAxy4jVtr2SFOSyBbwPjmbbMm80GzDmWpoQ52KJXvjIYb jvb2JaQ2RO1sKlGClEJ1i7CPYXq7+Nd+Zi70Tn2fns/PDPnfVnm7SXjk1E3uy7oA3BkbkZRTRCcl 3em4W9w+myzjSnANrDGHy01VwFiXX51Kw+G/R9mHkkVgk48RNadk6fhu51YDuqIXxQ86VyDKV+O+ UaQH/p4ebMgClypLGN9taOVrdvOQf6lwxTcIHkbKaK+qeTiwMmp9dwCCsZ8b7YULoXlZX2Hohtda s2pa+fzJHd5Ni20VISa+LjKrSNV7JQ3v8HAgPBKdl+dObMlWmaqWhAPAbHfPAVHrcF4hP1echpt9 nNKm52D6MPG1ZEfloFki3hGUtUyC2kW28S/u6NR7vjWtn6JlX/2LR4iFViSWxtu8peCAi8xK5Y9x lq9k3OpZt1FnpqqIoZmDWfjcrzfW5qNUdsi2CKat9zhKras4xOyRMmwuFMFQQXNIEt9kh8cxd6Mm vrGzRcLE9fToC4KroRs1iDDgBOQ0+S1Oaj1gMKvSyooqNj0YixsCLXsOXMHB0qjnh2iW7x/+/qAK AwYONgI26D71gHxZKiygvbQP4P5ZUple1/mFwf2s3AnD/sjvFzJr+1JzQ28sWgIPAyoySZdK5SP2 m81n6fKv8vy7M3QZ367bGWLokWy/jaV/jfdhAZpayrDvyM36ukhIOWq/uY0PM+/tTKKcK336Qop/ G48i9Lazlp6UcS8h87FGclAi6J5TTDCvuzM8r6VLl5R4oD5oj+vBOc6wQfcD8dPT/LHT9eSabilf EKpba8SPbzoglUlcZDezQp0OxBwclvbbyX9zm+3WRT1bVNJD43yapqGxbZt8L17ieSdN7H0+Gi7s elW4phOrJSrCmuHbcet3ZHn/L4vYyTQviQtR68tPmMsAm1NXTfpFYlAan8Pg99BoIoTuxffaLKhC amUj1XybDyd4lZAuV90sxH4iKhcPWC74qPmyfWSipwFscHQc7+KeQZcpUWFOJ7XOS8pjncABPQ4M x0xPvDpMowVx4NUvwMMXWAOYmFlqxHzhmbmbgme2bG8knf+DV9GrD5vCV+KNdN7r/puHGru8+CyR SsyymF6mF2AKnH1AMBSX54A3Y0qelwsoXbXEwdn9CAKUsrgpylJwxRv9tmeae8A87bMPv6Yg8TF4 fG2OREFr/1bovaF2s45Q8dXYKt9mxjyCWtXW3ymS6e5N2IM6qT235j9kjnp/8+AuBG6HPSBjggI8 Vc8HptG9qf3ZVAmvK6iIHYq1NNrZq1y4ujnfiTn8BAlcV7R5/aniBnak9LCg+k5FFjnp57/l9agZ CJSxGZJQ8dxFdAV23axNM9YNBIY6/1CehzKE7J5e+iaCItjHJphAuG7+vBMsd2T3xRSFEwRJAG2o MQrGifKwMgI/Xs3peHvkiyI8KZlL2eAA8hkFrly/NBnTNg0SzkjCHcTsE2Aw8/ke1Edf9WfOD0MN TPmOMOym+zOjJJIURwuUDyUU8aPcNI/cHvA3IPWA2xDyUo9a6ZjkMwz0Kv+yfI+EM5XBqxGFjG2S zeHKYnfCQ6/4x41k83H6tsLB2xUowmBciQlKM2i/V+emZkc44k8MbvLy0COeBF/xoaT2e8t7s1AH RjMPcTHP7uIk9DTgSGmhutm1jto3h35aOdTV1h6ld+W1YI5vzCgysFYgJbhd8CdZXNBHHiRK1C7o W4ahGqBKR/wC63euFgyXFMJQrfOI7IE/A+Sic+p87r6xav3LpEOYNYXPyYCx0Fx8ra5jytp9mnDa u6hOBHqQ0vlMsGLxEzU7mij2ePbzzJNQmEeK6CWaMJDE2A8isnDztRx1v0X1DcmCc+mgOIvfKCMp SZn4Q/mzszlqIzBOFE4pHyiGLv7B49IV3fgDN0MvHq8DHcrHJUZXLXf+gHuooab8w+1G88tZZ3Ht KWZTgF66ZLM22sSmmiAA5sJksC0TM965ydbMpfoNq+1ccTy6NtC7iP+09y+LisAN10m8YLzQapo6 4BZ2NxHTHu6+XaLYdHjqrazUz6lKAZ2HFNcvOBZi4MsvTeUgabDMK0HS+KlIgmwIcnrVTkB+kumj hKUH/p5E4gr959B1cNYrAyNmjFtaAjoUmcuLvsCXXi5wuXb8teu9xv2pcbNxgO3X7ZZF27eLbu0N 543ZX+lkWuSbEwjWTMJWSWXoqzAW+PbfDiXK/dTYZiIw1QZTC7ctabp9fEMdsCE1PTAfDnNfuaau hRgcks06QGBl1kmyoggbxAE/pgblb7zS7Bh2auLFK0VcFnofxueUopRvJXK59Hdjjd07sEngINTM UbAVL14h1sydhkaWd6fiVSWTmAYXiIfo7R+FfVfWZa5DZ5J9ot943h5sU4k3TUWZOlZBE2G9O9jQ yY5tS8fFZsAaE1PrrsCo3jbGm3JPnD61HsOmemcU5Mhp09H8LRwiFieF1OZjdEGS/+rJhee04LTx vkzz+0rjJVCXh2APMQM1URckSENN4CnR2PhnrNy48oaKgBgpvINoVT7IS5QDTHPruRiIFOoYSMye WKDYhPC6OmufBRPcmmmL/4pzeTpti1NAGGSp/UBFchDISC/J6IEQQja0GxfIBefGKxqAKtOMOFPy fUHUgV8dh1poDbw8qiZx5J8T/5gcm27dk4H33OyxMDBoKGJvDnHyCfm2zJv1uAb3c/8US6cZkjYL rInivTwU19Ue6C5mgH4MOft0weozL6UnplO1ilL06/PYiktwTb88U1tjvhK1LbMXeh8ardXrqxVE QekLY3jasm7yjmqQtPsnxvttwXBYGCzJav8PnlqJB5XZFbb9TRfJizKQ2ZWpFhej3Ohc4qHJPE3O c2NbOrvUDRVIUrRXQaKghgQ+oozDcD3s3vw9gpxXDwXn7mRah8l9B5bcOsOj2w0EW+pAX0/5TKF/ X0zeoQNYCDlE2nFJ1mFrVn8SGsLfjqt3uoTlLgBP007mR8SUN569k9pW0XNPG2aLjwhX88wa583C rsDxo9cT9TpY5oZ+j0BTtERuOBrQUQKp8YB/4YqcxEJdp8ttc5+lG62tkZEp7afAfgQUuu4Y+WYM M7cckHl7OVDLBIGCe+8lH1lfoVkXpey29ApKW2Xcxa/bbfEOmn7NdcdL6eQq2jXPFvbRVdPvtfep sK7p6Wl4msS7D+6/8NhWWrpdIdJdiOseMFJxdHMLneWLdT40oc5LptC8+W0uoUHUhCajGEdGjjDR CRm06FryhqhP2HzSzOycKodmL+MlUlDposyQfIiwcgK2hUghDy5mA24q99K3Gi3Hj+YQqJmiDxse NodlbFF7rXevVa28tLY//xjjk4wTpKov38n5TXdnwK5SyIH83OvI/fHJoDxcHAvUn2lxj5dQU0R1 7S0sg8fZ/PCM2V0ZoBXynqJUm/KrNAXzZOoid7/KNqtEDkQMgNNexX/7LiVIKW5XmcmjdiT6HR8U cCDCo0/oUe9TY3Xb29Fq0Y2vS3VkzkiEWGbW08LVWf3tW9FuvrsxTTxDwix3XXA3Y3V6GiRa7AwV oxmjWrwhgJkYo7N4ONkuKyBOqfII9z0OuVUCNR+9h2HuQG0RieHuy/pVQOd98Hp+p/usLzN2Ih84 d23FORPnZgcHxLiWw7VeAI/7Akp9xbM3lY5a+hPk+TcN2ZzuhpOMoVuQ4QZWpxSk/+8klG81ZYTR iQ9L/sQgul+CoA/2+G63EoLULHWQyqwQwL2W9Af7SnK/7r9ULrIdFikHbjVHvOmD5AWnyYrJ0bq9 g6Dhe4ezyQRT9OnereHnoUhiVgT2WP7wespTPazAOuztBl/HRFlp4+FvabY8uVOWKFAfBXbJ5qPD C29ioJyJhgtCwdViu/Dc5zWS3qJtNoXd8t2YlAntdbsBvmFXa9YxE4rTLoSVQYmcxCdsqnzQp3zf krDb1w45tGjg2QUCjN7h60t/HH4XpJ5gjW9EgmhXXLY2VCPEeD5AfN44gsY0W0KlIauirghzeBA/ N2l4rtUQkIhWuCr25BAO0YFiHj0pBWphscZ3OC2Qpi7+X2t8v6cSSyDoO5ns6mDJhF0mCvQdNuLq 1bceDkq4gSuZbQbTZg2O6Gc8N9CCklyBuVoeaqhvRvIztmwpv0cFx4yp+V2CE7WM1A3zazcd0UxO GjIekTrSQ79cDU96wn06ahwxX+XD6LRN7fVjwpCwGXGrs80U7UsOTcO9hBXZUtcp9sSUzbpT8b5a KdJbiHwaHndHPJUiQ028pWIRtvjYcNe8Jbg0F+yb+iBBAxfOoeV2xDISW0EZ6JeJAdrZYKAyRZpk RKc73RSzbgfA9ABDWJK/cuNQ0qc/au+eiNEWXzFkaRk9TtFXOsGQ3dOOHNoWM2Omz5G/mLSjDIeb 3pi8+bhfhVUdlkfbsy8lZQi3i6IEsIjVIxRQSm6I24tTlezaQD7U86w6lYOnSxKdcoGSc5dYrZIC i6WpCg6bYDv6N+HBAjlUHiwBsfEx8w3z0R9qIVe9iWiJV3d6ON9f+QBsxJJ1AFJdwhZN37yC7Adr ctfuXsRWv853wpdriQ4Mpnlw//xyk4c0qUPtOcB/A8Yc9do105gz859zIKxn8DmQINq4wDQ+El/m 4JVj0JwHYJqgSFMqgxUq0b8HxivELBriUVn2kwI/KttyNrqzDwrqpb6iYkxgQN4v1QlitcOXy62b 2NFhbebOCoif6NnE9wvXKWTtSXUIEqJX2yAy/ZClV4zy/eMgnHAuQajcQCVi6R3pQhRSuFXu5ocP 2bIWobbqkulri51+RKDnVd6uUXmXl7j5na1kxsEgvnq2WP9lABEyS2LGTwUzeP+AsnSuoWZGyOsm L0NbIcEPH8Gd1NyxZgY7fXkRywO8cilXeoPPtH2G+IWBkQo+XHUbz/iK1yKObX5+U7vzt1SAsYoS QKAE+laWKw9x24C5XgEbSXzuz2zm0vNHPnPv4jKYYFODvmaLFVz4YubndzCuFNOhnVirzI53YciY kAlC4dTubUte9Xt+JKPRIU3/TaMH/ubU7462KE4cHIWY5RJu8zt8S6nOyaCjPK0kWpXYpCm8B+sp 8Vf+0bGz68PvURkbSy7L8iEAdNjknT2/t4C9wkbCTuFbtw+UFhhz7wOlUZYi3cNoGmGqnEoVCxE7 Qxnq9E82wVwA7LmUBafg2bbbY3wLa+dRMt++OYaGCBGu3MVd9bSbWKBRMYZPuzhHtWngyoAfupJT 1bWPPkm17V/nLNoMpSafRaZk+GWIypxUQuiuRdkCtQV1ayhGG09UpyBadimViJtpooVtaRtJQVML iKWDgNQhbKbMUhuAuml46whRT+QkXR+AWUJEx5Q29ttJz7UGyoi7kGNHbguSiccdWrCzAgDYq7/z xg7Q3i4jHSG0t3TWtNxeQgp7YDSdH4qKlcB90213hvSJeG4OfVX4IYqn6MijVXoysJjdyu7SAeEb lHsm5lU+I90fswbPayno/6ppaER4pRPcWn0mKIx9Qa7U1wVTG+RZ23ku8aFU/u9pYDM6VbhfwFd6 rzjsfz03s/sXDq5WrHBp+dGRdteNhqzCMi+cPi6vrutm0bv9Zpr7/JTHmio+9EfeKhwwr8me8jHa mMdCiMfNnVs3yq72Ne0oz5SjmfzGS0TAtghii5RJBnhqkXTvQZe+gIGOUoR33T79Zg1S+IwWpJsc ekCkDG7chnfDFi2p8mBKF7UI+6u0CGtub89/IutBM9K7WY5DFXTp72Q01tx3EJTCc74fAnjL6gDE Do5G8/56z4s0nSDDha14CqwwF2QCZfS0+4Wp2MmfzADHuDCazEtAIlC65j5LsuXH9VInn7BJ9ldW gQWbHlxGjcd8Th/bAMUYw3J4U0MaAicctR7kakTtoyFC0EAMLlBpq04PuO1pgzin2epRErBgNdhj azaHWfNZ2VIBoII7N+rbLIhCRI2vz8XzHwjrz07vjs/g32YwPvSrBwpiGUZgnzpHJd4tRnjazg/g aR3dI2j4PSbBt/Be7cgbwAWM1rd/Wb+Ftcv/pzL1vmV915EHtIpUAGUrKrb+8dVNUwnbIpclHmMq rkPP+xprsq41vw2W79QOR8YWGhXhdxy53XcAV8vdrJ5ay7cz3JSIWtO3qKUJdlfVBld27M9NCUp3 k2auzFkpKyFgNj0eQYoI+3EbTQUtX3Q+pWWHOMd4Wu/7WydnT2cGp4tnmis3N48/1WRilDc2ZSZt AAIjio3VXc3HAce7iMFNyvd90gEOq1PIeLzQ8aoypgQCu/C+garxN3oRjuoogYr59Iz//YjhJm/T Ix5RLPaucY1tHpyOR/9yeFlMK6w2NDFxzU4GOa17TJHzcQU0sNw8jWb6/xBPgYKx9BUaVTuMMFjG 0D+dSyUX2JEcdxbE0GgKtDeft2T9KdKy8FeCL35PIWmbgpGjdHdYiCOM9LK2wrJ7xIUelTlB4Rxz W26o8Zqd0n1jjQ3KIu1RcAfbcDa/L+q0GmOE2BVPkd+yVNTkcEjMJC4zZApVWtjMP2V5KMzver3t LJTK1Qdk5Cw9Ou4yHueb7IT5ujnygufTRJYfZWs2PWAHA5CphM6yvbgPal05G7hdctUfWYw3gwuZ H5Ar59ihACQGfFm/PSM1D5Ivr3ViW50tR4WW+mWqdqlFbEB3X7P3+C4Rrq/EG6Dsfl7pv7TBhEFw cF9HK5E1RBNh0FfoQui8T0NqWApvJh1dcC5IFYUYIdddvZNXPqGOiq6ZpFQT4vlX6W+7o0UBegge qQhh4E2Zkql/fq1XSHK52YDLpKVR5JJzUziOy/gnXkCvPhwiSzVPsKUZNSG11LX7NCvt84cddwax 0BljAOiTaSGa9+oJhdJotbc9KVLXeBjNgfWnUqdn5rmESCu97yp0kKLlefzwz7+ULC/YwKCQH1WZ IjwtUmOUjemcEyzpAIRLDcTMhiBCDQmd9TeJzY3X1zSsVbEa1q0T8vVRA1yVJfmQz0xpWBpRzebk fh4WnI4TSn62sXATyGz4p5ph9ECwkYmlI2DslvXCpNWhf2gOxQFzX4dNheqPfYrDEqhwoVGp0+TI twLX8ZqbywmlcGJdrOZtQGktbnmkdLqud/tdRgh7qFnT53lZhFBKx/2WroMLVc06RqNdmj48AQfa UAgObHGXedhX15IiTNrY3ZHq0+0SjvS3OtSGpTJ7dt8ZQqZZW+q0tKcNuFMWL00b6vSso7X8ecET VtJbQClKAjR08yqyNCu8ss7iKbv78ftbfX0baIXPSLJtC9wRBtLDXM54P1tHyLWeEMCusT+o0K0n 0sQA5X2QSITaNoPqN+h6KX5z+UOWpa1LE96vElSUCJpqWjK/y8xN7tAwMr2eWmdu8xzHuQqC+E9V 8tHGaoSlv3UgRWLzY8MmoSEIvFJU1lLWkrfq9+uqLEE2dwhZRsfonZu0rfMLsUxhVk96PNh1fbr6 ZvTJMRT+WUtFrY0/u1mbobAMpaMpsG9P+074xec94mCtmGU0WvetJPhftxUbm/yqkTZQaPG0i+R+ 1sUhlUxmYDQfePiixrYdeBgBIu3YSJC6jEnmwoyLpcUniIlwU4fQAIG0/hsKykcG6sZSL3WwRHEt tr8QlOgDctONsb4akurEOKAhQYDWwOCe7dBCJZKIvE7crhwK32USFmqrAqWTNLx+pDjC5ig3Cne9 U0+BIgQMkzibmlqoK8o3UPT6nFdfAeQ5Eawyf7XB5AoCsaLwv51hsOr59hI3P276OgIB9Sq73/MU ZTTcxUWuflSC5RTAObGO1DexFwcx6kRpuqzzflQhXFvt89lFTnVE8teOZS0p3YbhO8DGqTmTwgPC /rJ2iNUwHxSCO8uieV0W7Savo25cJa5OHd3yWdQj7Fw+BM+rXxP7nwW64YCKlPmaDITlANDUM0eW On9ctOGQEsfJQLGBQy/vgZn+4gNPtF7pY+8zp5KMmqjjD5J35wdsgY5jh2OzYYZreloAi9YfF2J4 5RDdnNV6Ef8aS9yIJjUSdi+xj6ZZcdjs+ZZ8Ud/pu+ePGULCvy/Cp1H9lBM3TWsPPCaQIMU6SXoQ Cm+bWztBN1XjNIjZx1xX6jazO9aTLiP3Id07eKbHRDGFYEYYsUTOrkcqVhhRTp+wKSqDgykyFnGJ I7Yp1wxLP9gkSmj7tFmw30dDwtKZnk2V5QpVwS4qvAKwxUJcwKoQBDhoErG0MV2qGwFmGbeBlNXW cA0eg/m7WomehBHc9QRnzIPYjdOXvvqhbWxw7xVYy+zexLr/2U7HyySFF1KxKnkUpt8LZ7BgrPWd 4pE2O00/TysntUUpzzmNezqBto5gZs8oeZIMX9/cwFp04afIGjzjTUZYeWjHrVCWkPDfLlrG7Fkb VbyN5MmSJE1Uho7h5BLiuNRICUXCHh/Hj+aF6Nv+1eLfoGmjRjAAMSnDvlTnOK3WwbtiZO8b/l/D MY0Xpk13FGIFOfUNAL9B4jMrxHZQcCX4sQpIAGB5+BznKv8gnsmU+ml+SgyzghJmkFE9X8sxpM9Y 1Eb81Sjpd82wR7ySukqPDX7qJ7c9YGoaYzaUDuVd4kL7J3GodV4qTr2Dq5qg5CB27nF8BWyr61nV RH2C6MY0ukHUc/6qP0mF3LHurodBRSRugbCbTjiDeVS3kvzIvK3yjP/QiCCntGnOMO6/Y9NUqtMd gJZcybPhTDmPl+LT8aSD4HuBmkVq527f7/AwSKk9PU4XP7kOZaTMzTH1gI4hmDAM9KPE4q+onTCT FQ/qloGBhBCfL9nYbh5GHLeRSKfrhI+ZrNq+HXWwBzgG3jzWbHmDJRiTePzQPuzxpInBKunbcUre VAXbw1PNMqG90854NbffqhpcgOUeQqdCmd6i0szvzueBYNebmwqo1OzhYoPgQ1cGs0z46hbden/a dANpc9EeFIdaJkEl2OVMCj63ApkPzue7u8/IXIE3LT3HXyVclbJO60JhoSLwfSoqfAsNuMbDwN8Q 2GpPbcoHEwesU40mkwWaQ+9CHYwqsEvKNVm3kDws2wCXY/kuf+7YMPFufqD6AhRpYYtxsLKTgkeq QF+M2lhr9Z0r3x2M6lGzScQTr9yAMNznj/mqW6jj3j4hyMUg/iy4w8QCO8mqezvJ8D7+aZOndzsa c0Tri+arg5/oauuEe84yVDU0lK6cOKiMNFq8kNSWJRIQsrfwU0266JeSECNjU8MIwJOO+w2P2C1a 4/zNXGVkcEAkP8djD+CMvfOSDH8V4dPzZfAWBbxYaDmwocslHLIMdhkSSGAQF4GmudVWdswJQfNC gIMqmKkxvVAufSz7AyUxc3+1ifsTT2uUmJY/j5hLZ94f+eQ2HD0prhX017fnyRbXLgMC1yoEacyZ K6valBq8dO6AnJSdoOQCZH9pL/Vcc1j5Jj0e4RAKnQezaZBG9GvWFlWMq4fmvKPazEpys0eSfP/I U6y4pi013+cKqLHvRjDlu3iW95FIhwRJ83Jcb+Jikby8DbpXdVDH9I6klulVLeYSi1MsruXtD36x b8kXRUZ/98pK520nkF5WRQxQHvgPkHuOLkBB9F3vZFXZtLx3qSM5Zzf2QzLlBIT5qkmncu4Cr0u4 I9Ag/pqYcsEx2x/TRQKE3f8QcF62DAAcv/ae9On2CM2Iv0O5Qgt/Msbnmm5bd54q21kC8pQP8FQ8 MsNUOcscPvz5vw0+H1n8hi+5sgCih1lPwi0fMRx3l37/3TVZJn/48t/x/5On2IyB7ntpeNRcodRd Zw1PB3TJWOPS2jARzLIEB0plYD55wbaFustVqD4sHk1LJFhE0j+z2L0IuHTTY0drmgqqRwHbUUwe EoGUXmeUeWeaKbIFE9/BqO38PVpcBD/cQVRWdXVIsG6sVRGVb6d/uAXRodaVBTDRIKdUkT72GwI9 CIwUpq7gNwvs3OVR0bXuMgF4+XPCGI07tTHxOs6RUO0H2Q90VmGGrhjAd2o2mQfbMOV4zezHB1MH cRTP+JWUj5hM7d8DhqP7p/RBfP+GhPw7LnIgkxSsk8hY/uIhHUUiFcJ3kPvAlXLRLBFJOLEfnKC7 5QWzb0E1W2aEhX1gnbj95aDr8/0ZURDGQuYqCWN2YyzOra3CkfLLYhqu/KRiRjx7JAnBq8UfE9W7 lJuIj3lJS0/RVFmHA7QF69Hf0Mwq068QB2e4A+FPvNPtwdyC5R1s/LzIscvEBfIEWA6GGxyCOfnk 9Y/iueb0NAh47nS7+Q8lP4AHHkqniACuBVmr4Q31mGc3/Kzg1b+meSzHJP0tuZaok95VdtAGzBrZ ed5cYut8x4noArqGhqm8wawjoBcTr2NMt8LnHygnWmBNc02MNAVohg/Bg2W1XOJhLmDrm/1YFo5B DsEqOxUZluP9rivTmtOuWspw1UEwVgubx/matoQ1I1PRI2TuVT61PhtLsEoXMr3RQbaVFF05YUf3 WfDMf0Q8o/UhO8Jkaihgc+QD29YEEGWKKhCVTznLve+5w6WAOTyF4RvtRjtenqfJbJ3iI7h6HMZI syo8NvlNW5KV1x4KG9sSKjL51cx3vUiT+fG9/yIlvmfc2UtZ4X6mGWtzvW2twodefRz+l2weVB33 Op90N6g0xG7kcIF06pMeN+Qqy9GNe2799dAQcHUWrRMeqhpH32+zoW2+j+GgSKhBEvsQaaM/XK0a aPLQVBDyCt0CMIbXqQjOx0I8YYOszoCaN+USLfW2ovI9d0loqmXtIcwPFg1mCDfqq/vNWsURPz2/ YHUnTcoxFvNk4AaO9DrzD9IVdfcJew/AwZav9+ne7+OoQb9DlVevGT+Y1+sAfUzBfy9zaachx2UP CTFc3ZPNLAtEUXy3zZybpBqYyt1IotMQUL/klrPc84c+QfAv60OYac5u4d3jsgn1RAMX0WGqGrju 8YEMCzHLKsQI/ivIIaTUz5sl9LmlGIwXWadNdjjmLNMsuq0I/O9BQ/8cO9QxRD85q/7Atk/hWzaK XscOWXYtAI1FK80mKpnhC+VHmYG5MGJSAp9eDHSlcXYbda7wmYoTnJGyf1scDygHLd6LiiuVxeiw XdAkaUC8tnzNh+atnMmK2IivUtKMlZVYd09mYD1KMl3W6sZaGaAvMDKnT03/roOO8o4g0OLHXFXp 1WWAVC8hIgr8Z2j+/UClR13Yxfr+M0sysaMpmAYcMDgGGt7fRo3TmqPMCECxjuENQ1wZ5bDkHN3e 98K3JMtqotbjuehVQ62kkwWbSmQIGq3hBOvbidfNIn+rkX9ydh5g26n1El1ic+RW38UEyLaqQQeM sIXuzyAR9PNNbqz0S7ZhZevvhwHmYbuNLZfkpcDcMspWXGlpcBcdTPTvXDPuT+0rUHRrAE6v7I5X uIpX4j+gxUVkXd40p3zgeBaT7zxKbi9rbuvfKrIVENeunEIKbSndLMIyBmc1pT8j5htrDrvXWIcH 8kjVuBJ04aQv7F86p7UGfIdlNAKwQUcEyPrUJaJuPfpeJK+6bPPQij5eu7eKmE6PY4L6yJKRhRnt QwfSxjW7ecju0193Iz70OiWUtnO8FEJKZN4VON6SEWfy22N4qdyAEEis3SEGVx0jP/aaFUDhlY9U SkL5D8VPciPRnKbpDcTCcnUeo20OF9Kb8HGkKjSnId+i8/K3Ry7oHM1tTxKU3Vp411Swq6tYmCAn Yb84OLDaKITY2Unta7kWLYuvC48nD/unY0TRMiL/UIXLTMi/CHynMLJCrNm6qXFzJwjwwDGUlInU DvL/2o6S8NWqRUH05Yh2ADUcbWTAJ6g2Sn7odcPWYrgwNprWdKUjvoJRNSt8ITWCaElGGNrKFWpf 1vpsvanU2QH6yLG0Opj1po7cM/LaX/kJgbTZ0UCXN10GMTYpY5A5yjxGI9yn5wsZOLgckoVswt7L vGOCLjpvYXGRZZcC5Sa1nNH/KxPmZZreTZF2dSgy/JPuk+mEUpO0K4qeYF1B9qCnvsuxEX0y9xMP zpGwsEFw9VHEm8fKr3/gCrL5V9ryHNXMwtYne2FpfSrlt5Rqk0E15NLYCZ+MUYWFDh7y/y2kZQ/T KKvb5Q4Y9qq+stgcUL1JdOTSNoLA64b5hjoAEIkKNEtXBhZ1+Z/Puhr2hCDD/5oQJngzDJtALvSx xDMxkN/Qoaswt5hThJuCGTAjzy2+TtQHmPsxtU0HE0anD6NZ/0G8Fmc8yQEHsIEyv1G/WCSTw+Sj guJeLOvOQB5TAS2YpYgMKc9+QLfTTVUw5h0DpPGZmlnFRrKKRDf0m1CsoQd3oY7NUCAD47Z+gOFf QtlmiDJitHEdw/L0B5/+wKh5rdFj4VNU+StubhfECXD+R9CyZLl4MkFjev3zmcckK342HofAyOdv jmCCgXsaDYnslTn+PWty0mAxcOTCIzdzjxQ6uszmS5h4zZVgyKiLyAZ/IWiZe/SkvGULhneb1zdc P2VqHV5QdIvjrN4uoicOwdlL6j5U6bWOE9p1DmAhhGh0jLcKh6epGRj+hS21lZEJGZnVQ50aDbu7 6ia9W4Egmsxw7kwzroBv4IzVJEHVMk0LWmWSVf/mFgv+HTwNUuWmTFcShmSAWW7znsWY0h9BGH9C LPCVDCH4iI0fUPrDjcBKufGzeQi/4MnhVoiSvURa/mMqt4UfDJYH1dAFS4t7+FBWb20UHsVOTtWq JvWrxx9SLvOc1MN40xaqxduuGLKKmnJnx9MiD/I8KIRDsOwdLyUzjNs5bHv5VePzHhnCck1IGnCS gyImY7+bLKnurQILaLTeVTjym1xgq3CWNLlHkwh+1yyVpJ2Jum4Cy9TlKeUPlsMVIlAF4tzwQAyV ZUBJCN0PvD9pBsYUFPm32KzkRHNynDI9LRSisyYpQe9ljOhGeSuA0TmHbO59R5V1ldpiMeDkS/KJ L/gWB0c4LwOGgtpORR1b23PaEkjQXvsa41wMihezZtEZQrILXDDEZ6E3Cui8SCLIzOGKwovXebCn 0NaFkU83CUjvq6UOj1QYjl+WMKQDlGPRHmxJ1UCM/wOMfyaNJ2lpXyczglRYc7/Qcz73TI0LBDpN GSVTH+HBGp2vLFsebdRWH5Sx5TejZX0G7fnfPCTDdoF0P9MDA0uSxQ5pbabMkC9LbJjxMQYB7fsM e/cUzywvTHDiW/eiwDlXdIRhkTQ1eeQZxD5NONUq2h2cHYOjXaGjQE1CFUdEmYCf7MxhVHdH7Tge MOQjhPF8DGM4pr0T+89b5wHjASxk4HsuCtZ0Bdn+6hggqhLC0/IdiFKHjMUb8qsJBgpNs/2KujGh ya1daxkbU0apKr2b5K89x3yzfuu9m3k+Zlqz2BBniWHjpeQO9iO+q3EdhzZR4ZYCqKytp6OZJfGR WpinqRcLlSAn/npXmKT9T4ndrQMZoxWQhtsPAfgYxr6QPRP8G8aN+rjVbmCg0Ifw89Cyc29kpzet 2KSoa8TVpyAowJWuCRwg7R0cHWDcBsi1DnMw1BEsQq/IDug0sIqCQUFjKTcuYxPBEkneVio6chl/ 9rBoTd+cC94F47GlmLxbFjSl11Brs06RLFNZNIFjA/AEIn42NHip4JyyJTYB0+6xyzSEQE/0x21r uS7PZIMkTQ2puxEe7cuJmz8/Iz6pOsJIh/790+1+a0IZPg8+GuySZy+mQz4ODA+iWg6a6e8tLDht Lt7Bsh92FZE5KT46laNpdwVA4Xexk9IJzyoabrFcrGZg6elVGUHdrtvT2PjBaUPUEVEhTEOf1Z/E lkGaK3teN2aVt7Hf4Fx6PHrVmzvaUXyPx2ySbi5tnN3qA0sZPFkjvpNU12qdGw4mGkvDruuNMvSz GmI+mw+YY9nKYRgxEbdsLuE5CMUWn6ZIFxiLX3bjFE/MFbpkIenyPdJqMxVTvuVzqiV8sevQQF5J Um8KmQxiUEbaYGzPvAvfMz7Yds+VJ1UsJkEHpekhfa+dCdUakRDFTjsklgiZZHvo1DAGIE5Io3KS C1Vz7v39PCJpGGIRNmd+SU36XgbNOuqRziK/b9Dvaq7eLyDwFeEE3XMRyIvECntH38S57pSr5ZiY dSLG1ml2SfWYa3Lkx0YNxdEMBMhEzcOSGQdgaieWsfkL+uG1fNhdrOf/hc5yrQDYFW6jcZd9tat1 7c4+06jdtCkyjom5+lLzBV5/cvua2bD8jNadMfk6WRgZS7xalGcOROGAczJYPKu1dk6UjhORXln4 jR3PbR5psXzwyG9JrcJ86clHa8kq9649RTpjDQvV+qTj2m1PSWMS9G9iFKAHQ/Bs2/0JubGb6gGf 65YgiVj+fRnq+hNy6p7QFBb7wlkJddv7ojFv+LgAicYJ+15GdbxuLV25VQGoqOmnogSmtQAjeO4p BnwlXh1fd7TLXz7qnVD1F3HF2XvK+BtUFqKuZuiHVP4E3yCYGKuy0v1aqgVQxlzhA+fvUXC5zlGA FjDTSg/DPqtebaAt+9IbK1L5GM+z45a+Ddfn8rjZnYjGOsc4q+TrYszJ8uDiHKfwe1oMlyT95sn0 qwGkGHOJsgC3Mk+dd2LqU2drCWzp2OumXuUXmy8QEb1exvO5dpkGfKTR8W9y5GyeCwQyIu2r617e 0sXAMlxdpHjqZDqk6GQlkAsm5eW8c65hoakDeeLtJSZZrS25ZRqH1Vr0Dsj3QZRuzXnc/VfiOJoS dMOjedCHfy+MwKIzSfej1RLl9wTmN4bA6yXlKlwWaLm1Gnj7Gz4Py4L1hnhfabG1w2U20ijHMzFH L0tRKSHjsHL7sb6NFmXJuRaM5vgllpVTz9EnWmTW7IbovOe35VJqBUT6MMBqZvpGS63eDtBnF6OJ 28cJyHXEIeYSdgNYkI/NhJ92uYRWgQnQEyhTZWYtqsMK1F8+WQtO6tEJtMQBJ0ZLV9y8mF6UTfoJ vTsFvPNgC2y3HaUewEnc/0u6XgQWnFuouiU6mU2I2NFVCjnaxBv30T+/osQ6AUsx9v7xa1sFBqkn KbHYxwvHN7tG5KYVvIrXZ1fJlubqQ41pHe4hlEy/Objs3OTx1t9tDCRuif51RgX2pYTqTSuwcDQN 65On9FijlMR101H2KqryEwnkiGrrXuo4PFeqiaZ1KqvxRJou1+xHcbGfww3H8erAmZS17J4n62nY ColQCFUNRKutgsuZBcHh4S5LhTz+tJUb/Q21PpoQxkWfiwfaeODNcEV1znnLCjTcMOMStOURwVAQ bXTtCoMJUDhIFIXOTxU3bpz7qHxZN+D/yhwZSZSLspV4VyA3VwUoSq5gMazbNsoWE2gaT/QdFVnR raOpqijOXkvVLG9ex/XTqftklW7aiWlCo9q3OKim69c6USkoP6RUc86+GRumQQ0FAfWLrjJ3kQTa WmE+6ChxMGS3hprtJWBgXCCxul6jsByG655Bl6hmbvlUqMFYfXOrpLSQ7lcspaPNj2Ck0XqasUal NIqFbl01aQ21B8C9WDvo1zQV/yq6sX4Z38qJ4KY8I/iWprUtM79aJdKFANYKSE9i7T4A72xoqrXb V155g/mOrHVL2Ka93XZuLMZdEkrWxRvSGUKqNK7X4ISa2hWsxkdM9GasQCkdl3EDOh+tQzKUMEmt gXGofGMah2wcmoZL8LlbDfhCL9jW3pE/F660h5PDNunO0Xja2H9kfyf6Cgp6CDpowRbUU8o1taWV 0uKSRKxsjTMTUYY29B4s9DiSWJGYCyTnOQGeyisdL32UWdGrpxP1KF5aG2wsUP3gOQH90kLCru0G 8HTDAnBgw+R/ZVwJuK7QQjXBieUQs24nl2THYvP9pCuYz/96ZLbC3krre0nOkIMfRlElSVMIgpEq MfgBftVH9DXKcLMH5P7GEK7cY8oMqTFecqUoTMyzMNyfEAg/pCSjayi1mSvfiCDaOzDh7WDM0zFL Ray6qvq0I4Ce8EhAElFFbjgBYfjEmwKIIiaJXYASGgm2VWxFx4z5ipOGzicZ/N1czx6rynZATlEy qDgYwDh1KRWg51xQ253Y7TDqoSQ9xaDV2FbrECJqiWXyNb5XMou0n/FAz3mHyJQkib2UnMuLn0nU L1qUwDeL/B86GPa5iFemS3umqZEYsN3R3EJCehzrp0sjMPGppcHNdy4MPg1VcN4Vem8WeEhQIwMc knxify0WPXE+LXRfPVAwWOjT0w445zp/+mmk3Im2W4rV2/2KDKvEvTEtJcXBHqwIWjapgVJNsnUQ cgfvovK8yHiUtiM77qPyGJMs2D2fFCka1coFu2eHye0mcX0MZySLh0fa2WT8zRosSEuNRCMh/Kfk tU8xFScSxUU3Jfy/G7idIyGRzY1QaptmC/Re/YlZA8pAmhWJKMMPCOeM32f1XuhxwqGEWWNazfBd FH62xEUBh1BpqmNDiMOBFSKuhVx7dbpcsk3JKOH7t1m5zLfaH9akJFcdnUwdVPiUMHiZnYH7dntT frgr1wJdSe84RcI+c7l+GblPZt7h8Q69XfuG/OqgZfxB1ABqPiYRXER36siGZpKb+GJQwyw1hcNw 2N1OZrqT/IA1k+m01+ajWQYG5827f2EgyuWVku9xT1IajGDxx6NP2yhnJPl6nl6j2VqSB2RPQd4B nunCFnBv5HXLqPL6TwFbw76ENgcc7Qg2is76PcIRp2M+Ezl5m4Yi5rJY6A8a4+SoJFVHt6t3SEfB Ez/ZltxrmT0vsPAqC0IxNbXofUcggtTKln0pzUqWAsJGgdg0Hiz8KSSK6YswmF5uwoFDKAq6hDmm JrCaT8MN/oacpgj5V7qu+gB+006NDSv5eBjtJhoLLWDpcHvd6dwrvswUvaovld2UiFvEGIgvJ8nJ QpvHC/THATRMd+ZVJWYPJODpT2kJF7EjTk7wKw/doGX0aEdayYdj7fFW8HGMm9ARLnoDkYETtt84 iYp3QlzvA/3ToYE6o5owNZDsGUJTjilbJ+2+OLrH1KqMKZpQ33GaMR1S5Ct+oFoKTXxSYXgCvU6K TOzN8yHhV8/dIc+lJD0gxITEyq0/KkT5SfFLYCFEKJ7A2nEpZZARP7Cc6tBRCEbutgXfk2TxaYvs DxeLA1MyPwtwvVJraG4Lf3mJMBIIdqHpTYlFfXQ71SzXZMaeSDxE7Na3+fVR1W3rrI1PnBclrP6j l3wDA73qky4L6l5/UMQwaz4OiP9sFl0I2ooauQ1JsYJoW4wMmIeP3ucHmHejkLPqaQ6mQsgJYpoT Trhwlbi9VIdE9DiRAZyea4VzLx/zuw8C+CBH4L3Sz6JIkTdL13C5cXCnh06b8OqwW3Hzt9bxnUpn KK0mqeG0y70eWa6O2rskRGyivO0jztvQbxfCs2yN8jEW+Nac+iHcGqPLXvh/5MB0lTX0/Ic5Ve5Y InpgM97VSBa7HyrJDBICkvipGSMiEI2qMwsJQdbZ6Bjv+qtFYc5KV2WtaXr1SSJ4gEuv3fBIwIU4 ChU0qe1lb3IKiCuioneQABtLsKGde3G6EBvEs3aZ0ggJi4uWOqU4WB87iTpck3R4m8i/5yR4BpaM xNWSEFVN9ZcOJGeTKKPXMgfQxXP54wS+R9qZqA16iC6fr0rXzy5AZkfQMcI4WNmgtO3h4IMaqvx1 ec7Jdi6PnjfIClLRhFVgLLdNsfAdzo4J1sLI7fuqRC8gUAD/mnWFeV8ulpF0zMm3ANVgM9EmcGnq fYKeOzloFC6oVtFt9V9O8ALXNuEwOPhvVV4TegZkzfA+hAl9/d2ARCPIVRSdcpAIpOYGbRsXHFQ5 2TnaitN/cpJalaRUKwytrwzYrrf0E2BOqvBgmpGAWXSIDCOdkhiVvs1aexzHd3rIXKIjXWTeSQv5 uYKqVQeoIzXu0gapruSuQ45IYarypy7+fO/FDTGCmJ/3HH/+utJvv2E76OxmnhFzAQGyivz4aa5T 0xBsjI4PkELKAZSHxn0Da6w/Tu9tpkw3VuNFBOdoE5Hez/wo7LBUu7GrZSb6r075tiaqEka3lGrO 6dym2lUedVmVCdK3SD4hpb5dWizhanPAIxq5yhe85N8e95h6/hn/rP/GOuVY4HChUNi8pnc+3oFW 46s91updK168UPdzLj8aNxGHs+JnNWgTZhMEGZHZWcV4OU65Wom0UlUoXvF7KMVPcF7jqybZRKIq WF2BlEVmCqSvhi02EHsxzjAPkTEdWJJ3eFpekpx5c6sqBkJnyzyvoBeIRX+C4B18ZZHZAFSs3Re7 EUQJi5ANJEnwy3EbmpiBxFZ/eQXrBkTrbtbrBelIHF75lC7zZxlOqCPqxd7NZZGwDKBM4msIl4yW zXwXC3+ZR4pnMKJgjdNf10UdqX6DfhKEI0Sw5B06aajw3TvYvZHfaZdcvYCKYnSGw6CUrMTvLAF9 AhZi5xPQwm7ywvU23SDCBkmafH9L3TgO4OzKeb51KOmyzZVa+rTIUA68ph0utTb+gaXvMsNufEYO gY7NZasqC64va8kvicFqdbyGewbtzL041RTtdUnxc3BMUktl2sJl89wJ+fzB4Lz9ZUiiqZWXxkDM m1IT5EXPaTDc9ph/IlIQIax3wuaux8t7dhBTRSVlGg54jSqwfi+zTuLTUMMjJDveUIOKdofU92tz xHAm0GlL7k+WFP+poHxs1uefTCFuvTmDrKB9f11rfyAf1QhcsNJXuSyvVtEZ3Ot7Ny5jFFxJptuy mgENU7EG1mSwRMSazqfm+Wl/cP2Fz/Js8HyC8ob7y6m/O6r5XZYWWaDNr1uCul7cpbZEW85LTesf AfuHcTWjEf86FukeZKgSGnEkcsIBV8LSpOWyNPDBTMvJZusdhtK5fQzJX6Nb3Y09zCoQp1+TUYeC Mo3AUi5mmoCEcMkKYNjpUduGvtANogLpWNwASAUMvBM0tlKRdamvGH6MB3VO8xQAr2jy2AezGgb4 PEc0/0YK8ivoGomXcItmChoZHRV6sjmiKl8ei1hB2SiIhGgXBNRnbVdrs+xUU6j673tArqoBOG9p 3GWpapjGWnwWJ5tNVBKZ3Akru4AFn1rwjaorGQf60PiiuM/Z0/MygZROrpxrWXVHYsor4tlNOz8u PzvRIrveMZ4G1iMNsWIroT6CbXPBvmhMGhBnuNW6JMQKFZtT0rxIGIhYnDpWuFrTKXco9Xsn8cBm eJHRk3jlK/q+CRnNnLPragoa1X4yRg8G8hlk2umtbOSKjaWxv5NfWGAxkZAHwGQyX0Y62a79IoZl 1n1qRCdM1yXBL/7zpWhStJni4TW1K7/m5EQEqMg8DwytiPfM4UTg2UMg11XgzaB8CCbl3vmrtnRq IHeQuIa/BHSz4agEaOiMLJCAQyq2uYXc/N94cbFUiq6MNmPf50oJs0aUJTrSaH6t98H75mHVMKes brYGsfZG7q4iWyPJPHRIuIrqiiYJk5Ob3ZRg5OQ1w4un8qNd0C/TZZzvvbsMOdFawzp02bfUE5CB pIuFsLyH6//e1F6KzQAGh5Bc9zaiTwXj+9HO3gfG63ax9aO0QybWv+67gK27to33v7i+Nq1QJXAd BdlxZSxuQPorbCTnl3V0/aFHer/5SfneDgxN7Kum1T/QcVUx9W02dr0Q/z/OCClIptY1yP6zEeSw 6O4w3CH7O48G0BOP5EM7OiOLMa3qT8eCMCmyapD5lHnjtwK5swB6g5AXYjOt5tJKST93VSJnvuph 5v98EZffUxds5HTl9ys2SePm0fFMHRy1WB/LfmqeXZbaL4hGE4NtvAjLhHgPU0Jzsh4dW51DBIdC 5qgjehwDjbswqxU2j6mFGFSVPJTS6xK2ngcZCaciqajUIJ/Q55Et6ty+IXoBGPZNKYWRtwYzQs5x 8sbNGv2ZgMvTsiLdicV+A9yIl3mjQmtwlroc3g+B4cJgUaTbUVEzHvwKzzzJNPBJSC6Sm0G383Xd nBIcSbAVpXXhlSBhK+JHVvFsIZgO06by0EGe4zCTc0ql02GIQmzGjZWaLpcr4I+einzDCucrvUYx 0jYZ53ZW7z5vXsmU2l4BInhKm+WUV/wXrNj+YzW2ZcCt1xoNHpAril7f8DD6ADLqTgYHGyxWXJsi 2YisfH66aomJi4Ln9KMNl1OvHgUTo/c38c/vNyB/F3uQDS7S5cMJPOwSUa5Ecft6FXDenZaB6/RA t+RRkBxEoinAGkkLGTzfC0cNGwvbJYNNdh1qWljx+oOuw4HajOZmS2cwH9jvRPWcUzdGAjkSTDKg /g7bVtVKQDqbAzDiyxLKFisAsQOCHTN7P9lW5VjpESd3ll1KHC20NuV/R/1JlRZpG9etwce/1YCk lOsWQRi10uNRfGW4mCy7MReA8O1TP9bYOhZ405uizzEvSwqGYtpGRFILDaZ27pSUBQsFOQrHohjM ikWoaF0SEEMP5v8f/P94eCUErN409qX/EFqoZlf065nB9hfAEk5r5xVUFpITbdN+ylO8Lh+1eg3z wQ11cLrdfOCYtYB6T5HGbkfEfzs1wfeKD1fSfEXOWKFhjgQYSeBBOQSIZRNFgAY08NRJ8PgEIduy QUUUd346y7w2ROFanjonZT5bmSh8uvSSWvU8MmK7lAG6/7exaW4ELaVb/uRbRC1voduOgB0r4+wq 9g1M1CE07Y1AXjCaryXoorhSW1ev0j6R3dBxSgUuERrfSAZWHMstvwykdh/HDm7HesXaIzT0Am+8 Bzr/ccfc535DNCWTtI4s8ylsmqc1liZzKPv77gYzDwO3X9grELY3zE4C53RfeehM7sGG4wP1Lr0x /Aq50SevePMB0Rcmxl6VKh+2pXelLvXnrJNcUFyinvWcIURi/UxzWeghnJIoz+HrBqdE/w8yvFGQ ouYgA1I7Xw3RzZPbg1jRXUWemSTp0MmexEjURiUcoA2OdjR+oXHxQANc0BVPvbjtBi96aWLjacRV LfF4QFAnKN1kk8nXP3n1Fpk/q7PENsko6Azf3JI6p60mWiEAL3YplED0FquME+Huf8cpV3CBMHbj B3jRhJznot40g7HIWi7gTX+g/cVWAYPMsLGw57NqzphgSVy+oAf8MJj7a8JZETRPF8IIfMNi0jvX hISRCUOirPASLXIuHNaMOcU2CkTBZM6eNv97SMNanGEXUvW0+ndt/Em+KlhvBsEcVxRNRnQXgRAq Cn7baFUBfANPxG6djiWNrFNCUBcSp8Yrb+zcxJQH+4fGJl0nV4toeVMlIYp8Nb19r7pSPEqHTXvA gCqTXshQaTvH6IEj1gr8WgT3TQSNkAakm26V0IZZgfj8Ud2dL6m16k9+amIVry0X4w0jFzrap0nj BqAisN+V6ZTP3Yhse8GmJhAHWgyiSUzThCNJ/ECAzl7SkzK/i75pI820BrQItwVzy3oRVnZ3U/2T av+ZNXmxmQHHvQJkaX03sTiO6HR8mMc96TzoArwJvP3AAG348PbK/yHXnmOjLSKbzww0TMFLoq9U WATkVpLs3rTHlGyyXZvY3TXBiQ6PbLznB4MqgAkGFAZesooIeTUkcaWB37Wo6yaNvDYHuyTSUujK 1tXhlb0Ea4VOlV+TFfGwpycrCJAvXnuYlhQAoENb+hEqxGtdWuW/JFkumzB5IXIZ8nYolsQtg1/r 5KDkrpgok/Utkc880HkIhcJrG6NOH1bQqmYzzK/x6QyTK3oMr4e7+ksfQ3h1/ltFT4reTFZWuJaX 8o4NGkZXSr3QCBDMnXlu3hbK398FUs8wdx8At1B+YEH7Y5JbALr9rqHkzEqPOF/7DdxIbOspRghU V0Asq36f9pXO3WvDf10htj3gkgpdUSsD353yYDsT1dj10PYvPH2zHIcAvfQmiTw6GQApNtWWuDs1 jNUo/m56Yef96mWtVeA2TcLJQmckN3q1HdtAxpx7vs33+UfKgdi9GAzMsfwxoZOT7N7DEmiez6qi GnTn3YEyAybCro6+nvKlV9U342rEZ7Bz2veOvl5fDs+HLiPGo+uP3VxzOuhy4AgNPEOQsCWAXvlm 29h2OLOZ7OOAHpqqwoqlcgA7XZhNWGItrJ9PbDRhYsDBcE/a0bzL0X29UYG8AEAbqiNfZxbhcoRN D5CcGqGCJ3czPwL70dwdUfzYmCL1toW0EmsaTXUpHi9AEOZsGsmuX08m42wNj9buwl2Ipa068DNb A48oDbvwQm4gty++ceynVZqMzPKi31zbc8rU2fU3S4yj9bWxTNjQN1vrtfCpYAV04TJ0RuvUHtJi 9ioLfnSLh2XyAX8TgQnTlZ2VWZNJ3I9+q0Udz8BL35Ebhs4IlUWOwFPGEPs3gQjVKm9nUFKe3XA+ WNaPymwTTt5qU1lGpx6nDwd926VX0006qmiSUluHsP2pw0XqDrnkJyqyFvud4xajgnOHXBDF0hWn yKxg56R62gpSqJNV5y67h9M/hAvsqbz0101EV2clMqu/q8MESthNONYL4CjCUJx6VIMJvLuUXxVR AinTG1mAW1vq7kHpmEYvu4kYk9rXX22KGtNm5Tir2dOKIeqkqH9b7MZBJ0mtGfV0pOMUCq1aZ3aQ nSKYwo6vmbvqAfpZy9stSSuJrWPvHzGUQl6k08VKBA6mEhImqoVBwkLCXdtFvUMypt3jnsx278aV oLerE7vSzWg8edf7Ax/A5yaTPGMSXw5EIuVCmL7WUHNH79LlFWWxJYBZOxFaLIgzdM6Ks8sRN3Ss zqBYtAMcILUvK57gnKO0nh+GrzJRP41maAXLNBrBL93VxpPCourokt03r3Z1y/S6g0rWRmigNlWo YkAT+oHaOvqCReYc/DRt9Ch/QD2FCmsXPJp/l+oFGx9kyYLPnviYyZlF9kcJy8+BdEbDkfLreT1J 72QsdGaOOK6D1qvkry3JrP+k7PBEb0y83n818ggAxYZGIBz3JmQC+p814bnINdqyR6O/UGB+rMwO LSd9BpbvobmvvFhtwV0UXviSp+eL0f9kY3OtUEiMzsBoJRLQo0izfl47qk/l7zSzdYlz9AQLVz6A AsjYR+seDeHnz4vzOAAjXfq5x7uNsu1Okr35c1uQabKudf1Y/ISX5jfQeS7vKrnh49nCeejWQQqL bcvNSmiU+hoC07UdmHgBU/OYlKVfuN3HCVt2JX9QvA5as7Q1qbVRW/mH6yPO4rhRKX9SKC9C1b9S FU4SXlWhukZzme2xN2jO8P2lKMqycisqS/SiJaua1FIpqMuiMN5JKjIJfHV4b4M96JBaN96n/DII IX7SRuPeloBwh0YHRXfL5iS5PpNYisN8d8nwc2J2ufyvcWtMWD2LRkKh9YKPHrNL1dRy+ZMeuLpu QeA9TXQ3vngZHeehlbXVOfBd8GtftFB0Wo5f909meyp4pwFoSJNkPGZ4Mi4KlrP1g8RMIo5MQRSS LLhxCmUeKmJnGVjszzOghJy9b1RcKZO5nGmep6jxucnllnDGCV2VHXK966XIN0kPHGGEMpbLZ9XV Ny8VWhoXNMcz4IsUPGu2lm0AHSYPn/F3L9TcujhNOzWgo8SWswC1KWro+LGDWKtA6/NCVbEbGEw+ lTVzxKpueDRrk3A09Et55X4o+2+F5tm8gY3OA/agIqNGSvmWyH6QOfuzx/tmDJJDk4aRHbO4yuvy n0LvnYjZeTtB2nzlWWdsfWqnPkkb2rwfcAmZD1o0iIsgvP4lWpUe3r5Qa9XQ1fDw5ImBjQyJiMaR Kg5qsZIzmS+OsnrQv6i75j1fJv6NkIxgwKVnfe7ekkI4f6lZnL0fuXBAqSLWg+68+1zH6Fqa3slD hwBanNhcYnNYHi/I8tmvjnEBV0J3z10H2d3dFwysq0jhulhZWcJvPOymKHN7Vg0/biiqSyT0SXG8 YcRFE9ci61iPc7qsW4ZpJcFETwkOvfm40xcXfEUdap8U1t/rbCAe9IvG1a3yJijhY8+9HO50/+O8 q9sxOqtVEbEnWyrpNxJMPs9nxiD3LfAak71MKmT2tn2+ub2hocKDGF+TtxPfJSHICKuSNBwr9SnD +7ron9xOjClojaFiEPsHaKxQxvnBeNynzEhlUEex+z+w0QmaONEHTPPx3wZOfw0/4ekDaZW6NDaw pZz/tL/sNpC0nq91qud7yMb1YE9pC8H+oGpkUBoOZz8uKQlKtDI3FSsHCB1zL1c0hebeGB7TtyHi 9HDt8v2ckkgZSxiDAmco2+wpJjsjQmz9+9QOy08nOMXsK/WrRNkbBsCaaFFL9Sz98+OC/SFO/c3j jdPHEAkEtEDes2tA+1Gko/MpIUfqYIosR+xT7O/K8u8KXew9TKsB5aRfbC0eF6wHM7uR0axE0hNV Z1Ri1D/+O+1M7SGU4O/xl2XKPMaflVqw3IT9K90P/pwABfLtZfqZqwgPPMQTmljqgG/OGJL/2DmQ dO3r9yVDI4+nJBsQp/MTSQ9qe3Dus6nCbAuDO3CdKt63HewU9sC78wZ2zJa6/QaDVsjVZYHKNxZe 04n7ewGhbaKsMiTJp6rTMpsPbCq3XAY5CcvQ3I52J9grbGHR9BFoIzV0TnsFyLWuavT2Jfeb8/y5 mvlHwUpFkvOiHCS5FtV6+HutBvsb/77V4WsumiQ3P0zFJVT6rnN9sHffEsaU/C1L/EjcB/qM6BuY 8yUqPNhxcT15apDsbGHqP8z0zjmbdURJnrSGt+azftRGYUVAFG3dNTmKOpgBpYnELXi2rIx99Smt CoowsieqwZa6HNPkPIZ3lk+Gbpf0vONt/c6cEZSIxEjFsqlUPpc+gn86IWhYsASJQ3t5LD0MgZbi urV7XDKq/J7GM6RMO1kjrYoF4YbhqeaQk3JOwut+1nWudppP+UTGmfUKZyZBGyRAvAEUalbvUkNf FO4u6gJVYC4OQnkESELEWhFlMVJ6qFv0x8CTEU1qmlbyCMdLfbE6VVWb6E/ctx6lyIGGlCW3QA3q Nj09cm2+POo6wbm9iDqmr3uUDjZFPeIQSKxHSyVjoWYE/ZrvVW9Dox/3osShoTWxaUt3Bxophbfm NimkG42HgikN0iscm7KuUk4THMUnaC5rNfol33D+/9BH+8b2naw1gpgSQWR0yQv04KuRKYnryakw NtVX3dv1dojZMIIlI/QCsqkvnjYQr9cIK2ggbyCCkV7rIuqcj0fHtOTs+iQjHI9UDt0xW/6nfMN1 eOOwahIshQrH3+USpVPfK9IBxlhiVrNJzO1Ij7MukCW7tl+rOq49/NwINTvn3vubyXzEgfqlV6Zl aNEdJjr2wXvjTbnw4V+AUiurTD+K/7UVKy2/R85zE3Q9RBAzGbM8Kd4RETYPdrIqu3d1EvcbKTCB dCrsB43JgBeV4hHORpPFOSGCdjIwaWfXFFEcsItoS4TQnFeskXLcLVgtuisC9p+OMkRAA+6dvLsN oD7AF449ugLH6wai2Gq5Y+HnxI+k1zzzYJokheJ1WDa7xtjUjOa0BpnM+uPSnlbo8eCjU/ZaNHSt SrgumBAPtSxTa3z5BkbL5Utblqs2hi6RMX3bbKTYjwFpeKKuqTQUmSw1+XoOqcU0JwNiHXe3qY6j mGfHLu5V1PhICU3ouhkKSo9r1F0C8SaMyJePjlinPP7EaMaDAzfeCDUTJxSHzcpb+lTqjHzftTX2 24lo+bcF8lvbdLmfjIAPqiz+NFUrnapEyRZ/2s3WifrB1OGm9Wx7l+KfC9B9YFpS+bgF+7ENfFWG ZKSTKe4uJN6EfdLeh6+Y2Rl9REltJZQ17i7w7wmSK6SyPMuStbSSuT9/JUj0vUEimhuni4gW57Ay 2L5A9rnldtQknmp03xBrQkusAtm4MNx9jWv0UdL1RFFQNnrz+ASP4SoJm8Yui6rdg9HW8YYQDVFa FSUKPj8HyQ9bgfiRADBJyNMlsKAYWgNJGLizpwhj+PTRrSwXkfAFK+Ogd2B4gjC/Itm3qVS3aS3/ mtzBWcJRF5zZWjwIR4nCLnqDsa71m3dgBddK5e402isqQbRL5ikdD1+RrG7fl4HEGCOGgRsUq3OO +ZSfaKcNnbh0GYdkgfE+6obt8eE5wP0r6De7k2FTtei/mqOMWKPns4xdM/w9BWn4G90FIiX8y0xJ qDWhZqRwJDu9DTLzdgDYJWMryQkYRcOd+8MOdI0aIjtIwFtpvQz/W+yhMmcoRizyfGbaRNToM55p PW87NnsEoqt8xfgaI363HPf3HVco/v6qO6Y/rcqJvSyLkq8r6351R5yXX5ZWbTu3dfnkzyPXWdUT ThLTDrHMaeeNruDEQruPoShTI5MvtWeEhUqpCBfGTcGOtWf/gPxVYoHWOzn3PfdiDbA8oZ+dXwSf vf8tRtil9snQ4Z5Q9jFuaJ6AfoHVontELy3jh3OOs0eQr/XDJ2fRYplOlCIvpFM56/waqhwxETHL 9Pcqxlt0YUmbrkSTPBiDLdGedb1VUsXgK71+bE8QEQI9LZg8iqQtZeFSFgZOcpsHokiHYtveuvoD u60p/4ioRGFl+D2I9e0ZPdQzNWO/mh8NudAZxfniMNaGFABg5iuutlfXu34dflCh39J8Xi4tCnGy 7z1FrpehFmZ2EhyNKnuHXtplspLc41EslwaIWV3VurHo4zZsUbVpha1v4t5iUyb9KwUtkGap0KsF CzV/vFNHYVFnGv0+QE2XrlwHDEniX2PFdtj2XKLIRWD8dEI1r4PK2OfUdyyVxx2/r80nhXMd0thO Jj57FWVRkPIjd4uu9FZ9RI9SpLnlXV7+rfeaRDafbfE64vTrw+olDWgGXWdCSq6flCNi3ymHmPqF +zYHw2iszKNYE/lLmT8r4w8a+5LKKpfhFI+BU16SxQGGFvZB7Bvx+UiALbvS4hkBWrMApKDerHA3 i6LZPcrqEx5Owbb6IS6j4AOmEFJJ/iL83F3kZxAZKhNaTpFNjhBM/qzF+46KsFJtfaW8gyperzUL J2ry0rwCdgSVwI8mqGt0cS2y9PVv0XG5NTASagf0iYswpER1Q21Kd38o/IWHZbUAbDaLdy0aZ288 bebFg+jAWxT5U+u0OKm0ybuwhDOz2f1KWsAPgFEU2G71fwMorwCjQb3KmG4LFlJsNNzUbwpHBd/3 vWdxHqVx9Ylu2RWfbkWbOgGqASNs2CWDctgG8mwtLRcZXxZmcOpqam1hEMb5sfHss2e6zVPhbZK8 5LrHNzChmZPbmcPyI79PSnIpQHGXM5CgYBa3K8sN2TRooikO4471k33aQzEUdl6dooDcUBuEV4aA 4OKzGj0eNS631tHWBo3aCs9n9Z9rLpWoaF6ceuZM+QwoQi134VhKwuSmzA4n59i5rO75y0kdjvk2 Tp5BFdbtTa/ZekgGHX9o8aI7m4au7ICVQeZqjTBY8f+Jf9kjxppr/7grwtEAE4XyadN+bx4zZ+cM WSvrHnhBdKjLdagFLIWDWmY5vq8EjyasyzSOOfpaX3XFzQwxO5xfmttVgFEGKG1Ic+mFowqs6dij TnM5sVLBnXnvxAZYKm4ZtYOqMXwVWscVpSc1SAXcmtO9etiuAUsBTqIJpgy/Rlm4/V5gpBiAh/Gh tgL0P7sMI1Vo2iSwXp0GGcDc4iD2Nyg/0uyFpKB2oCppdEmdhZRACswt+wsviO+3GhpdG2Rqsd60 wni0kwWqc58i7K3ncAhu9w6G1kvJ4yOBmVIeXHA0sb2gWl3IVnBVmP0Z78+0+T226czty+OMUer8 gqCyyZa6yqemrbLeS8eO3Vay4AcOuG3wyYiMDV3v8gF4EWGo5GjYnfB2YO46HLhVLWRxHeA3YRG3 i/7VmmeFDWu3jkqyqM7WfcH6tavyNAVoVOgzEpdT+jVe8dqyWiddgkLzf61JpzyuTyAvELlEXkMy uprZFiWqmPGHI38CQd4LzIMwUONbG6kZBgRqKOEKtP0j5QmP7OG9Cajct1m5febsReJGZsiyIHi8 8MIatwamcQURK0g+Hmz6Kpx9x8arXBwkEdgsQN9bd1myAfh8JYWgEdzFfB8rh/c9/q2cVsua3BsV 8OTmBt5HmniqS5hTapObZ/J9ULkbQEemT91RbtLvIiffFkiZ/sbLcJDyLaT5XVr8fmC7djWb0ecQ p/suE270lpUP3OV5KDRiCUFDVh7NJ1FX/EtJ+koX/3vnnQbaUh4d/Bm4Tq5ajnWcNMe7eX0CA9ur gLUwp7jwszn4677aLmMcvsx9OlhU+yL+uRM6H2fOt6hxNt5f8PETRYi4ITcSzl8+IdaRZvVZYloB FblfgdSbUCioElGOei022L7lZH1JDLnLgOjouNRh0laspslRtKVKclbSFIEgJ1zY3nCv+VxTe10h ZUN+shDHPQxPvugbhzV16ZxRS+MGg/wycKO8VfEHuomO5aC3yyP8mV3Q+kM8RUgz/mPiu3DsihWf hUFpaJa6Fhw1XZ/w0myIptpkmfkn5snMdAwBcN6tchqtymECbVBphWHXFSETmHLglJzS8N6L/zRD oaqGdshTaz1yOihxQKXz0Xdf2aUm593pXGvKYhT7y1oddrngt/uCfTTD+vjCUFSjTgfOxYeVI1E2 PszGMMrFRNkc5PIEmW0/jltnFyhIiUwrJh6srPWddXwaA4qo7icPN0mqLuVAOlRxhr5iJTYv9oUc e8p8npq+bk6+UTkp5gG8IqneKt/PAuTUZ00pwJk491bS83dlhKTU7oQRgim2ZuxlsjR4PLVLUGAy J7DiZZNN7YCg1w7MjVoEC6/8TjMQXp21h4AtMw1q8rJLXdPvUcPR/pImjfbTQbEw3W5oe+0Sr6Vr g3IVI9IMaczO1gHRImhZ9LSF9qUSPrupnGQz1khnEbvCHHKqoNC6f6gQoNHqi5hWyT9nQ8erTI7Q 0L9tXG9GWnV+fsTk/intfUXV122pVBguC9O2J18MYnw3NtkD3gysziwxdCsdIk6YD8vfl4to4sVu aM7TvS1b4MOIRhRd1ERSFcA16wg4BWwoNxlbI8YDFRibEWLzLH622rGHyLyr00AWsx71atoZNC4A FDJjyqss8SQSGnywY+FLMI9/Cbh8fa5A3VEby362aCAlDbskiODVLO09buRZxgWEDDk6nz3IDD5w 2jgY6JvmEgNWikMs5xxi516RRgI44zvvx2pT5jg3bAx6QeCMOS+I9aHiSHy1eW0l5C+w8qwY4HcU byqjQecwxo3Cb4/7K5aWFhl6Y/ImQC0jtXk9EwMGqW/Nl6uYKyRuKBlN1hVJsi1VtXFd63jZU3e1 Z1cU1zXPJ2u3bBFdZrYr0PzrNjfod3oSz3Fh0ZZe6jqXE4Kib0rFM2fgV6zNZD15sWZUXAGI4uyU SIYtzlzk2mEhyfrMGDNk8oZMpX+cAB9bfddB1VB+WyjhHlynNp4+cmoG4R6sVJsWEHm3qwyZUTwM lNFupFIgSyF45uOTpgOtA8hYFafNb6oZIKpGA2KKbFvlqQDYU8Un5CR1OU+H4I/BvKIap5a9O4W7 mzbdFx/gPz7j0tWc4u2pVlXYWEF0BE1EqaQ9g0F5m77zx+2SC1UN2oVjGIt0Y+KZTUn8Sn0aDhq7 zQsaPYsCcwQO5CZLWWZ2LJvRtS6j2C8pBYrtQv/VzU9xo9rtDa23/GC0eHAAwTf3/TQVelVbNib5 k0uYr6hEM6FlZpwg13JkwGLTxK9xjYvCf3lTUokqj6/WA/2/TszFSuAahI9QZxK6EWmxfbXJJxOu tdYPRf7GLw2QbCCPGbkJlbV0OYBwGo0H12N/mTdD2mYBi1bGRt3Ij1iIKIYZJuZBeTos4FR/DqwZ bx23eiF9XuGhUGBZCv+eICvYXe4pz3gec6buL2Jpqk3p9eTizMKUOWwrAKzRtfp8/oNClgv95bzr nxAku8jVZw5vPKFYGnWkTF+nWYEssuDrKieOjzFGTOPOgVsZ3qyTSrpaGAtI+AJYW/vmqbb8zrJU UzJWxfF4o5uoWtbamHJJhqIohE2Cn6DPSKukuHCJJRngUQhDmvvivtJgumNgsYTSyT7p6r2iq4Cd Ym67IlHDZQ4Ns4RK/LRvPdUKMwYjKQEPpuvAd3RFCQtvzXrhjr0CSfLs6m/2cRTek2txJvgmtm1w ch/avBRrBtEPcDV7PyeKduEvhS9GOxzdrkFuG8RB4P/OaBWSt2FMnAQE8RAExuOpVuR0+f9sAUi4 8G/9vCF99ZSfDno7vBYfqN2Sp2f20+ZEr7vIrjP4jrY7qRWIfLoBGXHKm8rg7sq4xDS6Fhz5Bkwu 08uEDURvo4n3YOOLIbSwWpkM3gaKvlikBpDrQKCLFSIEr5P6lQjMkPUInSTTINGvKH6Et72nt+O2 n+QVvted8BZCxBzHKC17XIEfs0jWKTou+qvXOuV1cO90O2elO4MmgJb02i2uhOq0Ik5Ra1zB2T+x tqak6n/pevI1PJ1r6UBu8PRzGWG6jvIjxKy7lpzDjBaKK8+35OuVg7NQv9r4NTVT7qKuWpbfQgZL c8BWrxxY1Cuk41KagV0egK/Pky7bidqk01M8OTPJJL+Uzj7bPW8IQfhvVrdhvSyW2LMRhr7YndPT 3pJYfgOHrnES/1W/hvRq0gwt9UOBS0kMiccCbWuey3Q5+hwwyokr1nCMM/AcPVv0iDRh+Pbz420c EV4RA2ymNPE7OwE6Rp64i3A7ZO7k/E15xL1loED8z6s/3z03MMRFNNFSGxMnQF8TgWnWDuUHu8gt ZkTqL7zzWtQzc/pEdMjCQ04CtVUMXt/rJ4B/ORiqF5eWD8by6pt8grVx++Enbjh0emyA1dA5MGvn v6hYVIld/DhaJWtwPPhKvz7VcIW8KvrOTTYgqneMUPH+pRMRLv2MTytPQ0tVWStZEJ0/0Lo33w4b Xlxy4uuzgW8K+W7DpwTY/mqyYfbhElBtYKYTd7a7gWZk98V3MlhMMxx//f3EknN7NCJEBzVJg1vT JI+nOtkLZkuBCClMYuMRGQQFq5CPacYo2dh2Xmpz9/l2NSe3kJBalFQmuJQOoBxFCYCkdCHC6fs/ n3fgzytmgeg2XcM10IK6XqRexrSF57t9csqBrdz5k3w12KblC9mxQ4a3SWNpZvLNxlK2avZIY9VE 13CwmXG7YSOiLp9Feaat4Ru5RSqSPsGnF/ysRL5dtSB8us1H/oBDasX3HFP/IYCsTtnF0wTW4PRA QHtQOU/VxFh6IVHn4bmye9dl9Am+3znp/lV+VEZzqbBa+pSdECWMGB88E3y5cfvKfrPrtPsI/dPs knUN5zpMvzRouYe9BmtBXFG3voUJS7UKHMsr1YRpE4Cyyz+auEgGLfZ2dsePynqokemN+rskl3+I GD3AWPh2BIvUlu+M4Z8pluXnsj6dl/VqyulMB4AHV+8ASb1aTmWfH8FJiiXNWR3I43j3tlm4vzhE ixugId71cqeBjwW+ovZfg/pufa2A5M4B2IktDe+Xx7+SMkyswGAt8VQztGhu/MlyEmlo+LgCBjVU B8w7cFx3442TPaQHwCxVUm+nNy3Wm5UWP27H9svGX59UEgCyI2T33XxT8+RufTRTp3BQD6NmCurN qCuNPybBI/5vMRFSJ4El6ycFXKamQdnSDR3joHwXY4tbwQB75OWeAcsEaMiJfDS77MAuOACcSUFT zNaA9z7iRqkWVElOTP8ZT15rcV/8CpCoOmO2lFpO5yd1FM8z4xVDE692ZY96PjXUztpQjYHCDGf+ 62trzWsGXjSpqhA+1d/QmGvNonyvBqhywDuDRZC0tUb7QGfes1HRUiQj96ZTZBPKDrAdQeR1hJWD 7ty0g9Q/PaVW5lF4kJOlCsfLnR1F7NALbquu45lpfMnTK0iTMlfTQoRmNy4kSGZlOZTL1musyjC7 e9cVZ6sG/8TJ2PldzyklR1wvgZCfqucRgvEqFVkvU//v8cVW1Hu64rOf38gA1ZClbpZ49iVV1HPA e/fc+l2qUcRw6NfKG2fWOUwJBSl2pPS3O1MRinWpnymi9QDRJjTfFaVrxkPITyf+y9UIMFnonGbo i+SEvh7ogdK+OHCXJvnFY3PWcF1e3Y3znw0SeTxbdJ84P2u6Zg+JWgTVB8hxrCRnHlw9n/zQxpFz nDVZZk+9lEVxxhZ4lIJAYb3pzoW3d5x0UUEesSH//Eb17pvePK4EFk3F4vD3nnLqO301mYevb6hK SXE76nHqbIStGqLYDIaqk4z/Ouu4dMUAkQ7jCNYMKYMi5VYf32rp8ajlNOl5bA1RPvbgEU/btViQ PK63ZmNxGyi0hSrKwpXIsMc4/G5TFarJ6S8W73ZvW+BfYJB/G2KvQGEJrjUUHbdbBJcG7ubHQD/Q ycKbyUd6+hwCtx/+VcUqCu7wBGaIojKABT+D7mvHoOjy+rGt8uJtbhsM1KtdVIw0YJEKAFl4wgPV 0OV0rrLvh9KqGVwq4sdIlUZg9TcvwqzeW2Zzw7KCr25A6dgZDSWaY9gUlHrR8g4t5ZQagMDJ/v1D tgZDHJQXRoE3bmDEwdG6kBjRGD75GMMjHCFnQTcK+gf/5liWXohiXt02ZUs+Klj5/Hg7W2bgmPeB ZdTaqpP/QvAHkLzJgQDCyU5TNk7ggryP1erVjl3/81EtjTZQsPcz8JHaEThA8VKmke5OMq58qWNr IsJbutCu20DC9MubQGdvpfOYQXna6GHNng+acYZEmVBeNjnrvT4Yaje6XPHoTqqfMYuasnE5VLg0 23xKTn/BuphAMlr8nJOSDv9tu7RB5BtWIyLYBGr/vZjFyJNfTrNcafeVfq3OiN5q3TVmv2lS5lEU Im7Xp/2qOD7429jcJFC6ZBVu5YnoAM2gGmDLWD4QBlcC/9ADWgw4uf85Vr29aoItJMAIoBY6JyQi 7bzz2/v5Tge6lDyYAJgASnNXHgE5x7FtqIbHCuHjCZjf2XwD8+cFscTpwBvn2TQcjsw0LaBsD1TA m83TrMBUJb3w9k4IfXlS+zIYSP3vIGt2u8yVrwdLnMNlex9y3+Co6CwLU++DZjNLwmnn0gk7Dmhz LiejRa+cklC2spiR+fLlvJdO/olLpTAW9htBBHDNPngFHuPIdrHe9I+RXYqJHC3tJ8xJ3M318Wr3 F3oA9kkBc+ZeiOosxR9b74O1IqSDbX02L+6eMBvkzoMKUgm1U/k3XAJAR7pv6M2SQLRisLR6Zoeo 1hUqkwdbC6dUhCTHXD83xIqJP4v4vEuNeuz9IQzlEy1kvab8bxEJvtW7NLJchm9bsqAdj5Q0f7g4 pgl9aGREjY6zZ/B78QWnVvL6XbVU4VHznidk3gMn8WwTN8W3f7nqiZnPHrBaaUtA1y2+2/Wb8KeQ ht2i1GxkSb9HsIspXu9p83xZrXk8w6qN1VvR16tZmlxtdcLvHJ7pY7oK6oIuNVq1Fl3SNYQB0QDU kKW7m6uAtMvtYTci4AkczTFM2ORv8Lqu0Cc1kCubOwHk0dFPsPg1Ez0llMpvOg8r52keOlzAAovz KJwUYTK76AdFFp16aFAtXnTwuf6ldYcTjOQ7B767GB6URaIvNIynbTsdoqNmorilV8gtfFaaQGPu 1i0H5h67IcPnJpkKUd4EO1nw9fWv0yPX1VfWaCmu6CupIVBXht3PrS37cR1Kcp2KYpo3nqcCY04h 00KgVaj/OxXuzvWYORdpv3F4qhsHCFBskT5/TOfLwqZHUEVHa4WGAJW/yKEaj6tJszn5kovimf4f A4Y4QHV0N/eFyRPNaxEND8xrCQyldzU95vrK81KKTigUiYfvrpF8FNCx8J8c9JlVtR1TJM4V0AZi /HJtc8/mnUtqlQBoBFxFVDLKNFRHO2OmGsGk+T1dF/3lkLz7w7esu6+k+VdAGCU+xv29hucV7KzG bGNG0lkXaXW4O1L7cUc2Xqhg3VA9TH3el0HuQmLJllnGnGKEUwXaAN9JJnFoComTCba2zm4Mx+n7 T54QsTbKD4w8S7Y/dMd7/p3MTeR21YkwwyZhvcTi3Dle/wUMufNez3v31hmGN0RL25Dx/4Q31w96 KyyxCUo/zHkYYWqxUHiEQRRLR24VN8A3Nrai4Qu4MW7pYCS5/LDpWGYHu1w3uVJ4nBL8dmsJRHbr 30UcAqv4KH1c7j/OxmymtuxW67uGS/AmMwoqI/zZCjICM2oi6DctONF5l3UVK3YiEreKJWBoJCWR MFAKz9N99cJW4Q70IJUxFRCUbMPfMwsUVIg6bhBGVuG9Hd7Bga3SbxZ64hH8h8bGtFmmVichzhIM fbLiv4IkEKQPyOI2PnguP8Td52n9cUPLa60FSiCJlNWOmYb0boLyeT4AZv68684jhXhvJku3OuFB ryaELc0F6kXEacV577RZXXMOiYUK11my2seeHbFAJlSJ+4EF6GSJ34sKfwoFFt0ooKzpCYerNSlS b8KvB5V1NXIZy+HZmqpfnKT4Pxl6CIMlQFrPdsp7Jon6zeRdCNAxzleNQcP3HJBuEU9SZqiWmniv MwoKE0Gv2u/P17LZVaHf9yZPCjURL1DKRIRVqqZb098N8X2cOOSxZ0Gj3hfxmT+Kgj8SixhP9gyV E94gapdPfmfMwAWzstLV3rlLXEI5Rmkxup27l6ynxPIfTuZECw5KXlgKrZsI0lMYeIepfpDM9K+4 +k4EVr0+OicFOaknV9uQwbWIUyZP1r17IgCkYeA4ayN2xO3gI1OHKklQgZQRftA5tBBx6Yz6dS+d OWq2M8e9sV0s6cp+M5ry44fiabT/AJ78ChmVwrg0T7PZpzuxnilaeo/9gcAKK8q0/Wgnz+MiuRO8 yyInbgRXcd6kQoGrKf4sXElOj/ysFiEPPZN3OE9GHpaCnEtFdUNxehlKAHglKIcc9NfKuPaPWtzu BpuBGkrGHdruypLieQ40huRjhG0lGwHB/Poda/K2q4YidXmoA3LLsnrtb3QrDXZncXA9q7CNL8QD Y+yJiy2+2Ui706bV5bUSBf8l3U3hwg1vjjOLn+6+tDjU7iuald9VQ9iTrWE2nDuvjPsRa26cNKAb mbMjZU5coh4F5NzIl54kCXTP3TsQqDKZbxivgq1WM8/DGJU/+k3rCG5U7OlpZRARtwVh6ZzTzjOP zJ56smqKmJgEH4UWvspRiDh6Eh3c/bfA27IuH6O4ewY1wYA4qn9jRu+Xs18FOX04AIUmTGXGtKkS CZ0b7I/qUlmGuursdnp/2qEqeV2MKUfug0fPW0e575e8KSvIA7SB9KVBb4myR8APfiAyk1McncaU ot/elqrh2ByaW/WYgAqOZAseusGY6oPwFQanPs7Y47jKEFRkODVSNu8oyw7BIpQX9qUFfp3BTNXK 3T6CAD8QKN0o3AzA3uJdYF4iTcCC44AFgWUH2pphzHXCfcY6RSMF4VYAMGG/9B73nGkrDicUHozn S6ayXwoqXebYLC8F8igIjBWB9MZLBs8DMYHeCcCw1qZTR3IUrf+1CtyTmboQO5fHV2WQ3XoS13bX kO7g6i4CPti4f5xhC659b2IBGTBh3XfAJfPFfwKfYVQ8HULPYZuLus4utGVAuRngHINcjrm1AvtP yVBctlCARun/yhAOmhUZ4Q+/tvNmsNn7gIBkF2zcW/PROFCKluaxBRyU31M6GlZFkdXHFBwh+1Rg ef/6UKUaRLBOImmQuF+T6tJWXCmjxWkQn68FxVjn2o1DGWHh7z2flRvinbdXPwqBhGwuJs66XKXU 7QMp7AUXuoJ+/otTCCmWNReDVsNsqcBw/P8Jr8lTheJj/AtyUwOzRs4ONB9KJDqzdF2w+at1rNSd GphJzlcb/49X9/NzsDCbztVabdRDUVC5gERsAZRZ17Gs4xUx4CXMyo0twYLW5C4E9oR+BCD5WNCk AAClL3HkKi9rt0DeDtY9pWU20F5x/CuyR+3dj+crwzucxlUgqx/giDYHZrrIi+lJv0Akx+naU/G4 6/bzLFK6gkTEmInZE/ZyUhiH38T1ubZ8CKC+FCq4QX+FEWTa8nj4HGku5ZqkubfMdygiyp0mY8GV 6p9uWsB6bCi5WOTJILr+lpclqUXO9p5pF9TdSEGvRlxooRBLs4fM57XZsmDziFDQzYPHXSDWkfOQ u/DOYi8ufq9KWA3kV9NlJ0viOukngYRxiy/FUl3JMxyukD4/9smMigDbXmTknlPw6/JIIQakNRhH 2IP7ODY/TaW5Hfnpn4d9rHyubz21palfLlMqULNvL+Fy5TrPQfiUozcsvqpOuENFExK16lMGKjN3 wz893B8zgZZQzDIOM1OVGAosmn9ucyNjir7XQvxk4BMz2/wjmNUApBY3lX3vBmmTJXV/RCz5XZui p8N8I54+Fz9m9UyKq1xllpf5dm7PLzia7pjubhsHT50FasXh4wlVvbcrrd9xOFiw+kdvyiMppMta hop2JdNSRpKCPsLewudmyIxre/SuLOZzC+hJ0DplxKI7GTJLpcRg7NqINCHAHENAz5WdR3byyGVU iyVL9PSK6n/RfA3anAk3N58CfPbKceaVcN/UsXSBtks2Tf7tD6HfVYzB6Jne9hAHdG3sxBBeFATy ALo6JvBzgRrRJtNfehrsXtlCnzy2FklKVFU8krl6ul6N8mHcSi0D8XYpDNI51qMjt1jyke+/Pvov rF+wXuLc+2w21boGtsmZZXj4Mu4rMRESI10iAfdPPxrbYbe0yaaLj6mH6g9iwa7fdfMErPzZ7tEj M+qUrci8NJNyXpWJLRhPY6B/mrkfoA5tTy2HmAR5+LlDM8nngvr/9RJqie81GckGq/Z9b8jk4qBB tafR9LL0ML/3u0nV9R3CBYlbJ8mPM8sKz1fQ/6aRooIKKtIikEMG/digIWP9eqD6szU8QjIYaEN1 lnLX0EB8RB9It+uIh0L+TwKEs+AxQkdXhxEdLMf/0jQ52rbKRI5h/SHoLLKEliIxolDoMRcTJiWI 8XPN4dW+NfjwPkEYehFGxZWlJ0jqa78TesQmSZ/Bloy142qyI4lf7BS+1uCyF4bcNIpuIlT1pOhv XMUMfgAM2piSAzUSnyOmAoMny5OZ0Wi7eelqj7/3z+gZE75FMvggGbGkCnMktHeARdUanBeJ7qYT SLrv47qlHfLmekKF+NbdmttsHn+2/CS0VkLBOXdlwERdv9LWmQmKvqVufL278lhgF2BmgEXyxpbp okZrYY22hgEO7nh5YyyotjTlH/tEg4StDlaSvShiUjU2b4L86+6NqDvZ715Olv14eOD2K9GSzfK8 p6UqSknWbSC/X1GbH6H2hw0XziT1REB5FII26+2VVrzFQ5lgjYpSpEYVRyOzc7NbxtKtA+fghpi4 Pi/60LGv+/dytb78qHoUaV5nPWXHh0hNC4fjwbnCqhYa7VQvkxrhj3vuSJXks47kjrnJpFCqQJXp bSTU4/B4djVrrAQZVcjNDlV4rCnLp4lw67qNaiFEZhB/3DlwPzD+ZKlE++SUPKItKpffdPUwV8rt 2r9fBdHAHAipDRpVg5rFwPKK+Ug1LndBJj3Zpq88hS5UAxS1HxP0YYQcRUfb++SNtVTlcomk1wjz a4kgku/MzaLzJ45CS8kPltvk6M4iE6Y/C6Nxi0NwXx9G49Dj1h9aLHL2GxILQ454/R0nWe4qgqDB QqZTcQrfzQaeA/teL6TgFLEwPR22w7ASLXM5GgWpejUVMlfK0OCvh+gPxJKzbo1N3ThXP+wPAomA pFZ+uDTEkXyPNrz5Lk9/WgLXfgILIb9C8zMm++whW226JL9aNNTkLUBcXy1LcOrciKXXvz56kkzt ESS2KZZsEqmI4ICOgxeb1f3UwQCbk9ZLnnnPU8pijAwl43DZEFrxhCBs4bTXYAM07SuQd/sfT+Gj aU5C1jgA8rH0olQQbUiIJabnIX0ckuHvpFK0c/wq2PnMD5kOMP3r35G6OhCyA8CIKI+6yK3c7tUs 5ZJ2YDUCmsHjJc7N9wPzpl4Fq0580dgQZQ0sHpFgxDmZM+lOjiRE//+5HlFPQnKDjmSAKwaSLhDr fDloLHEXtYGXCzAdynonv1+Q5uNjVSfc3dxU5lygcQhMH40Chto1tIIQQTGXPTwQtCqqWcD8QqqF khsR0lmTiwfE+mPVL9zCzreTsg+TfMjR8Papf2j+QkNlb9wqm46fQYIy+xLLA/zBRQ/wVcI/j784 ZROguk6Scp32KRTzjahaY7Phd1BYXnn3Iyiaep2vxJHutXQ4hnaud5ibOPxCd5xI15UvHo/TOm98 x2qtkuCJYcRwGZNXKP5kAZxurzQMCC9nhGjOvuS+hOeF+dcAtHEEXB4VzlngxVOT1tLkq39cNMlZ OieSQJAuNKF8wwIfScCaGPPy5+NuPZkPsCGRLEF4n/tnUue6XLWHtxPb5SamcOYFPF30oygI4jqV MWDwj78O5Vw+b0UVdbTuarJdGh+Hfl7O79cxzL05pf3beaHGCRz3+OFTSOTi3sQqrdTkjOklocxM kg6yepeRuDYSbB+qS7CqrpI8WiQ6ePk0lGrv4LAKBTVQwBC+MRR/Ofd1zc9Op9F3P+CTjGuUNa/P 7QZWbyLmGE3CH6qccRt6oZmywutdn5zjS+ovhDemHoOVrRJlZauQyjpmZ8O4M9c0lSCwSELl9Rux xjpFM2F57JuEh0RbpiIyTBmOcun5faOp3/Nzp1ydsb9jpjJ96+veV7M6vTmOrJ6YINxBGxZ8RUKl NhK6MnLnkQjKhbF95VV0LfR0B6uJdmYvcM4HO9zTWDPtqZORIHUWWGV/eGkC7iYmtPM0xv9brr5h Y1ig7dtcKqCWyVAYF0Y/z5RiHzH0kVGIwl7yAV2x3PdkzpELWCLDNYY5Ba4wnycQMfXxjcSfvw/h CNj2UbW7cq9D6GkPSrj6Flhk7vFz7U3sq8YH8scYUimqdjfS6g1HUUT3Wcl4rdEdMdH4DnUXK5Td mNMoUtoDfRb/tT3dg8PRah9+nrG5Pi/caHsg7Bpfv5Aw1OOaVfQ4/kAtJQg5+eLjnzUIaT5ws8gX erV70XQXZgrgfQaxO3G/gRtwWTfzvYW7Z6Rck2ePdDNJiX6rb7WEaqY5Cyd1nB+vSDctVrK45NBF mrIumZvUZSPdwVA76NFXwvLMmfY0aDTwcIEoNp7kjcxSqJfxEdwYkZOrodEvGQeiUs1f7qxtUBPC dlDghfCGu881QbkWs9noBJLnkfV+hhIo3CwLQHRG6szdfxnGHHW+MXWqAlw4BsEAa7hi3/wvqDkT 3Iiz6Jz8dvE98yulmYep4U22/q2UjwWO4FoIll9CLt/aSmvIeBMp6Ti005EsJID9FoQYE9S2eJcI BhvJ0XO15E5lwUPGEMlSXa3yYTWwhktYXi1NwVQLETe7ZBkl2G8QMrqRdksw4L9KLuJwFBhO07A2 dR455TQl5OYpeSBEyY9xWbs87bGbKJf4ON4vOP6E1zYAGiH0FJxKQFQH/FVUFQ6f7Pk5OknfJrLI r7yk2aR9ZN58mPbWvcxc7Ml2ojy/uuCOgTWz8SP05K2lonouqkI/nY41yWvbyt6ppp1lGBOk1KLe aP0bwQc0sBjDSBfufpDjZsXtMp0FjuiZSf9wza7i11OndsKji+LoUWGJqIeAjScU0gzdJXSRlU2N xOrwMkIDg5xxogMqR4unK+l1Sk1Ml2ryG6c40t3xaWcsEnj6WRDe+H0sqXaRZ4NtEgluUO9fgIWo scyJ4DXcjOkCjuUOPSCRcQaQlneFWyrYbGnMHGyrkn6Kkb7SAWZ7eBZZG9QqBay+VWrcgLWRBX6q CJoFUpA0YDe63DxLKSSbhYkjdNcAtqO873/2Lda1HuusvKbxeGhTtzSOlehtinvAgYwyryLdf35s Ph6KhuMvmp36lo5KIW8TjKsSJ+Wei9NZ8P44OQ4J1QCoTjbFa2fCCK/JUs/RxOpKutdf7zeJHZPh +lWRZ1dowpbFoi7qDysi2cTVjKnLwHOHDMSVLp/s7jQC0MTQTzJffNiN1SiZpvYsKkv+g0IGl2T9 UTH9MoQZiBmk67kKAF6wmSnHlgIXR4PUq29SkMrA9Asg0OuAuRNNdqHkMVJQ0S7tNY/XfwTrUhqQ L7toJ+c1fyxuSBCfmbgTSWxcmkkINU9A/yARdR0t04xsb0zwp5sR6Tpu4p2cameVNlAxkdk+3nFr 9WWmruuh6p8BycLjYiJYe0bpa2AHbgroFxoAVes3GV3IjVmW6fVA3EUTwgUgj8CGzVFE4TbnubZW hgZtCSSfVXqF56GsrVkOdY3YEhbMmGDhI3b1WxqrY6PeASZW7eD4NaLl1ByJtZlOZF5MurOcySsv up+8yeZ2fUyZJTG3Y9IlY7ecMpPoIw5fD1zDbri43sB0ekk/r27B+y6WbJI23mnXBmdWi67SbNVa pnKOIqvlhdMYTpi2VUEW9c24RqWDPk2oHkH26Fy03MUIkeKsNWXlW3OayKZKAvTb0LYG6Kf+LHp6 DXhTToKcRXypTxwbBzKK6fx4+Kzmu36f4vkV2kvXFeqnMu5wGbl9wOMi+x/gtCjwTFd/jPP9zptf DrCUVg45BEVkQUvmLBjH2UzMXt6KGg6xjrcTq+5dtGLArGmoZYQGBiVcLtftg8VQqdq+/wBPhixc HfBXetWYYzDL3DrJXT4RmbgCymprLb9i9l8uRGdB3mvBjrDsf0dxl6aQtRDc+Mkgw5OHyEu2s99J lyVtZVCSZGbn+xk/hqiII/hMJN/CUUEt8KuAGICFgOG98sRRlD23krbRZYCiqq/YRatDbQgBbNvH lzXuPGgXjCRt+8DXXK0+QdQ2azog4tfqFHoOXlsHsrCfcwFfa15auG4ASbqicD35OW0dtMjOXJ2o J1FNBlYACPyz18WQwK2yM823JgLW/GHFFc+dJEGaFrCV0JVrwr7uTMUar5I9LBNq0uQOVOn8Fs1W UMscHPSwvf5ln79dGeMRPgmFkjTDmXPFdF+TclG9LmUzvdiCOmHKvwZRrcvEuT7PDbj6hbRHERPq u5xBRK5hZenQI7Yu7KjmGL+2wRyyUcq4rrC6ZNwEUbzlCobsAMh2IJWhd1I9RJv6/AXmJ01wiAcZ SPxpA0cpycKarKf4hz6TyDWiNQVuZrpoJUxzzBDmkPlGt7PONODk6TH8LDhznGjPExcY0+EgNQ6L uHtUKm525iINUtoQjrC6Q2pL2fBRcniqnwiv1XB2iwaRxKE7hABaTaE7asFUFuxmy3xrRfcqCVy6 qZV3IOiZLOEBrzYJ+CrHhJmsZfaFZEoAmrsi7mqNSAeOy9d4GbHVqK7EFXZRtfiu9jyqscB0vffR fFVtSHShiEOiOgKlwD5044ryat6X4C/6LvQ/w5y0kEGpub3GN4cRe/rtUzrZmZq5Zq/NcVuutFRT Zx0FAPuIYFmcVHZ6BCytn3iiNtfkwSLOArg6um98d0zA21+1gcg9RLqXyTQZcp6SqCcx4w3/yeDT UGi2bbBt3jBqeeP59yWWZSKcDIaycVkAbG8/19VlqjGfCVtMWlIyft+EdXqKw9SDjxDfzDFA/6MV lcqL4ewGqA2IsEWICWkoLfOjNuFvm0roECeCT1JkeOGaHERZk8qMF497074R0ILhMWd4COlUgD3H aGmkK+eGSmEKBYU2scDXwivsHVER9tQC65UBleMGW2n7cFS3LQq2QSZ90O7MGvCcoruvMT84wZbE gqVKP+3rN7Gj9LWb1DVyF1JS6qZJtlqAIifXPmjcfeqqjKC8IEy7xYh0somBrEjd9F/xBajDBFC+ TE9OHCUHwLcjfl/b7gYIomtlGfqQcSkXx4z8iPjkPkO927HMLT3A/RgU2m3QQpFX2yIZMMOYhLw6 T/Ow7bXnayNsayO7hGoI4SKW2fvCuKs2tiz9T3aTlKgOUUriDSYvirGUU/cw9TPo7qR6hfHhIaCO mWMTRU8Js8lEdPYOU61JnirUe0NgDJFy0/Lx4/nl1P6z1cqXIR0Zb0zuNFES+jfs1hkfvgwuSLXb XpUVbNvc8xZR9LejU0+hRzqKsXEEb691Qmo+tGVdk5zMEG+Ql1nrN/Hfi+v6x1iJdbtxypwFdUNi jbCjr8TGd9dl96JyRkIp+hN8IrTq1iW1xWWZoEVPXE9RenJxs2M/GkD8Kn+7jHx9d31jA2aT9WLt gG9p+IbePjQgiYeCnbo9sOlqzy0GGFrcU74dOZIeGMDChwy6YjUovVwO8ResqF2OAvVPjCJQs88G oTTPD0vQ4LsJ2r7tSHqtvYMkbuMp8wQAc1yjHaPq3mMFXNurdpZQ08KGhd1omwueVryjWMg57Pih AUFyAlhFv2ee/xMWbX6hy0a09MEJSDXk1p4wkqIsGwTDSqJdxQNO6uIFJO6tq8SdWLJoBYJpWNms POV/Ip8XRWWgB61HM5jluzMix7UMk1/jMhre01aB1702FUuQenT3Hh0KnqjkLyNhPiDZPH33QULm RkqPhTNcHNrx9/HX7TwrLuCFwVkOa6SVUfXQ3L3PV+9IHlroLHv/TulX7WRpDLJjf2wiIqWoEQdW NZhMkpbKgxrgRMS9mw+e6vwWixYP6EeuVpqvQ9k8QJPoBOMztTxh9ylX4sgHiWO/cqquxDGjiv2u xv9Vf1B7fYC2E1ca72jv0cGPfIq501suT9ibaWAl/IjB7GA0ArrY1dPwjBuK2xJykivqTW/qzS7Q QIF7CJ2rGbb223pMZSedjQMAL3Lbtcxr1xm14CndtKAg6IlpPWtx6CWB4auFTPiQxUbONcMhYPtX iDVLcPWDw+Tab1xL73/FIQd7LwEPDxFy22y1CFXgd7xe2De50Jne8/EO7z7RiYE9z/4gOfv8Bmpw Mvm9CW98IMANgpZwa+tupuoUMAIXqhoGpWSiWDtPu1MZmwYHsoQVUxUwb+L/x8wTAHjaQPqVdiED sEqDQbbbQ631rW+d4V0FVEVTrrw4DRvHomHkZkpj+4vuA4Hor5kuMYNUkdgrfH7flrzaQDdl/90S dmIkA0bHmh2++gGtOt4ukKOa1ufPBvBLj+6ItH3xdkQBrxkOhn0MsrT3T2Sk1Sy8RBZQKGdDsPtG cPegyqTkljCnn7PdDKHkP/EhZ2Q03qilTDqJQE2GqTDJn2ZwnAFbHVscbB+w9D3XnB+NeuRRQT4a FJ2jfxtCdIMmzgUOFxWJ/Z3MVJk9LnwRjTpWIAeCAdgsTSyMHvltB9RG7ZG8H8d7sYleTukEF12y TzLC917lM8mTfcW71Cnmke4sgHjlgBvCoii+/72qz+nA7MQjjxZmPcRuG8ZLercex1xpA1qVCgCv D6KHEwJiMfmIEO/MWjcOjarXgTyCuaWpPWz9tJuIoSqWCHavbrZn76dMqhYbraNuTVuEXaeptjQd QbsBYVPjcmndBcfL8CaDVO8p3JjSNcmlxtY4csxXmVJVuM6D8qNPQ5fMn6Dr2KXJOYWbPHaQsepa 10ys6ngcEDjvSHHwzJv6eMPjEo9wuvLSL6CUqhrvb3BBVC0El6B5Pjw3hoCtcC5q5+Bl15Om8OcW G5mwdYPXd//cgIRm7GGHBx1VMBhrGF0sDRtSxlBA7blUzZ/Zh3yZvnFMnnzg7KXvp7ntpa2pYFVW 4cTMYJtyDlbul+6ezV7ZxLDBpRDb6XvWF+b6C35a6DWpoK4Lg3/tvdhe/vfUDLAp+tRQjuogx/X+ eLb9Zl5zTdKHLSH0NIuALgTC48olEP2gYFYC4x7Ga/p62tD86dnBA90Niv/pGJQTdL3J3khJ99HC TclzDz9EpPn1xqdVkGKcN8iJuPdOLufpUnsv8IlJfbocZEzdxQVgkqpvPqW40dTEsmmggsPfu2h+ 0iQXdSWCPBqQPIk5QX/HgE+HQrGwg4S/3t5boyYVMKynXK4TKsOO3qzl2yM7cyYyO/8C8Jo2pTEF i5k3X3Cqik3HcXbd8UW+PFVtyN4PgCFuAA/WV18RsI6EHtB8NAB7WNeomX8WD59ApXh1ZkWxHzz9 clz4QKwoYtRAXTumej+i8EOyi8eAvECU22mXJISYDQmx85t2Jr8UQRjsp4j9ABjTSjzOE5qpie8N WondJMHp+3dF4JNtdzdc3AixA7KdMsrIMYHP5WTFudvVsxoEpjQ3B2JN9YeZaPzx78bUblXH6Vn9 8yeqtWkTyW82KnuxxdOadrebEIkeaBQE2X2dxPIprpyBSbPcdBF0XOZCWhC5rWLqJM3ndDVa2O1k GEOezcUP77/rwA+N8HoMdYWMVbtymvtF/wwJTe5LM5X5X5vEByufG1ahw3OzKIVzwF0sK2VuAVho xto9G/SOR96Oood4vKxfo4fN6incP9TnbQCwcw2zdG2GoyWIaFAhE74FbKTZPDjgC4Lhefxs7WhY d9gPSEnQSR29mEMv+HsS3qcGUYl4qI/DA3LNITUAumMfSRpc7wdsROAdFok01w32yxUlXYQJykYr +NPjGvaa3bTfa2QjVs6dy5GQ4taxIhcYkr6YQFAjPbozzYRZzoDegCjIq6EQNVqhAQhpffYm/acc je6KQtFDGt6adZ3awVFprbnYR6fuM6KA7BgOq2oYwKRv2pgKgXnXBaPqxoT9ZBU09VG3Yi0vzEh9 vbi6TFHNMLdQtvIuBryoBpPHoS915WKMHwBNhUxaiMX2gAMBhRDw7XoK4QZ27SBwikeidpcW4vh1 1vnVTgHmM4Tm/+xtBCgXmeSrMeGMw3PEmlQTEFQEBvhE2ICSxWfR1cJjkXfTJREqV2Z5LluTBEQ8 t0DE7m+3Fuj8qG2hEd7hjjvPQvTu6Z7SBJpwdP0O4cCPIOoFQlrxqrg/kTqLWOagDn87bJEbwtxz 8q5iBb0gI0rW+e0grtLDi4TV+xY09jcpD45w+trQOU/94lChkw7k47tHUbV9ehFqVMGGwE+v2lbS 2XZ3uC3gqgpLjbshArlF2kjJ68elh2PP9eId2snDTj8oqMHahHNX5YZr8Kryohrj+zuD+LE4OtZs 0RGyBJz6XQnD3tHqiIO6YEe5dacZf0RNMNrMRFO3x0f53BFUVP/kdDpE6pUoR4ekvgjCUZxzOHx1 +ZfKEIUXJJ5wfmll4t6Ixxgai6HPyoNf1sug8LlBQunBM3fuQh32NDgcP+EY3VqRyaRc6AIH2xmt e9Y4zrM157oRfCdwtszB6lizpCu9/SH9bid+Tct5/wxieAHz3SM71k0IO1EgBn1qiH/350aYR24m RudMoURRXHe7LiMxyq39fDytrweELOearCasEZc64iAZi3pPRrIv2XdGK67u+l5icTgugx2Wttkc ZFBTbxxGp2Hqp4hfB0v/UKZ+/isGZxLyk0qOUN2KwtViAkgjfKhK9FEBSORpR44T/5sL9Fgg0xn1 qvq2kTChKjNNmZ9B8LxFeyNMIBmeTKGwMTHVdaCKhrFGiYiNhDWYFc0L6M20QPO8qQzjsuX5+lYo 1TDfv9Bsrj+gI84vmLf9BnAb5s5JsTN80WL64Z7FfvqJR3t/Amc8WSlbVfeyyZe23KEYpqj1XpXs NC/V8PC4ctyBksin2RERgZM4XfgDyUGML+NLGgXhL0BNAY22Rev5f8eN86eUYwKPFW3IvapW4W1K /3Yz/nctHFhp4y/NA+CZP2q+PQs7YqFOfAgxGJabyEcL7fFSqH+OcgKyaex3+q+EMBwFdCEksX2B XIW/LumHcji5FZpL9uGzp/fp1WY+sXR5eq5Y6MwOuyq6MztzZFRbnDsFvXvXS86/e2lRZ68K8TKR aG6y7yHegeWU39AbIUDx73US1onmNAAPpATWnOGmmRoq52HhSu0/suXXYEaAqolwMv1M1sVXgL3G oxuoG+sMBiO+5ib6NdewyQAIl1WoMH0apN6prxRQTjX6i6nrxZRT1S99XzMDOzaMlQzedZW4wK+h BEmxfju8WO9oubwR8s+egQyfMbMll3Zzl5gq8ET0Bo2sI0FX9yjI2sK09pcV4tnx74PGl+HhQPAz baTbe4r6ooGOpY9jfC/K71TM0kAOmVLiDPql3LwABoAeUzZXrJk1Om43KpCywwAvN+lqB8vvKlL5 sqc94OKBTSy5d9jmGGJHQud4TPi37MczWchSC/dR8aooYbReiS/PmoAYmrvEMLGekQFLtSnMXnkg fME2h7/W1Bz2cgoPv25Jc5X0W7r7W3g1UjNPzvyA9fnmqshjCjbG3837QIyE61nIJlA0SZY7A6al RdzHAFb3oPVU86UNfN81oRoEw3Hkl2qTDpwkZCziUt21yOfxtm98xKhgtcY/7C1TYfJrndZLFLuO o6u+dhmg5dkfHr5wppS1b3tj8mbzbfJCGAnilxmaRgErXCOmCUQideONmm9ELR+6a9nHXQg33664 fMMhbkJWJvHHj9SsFDK6isqFn5HgT9gCm/THZ7A5emaTfWcLJX2uyEZpvAnvlnKWbUjaO9yMgO1p 3pGScLm5acanjdadEgxqiSD3wbGQvsEuq90T8qP5xTP8KSjbiesyfYwvdKPXF/lFxmjxrndllKS2 Ay2PjdmrAA6z+cSYHLymqt6IMRmZyQQYFpzFW/Rn9Pk08MH8aGSr65yxDMkuRjR4gT+k0qIS70NS aQ/Mgt/HVH2kv68L2dVr1lerLQIkIUK03G7PBQz7g1vfFnoPrdSsyQG4Ny4+kPCgC0wTg8yBIeRr 5O6SaMNAt6je4nszhXapAJoc6SO0Ii2Lj+EU8XxbLkiRsUNCyVnNODl+IOcrA8OXCnhR6aOB+pw3 LGXBVAYmcgyPPEsp7PDyRdwM5bKZtyghjljShJB9e1tcpwMZkt0zW8fZ585k4ft1QRzHeVDuBMzr MMYd8xu6wAIUf8Zz76YaZ8gtr+6YgujTifZ4ruGEiVCdWWigCjRBjVb2/WdA9grqKs8wLZCnEObW w22L/TCLP3pxNmIE5CPYoFUIRjS++GGbcfTZrSPJTM1PXkD5IWMcXXTAHt3oanvnalFkvgCfj+Wo FiaOodmHkinZUccRDnzgLq4qaZgdjhD8XCR4jQtTU+EBR2M8sjloBpHyENeuenbrfNEgVG4sb4uz PhbVJvGUBYadIyHGDNMIpxD0yBGMc/rNs5cYxnTkmMlVITDAxQWJh/TZCkQSDVFnC4MX8T0GIONj TMqoQekzRZVen+JfFfnFdbym1reIEH8ycI6JguC6I2mMkBxq9P5NpdFpPb5DF6Et24EBCJOe7FYV Aa2yZUIOGR5nnSrCvPTPnmKAg93l+sSSFvTo770FFV2JFpL7CNS0lRcBsLdnObSvwpgkbS7GT5M2 bWzy1Qvky/annJ2benL7vucBi+oKMpUrq+8cO0Nq9hLzMI1i1jCJ211UTpL/C/f4ZpVQuuj5umwD kUKHg6LTBHttkIBGGB3eWuVuxyPnwvZSQx6cbZz+hzK4kMqnS4lOutjYrTKEZVtjmkcOKplbKhnR fEMtPqCzLc1diFg2z75BfcME0AQV0OVzo7gJCqqYzY5IpVpFLGKbREFw/WqkkTU/hgHvnnbZwl2r 3VfyTvpOWDZvwBOYOqAT69Ly91ogKsMdL9ySJ7C3lmF7SKiZmEyhU8Z9Fd9scSP0RWvC7LR7eqL1 m/ArfzttTs/2ugkWBA1RuK9s2auQScZ6Pe4UeR+14LwvSuaIUyVxGSSilxqcgNnGBTWLToicFKB4 K5/KfCLHZg7fEjZCWXgREIPGE4XrazTAiJCxv4dbIZkKTZG3YtoA5f2QYcnOwevtI9xJOznaFDz1 nYnYhZJ7+JZoh1DWTcLTex+de316Z9l9l0wl86qTzkpyNeCX2ysn/Ij0bXz15aHcckVchExjPS5g Y2c9vtYHFLOh+4sYFBqQdbBOEGDkNhXqBn1pTwfvDiwlO7LLM5MmeZ5kMXogKUCj9qdkM2785NXa wjJ9QaoBXuHpsUU+Lk5e/EEhtsBMVGNleqHIKsDz32dTfaxTBK+Xq9w5y4PRmhprKzdGeaphwhjv I/7ioj1oO1Bj92OC4aSumScsUOcvMgyW1cc2lv3rBX7OxICdUHWsqbzrINyVR8Ka4Lzbun7g/q5X ls179UYrT+53kcZbajBK8D5vEhCt2HYzBil6XWyI1PHKPalRmsGbvWHxXxJ6FqDdKy16yY9u66mr vFRYK+nW69Oq4Ql8JxXhZZMQwbcX+J07w4t8ji48QxR4eN0/Kb3bp0luDJwAA05tDSFsRGbVsHIi VhdLgc+cdXkH/uWhbc9C1Z5CbW5aet1rA2USj03z4I1bMot4Gh0zZePV9YRgQ8Njd3cQmKnXL1ij j9zXwAPajlwdHySst+Hbaf2N7RI80wE/kkH5DFT9AnmFE6XnS7PRE/tCFq3AloTzUvrU70x0Xcbp Nik3VrBbckvnLdpKcv/S9FIL/y/gxVNAxMH4AyI9Ut1F5b9R6qRFoA05brYtCwlTWKUjv6xuQcb/ OfDpAhGbFALLo+D8/2VXjsOk3tb4QCCJI51WLDVPz0N7qnTfKnf6RjsBMGJdTjfwJNmi0qlwwP1r ZpN0A6iQhlvDdx9F9HK6WTtsx5v4ojwddYDA983znAr7DhzpJP/7IyhHrGMd5fUTmDZXIDAu5elD Qy2M+mVi+2fOg8UINw1Iikos2ml8EMGSpdMDq7Sv+E63lc36570jvfEnTljmdCAIag05ItBx6+nx iHw6jHp/f3284FL3F8UqlLwqhOvZ2Zye5eNWL1u6pZickKF4SA1R18A7ESqirHB9WOYCqFPOyYD/ f8JqwckLBatiIcYGRFMBfp9N2i23OCC+TMRw2DnUh3YyyzVs8uSvN1BqAjloHURSYs3V+ieQ5bos ZVW6TIAKHkQnU9OwU9SARhOMTo2RGx+oJr6T+OfKprYYqPvJhRpvwJhShoMmk+SvdasiEkQ+gG2I pB+a3Zso5IxCXbWiTJ9FLWAGyCa2YmjNSMCGkstfZePeuTP5o2O0jyTmyhg1dWIeiU05AgKGFTUU 0SkkOJELAYHaAVuNI8wQ/TN6vtVV3Zt/jE7uk7dLZ2EGz5MiE5wfgBwPGZXFTjlAY1ervU3iLPrb sNTM3FSgeyCb0q2hDqZLeFWTgTUBU+aFQhBTMqQ14lRJPHASDm15DxuGyJ/A7hn+j6eys4gEgXps +6lk40shwb6khBMVM0YNE4u2ImuvDMkDBzTxKhCakh2iPhULUyJ+T5LBRasVvl5AKO2i8PjMxvB+ I5aa3pvEzYgKLKbCyogDBDiFcPg5rVQDfaVr8RJG24Oub+DUgeoDQGMtbXjPo4FJV0WtOfBCPoR/ VcU3WigU2eH2MxiRTgia97xNAtnAzzOD5HY/0kcIlL1Isy7BO1bgXxdi120CW0jmzhTPNlQuUjTO Y48I8Bv1nwB1hvh7hFF/v35bipqeUgChCHWtN8OGPbDwcYhc8ZsK+J+aLlPMeIp9fynOvdSMJgEg ZRxWS1XW7sJ9lfFnuCt/tHw8f6byJ02TQeYQty9MhC7UM7U/I0Bd20shsatqiTajuSVxZRIhWrUp ZTXzHUc9bT507HYU0EP/0DAhxYmZKnIS6XZnCTgJNIkl//Eg5bHGA9XpBewaUbTbZpa97iFC0QOu lUeeO3PjGV0gFzHLWjjjrt6Dlqc1qlbp/Wow5l6TxSk967Q6kdyhQdAAwzwctXsX6B5Yz1kHdQvf tSSylBtlo++rQ8MHnVEG37ecnjV7tx2ZUibBGEiIiA3DCd2CGhM4MSerVrykqF2Rvz0qZgimse+H ThiAJWXoT6sTAtstwBs+NPPLMrUG4NGYAycTfhhwl3pqstESDdvI1qpZzpNjbAdQ9n1NUIOGRkbo IZcmMEQR/hnZ1y6xS9z5ZgpxmGxDW1GrOrtqccLf7yfTFIGSGRVUMQSonn/EY/S26WiNgftIqxOo NiI6ILmLUe2yFqUdqqvF3nqYfwhMKtOo9NKXToJy1LDN0Wa5vLUOwZuPeBhUNGjrZDOEqNifZGHU xePln1mlJ8AuTlEdu1kKdxw9DWQHFckJsjHt3UPg0aV9UMLI2RaRELreXsXKZADvKtmFV+F2EUe2 3LinweI5l6u+KW0wmfjwXn6GZg2ZqTqrDeryicnZ2bgY7bPHWHTTUdyi9UnFEt2HQk9FxpgBthmg A8JM4vJu5KqS9z0yxPDFacZKCJFS/hAGXHL7lsYryyGwn4KK+NtW7dkhNbcc/11Zm21LoDA6Wikp f1gYApvcclOaY/6F5tdehS7rovv5Gl88kLdsEAfJifPmi1qQwiDDlrPNpqNkhAMsxKd8T6b8zpgC OZ9y9wIXIgQ78WmXyTZ2ffX+EeZwacC/BuXZVTDo7vysz8Q/tK+BzynEL9Bb1dAGxDHAIHHPDCEy 4+JRxkinFWmk+05EvNgHuyzgOfQEwJUsXVXYW68ozDugV9TiC8N1dnsZrl4hMkcRBk6l2toqKWQF jweHn4h21PW4odiDJ1U0O0HmHLZY5ffbm78nj/GLTXaBnr+riOE0hfc0MhkvBSJYYSJx5ZOtWiug Yri+hU4JDSfi8ApGnum2iqMl61DcuTHrzMMQOyFOOHmKH9Qe2LWvOywf9j2kTRIfr8CQZ0gyLi14 aFckRXuF8+07YtYhY3rAjXCFEjKmtGGxKc73d3PocdhL3HXl6kRNT4E1101INPs43sE7U7cvL8YG GRgg6IinpF3jn31ffa9ySwPmYC7oqPxrxP9jx73jK+IAKjeLDBypjythmnmBaSWPdfpVwLq5n4M4 88VtkwiZc56ABPWdvFJIhMxhFcZ67Et1OZz+8VW/qjNC8luO4a+e+t7SfcSPL9sWzVG2wpkW7I59 QW2TT+eLD47bXouQefNTN7QbNEqIin01100sGU+iu37G+oSkyBSVRhgcJkyB7AJHLM9pyBJa+iqR baWoy++f7CXh/UZVmL2H1QWjGCpu1GgFdnhnp5eCYgceoYyG3MXe1URCIfrqVEwNNuckAOuNJC0S E+bXyejI8UML6nTGYShyZ9jUQInNnjpXpVRDBDg9/NKYVgyHFfn6S1gfUarLoDBhey5D6HdWpt+B TtStA+uaqg6ZG5suknh0obI1iWsKZO+uKJ/LgpFFh6HxmLS2KCMglZzBuruzwSeEQpDDnwrHp6VC 3dGZ9dGUoj1rm3pkCIv3VLzIoMympoinrJAX+AVwb0g0j1lQ0Ja0ZtlQKxSR+uDmxmkG5T2X3aSr Y7jgOaW28rv2+Ay0081rWwl7vtvPqo/tpybz5RXtC0sSVrSZ/NDGv6aQG7F2sskX6nqCnFdz6rl5 34Okda/MWr2qzjrK8HGLx3YZ/9XK2GtOPdtdeskKhryLnxDL71HGLu/nwhUrSyR+0UO6QmRHIc9j MKz6dXjtXjlC9vdINfOKfKXDzE/mNUmiB3vRE+8VhkQfoQlOnFgJrJCXoncMy3+cdP6U5ZntvGvQ ScKa1623dEM0TmhMQf6mJ2Kmizs5DEFX686jGpJgCdsroykK3EryJUGh9cAy/8nDPq/LeSMFyk0I euP3ZW35PzdjUo9bpPDzX7m3ycUwa2gEs6TQ3cO0VEUNM8yJawnXC+gys7Bfbu55QoUlwoYVlbmr /LYkDOdVs8xTYI3OKX5oU3JDYdhKD8t+yt1PhwG9ETS9w3VNov9d3rqGwpLAQXNa7jQOjOgaIqUw 9TbiWskUjO8J2EPKVPryU8J7VhvR2mRLeQ/nPJmqZn+dPjHJ0CwsUUBQS++msKVFYQjkPnWWPeDi BPX9hZwlteRwssUuy+srgvrHbO+XNBxgDJjTQ5vDiIBBSWOrEuC0WziDVKslScPfqvKOUxvro8hi Vdkjw36nusEU7XrNze1IXLhYAhBTV6YM8XiWQ9xQYCMcb84bk0AVhVcw9z2Mib/O/N8C60iW7/5r /cdTy8ifetcvGEy0Azsd7E/sXpgtY8HKTZGf53jS8zZ19JNzWmGXw577kpRIqnPOyYtuUH59I0GH rMDsyvqWu1yyUbX6n3z6ZWrXBwJrKkg9mZEP2p4eUcNOKusqQpS7cHfWPecav00TMKgi3T/uo1OF K45WZa6YkNqD3QVgOch7OEZIIKGszrh1/03bvCFoBvWFitW8Z6ezv1cgP8Vis/4YWWq6bAZo9st4 DGetPnq135cY1Q+eFwN6Vy/Q4ZTr9dWlHgI/GCyzYRmg9d+uCQ8fW5Gfg5di91IBtAca05+77pgk 0bintbXidbG5CIWkU4CbnPRS+/KKYKhr6eK1u0bUEQ/prqRercZGuZmnTxaK2McRVZ+wVCKb0wWX fizXrDc/A7+2uZh8lSh8YW9aelItFsfDaHxZoaDlYu2F3lDjJCU+0ugwMB0c0wiS12fnGkAcSqWv GF34VMeP+HHCo5cOaFwV4yqB1HNgjx7x0cYD0Zq1YYorj+M5CfxyUHi0FuTOA7CzVb/fIc+rHBWe 9gd9j9VQySvzAyBfIlpf05QPEe5l9BxqwNRU/mOtGo6ZagwdD49h/ko9SuTrC7fJxxEyS0wiQlBc v/NPkZ5+L4AlLKkALe8nLl1BT6dxZpq1P0NGLpPkE0GxfpK6GlECpnBgy5s2Af0iQqZOyFvoQxKk g4BC8h2g26iBIMKS9YtdK8SeWQqHqWiVrnD/JIms9E6SFARJf+jn5SJU+1TJWVkiXkH+ObvCSxgs rJCogTMchiV0faqoj8WqYCvpKDKbSTWY6Ce4YN57eMK49D6XgPEbVkNml/77j5EzzL8G2H5yIoWe mkS65m/qV0NQKQiMuSR6atJyyq4JLcHq9uCsG3R345IyeAq99gJYLl8JLFj9JF1vDXPHu4+aGkRG xAJzTHAvFvQpALDzgVV8ian1rP8NKy9nOQv9zqNAZqxY06XPwUqDUzzGt4aHmklBgMgff/YWea5C ZE/BjK8uMfUBItrL8B1YDfFpLRJMz7uc6ZmugDB9vEQf5JMUMw8wbiBCQcFhuVYNs0VxANY+qhvV 9q6K2oFYeyAYcvYx4e6IpP7rndy0DH4HV+sT1rIh3hwsoLBiug06CmB7DfU95wXANYxoLu5CbLHu fwLcUx8DCwbjcKg5K3q0ijPpPtJY1LCDgRRK71gjQZ4iFxgzzDKmOVL6ww7hmK7e5gyRwSSmdFMs Ue2IRwV4RxgSLI0M7gEya37JvUhK1LH6IRMFfbCJ67zNvMlnUvq8DdfkKfzMlmurfa7oy+5P+Olb 1ip/GtLK0DM3yEbQLhH09fXZOtawnj7JQxgsZ3Znj3AKpetXeYMrQ1C+UHn/wxEXArY/9kLefEPb ckEvJ2qEDp5oDt4ss+/xqtoP+nsJM+Tw+uBqBAhr7F3j2SZbZTBbUUMuLMN+InN2hWgUhC48x845 RII3/y6+pLzYqEshr34azJnQpgo98tJLSeJj8lf0icKQk6ykMAHN/NmbFEwkZCS/EbsFQi/KsLlH Z3KDWqqk+XeDhxNNvNdR2f7mTo7Q5HIqo7XuC10T9bT3DbwitrysjnPQudkskAermYcoWO8lhaLk G6cTcnn/wJFx1UjZUDRzkY1gptSVI4uEJ/FpLBTpBFj+pfSORyZCrIh/eSmJDAZlnfhHNn21Ax/j v5x7BTFXAIw0nq6/y2fZJM236YoEo8E4ejiy3FKsukFFwzdVEZCzf8T/ywh7W1YWqA5nAxAGgRXe DhY5yMmLJQnWCLCGy8NSbOiEphnTi/q5zhkgVtGKqqZBJsnC/0O2yPxcfIz8b7XaKEbw3Fm3D0O1 5moYiVvYMWGluY1e9hXHVQ4bDKsFrQ/A2e4htUiDOUkA5+UuO7sUFN6lkRS7o6KH7gZkqbqGqth2 7wy5dIAnAY96sKaR6LIuFmdE45I2SdPafBaW1nm5DNjDd1PmWN6Qh4yrD/u2ncYNvjeYX6dz9yqF 9MuATYSycsT8IvJgvm3szeAK1YATD2Tj2Li3hbW/8+1PyM+nNmZNKGffLc009i3h79Gq0pbMTedr o5T4J+P8xXqZqZU93dNpkyeg5AlQ1d+JFD6g9DLowJGRwRdpBqXiSIW0biZz64rU8TNcmrT3XQWn /TAHR7xBvv+OsnLwJ0xcKLXjlabHglAWDZvoiAeJw+1AJxAOLSv4P28a/hVAsWlTmwIFEQ85jW7a GG2sNvtBRjTJsF29/4Pv9+ohGymBrg26T8Giqp2/lyAkGgqoyjhXSsXJpApUCJRm+1Sr4UcdP9ed rKHuH+qqKse1VndTxty9vhZ/jOjPXSWrb8/9vSOu5K3OgRQKUMf1NOKB2qpNBfP4u8Tv9qUTOUTo CmL2TiypnGi3vCnwAMS/RZrJ2Y3rKQosdv22k0UXExybYG3Ivj4bUzlTetB8yDqVdxrM0Opn8sbR MCpb6pj4/Csc+FbL+wwND2ulyW3R2MllSAhS5Q8RKZ0gW3Qq1sDNIgfrTdm0/LmSEkw16G+VHVM1 ONBjpyZrgHQepd4dEsO7mRupCtTr+KQekek8h3yvEOzWNhVcROfkwRITTRs1Qf6XgsOv/jU6325B QRPC5a8+QsY/2myUICfIbu9/ZsADrhy45W2gPIv99SYJdLs+s4nOnn0E9myqVCG7484HRezBFmwc TVjJEx5ZL9L1F9j29H+wHc0U1QFzJh0rdv48xAD+fS0TD8mQh0enW9Zq6zLazgp21lziK4+qKemP 5ZFFd+0FbHmgraYK0IGBObaJdwi6UNKKGR3tNn7G8lec9pepCD6UDikmPL84xODAxSiw3ohW8k2O 4jVhNoo9u0mVFVytjomE3/ZyERt4otasqCGy5PLbu3x92uf/H5zgyAH9oFFm5GI6Zesiw73Pwtv4 HaPnBqI3kBnluaOxzyylaeQsswpAz/SSfoWj9bPToI/rjZLdDc3HVxD1x99iVGxiHDiV5Nyx0u04 8qrkqRjW1hG6rOCyek3eaYHfy9G0e2UCYGPnrluqKa5zizVXAmtpQmyNgc43Il/3CLkD+xbXhKr9 76wnYc24x4xsA0a9jcsYOKYkJutzBc65ylj4gZriceegmy2jyES57aasnOgu+mSX9lTKZ7sgFJw0 emi3efNHZVnM2jkX7fjQW3H295yLBXBSTFnTsZNdYeYEA25PbZgVtHj/0PtEWKy6f4u+4p2Kfxvz qKlZ1Q3ezEeq6iavoDp2zBXVtEgAG+rHlqsP4RvIABMLJxSScsJ7fwanMWix3VF4Lxi03PNB1jKB RLm+bQZLsZqAxhned1bre/xoz10b7jk8gdcKRlhr4eyf4DGykd7eg5KG8IHUKbKzLxmnIt02c7rc +vzMRUydLeH2U1JwxqmeaMCi3f43ONXeHJDDZw9Dd38qVuTuTM+1wIE/kB2wU7cxuQ+Fw6M/ZoKk hTn9KiT+e80CSIlHuy9C12GovupJFIitzxhdeKomXYn+VoSuSyiiixli1DBFs8BZvlX4MSxnZxpv xyYUX0mQKGPmqMnz0W2psu7iS1FBSUqBQUKV60I+6MPOc9apUI/vx7mGHuQEUohBLoI/KY13NknT +Tt/fHh9jqrBj1oZAUCzQEE/2SOOP3Wz29lreKEacKte+aLbEcmxTgnhaH68j8TqbD/7l02phxQw xsG9izUwyUB+Mb0ips6jSRIIh/27cw301wJuOZlXi1hLWAakzEZdWzSCQExZ96tFIn/gMC+t2zrP X4VUFUhwJCf2RE48eB+MWSWPZJVoSaPAE6KFVg23yE+mSqQjZhHNM3StoHVpkvK/Ypto/1MQJata 8V1UkRHB00j6Hks1nYtjDI5Eep9TilTHicLvw5UYyDVyh/vkWS6xGlUw61yz14omBmjlVNrPE8Li eSotEWlBWOf3M4T8d/83KcrWzS6MZUlgC8igL3My1kP4hqZA9/TYQsz7e3V6nxedwIuchdELKey9 tzleQMOydaz5PxfAPw1/4C2UGK1OS4AMUydbRN94oIAoLlrXQySVq/3kzJLL6RE4AWfig8g3mty/ /tReURxAm3NO2yMNaWXDy2RDkjZzbdoOAgduo6MDKT9GpH2jhdbztueUXkOOPf3g+yVi+RcLjoPG n4zVPw72g5HcxmgfmJY3h253Id0jYo30AMor8gyDP1Cy1BDeisfrZQdZYlaykUUTBZmuqZIVa4kY KgT+lLePBZrgpYPyeJ6P+X1TOjDjJ3XWPJNOSTeT3tX1Wy3cbM+Tfly44Hd/IXUr3m0zHbtrY+Ad a/jk0RvsGGiQjoEUaJjOPe4BBqYrulUFyE7tydAPTsEAckEyavvpONeIHFEqdJiNDKbH+8WFVWKj XW68zFFBrMNdrSQO+zsN8ri6wmK8kGuT7/8HxSPJi1iUn6w6/1vqkEA4dr4mcoNTPOsbGQHE2v/5 +qPTN7Gz00pRXeDUOp35cOHGllpytR+/ou+MkNiB4WwQ6KWn5WK44ssKlbYFqF2CWOAAMw7wIIjL VWb0/NmKCHn7YG5yUDOtGv3ufSRCIAeLzRE81UEVtuEAQicq1AS8uDGY41ig6J0UDaT6Yg447BhY Gp4l7p8L0tVsR6mtunHfM2NhFuSaeqyg+XdQeDN7N6L2zj22PDGOGPmaOVr91GYH1sUR7BHic/cr AgF4iWi4uqjt4330D0I6er3A5MQJjiVs9VcayqKJ+OXD2ZPK2YK6mqIO6RvANA+chnLRRH9v86AV gWNBPBni0O9QYyoZ2lzxinVpVnV3+jrjYXW4fqbvKSrU5EfNIICTH4/9JQemE+1GaLHxqrk5MJry g2WTjp2av0gA+fWWjLW0e2cKIYp/AqaXc/Iw8R7JafyMM1+DtPya7L6d3Sorov56D9MH2wdLq70d /MVpmI0926fdzaNgXd9gS8tVO8yevSaKpOQY0u5Bpw7c0yF3IuZ4v0k9HSuUe4uOAnwjzp61mcYk MjTAlB95bthCtWzfPHb4XveJWP6A0+8rcduAiEGS0fm9wDsPpjbHETw5pqL/Pr3sXmoo55avhPup 71q+WJC1neizIFtZuk76I5tih8tZ9OFV/duZsNVw3fmjKj04ntsSFQVv2bn6B8k6zuampXFU5nmB ne5rym5br6fj4Xb2Ol3ENlPs2zUjIalrMjMWmZ+wzrnOKLBUYXSiW2mdDG+21lmYj9Q/25AKYuMU t3ylZeqrtoLRfTZJfWzrlRCSGSTfeQSpe0To+6vkWDVOXdHj4rpVLIKKd2K+x0ql+l2tqMCHcf7N eafvKg1R5nx7dt/MK1LRsidit4GJGJQIQuARlFuWb7HtGcCD6DKQN5aoEh5k0iVvz2prM96KVrET YIZXUAhvmjSjvVKydNb1Qi8a2YWbdlIeITrHxSXNEOkzVIOs6e+4wEErTZSxAUlAyYOff5DWseR0 sp3wT8koYAgmS2lqTiOoknOhIVITZjggm9KnsUgIn/8P9SyEhyUcVF1q9pXflScWgWK4YSzotNcz fWE3D3l0+f5tRJQ7LCFatVLu+llojHqFGgL5ziw/gIp98YbUovQlj+Rxfmf0NRaDlQXIjx5xzUB3 4uDE7XGRv570aaqPXkuUnlHhuFCCo+hcZs7fc0LXpZNhA5GKPugIK7jTzv5O8DPD0g3RRRYyoZN4 FsXLZdQ4EXpC1JVtcdmNFWr0/86lw65urKkXw8e8E+xaLFlV0Zj4ZfeM0yHOLABLBosjwDYgViT7 3JW/w3GzmayJtXzCav+vglqmZTdfPhtzyuVq3cPiFatcvAGKQnLfFGl/JK5Bda56VV6ciGVns7H1 i2yyuu3C/5T6pMxzNm5QicMvY7qm403h6eNRVeFHS7YpVSOW/haI0qrk7Vi/TjxCpICDyd2NWr7u X3k8lW0fWqDCRmCR1GMYKRk/sRjXf0mKnCVk4kWpeXh07CPixq05ZTwjBCxwzjliND6Z5N5/fx4+ CJ6cLaWzge7Y5Fvq/CT6CDqFiHPWRTG04RhlmQfMJejqia8DusXkMgGRwzRqhbm4pXw+5+w9Bb3R TQYZD0ZYqk6XvUq1QVZ2V/hkpfxDA0WMUyZTGruRaOuF7jYDcDGYMs4I1rwc5Bx+xnf2+M+0F5ld 0kWctZ6aXM/pCc++Zz0wVlW7OYbBBXvuvho+2OEoGvJz12I1NF/v60f4WuVNd0igG1OiUUVxbaJr 1KnZfGKsKmwRsAte4qtp+HH2YT95yc81eG00psOt0YSXkhQNGzbBrfLPza7U0DYepZv7+buAkmXc GbDCvVwLyYrjwC2fXGBM9v6hZiacSivtRWKuqgFU6kHqfqjwnOzJtrTotD+pH4iW0x0jIoBtUzGZ 1Lct3SfQapEBlJzWbRiTIAcOD8SjAaGIzvji/aGV45SfWi9cOUBUwJC5YazkIRFxs09REKL8Gx7n 0l5UU6XVG4pP69VmQznRbclrJmyHtSupnJNF4ioiahbd2dRpyR5o+0rN1TZUX6oQ25ctFco8hcdw 8rTQeKn0C1nOSVH1ircVtkFXV+SncZhN3DCy8Tm9PBN6uGBwUlPRkAXmYFWE5why4QMrg1r2mGz4 3w+Sy8uiqtURYtweTEMNS6kjIbWDwObqXrXWEGcw1X49y337r7oSYItvgmgRkFp5O4dp84/7KZej nqfyPSYq7YShjbanAN2ksTshOH7ghSJF0quK/DyFv3KRtIWUeMbKNIq+9DCuCNH8vDIpLevfqS7W 6fNEckIS2ATeE7R1AZ6bXTWrAHUJrrr1HCsZCiYxgJPY70nmMNFiK/SShp++MPYasXshNfZcdvkH oehDrbdUihHJ+pfsAYjLAvCYRi6rzAhKV9/MMngRbXu3k+I+GJsoPYC/VNdiW1MO8idP8IuHPkYu mIJBX9Xf2vMxh2j38EwNH6zCzQGy1FtXWF92JSB3IRo/wTnBji3SHudI8Andvh/2YeafxrqEhT23 SHx3T/Vm0bzgvklK6N7TjScmqPJMudCqPeiEY2nvka0Tpy1QabuoJ4xktcYPjLszztwPnSagUvBG ke40nyNgj+pRjh5iwrPHMCOHzH5CbYSDXkMKmKuZZsWo2gqB86jkJi26IQuN0bPR4mnT9lLXtfxb Scy1nTYtTywuQmRiMXKgw5Ur7JeqdiTbcdJpk/vkbGFpYr/TaT8tYxubZS8xLu+CJsCJaN10I3Q8 tGnAUr2iwIxOx1ZCIOzgS33KeDO3y965usDWLYUL2DA9KPqCpyymRGqeCGO5k5r1hpKbv8XisqwH RyUBLDL7YfMfVX70FfUS9ywgeEWtdUxYLNvQSgcowjPwW9aaTJfPK1OdVBOkkMnBM4auiCdL6QZ4 ex3Pw1viRXpi0/10wkJsYRfUyPWOgvEO72o1UzGwCE1VHLnIjW3ksY0mrG48BagnTRmYVTeVSuQw h5LCvTJ17EGBUXnihfiKaDcfxevhorYqZkMDiimzrp2oypHuONCwcvNe+vuHKKLbBaTBt/0eGVyP DpJGWQ0G3oRggOQwy2nq1nUIwiKJGQvaGn2t6nnClgYgzJiJn03TQgph+9nyIWJny5wpnf5/tWP3 n/TzcXr9+VTF5Fd02mGW+bTVjFUVYDHmKt3Q3xRmCqrZdHpjKqhPG3fvbdj4Jbcd50yX4HCYhyJ+ NcCLgmQ8rqDAfmfXo+vm/rPk5VMra1Lu0sfvHwbjtDdHhvaIhrnbtnSRYF0RiSWVpffMGuXNoz9K RQNLFJl/cSH+Q58Hdx4BqDbONeny1qCPhgWouA+kUm2/hJ7GCUgbcn4ZBAdObLU1o24BtCQf/Jej utIfJj+mL+BXAq+kEboA1PDuDR5F4wjFOBuS3BngyAn6GxgpU06PJ0z0qOmLzS0frsx8CtmDq2+O IaCgLJxDsNXo9RWBugu+LNicWOFrZ2W7lMF4jE5d5o1uxZAv7tzolLB6VK3/MVHhe6MTNE+T6UA6 wS+s89GI9Ig7GTUwdNYI7ZrNggRkypU0w1xrTh1dY1PqO9zlzsq2te89iimG4slfVxTzCXJ5c2or f3GzQfDGbqal9cyPFLKeqxF70A1PZuxcmH33xhX8f+9rL1lQu8RvM9ifDf73CVvz2DX4t7Ho4eYf SxaWmgcNo+bPTH1DljxJz54Oh0Fid3fkz1RlK7fAAHe7Ylne2lAIVTsMcuZtR16nk6ETCa+A7Qkn mOf4hIJp+H3veP/NpA0Lvk88T2xTzghL98o73yn02t8srZWuTQRTPKsduOku63WAImfXWUlrRYnW mjeWH1M3ZCHWhP74NsxlNkV9PYcbqD1EFsMi+c1qX6PmySMhtPX4RzqyFgCmWeOw7k4vqhpOMF2g ywqqweCMnXdA0O4+L4JHtayRz+95LM4SqrprsH41HuHhKDH1GFTIkoBpTGykfomppYZFieodMs/A wT8D498pGIrwF77nugBf11XWTZnsy+B0+nvDssLsn5s5Nh+9MQ+1MXidG+/lKHiHjNRVvmvvFZ0X v4ilhyrOA3cjxUHcv2p179/8IgbwOBdY85V11H/AV83+nIz7xy3arJXQ7cUPCjTZYRsGK5UlWYT1 UBDSoUOI2l5bTAxd1cJ/DN5Vp9vIR9Z8znXiPgYB3IEUnH0kMSSb73z6MxFcnmvDZSkQyPIlpMPC SHcio3w9i48gu6btUPx8XpznH8CbgyugDwTM9tCChu19rS93XuvebG4Kmal0aLXE8YSXG5krvsqv oQ4BQp3qHnLP+QPd67wrbnMeCBLphAVXVvnnjyvqEHCjlvncuV/HdJvtC+AunUxfoZFMwtxq11Yu sOJfrw1sdru1yAsqBDrJ+j6qv35xSEEjfRS0dOOMyWn9Enm2tqFqzLR8/jIFZOFlYdd17UI7Nx0L 7K7uUVQqFaYxZ8+28ETCQKnUH8NsLtimK4yvHDkD6Y6OHH3ujbVa5mO+hXI0XYv9AvxPFKTQsWa7 6bBWJOQffA2YQe0io78k4JT31m5eSvaH383zdmscoH++6WmXlWHAk5VyGn6WVh12hdOB2aJe+oX8 mRt6BL0dRV4w4yW/y0WHwKrbpCuvQSev/WXUhREkHJXu1Ix+e4AJnVRg+D6IbnrXVf53J2WxX55F /PWvubaLpy0pC3m/4z+VjYhrIL888qCxc1SpbES/Ir/enwHJR9saFeKV4SIB00rTBmobZL1CGgII 3E3naCKz8T4x+gbtG9DEso0oWdz4irv0FjYVXhlO3O/l2dGeBelQODlxU5a/SIgRNSdHmYvXNfkt Y1jP4woaZ014h5zu3pQ22JgJ0wQ/sXdOLYbIZ46DjGMm4pNN0f4Z+e9HGmTYuLS452zrn5vdbyG8 g/N9UAb5d6M+kyUHbw70sOrer0owQ/6jurr9mDoYhgDW2dPFTUshmYnm0T3aRnRca5OXZlEMJnD2 dx/If6nsmS0rr9GWkK0jwSyPnl+GVbaJorYganOXv/hEg5LzlToROcPrOB0PKT0yIC36q8eUONSL EeCfyKKUvaFCGyJ+/WLfHp+8poH/a+5JO59kjTUAjtaR4gVH3qLGp3AcDk3+KGC230wbXJxOnNtz R61bf5lFD1zepAzeq/Hm/whCNjxpdwn4yJG3EX3xoVeWL0CYwg6fakqh2s0fF63niogTA8BRQEwu 1IPLU/kkaHfhQfCZD7+zMNCf4j3DSWDdbqQbmsm0WWddoN5XH9oqRp+u/6z/p3bjkmuMpMTXhCWK rpv7WeGwu/gaeoGx7U06KaAHZyutm6UTv95WOrxU3ZnFwO8vnqb853DrYJr/VZmSeBlQ4g8mFQbl JkmZn1LJveDJ80zw+bjSlrQlGWuXUqYntWrMlbUvie+V0yPtyTJfB7KFJxZ2N4Q0mpcVnKZZ4ZL1 qDTqjLlrVE8dCYQU0Cm7+njIr9VheWGjFCJap1jv/rZ7ej2QvFDel7rhb7kXLVzBWhnS+8bF8QrJ GZx8ReeXNho/Mva6+04dO1lgb9csACfPTMmNngBKo0DprkKq4Vwcoym3eVh26pNOlcAWU3674x+D iOXxkVpL5QvAw1zX4eV3OH4QBGGA8+tFbz9GTXxY8py7s62suzNekYm5GWRJ18Z11p5W4KeMx6DX ir559KsBB10wN7vJmUZZvrHxFmIUfIht7q8kjzX3rI6yfCfAhRt7mFjL/V1BHI9FZc79nE0Y8nHY 9jv1PR3kOclXUlrrEUV3jNmAkap91V8eUYtzjLxO9QNw+5L2QO8tJ6R8gOK883u8SjC3d3/o7QlZ oUwzYzGeqE6veyvOFhwICd8g1iO41MGaysRfOp+9tkQticNX4gAUSSdCTG2kZHj0PKx/ZATlxO0c Dbpfs2DOtIlgbI0DUFiqoRc8H48ANAAzocmOUwvC82n/55m73Rg0tx6vM6njdFr1EhKgbwCAq7NN G6kIsMxwuZOeedU+lV/GDbBw8UnaRs9zxAPEbOlVjuVD51y1mj9LZcQWU4qu4m41YOTFq+It4DQb YQQfX1/MksoCAPt9E7Pkd5MOeBGa2nvlacuif16ZHgj+HjhX7H/J2zytCK3DNh8Je8+TgxmssjFt 9OjPwSwJxLsmPjvgGyVY7D1PPC9CXzSzWFInYtVi44xX4zEy9JaOsBV857FwJsJDoQsntd0RbpMm Q34gl3LyJmEcbKO2xWyQ2JPuGYa/a/05zSXtOaQih3SNJ3OU+XvhR5NeepLE5xnMFNDPbFGqkuqB 86jvQ/mb0og8Jh5Xl8yqmgflKA6A7eP1ZM3QW8HuoYKi9DGGdcvpTXZT/me7YvkEl9jeKpHk+Bel CBV6DyOCibBClCwq63huUDEmHPWwJtgQ5sxtd0+6Fjynr8aC8O6+qKx8WRyNI/f95Hmr9pXa4jmP ynjNtzsPkofUTRZgjR+HgmlWS235kvS/1hg487DTvC0Sz/a4peCbASJTQ+So4TZQM6xnmUllMaj6 Aga07tivO1wm9Mh2Vv10IKmZC9wFd8lz9SefcxgFuDB8od8a/gKWVrdgAcUPJJ9dEEZcuG74ybsB Hprf0ci1tpxvJR2dD/4K6pQER7hjkl/th313QUVU7n9dnZ0Psu59MkQstBdh3VwvLLKPxiGuiHBR zoHIiZW7NedrZ4rAuDheHHPOURcZ7moJkEwfHZfP0R08r7NC+980uFj8gzsE0qCSBRDzLE0Y7HdC naWxDA4Yv+ahueJocHaDU5pqtBbmeXqZS1Gs4i+JQPJhawnXnR9qSWo0zpA9qFAC+Ixcq3U3O9bv vpJOZouwvohpXLO60YnbaM8GP5OdzvZ7ZISI6vxKPZOhpo6dAKUvlyxe+cEda6j4d36OOdparldM 1X8FJtPMp0kb9PFzkHqc8jmzvUXocuO45qGLWLQBvkF1/DIIwmWQ4ieF0LHiwFfaD/d6DgZDRmAF JZ9Nky38mWOkGh01sWfnhGt137YHaZpDerA38n1uzJuvQUk1Jxb+mqXKFyfQbG3XgaSR79gSoqGU SJVwVfr7M+0OrjLTylaZg3nSiiuU0pC4p6KB7+MtKlCmnPUUK7uZL3WjHYnCAYUBMS8FbsmTxZ21 RbyGQIS9nJDkNcI7rjZ+5MPf3pbyZcdVulzcPSQu28DTmExXC8faZD0ftT4LYvL745nzjTyvbMO4 gEjYYb3p6kI/b9Hzpi5P1PBBumFwPBOH4ycx3P84t3tJPfmuz82RNxNfbv29bc9LvHxpXcxfSB9Y gCCZcVp+33gYcZM8C/vGKMHK2/KJbGGQXlfapr7MNvyMO5AURy0saVriwQe4p/Cn8Ewg5RQhypGs ikNqF7AGfET98LaxNM2wU/Mp1qy5JS94d1WgJ02Z77Jf4hhmtUQ+zD1OleDzcztYIFBXXltpDrfU Tz2NZ9XApYpqGXpJIOSEAXv06SH+7wd/9YVf1YntWG4zhhxWSZTSZQ+rAmJnEgaGv+ty6k/FG/Uu 2Xu0DyS8bYqkPZ3GKx9IftMODgwaOIM+UYcg6BSwc42kOHfuZZRmCGkrMu8SMKd4jn1YE5M9dMrs SzzH59yuELyebruAqgExnUlWINl/u55puSixVZJQxZtNM+svkLMoALv5WlTz3XZazm3PJN/jf3eq S5N/YZOkVSzBjhs/ZMYKLN8EO8nBK2i5zOcro0ZWF2VsdZwWr4iztD+9vZIEiRffgdDl7fSi81Tu Tolwgx/u5f5BoVoKUqlTA5oOfDnYXHZ1gxcCwT6aOfz3nx6kGq5yLHH/ev6Qh9acMWr+xPM9xOiA KKLenaXAdrMU0AVzTVd+rQ6/XPyJ52YrukTG5bSbswHS5uVYaPO9/lPVdvK/xABoegi0cJpYNG1j wUdr8bCRI3FXP5/OGGkQUM6aYgTYNFcRHTHy9jcwGUHRYRDTO4HqzFWSETKvf8lGehBmb96KxDgD WOhBEJb+fNY4l8vd1wAUy6gxPrs1dEFUSp8WzoUC1vMfd+xfyRT/ihy455lvfvQlroC+DTIWmhsy ULnC6CxC8j03aa9VcYt7KI8EdtuEMV41wvDu68vwz2pnMqSU8gk5cQLMiVBQiyAcE/4HiViYIdkp QtRk0MKW+Eh7PnwFmiT6+nf3MGHu4EaIZ1tsoFJWdN1oGcKoOLmPD7chFr2Wm+l826GXkmx6yeVG 2IIOkoi5FDPOqJk8Q1AXG5VbzPVNRhVksDcDuCbMRVkYn1qV2V8vEafwsgxPd3DLJhRVKoZMJ7HD aU5UN3e56vJEn35BktrIbv5Y3ZXcpes8Pe31DQ6NKmcOF/5OQDTnABjnZCnf0lzIL/DqN/GoB/ua T00UJRXLN7bcpzYQ5s/R9SVsLp+G/NL+mFuDv8WSnr/CNMBUJ1ckEtyAS8RhDRoSVbxXunZZ6V3d yUkKxhggXeEn5Z4i2uxPUiBfThLFToTYx2rZy/CbGTLoXt8dLoqBkrAWWi6Ka+/wfX4dn9NSpRnc eNWJ95wW2VVoOOrHlawblCQsy87xgFQaGzl4rfCvxM3Jw172ImisqTpIuishqgZhc0nuOXmFAVHB 6MTwrtudwYaz1T4VNblcpZRDj/fGyH2DiTfkNY8oNuv8XzqYutD5H3w85tGYq4dDPva6QfpmcyF6 1VcjXDGJvsjeXijAPnzAK2EAWWn5OJX6riqYF0ptqS9CNLUGHgBHg45yBem3Qx0ixrtEFdEXS3QP wER+1SMgcpK/V8ETxHL/3SX3XT72XhBFFgjBSKYZQrOn+T7+DvQalrPz6slDgEQqfpBIx0ScDsTN D0IPv2wOhNEAQewwzvl9RR0WT0goS6jbgQ/iHi9nPOgCwIhE/u6Rsx+zSNCKNcKI08zr7Jt7rnEq jpQLo/4dte2hL3XPHIlVp54fw8Z2enxLM/UjWm70chSuiCWPWCawJmzBiip+23pQy4n3YXlvwqJ1 wWcinyz4S2tMWKPY/rWoCzaasgk9lbM5UXhb8RlLntPh6HEWqZXJWfgQrJzQdz6jNktbFe7a1roK cXspQiv08zy4iRE+1xJaNo7RSpS2DAf3DAVjbZy2l5t8vrDlY9IHUgVFXZQoN7eQPBsO9xZJvzTC iwKU0uJvT0XKD8JN2OiHDGE0ED7ubkewIlmFP6LBbuQ9D6Z3m6L9TWas5aEomY3zl2nLuJLfHd/4 kxx8uUH2J8oDLJtAaKY39z2DtxzcN//jpBfMpTTQ/X6g8986QMK0Vup6277s8yoPAPs2lAZvGDSJ yNClbgegBJZ7cQ/6Ia8j90y4xD4yM9vFrT4cUSQlIWcBi33+AH+lckN2Ac08dC8L4erd50PJW/EN epJBuSbWHF1YfOVRUtBnkUK8Dam1UWfezTV04k7za0ddDHyLB42sszAuV8PhQqNRTtCHJXBjtK0g MqMUGaOEnbIPgRtID+pR3R25MVuGJn2S+jY0pB49cUrXVW46hAtKUSLVMGgegavPQuhPCVMyqJpV woFg6ZA+NIoKoUZiLv5AesslWJEa4VLvb51X4nsDJDTT/pqBGYFKPJkZVwN6VnJPyr6hwYaNIGHK wE2Ap8qR/yOPjaMzzm9GtF8dXWtD8Y0U+D2gRvH0rMXO0JdfFsIsh3NXQjNjTpcnuplxubZOo8tA SaXW3hrFYGVB1lwnyptatWHz+8x50HeU+Rht7H4ElDoERuj//dGpBCZoAND2Ze5s6s9ckdtZHyFr ugRGJJPhJiy0AQFRYcBUn3TaLAt0ioqGW3A9NgTYS8Q1CzJZyvLc7Y9azG/nW9C2SQnQQDdDgrrr 4Q1d9Iw+I1VAHfa1V366B1szM4c5eSu+om7ujnABt7LxpIVCU0vsz6NbKqx27sVG80c3yiRhXJqu wLMkRSVG3aE6dz+fLC8nRE05MhTSD4s9VBkAyGJeTI7Ha2xQvf+7r4TufmMfEMFSE9mtEApxhZCM GB0u5I8maaR+Ru9HkvmnT/9pRzNnHb2peNYLdEo+v5sPkVSKuhbsVfwV/2/PPMvtm4QJ5M5T1gEP Fv2Zeann36TuzzkNbJVjARNXM6c6hsa+W5Xt9mZ7bP1Ldp6pI4ni0i2iAyxTCazsuY2+Hr2SbuC0 gj8v32HCHHDpWNdyUQ3qFfDkjEAHEBvEfsT1Gy+MC35vONl0PMYAEVF1DxARYbZF+SrGqbgbxk9+ mfoB/5xP3Q2NnmueWK7PK0Aj19uxJJdMYYTpV0QNM+zp0ZM7Fw3zJy9I453V2laHbPZs/HSYb68e aLZ9KimPTZgnAfNJuceMACFbEr9/YFm9iYUFX0G+fphuJM7pKGQQ8/pKQ69cegLWrPsz+pJGIM57 Ko7NRUfKPnf9HN4AB/iGVrEymorqkzV5XkWHDO+yM2I+vZwZIhvNLPLd8D//XApsQ+CpC8KcR3km hTSM9V33fF4+w1dfYW4P/+sDkyWqqsKX5JGXxxb4VJAzWXsUOthNFP46HNPA6Cj9Ehan7urrT1JX 5dGgFaNsQ4BAY6g9/TEAd5Y+fEZABvpyyvCUNJHrbw5toBGAX6y2+FlNktCaF7bnmBcOBoEF6DNQ Y96isPzaRi3+OU7hWqCdf6SqESYgt/PUaLNlxKBFXTGSvQUr2LtltctzuR25fQyENDjYpfTwDge2 zRLVb3hZqP0DByuwrlz6u+v63LPsQuG5CNoNd37TitinuJob0M6VrgRDVqAsSyRL1fJGlp0nQqRY 59I0pllzlVJf02wUMxvY0CPRgMs0wBMFl9KkHniM2MOQfty3a+719KsUWSPl9fvxW4rMYdQ8PrvO 2/lX/GET5A8TnhKDWWdP/fSUcfk86XPpigdabCX0ZWC46kZxlU8lg9bGPLh5rELi6AIOwq77eu4H EvwFOVGVIFvHY9IZ0VwTLD8UYM09GP/KI12hvlc8XQ+AJO/wjXZzquw9VcG5YwB+2qGkizxIVHSj RnYgZzQievl90CH5zlGpGevT/Eg307zwM/d3E9j0SOEzUFFZrdmzI8awn7RXgJ5JrxJ9qDNN4Egf vIu6InRyi1+35TU14xc2DHgQbal5vxBQjQC644OynS2Mufbzxe2tHCjhUOzz5DihQRjU/wl86EF9 bDG+15Sx4OfClLlB1a/RECOPfn+p/WUlfFALwSxJlWUxdeArm+mVliCXncpbX0e4d3dGNuXwpfku NSSzbwaKDDR0TfiQGU9+dXCNcNLMWHyIqsKTlcU89joq3tNw2IWqjjgorHuLuNxk0Y+80yHqTLHU hEwLXdYmVKb3N0dtCfSXaD0COIrih4djNpT3Jb01jHtMHOCWWz13ETF93Ezx0vd92O2SGmpXNY5O ShNMXfc/MBABZwTqvphnPjg3BYkXV0KBaoR73Ryj/CP9u4jsZ/5yFSgkvbgfPpVdFiX6+ywG+jsM xXLCu02HXx+LhYkWYIbUuagM8DLCu4P+38W4m2aR38j1nzRxTl59Ar1SfqJG+2sOMipMhTQp7oBr ujA1aAZZH4I5GQS7VOu3f0HpemvX6x1t7R8V3CKs5bCk3sTySVAxM0I0V9U/PlK1fiaFqnFb0arw hYvQeF9girql4ft16BzMLePyWDF+5yA/Mdh51ExS6EU7TsvGSYr3uCRHpLfqO/9t6SzViMOLPYa7 rqPzOsthHUWFfN4h9C6OcIIkTIVyUhasKIG8nkRAnlcr7VwMxxf9WDLOiMpxsasbt+jcA3cQ21EF 8B7nvHhmZGxzRXkvdbChneKvcRkNEtuhGrXbXKFW/BJaDzDaqlBWskKdd3Y7PXoyfMRIuFdF8hAG zOfaptF6O9BW4MJVIM1uV610vOHLjgjopUmf4Xix4Pslt+1jJPPwKrin82O75SjXUBV/B3C4k2Eu EmiQxoMMDhzqKf3p8QOIdZ7mnK71THjJonI+1ZpFXIsy+YwQJvPv7tC0NtXhPY+hChgx1TgXJ1xS IKRxjNc8M7wczmLZvBsuOKiKrd0PAYFxnH+Po6v4IwIEb1iTPTo0wb+8P80TQXY11u5OXBaBfay4 AZJbw61IzcVhBxSfZUHTe8yeQsfcQYYW8syvaDmkRrRbQtdJarN1R/ax9FQWyHYbDCew6jBMIcjE yx2bKF1jsJJ+sg/+dZRW98UPG5R35t6X2hL8nf3+xVDndXmOgnZC7qYZNO/yBb4ly5b2rRdm4D7j rzGHMuUWpGCTMNtyIzr+dt7PwobscoYejt3z0dHIxUZtBmh87kKPFIYqHI+ZkcyBr+rb1/2Lv3A8 XzB+KVslKUAvKlEg3XtoBJBOaka7RsKX5c1+gdKFXcagQZYKLqFOW/M6hXaFzPuk7FwRw1J47tQZ 4UGoRQcJRR8730YWIpivfIACz6ASrLdS882RRiFSB88zVfp1SghwTZrD0qeJD6j31q6TrL8RxQpP 7tKHWlzjVcWKqWnqrhDoaYYOshvGm8+boR4mmxYkyWclyNzKlQZdwTagCtql3fdjFvNpslzV4N+z aAiYs1SH2p68HZNE0fHGGRCYNSZ5p70Cc0imhEVfw55JPtFTmYXaoixkY+roZMzHwqmOfEB0ynij Le0AO31tHvzdA1R0DiQQVUGg23zuL5uLS0LKiH95DFXPktFfNNdLFz2+otYSyXWP14VT+Bk7CqO8 iVHlIiJP1mTgPwsVUVMypljxWpAqtT0vMgkvIAZtLGebL+RghNjMUqemHqUXtZ1WJMGRrQA9CZB8 jqowZL1MuA8rVd7NTiyOtugG0q8KPH6mPT88J3N95yno+U1q5la5LTyIvDMHsV2fXgLcsdncT9/8 hjRwKQWRh6e7rSBx0XqJ+BMuXWHB5RSUHNpWvAypxFHeSyKHzv8A8TbV0/Wa23sSEApJE4+enl6n 2UC6Ajpl/NQ61OeHY9oak9dKCvVKJURdIDz+SqnygDT2MRnJVqQXG0d1jPx/rX58hNc0A15eUU3h uPjfksXrekAd/DdvS2j4QH5SyZcv3G6ieQ+G6RLsZtr/s4CBGZSZ0DGkSGE4b0FwfwdY4aviYFlU 86BOWLNh4D25YIi45ryZ8NsbFPDFKZygb5FRBfzn+DOw6KSUSXVoDAw3mGfkYkLcmCVMxWSovTdn bAv8ifYYebswR55M0wfF5cV+WTioUCd9BsgiyAPkk8vwOh+cGS8++Ty0cKjvH0NQeODkC44KVxHP tsFI21hMYfPr6k2qsa7kV233f2GLI1wYOLYB55zIq49Ubu69ILu+wwQs7hHgqlO8xCyc72xKh/jH oHcbHhUHfYGoM5BB3h0q75CU0ZJc/tOowTML9BlOR+6PXWUEbm43AO/5pugLZzSEOZF94zb3B2Fe kHuc2/in71/TgUzwZaKmXSolJmLvWHOK7VduwtzaeHA4SH1fMYsWyIw7FcVCy4zWPm4iwhzVucrw zE31uDdY/7uLfj3IVZkmV0Cn2pRDtL08qpAHWZfKtaadJC+I34cZV6P69jsM8Kc1uzjM49lWKALb Rk5/mY9XMj7tWjq3BY0bbfLZ0uwL+EV4uY2+z8y0a8KpQsbV4ZN8oX0sa5EIaXipyQLJeKIjQlJq 0df83mRyR5AwMb5meE+eNH1DL6JX1jLd3CaS1QkvAIfYzNoPbFUNaDEBgc4ISEYYpt05joEt7vHM FsWXNX4iqh3XIbzUMPdlaT1c9P6f7OPXKCy7KFfYkN/a/mLZ7JSK4s7O+T4XKcc59bBJUR43brRq SeAVq3kEAPd2iyW1b7zwoykozOGcF0CdMKabP0FHjQXz47d7XQ3cqqV97+ckqEIMpwac93e49Wtm /rdEmylQ+bhzkQQCjpn6G4+SD1jFrsmt9TpGebwXGxTpnxM3JiW2pz/0p0J8jZ6Ka/tJrwwaN/On 17p3xpZjia4Nm2RbcElsdiJhKQuw+6WQ2Q8x+YvXI6YMNMDj7nHRIx5bfQRuZZUVZ9AWieKPuy76 M4t/81oYiKNaFaJSLVfWO/OToY37E4lywxrW1WNmKEmiDnIq1drlfgnIvd9VSjEm9sKURAL/aWTI vLcvjRTVzg5CiZekSoqEJm5pjpIFBvgYw4WGmuMruf+rTht3Ral+lQ64Xc6wmeaS0LhBKevJLlaT gaIWog1C72ED/H2re2kwFKwgXRqpI/kQ6ut2TPD/bm97iwrpXOe2BoiwMvlDCXNN80fvaRDjCNhS sLCYbvcxhQd+YcU7BRoSHezXJr6TR2pBJ56iErRtMA74fQ3uH4lMn9lw65opL9FttGmXmV+y72Ce pa/+uu7EczN2hZrcNC/GEsb6NC0B3gV9pKD/i8NOfeQCA/98rLHEld29ENt0iECdewuJECJ7gN3v euhP5jKwjm6MzEc2lcYrgxbBAUlwEjNKDJM5zt1rAnCWGryedLBKor+gC7J4BJw3UMcfzqstYYE4 0mjwmZDreSuBl9+19cDon8HJYRNfipZSDcW3AQTzd8p8axja4F+u3TnzSvk4f96hCashXJ+yty5L UKs2gf/xinqRa6U6RO96cE1H04X1++HalZY1/TUAN+cMUcI+UPqndRO418Xmfxa53tje3UqTGwaD Pfjvqv9BKkmnNoD/UBfmRQ6VM/eFakhcpdKp2kY2UEYMI/FwqrCd/iOgsdzHjurFv48hSixNnA2q d9B3A6EHKxeQMqkwyUooa5F05cEdH7KJOBC4CT0N4MTOgmALf2O/ZOfdFiWNgAmUUH9zall/I3Cw byJe8cvrbY7rMkER5QwDj1gLeEjFD4iI9+qeQ5h9r7Sumc7FdpkrM7IVMFf2PJ12HBHcMG2tWM47 r8gOYCVits4O5Ag4OQqIazb2VXD38p5XxaY4PG2EF/Sc5S6MEtBRINXZ4JilmsZmEMw80Dl2bmmG Pt2oIVhzFdaVcn4esVcqT1j9wVIYYSfdwa30YpwIJr6DjZ+vkJ6YImn7C8ui6rQ0V/kszhpuSW04 cEY2pd0ryHaw1XNg7NWWYF8IpctxQ9ya2uYFpsMUAvu27MVgMIwKCcRAiVWyqMM/uW2Zva+Z1V4E pmYo8Xxac0bNdN1YpTuz365RX2ht2kA6+UdgrVUb5894zHUYWcZmdyyM+XEuLCeZcwMWdTUp5kEs 6J0DbmucQjsnQUKocDGKfdE+EdHSSSRHsxz5YL3f/bn+7Ufjla8Mvai6/PBXe064uj8vQlIunJ5I Tuj04pgHPqp1nPiUmQ7Z8hR1nlF6PBqQqe3iE8lm3i+5uxy/oJNFJ0zoUB31y7iZ9E5Q+xzMqg3H +CoGeYUfPUFtSUX8W29kYzNaROVI1ZmV3JcOmz5XTNNCCL7iP1HKoghXcUyc1KByMSWukKOK48z6 C+2AGcM98RsebjGwc4QErWHDSpMj5Lvza5ejaFiyzNbpdb0LJx2llNbvTrFNfdL/fHArlUBNcG+P LBHJZlUHNZ9TM7MwSECuSw/IqIbwLhpxBkSUoogweBPTnzQ7Zs7mROqH1bLHNYioBEK6zO4fspWE 5hZfY5m4pv+2w7irdo+KCcgeGl7IY6jj6ZZxi1yXM1sliGDNHarbihJnY3Fz7K2tCGd/bX5CeStM d6A3wixEy/vAxh9nVtyt7rjEPf8c4Tkf54INl69sdKxZMt5XTkrAY1rHaNWZ5N8QCyXRwXPEORCS uv3VuvvfGYz7Rd55dGch4Af5ioAvGgCSCaoGDlDyhK46J3MO3mOIIY2GtyAmuKTVsLF1ZN/KuTto bLBgeLq3AjtS3yOUlOOd1xtkkPNf3UrfpgePPS0RRr40yJUSVZny0qy4+FIgjzb2HUsARxGOcfL5 HyJObmKLQpKOM31GdA4IDWGykteFwIPWw8ofOntR6HQRcRu9HN29WBDTIyvs72SoYUxuJJ2yMJfY 36oDvPUDp1RVey7cUcla/OH4ExL6lS7EMXHTgOGcSmPh0p10IPz3+wO4YyQ0P7caPCgt29v1UJbP xXdET285sVh4g+USlVlGe8CuU30K23kdFvmCfk9Ks1WP2l4zxkFku2GQZdxZ5pN0f8riqlYpUIC3 SSQs3GEWL479YCs34VqQuIp22DBKFdRB4gNJY/Ue2mK8fRb1DV0s+ydK2GPA0kWbvoM5xx90IBRC JdTr9csxZDdLwEP+3sfYNs4ge5KhoDXIQaXG54VldJaNMARINPc7Nm3r87sUI6/QrbF0T9H1EllU JseDW9Nmi6E+mys3430+1JebaA9FMR+xAdcjfFUDrpKQC1wLpy+DblQk+2RAPQNaIYSFdK5k+CmC cBNQGgQtLmgt2QmIm2DyLH8dZt+Jt/z2aK+8KVOhEEWhs3BaeoLPX8rm7gSvFKaHQBvTN+rKwnMO I+tIHVaEoIJIQl2r2t5KljqXRFhAiX7FKN878adRIBnbnIe0hY9lUk2luYfQgnndC/y6uyh7fgQy +RK0jG80g3dRvZzVrRsL+kRmQjUva7CYu1DBNTJbCucjtr6aFcgP14wHLAU0PDJfz62GgcEbuDT5 6Mtnxe8YF2AvHMy31xjMhBwcZn1lqA39sRyR4+SmKyEThvhVMxupb2eNMnpCNMBmAbNQ5wANbuHT +krKcQAsmFeu48EZv6P3DkluE2Dn1SQbPmEE3xeePkBjSBPzXteKUlsoxREtgqjlrgIIzjjTX2E5 gS7XRy1CZsKe8cdECj1mgAkvd4e/BluHzw6qZe5/eTeRNMGz64o0fUelVA7I4Q79sAfQuC0QSZOq l+Goy37iq8LmDHPF9giStOik/s7NaQ5I2NGErYc5V0A77OHdewUuiLJxHcTMrhXcD84K3JqifEL8 Pr+RaYQhcFFVbv0iHJiz2WE7l/FJ73CvbaliZQkYSCBzHErFv0X0Xkz5LcGbfdZlEMLbMc5uDHQu XIJrsaGWobY8iywJjfNfETBqorE8nQKocNlspowxzxsgrlF14EZqQJoR6jj2gd66mfxpOdl7PHaY f1OSurIFnh2dfo6ZmNMdW1y/RUlHBA2FuNTuH3mICJy40Czed9TvYhWcKEwdp1POYnnNfc9rkHoW zTwYilcd/kl4yHiZqBpdruJmgCiyaJxugwcvrh6bIjR/3jVJtoYDs3eMAVmyweiOsVAStHph2PwI 1/z/fD9yvtIGfypsft0cZnRQIfVPPxq5SSNO5QuHvqvMN/pdjUgFnDpZAuctAmS8R1rrtsqDhI4e ShUDX26li93osY8lw/b/zk5C01AVpWb0rPtML5VfxBrEy2dDWq2Ga5TOCYUjde03Bs7YK7GB3SCn E3NBpqavi28rtAcB6/ymTJAxb3J8sy9WH5st+/CtQWWH4YJYu/6Okk2CwDvtiwTdgMD8jTnxX2Ml F1MIacXSXjf85dskXBhV+QJA/8b6aCwY/vdb+iouv51r+Vn9IR4CXBhR9U0uLmIRz7X0ABK/D9RO OMPVs0JtWMgGKEqGh0mZj/UM+FHVPfkMCAlDoWyAcMXyf6e6sSrJ2S7yQatAGH7DyvEqfaMyxSEi gTqPQLNF0qV5J8Wae69VA8aPvFuIGwHoNVar21YABdy7rpuHhOiJcjtbJlwo3A11uaYCC+eafVxJ lukeDYQgkw9JTRpPlu6ZiT7M2RO5vK3u8BHvKsAG48tY979fqUZSJsnL9vislPHpunL7vLEfBmRZ qe9XLxLD+mFfoKkEDjCOu5Wmjp4QXdihoe1GKK8F7YADItek9ow5skHq2hvXKvXqEaFsdt4bNSJz QxTCGfnXFIEt+QJXBxElFgeYK1rpcaldcVbJwTadfABWJ/73nYficdwlkQSWvWDAOXTiyN94RFxR 5MJPbogPufp/XM3TZEFSuqKDz9D7yZQbTldyql/AA4+EAMp5q0/nVC4y8vRaQYXi0EY5f//pwCLZ BVJjO2UzI+XNJh9KD66eOP9iVX27GTYaay2hPwAVhUcBqAVRZzs+eYKvxChOS+DCJK1ZvnmSX9TR hHILYCWOzclcGjA+zmkcXxMtgObV5Bc6k7DciruqZVm7l8JntNZYxgnViXoIJ3Gr4YW6aA8tTNxl poA92uEn/zKWB1lok2gLbDujQQHFfWPdZV8IyhUMHJAjGRun79Gyb+Qq17sZ/PeP3Jn/5bEX9EVN f1QIkOP7tik1l125dgFzbidXz6AAVDR/YZ3SQRM7sSC/wKIX6kZ/X4xNITpaLgaQ87hA2TkkDsJq KiBpLGclPamkU9i50zTE12G6BaOdCOIlmi4tlkPhiHdV0SPQOkHcJgqnsVEL3b2R7Llf520EtjO6 AQcpWmx/tZfG3aPbmD68vqV/XxVPEki0/kqwAzedaNLtqmRxzsJ1bq5K4q1qY57PMLSxJGxRDAWz U9VJBewc9piUAZhF29Roa23EzSeR8OvD+kzwPgJf2BbHvQHxM1p9hG7vy/Ra5rDrM8GllkljNikR wYB2Nkp4wfm1ktESJEjDYZlnq+BwjJFG+5UI1pgKDJbMWpqUwSfvbzA6e3t4ivPAnJnI55v/K2nE xoSxnlzhDg7WuyEr+59Ucc7RhGoL4CilXfUTTwBDiWN2I8DnKRWZGKPL5BO5E4hPpbZuRhUQx9iV 7CCaEKPxLFTk1HwUbqQGu8O95815vV37ZbVR7OqF/+1iNnAW8CJZAJeTiXLRV7jWLaWRpO/RLkFk IY75vImVSjBjJuKAuFwEdjD6noxostZChmDcoUghDp1RG6zc88wrlGHnpPIagTXCPIPWjDZTlcFj tokM/8ln7OvQ0ljY1cQQOix0atUi3JIeiY/uWqZ90XBPf3/Mj6RGo6NI9ckZPlki99cx1RoKXx71 jugkCKgZLTGSdyMi8NlN+2Mq+wrBRXr2u0s9ZeZY8vkZHtwhMXx8EnA9QqIYQ5V+DRUziM8uhT43 dwbEnxK6/Dz+WYqaqG+D5mWRvZUC/HFqLatvYBOkvmUEy+esjEqIOVX7L+kWyRjwX5VuuObHOnvO kPusc9w5jMpZHWi189b5c5kBHoB8iB0/zL5Bfj54EuKdGfMTl/jv69nK++CFog9UMMVh/ZIotc+P Qiejp5q0IrDA13YIPrfBkI7naqkBa9p7GIh80kJtZ+F4hNzxgKe0DWBrGZbkbekMHF/WCdwgNZvM E2sGW2ISsEWe8BseFeLo4CX1+YDdzfttQkY8g57/tt2UPu3Cf+CGoLWSkaRG0FQztblFODNFqeML wjS0dPMTplWN12gsLGuHsw3PHJqk29Z02S2NY9BIXDuoUBLjiZ1oaWZ+OQlMnXGEF7rac2pGvlml z5Bbr81plpsfldYHPEc+6DqJ8no9muLcYo/01QCUto0a9uyMVMsZsmSSC4EDGbOtjnTrKVK9Ef16 NqKPONOJhhnrVRK/fORwbhSKFTQAPnQOpOnydBkdKR7/bzQhTaTshHlW6PuBDlV3yc7TOmjQe5xZ HvijnV005vRih8oMNfS8xGvuY8GxrnzwkhuoMldKfBwrwPNaInFkFUyixxUiQV5hfahWzzDQNZu+ nITwQfuyXvlxaf5VfVKZKijpyqNr8cZFtBzF0gOguhgdhHmC7tAI/EzPq50sxjclQRzngkWHRDR/ g5T2VqZRvncUU9JSvGbfRAiUlJXDDMKfjG0AnI0a1eB7Svjw9xT5TzuSoC8OCPGcw5Ga6M7eXMSC pI+kkKCiPdr+AIDhNYi8H8L0I394mKAv5CZ3jqH+5ATE7K6T5uTNzVQiQCLgAf6uBOZbuTfmXeo0 e/+6xFDKMul5mCEHQhqPeWa6LXrFk7KZnf9ETK8YEhRfUnoew+irQE87zgOi1N7soy5NiPICUtSn lEip4gWd8zhjp/PFrZps1FkNoNZz+7bMIMckyA9ZdOoNSH+ZDVcMw1EdRTd3fuw7kSOUBlXyKwkT EOo7kRdVxMdR6RI7lTLL0bA0/JazFs82kG1z0gExbFAlw21o2n53s0fPtwuHwV/1/ASovOUrR3tR gkH//orgEtD60Oz7+e7Flgtjd+jjxWkogP9uGU9OZ2QsDIO4TprrPxsWjBOIHV1StQa2l12Ho1zv Jo/BUB2YXOCKJmOAychiLF8gU0VGv6Sa7kleU0SxbXfYASraYuvrkdpSv4qiSXw1GsDzdID+Vav2 vUcN4z7uTVBJd3OGnOmEfYJxGRcosM/UIMuSqNlJTUMir34LAmjw5U+lROZ3mMndvb+495nK4Cob BUo/+509e5KIXmfq0Iot5j0I1MJdXVzWyWsgKeTJ6NsWRJrJRVtb7+hAdMqAElc6M1q/2j9dWr0z fbHn8W90FbfHB36kvl83HFMDPiOsRLHQhKVkcHkJOUYyiWXzRAYhk0mdg7jYvYdT5wYMvk7LTwrI wJbx+fO9Vk8Ph3SdGg9454Z06Mr4YxG/QwS1wTGeF9kLb5ln6kVLtdl4YmJDCcBpex5/OfJyQ7rh fMwak2bcf9OlNvCyzcztYjyLbYPCiZjK3SQ0o5GnYz6EzYVeWyINnxKbYd51Vus5dQypeQwoDUhU lf6qe+Hy0MEWH32r8eeR9QkiTTNfIFOTRo3xAajM4mjpPmL0zaPdAsW+L3RbhN5TWtYz8utPn2iN iRwkJNlqUdGPiYOogsxE6CVOLdii4FBjXSJtSwBdTmel6RDFmrlGJqjhmFPUfo8lyYiXTBHl3Kfc mzYiZgNG6An6eVBQPHVjpHzkEcyKR7S9nzMFjDUKCn/kVV3pHmO0ZuWN/++NrPD6hbVUztgFuRX3 Q8KSbOanix5AA74o/tsMyH7qVH6r7mjmpbaoEMnhIqblpxalzBY2bJO4yySvLhcpgPB5EsONgYPw WEyDo/JZEQhZpoiudThfx3nvQovTpT0Vs3mY+CZK7/cY1B6J/2dlVX2ophhikYlHTDVJ1uhR7OEX pclxKIpkWgie7jEXY7/8bvnub6OAL0/efCrffRi1hspHCCkE6Zrf5CCL+QeRiyXVOEncAMewvjdj aLVJVulOVgJF5Lt7EFMpmMPOyxYSH2wtxRfXFUZOwwLwk99Gop5z+wNCRY2qOKdmWKW7gy4zCOmh 0IvdOo4YtHVQWsGpBjTLxshd1I3pl7RqdNG4Liuw3VbSXX96n7Ykf0Txb7UI7pivA2Bm7Klg4k70 BEkV0g/BTE66rPNcb5TlH0x/wgeZjmxTbK7IcY0sAN3W+ujWdpten5z7Nt4UqZeCOem1jkNMocQz EX3FG7TO5h/We2h/fETtnQ1oLBoT7QAb2q3cT22v/Tp9rOXavHL1VkaDgBIK5LdBu/3uGrXiiUpF jlTQFSiEm2zmABMX1gd24WpVhpZTSpnj+ORtWdZhjP/6pPFTgxFVzI2S608eINprVXXRn7b/05ox BQ5P3pjPnvQzkEC5Ck5YggARriep9SfcDL9sK3O+/QFIAvj75B3r2JHWHAftHMustlOg0E+dDxHu SN0h+UJ3O9Kby7hRWtCso0uzR98aEJJlhX97oX4GKTYfH33Y3bXkSVcUemGhCwwsf92k6nSqXt2c Z2C/R9nPllOs8TH7toJJ9fkCKsuz4ywHUEyK28EQ84cXMMLp8WbYHbinaRt3ev9MQ7wOb7E1NmQK MEbD4CpynAyDEEutMc0iYFONc7XQiaQC2A03hUFYA+8p2/yQC+1z458vJScZQadEolUejklNS0Lg 021IIHm1rxClc9W8q6jfcQ2pT2z5QVmV0iFRg5hh/Us/7rBuAiReuH5NYz668yRQIm/mqSqGsoQr c7f/aamwK2j3I728/8IoTMKPkwtfocMwyJjNa2LiThD0b8mEwAIRakNIqGKs07aGZMgnDD6il9az CZQ+by3dghZaOrZwvj6KqoPV0aQYGHWOPA5aO0FqkG5vO/5cKCZNyAoPvwcfYUfiaAuU3UFPk/eC Q+A3p3S1ASLupB+t8sip2DVhprIxzKrFp6RQVpJRiMPlGJZ7QgqoOru0E3c1QTH/8RreMekCVoK/ FM+B9V9ponkcfVSqAMGyjhyh1v+gKu6+GtLblBQes5Y+Ekuutmu31NLeQZNAISIjb8ooBwSXS1hW PrNMIbkJn+lv4NWvFvjrNV2YwTFdQrf2QGQXi5SvW1ier5QteJwZNZ5LTFE7rbqVzgOSdJBznJxe OW2d/pVaZiZEpfFxhYUj9tTJSm3ApsiPxYvQN5rLrdIPzLW5PVsjcK1u68kopWfW6fRomL409kPY QcVLz2UzgyaEEdO5SjpvDtEOrcMNDI0oZq13lMOfTxSpXAXuOgiDUKhBYj027ijRyCapGgvPVABG LK8Eh7tmi9oIkgfIhgGpY1GrswRz/nTPxzjDuNrXaMZjfcw85elGiXs8yz1oJJaceH+MZcDk6tUt sQzfQFmyoWSjVcp906kpJmg8dNEzDRONTQ9P59wAsm+q/iEdYyyS9NakhGBqpyLc857I0coJvSft vQThElcz7AgdwbeR7hvp4AkrV7Vf9TEMRsf5+o8zruXR3ctFvq50yFocPgP3jNYyvAr1cqUqDvG7 QYBH+c9RS1wWtiXU1axY7/BK5UQhtn9QHPyQJDxiHY9Xg23UHiJi21vsVPsx3b8LB9qwLgxXoEog +y6oK0B4w6K6pONX3TYu11a/dMyYP+ofII5I1wF7FCbD2MTKKnbS0jPk/XiZCc2u3wYQI6W6Uk6O EZq4tcXYzLmrSYvVNPdHxuOijhOi727Oaz5Y1vgPVLe8aNzf4o3feJft4JsGnZb6O+EJHjlBI1dx tHpCNKqAHzcQLivghMk/T6H6Wd9DD14hYeX3hudujf3n65coWMM6ZJ+Fh7PRW/XiMULCGdZLzOiJ 6skSRjYYmAIMO9LqkorPXwI3iJDFBmDy9eT/EieYTfR5gskcV/vA1sEzTMwiBMYVxu2LuzReV58A oxEMtPykb5KAQntaUPrglMbgOZpr7Tlwi/xl6MNs14Wd9K9uHMz2DzBHLjqkUmaOyxG+4gpJRGKY mWQ2e+EEUoaZhmkYtmtOeNjrVPt0grFytRbbqLaPXIHird7whJF+T2ZgZ9lFaeOKdWdDs6h0F+nZ Sb+EkjdjPvsOEAeqvKof5jWH/M4c9tFOTP0m1LYqvA6JSY7m3ymqbEdHtnDJnEXZm6IZ4Q6U3EpY Ucy9geGEovNwjRJ2MLl3j8UGKVRgAwz8BilcbuNENJii8ndUAlS5kodlmAUZbrsBtkKxVXwI1QDJ VpSjtXjvNwZzKS9Mp3emDqICOfYVmP3NVVygeTH9qhNaUpf+ODnf3wFsY/l3a+BuFw+BBbuVsqlb O6j80tWEnhpkQ/ORfBdjlUN5dlFtvVtzO4G/Niy2OQFqMcEA3c7SAPMPQUZwqWdP0eqw9NKX30+5 hPl0zS8VsdabWVNlQUlNI/9Jo7ooH4FVChmip3eyAQRwC2kAmdSC3nqToYo8iF0f/VTU3Hqm1EWL 2dh01NgjeliILplNwn2XSSv8qxPR5yopgHI5ElUiUgsHi5Xv+I+CZDhFKH1x17fRQqUEi0mewuop Y8GTEXERv8rbz4u5fTqqYwHcEblOe/m1rl5pJVDnr74wfDg6hcqYlV4NwCdCJ0rNjJ6feF33ONg5 4LN3k2Er6voGamrlL5EM13qSxbiuMiGi+QxgodflG+2sQxENuHTXFxn0/zrhH7Z2KOvTZDU12RFn j68JMqPpaM1yh8j7e4tqupgJtoknZ5wAU1Jg1mHlcOW9tpJ0R0xrvwDjk4DnKMdp7zC+XdoifYNf R4w2sjq7Jb533t/io4pokk2UtBaBBbL2fWx0saVbYVDe3UlIcFhf3r1V7s/agbzqs7kxllOwmDTq ZPMVmJUhdXEJQqDF6fDGOOmZX46sRh7LYFjW6sDK0XwHYysRE3kp9EsuFFfeKLIonLdo3ECOOhKf dQHsbOVsTbQRneQ+cJr13wLH3zdZOGNwJUWwMRmG4OerizE3U5c47XdPFPIZTLl0PuRuSBoljGkE gqL25op1EdK7X0yskJAXwrExQ0z+uM9qdSpqixyJ6XQwt0A3OfzFt5s4yoUnJS09A5Ve6xFPtLC0 /JzTyQhSHTCA+LJoaKF/TFXgnxrzPP6QJWzb+3VToWQinOYfghvvAueQNGzbTwH8YekZaKYCdSW5 gkHF8dYSyCvigJf0MqTlw5qD+0Tlq3KtTE6PRIkLrRt1UaVjiAsBjtv4KrlUOg7mtjEHpLVM9SsK 1dgatlsiqeFFVBMpJ2lSqedf0a2sUm6xHJk074t5qSfUkSZY2r+CuEfiT089hZbggqWqrpxTgxfX AVh5/Smk7jMJbxC93osm3E5n5rqj3wbsvPeqFGnB8iKJhBXh8RIHGnik+TLLXRYVfPNLtwoyFw3+ nHYXOaS1CrIOg4fYl/RDrUhdMfQg4fbuORBVRjM9tgw7qU+itfxBpoaaUlsEDYzOvzChHAibN4Ii RPnki2ZJaOdgxu2RAKHqjZgP3qMHVAQi09XqayZmafssSwisb3rxXSnthWk0pnT4MFG02/D57J9G YdMUJeAeMKcYK2neZPiDFxPszjYWypo0CgyfA5vV4Mc/yqOaneZt9F5YvST4I1+4Jr1ppOLrs9z2 OHZd/1B4C+LUHX7C4bfN8Dr7LsD3pREzcDGxdgr0QG5Xzog+YjjwBiwM4KPpIhI2UUvWedQ5El/H yG/Z4662+lOSnOrkGmMkiSFtUMG6w44GadLXPigKhuH3zq3OvSG6s38+8DEAJfDyzWKnh9L6aiJD hvMah1c3UNkjJPq2FkoO/Ok/XUpDwadVYAswDAkXBk7h7u3ANa/Rp8wQIo9F8+ydXyKIC3N6tDM9 0tIYzs58NSxLDzaVW0K0UkPq6HvT+01KkojhmdaySZdLIbe/z0nT6rmL9KZPU1of/x52KaDIlC7g RIUkdQ4dRFhe1855Ap+vGHxs2LxSu4OUwsEMEwzc1sEq29+cpolecGfrvck0frVlZ4c7ltPTRaVw utuIkJYfahMNURVTwUziJj0toz+uCpxSxyYzsgtQbgB0xIG7MwRyQV51+JCsH345WYrTv0FCo32D Fu5oiO5J4jv+v1YeqMwX6Gwro7LFPdJ5FkbMe6qsDP1cFlLtSeJXbHu8GL8d6hBcqq2kmMJBritY Pi06Qx4wx2UM6yaN6SFUozwnGiLNBKwBV0dTgc/aS1WYo+EPZbDMRbYPCYDochvlSy+mOA27W3cB MHfEO01BrYawSKU+N5GmcWX7zZSfG5yD/x19/WmjaPzchKwt7lSBxz2SKgtFX62VBPyauLFhYzj2 R9F8tb8OC8ku56bYQpOBZcgAD3ZS3FFJDwp7CmBF4YPddDTt76p9yOzX4deb68DhEDqEb8riVrQv zUQFZa4jYXqbfLvvuUyXiqvEC4ShjaTlYXTQPPznNDqpj/4eO65nKGIdSDQNEZTYk5cUO6zjwj52 bsVqTScIjz6vWSb2pVwhXhuowye0k4rhcrHJh3mbLZa7QCMNWEOkSR2ZEiBTtMkfywnrSnpe3TUI uujoqGPH9HXzL3udcPtfP0+4d399TKh5DtycmIMzGE2w5wZvqgtpzLbng6RXEYytJfU8mLyhI9lE VAFV4efJ4IBLbZXHslaIML9aTB5Lh/KuYFgPmhi+1p+PtmdHHsnqJFRhvjhLxSUMw8+IGoKu7/2K XJNRSVm4C+b8UAzKKXvco2Kujr4MPDFo/Mu9puhKC3KMaYAtIIYkwT/54wHz3pSCMRFAh2ZZCsie 5PsqjKjrzWPWKCy5qI1QQo9I4rpvFc3qODpSUjAel2XuhvZcdjwaxsFia+oe99pPua5ETfLlMW0h 2rP9Bp7w1fF8lKKqhu0r0a5ThiYD+9qcvXca8Z/Khk8lYC9vg4F6alGMWWRf17s037eIFjLFPXrp 2IkCZ4fN9bF4DFOtvWnrkChjgLW6DUPlnA9aqQknXZbvQ0Rfqdcq47RuiZMjcWi2wY0qkTALhH+3 8ok3SCodYsWApGrm56OnlhLy++HhifpcWzEufzSckJeoIPpWr4INBoRFe3t5uU899iZg6phbGn1c BINZDLAZJZB2cPDbEHLrFVNDlPJC9XOg0iyoHusM6ZaTyHbb0ramn5u+JVatVPXT5D2w1JCmLJ8w gZm0IevZ65nRco45wlWtIz7X9V++IcSPyKndF8n+Aqx4q/NDn0rJ9UgZL8m3VfCxGICW+9sK0Waj ratALpDbawDB1HQ8Z73QRf3w61D6bZYueqAKOzrsQff9liGYiImeQyj+mmTWsfDkP0wbw21yH60o i5dKpuIdayvbizSpgfyljRpXcM4aMXevYq4ABih0ZWCcLsRZQYBf23Tp/YzKcOToPg2JMLzg+vlW o92FVZ5a7LGxAAcmdCB9RHFYlrxYfrXrLBV+jG+i1+qWTBBMdJh9QJnrN2Rbsr5+xdqxs1R/6wg/ bB8+SmTylt6Apd2jVHSzQat7nuCSCGRNVWAHjbwkwu3wUCyDFfcbIQMdrl68/XbD7pHgBJg0393o sGYhQDxMBbd9nBqyWhoxSoxdTnikld4U1K3THO8v0iYWkGIsQlfcsDHf7jD1et70LVtr4zXzeLy9 xKCpodPMEpiiuzdptyzBXtbCB54G5Im/qHtkxwh32uodkE5Agbk2RUOmIpv64rPULNXh8WZP/kp0 VjdKaPnCibjLs0/g4MwD+jUFoSMTDx06BNKO/Lrfb93VRNp87TvGhESb1PwKFHNXlC99xZB1Bo6I sJ77E6P/Mb/BYwZdKx3J1ihA4bGub8PDpMEsEpe15ie+SdcMt5ym0WGml8VaYWjuvosD/Nu1zEa7 XTYjYJdU3zocK6Dg75dcZ8tYehg5xLhUT2vTXQXY3cTTcICN8qEs5j+qFsCfzOGWPj0gquLxzju9 +g8JVw59KVFATg40zCrhyUr2LnKRpoVRTyLbBVOK3+3l8yZQLOfRDHXyV/sxiolANWvaHXJvAR+B W+iQc8X3s/hwrQ5VmBLxCJ/DNhBnQRkxB90ISxsWhyQhq3+c6RF0BsTJ4JjI6B3yORaOd9a5qNz0 NoTIgDoU/ya6aerZSMRS9EudHxiWCUIn8NzE8ObarhBc1c0u1WqdtyW9eyWQJWKagH13ODLJtlcF rt+ZXqqLXui5micIZPk7iu7GddbPxy1ZPTQQ0PTJS/djXVlvRFQ6rEOKkSYXhUPlT8SREaayPOM7 DShBH+hAfHUuCg1Svb3KVZUzgLzcIJS77OffrIgWsHAfJ2MlBfAPc5Ff3VrlI0+RapDNM/mCDja2 qt4fpdaa6yXi0wGmoR4TcRVRFTiCRZneLxhr5yesEtV6BQFObwFUW9VB29VxkT0ZfPkvXs71NCYQ ZfBqFWwU26KevEAEoDiS4KSZBWjmzd78QLby4ZmZtH0EgXyaJDAutS6dlI2XZlqx8KPNnG+hug37 SlfRaSs1jn7nTVuEhSwTFuSbapB3CJg6zNyrtXaSlju13DHTLztzh0kWqJ2enkUnq5+ct5Lf0Bk+ XYwFY/Jvfra6sIT3WQNuKOyYPFZARwb7bKrJ+UP1937Kn9LS+I6mwX9k+QiwS482aNop6QAMgA5i UypkJS7obEZMrrelhymuGVFZs0NSFJ74NhyPCAAXboHpIbILRgPAmnWFyiXp26mfri4iKlc0y5Sk 9nlEenAHcCyJ4WVOZatomR402ZM7hZmQ8IZxS+wNH9YHU7U3Q3jJgVPf90qw3FEXPSxlCPF6nysO kJqwMThU/72SD6Uqr3WaCGZ5lg1pg1+5zKTSlKvuaBuXHBzBU6FMPbZ0Amjx/zS1uu1zYjnr1qKv XF5xlKET0oMmIrH9c50w0TrAkANgmyOpKRjekjHGykA6MPMA92OZnRXcWT1D0tfnWnPeMsNP3srK zlKWasj8QFE/GhbShrr9QsBcCOXAc7hHYgoIpavK8jqUaYkEqXd5cNZl2pp5Ff8hS+bPtAIvTfi5 DeuJzX1C9j5wj0usGq4V33WOjV1TB+OwdGmQ2XfQ4ArJiyY/f/YaS7BM8hIWZeEVpnF53RaJBsPv RCumD24fni5fPpseyAUhOBzEoxybXWTtge74PJhBJ+m+cKc708RtxZtUF1FriKz37cW+XVb/dDe3 cI3SQHIGby0HrUytZWHsjWmgUdj5XN0cWODwuxfamPyF6/Ju6kdATpHzp8IJ7JC5HW7AVI8dT9Wu pMNuzhyYw/Stt33RjXv7DXDmYdgHL5g+KlD2tu4fqegnHpSjG+uevVu/i+ZfHGtVk16sKjtQQryc Wv826N8o3LCbikUj+hUNGGcyK/boZ8DsV7tdMkE8ct0RYoe2NBVEWmYbwhPcsRaiGFElS9QAkqjH PFIsjBuevbnfunq7EdafKhBVts+SY0rE0w7QOPCkUbZLyfisXH6MVFSuyS/hGvU8MJ8lo29kMHph ev6b0f8GLniBstnXDMkoctzpoINTwaG0HSwgrwL+x5EtyNxhY/ynMPEbfd1qLWGGZLOK/9X+xApv RBOJmhkAfWIsv3nISeSMFD8K+avW7DZkFFhIrWEVVU5XO5Z2M2F1HxpDp/dft22D0VlW0TJdThZn e48h3n+KkXPKq9uTPdU8MZu9sDMawpkbr9+tLT+h+QeyH2G9wsg2HqhIViGMIudd3AtHHn8HNAW6 dW1qdqYlYnLTMavQtY4a2J7+4vKQYa9Ib3NsqCvokNdrOhM/PoMHIJuOVokcCmfwERSDsHGcy2ee p5cl9EulrPd6tK5DfkfPcgg39dkUcuamNndGAsRzERu4EIlgzgKdpq/DodvxJ2wZ65WloxAJ1LM9 UkeCZFf4ThZsH0Ni4/jTJ0+tAYLaaZiFPHmNKyfraIRFiEdR8H1WWWUOlOlDJSEcJ0+pveDNmjqD LTOPT3T3u7J+/DkYtCNVy0lgYMi76mhuh8x0GNyfzqLu7FxRI78g/0urYXYq3pDCaT5LFSZx1TKJ Iwasa8BywfHeaW3uKt2E22HbO/FvXgOef1Rez7xdpzp/CyiCBMTNxHVspVf0AHpyMln1wl/wOAtp gNTeX+umkKksST4pfyRQdnF8YGgrogMc0kySoWiN2yuTSLsYbyCY+oX6nQ7ES8Yg2z1efqPPytOH J8aI4z+jSsEYkX21rWI+Lp8HxHvsP4lKLAEoYN7aQADNxLv5yBcmOCu/OSeeoWrxUGfnYzeMafcC EoVBYbvnzkIjdvGN/nlYBn68DPe1IobtUZRbr8ueE6Ok5UDT6HOwT6qFWR6hW/T3CDckQ0aHc1K/ dc6KiQQutIog0XLXcdMzNXIsKUyucCsx/LbO9W6CNaB8YbvTIOh7rRkWgLRslcmmy9Hd5hXRbmDX UcF2/GJvVOraH214+dy1cmKyPoCo4H6e4vZ0tPskfw6fCAmEswqmUN7Tl6ds8ya0vGgmd1PzafsY DbM7EiAvFUNRRZ8SV3v5HZYh4o5imjEJ1PwFxmkn5xo2m+hy6HiqqhnGAJs4yWtljnTFazjlqhgq wOG0ulpjVtBiFI8/ef/jXrNvFH+rTPdu0tV55fHqRDeDrjVnYmNZ26vCBYvsY4tCHkMk0+PqcJnG ohUjf5m9NjwoY7nrVTASV/1v+bUoUXXm384Y8bLtw4i/4ZvzHouqey18nRY7ohHwngL2hDZje2bX yNV5KILvW5pmGBB772D7e//poF+z6QTNP/8I4a/2hQ5cVmQ7fyxqM6eaBi9oyoAHMW7/xQJ3Zo+u sSz6j/kZBrMlv30bjLxZqy2KRn53sj2j2fQFuIL9PGIBE0Ze9H4aO4QQHqexadleWlgNktO0DlDt z2POMXaqyZPFXM4Sfk/PqXaUKdW2E/l+0G8TNkeH8ag4uYbKqaJcscILpTLCICXfGsU2JcRIJL2W JkxgXR8n2v0KFG+eWxmrwU+VeCVDhywGIiPvw18OHDGOo0ITp1k/tlENZrOCrMFK05AXIkCgcxJd CDo8thcp6mk7ciDZNUxvz3QlGDDDgJeZjD3Sv9QNq/QTCRnMr+A8YdcB1NNSzRhDBTl0sGExr8Fv 488ptaCRq7nKzXgW5StbLHmIQLQXAZSXWqUa3QSy1GhRZ3NrwBBzdvCMsk2PyNhy+DraVRD2Yzum KAlzewo9C5+u4RXmu/3CuEbpriCp9SeQ8AJmvmj90va9l+p/LFrCK00yhhfX0CdcGZYkyPolUDKc HqwhP/dP7I+Us8bXgsNpMZRn842ciHjd71YexUKcBhGJeMAmOOk/iWVA6ehCGSzUoFdhUW/Atg4z 8dhAreUglvD30wSqOQCevlDQMpmTNhVXTVTu/FwpdU98cTtbYq/RvSkgfzLw9gjfKriVr1/vITK2 6O7Do7f5M5Xg4vtkQnxckcBYBxzMETSbi4J+14pQFE4CF1Vqx1Q+pJ+RsP+go+6TQ68KGxiEiRT5 kZIOB19hdmKfoC6wQT4czzdD/EUA5k10ayKnXjKDV6wDau2Voqyk/I1UweHuwmAq3l0N+lCGs1e7 /KM/QylMRU3LZhMogPd7k7PBlFARR4ubtJziTW7LuZBVXlTingpe34Ivw+AfP/53jLB8qecxRAMX wEl9Pn0431mivGSzOm66kF8Ccs6U+V9ZxvQuN10bu78LCYEZI1sI6RB4mSMp12wPhrORG7y71AdL S/IBIVsIgO1JuXab3rJOmVdwxB9csyKFUtTtLevQLWaIscTNKl6NK5XcD3tRQIU9ZLKJ/VhWPrdZ +9mlvIMpPMStfJ6Bs9Epo7lNAbCDz7w1D6qKXXnTJ7KJxBvCGY6B21Ib7wufgPu3VsHtnv9jjSNd /kU4M60StseHb/TcWOBv4x5OHEn8n0M042ycZ6oPWPrxc4I4EzPr6LQ+yZrUv9TUz3qKIHB2pduo Cxl8kBRcxUlVWFLoyJWWs6du55Fw8C+KxYvKaAAQq9cdSPT2E1MyF6DCOT88Gx2UlDUVddUReyWZ V/9RL2mnmWNpYr4qEl+/6QIVM9o+De85yR4JfXG4OCo4xfW4YkKiOvGEbRJPyDxlk1A8BFVwtZdq 2dVcncy1GnTshWXlIuSfzDH6TDR4PVh+nDokafwTLvAm6JbGuGgjdqehKKDCpjy2DSXmoL1vkdqQ 3dEdPWFkMENNtlZOaquswi2i0y16hbumZraqrHTfkYYzQ8Vi9wyVvV0tL+8/DHsHUdBAqMD/b+wv ovgKNeS2HT/CUml8Ejw98VPEpfAIUQtoymvZMbbLbKnk/IGe+VVIu5uOSQFCFp/FEKz+q0tg3UFD NWWlixhKrX7UR3OEbXlWuKK29BPSDUkORM9xECSXxfQmejm9k9xUD90rOMKNFGn0cvntZYiJ/6MV PIh5HA3UjlP848HytjTuBfdicLgyIIAwCBsRV3rahzH9duuPSQXlaFxidfJLbzmFUaxdZJOJorhl SEzwCy1Hm2ayPRwf6TxfxDzk7Q27QMkOXjaIgx5/d2dJ6WP1G8EENLfdT2nxI2jilHL7K14zPFtB 9cNKAO4mlIOnPaT8oRFfprGDBiI3UyH9gw8SFL+IL8icbLWaCpCCZlI8V5KQKY/FvJ0u9I5tpRDE wLZNLRuhTZ26O1P+yGjWNqGGPeUmJ0YlUFPA45jSauhQvCIl4cNpbcznEFOGqwvQsuaYG5S0SSsJ aM0sn/BreoY6fWsllp6r4NFKGrtUP9rYjR3kPlNaC7PWSBx+WHx7UpmNFF+TI2z9rGHmc0gjciHK NrM5g4HRX/msTU5EzPbU4E5JNQnzABLgOJhJoSNMpBNYWTcj/We9H3FijOa1FfhEe+OcVsDpnb5E oQYNEo3F5NTUPofdE2jhx/sfDVDegTBhDkle/1n84s/B6e8/abtWPpmYiTyWaycezCNYT4NAsLWO oTQodAGl8t1ThxDSPvXcDPXWJbTl9Yad6gEaRk6GCxpbxcuU77tZMgI7qV/uk8FvrSl7406x1EtO Jy0soToBIHA2TmcChxWwnXoLKw4Tp2/Hyppc1N5Lp91OdAOPaINOZlWLP7dOFonH3U4Yf9JN/T0U /4SWPTqP9/pWJyJtixqmon2V/Wu4ZfZILBGuY+u9kQcjMRikFoHhtp+Z9zhiZ5Ocq9LTvK5lN7W+ w9MKHVg/MZjoFqsl4/lfuWMgH2TpcOG/suuL9psG8egvdkYzQvIgH70H1vS+FY9VR0rtHW74E+av ESv9YVS5/0G36D9wlePF4iZj01LPJRRMc/Q14Iggw6+k8xU6X3pHnhBPMKcn2ksAnkSoW4LzmW4s +ZT+4/UqkJ5YM9tuOC+Y6DqaDfcKNxCV36GL6wlVMQEqlpx4NrK/aiO5CfxpwzbVjJC/sCnhoEx/ 70LdweaDe3xkQzZTRsmGsFIOheFunCmV2T4agzUXGycZR9m7oNmlWfridXDg6w+U7n9A/mYwZBM5 /S5pbOhKIUW6HL5jFtCBdG7pIYj+Fg4un4AIotR5RE9suB9c9Ai4W5tWduwNYriG2bO1VmDh7phH kVFeympP2LKmu1MrjwORUaVOulY20sAbk/mOvTBa4J8N37JcMICZIHoMgF32vVz+sV522Vzn288q EkIOXJFrNO9n1vu0Z/4CUNS4TrwiuPbukFaWlcYgoeOk56eVuzhU6R8TZQGLKb3pFedXHtDCA80E ZIWz3l6MGm4ViJOl9SPNP2x4mVCVLSxR49Y8184/5Ue9QWDY1Gu6q+4hN/OqX8KGpIdawoXCEZae T7xkMLaFI6K64qhbEKyR0vcmGczYu/oxinRSGqOSjsBgaA27qyxuuWyW1spkmczN+Uf+e6g/Sc1D x5yej1UquXeXf4NMIYRhsmQnM3bNTMoGbW2qgbEEZELQqmf8+Ix0arX1PB9/TFkdldk8Fum4uSm/ NnlFMso3771XZf+hrjrcT9QNpBskLFAexiRik1J9U9cjBpqU9p0wpfEg/0RzMwP6JYmLZo0WBuMW hmyJvtdOXePQks/Y2WJ2MkdjEl/OVr2L+d8nFNrKsQE4RurxFc2aq1Ju1UmOV1QOo264q6VFYuHn aKQ5OIpnRgMYquGDH3O4ozsWyq7atNlysLXdm6kpIc+H65AncPzroHb9e/CO0DFNRdJEIaHxUJUC pHc+n3MD8dy946ETxa+5ydOl8iY9wjt9XZgVsVuodiAy03a4pvSEXivvr5jYPqO9CNRCi1Dw2d6l iPwa8aXZEu3yPYpR3AZDb3m6RLPdaDX7pRiYF4Dc/xV0SMhLb1bT9wlF8/EAjqMgtSeAuQw7oFVd mKy8DzWYKW3UW2WHrladuzeOnf3DTvC1lt208JTQSS8SeHRoQDvhYGGUG7KpaFWl0Rk6AuuJHl7I wr0KsfulVg6lBa+XamIqHbbHmdzWORqJJE8tDXFXpF20Z3K5MJsxeiWjaRi/e5c1M1JhsyMmfdp0 aRlfCwz1P9EszQIpqV5Gicdt6vxSENbNBE8td/WklypoLLKa5FXhrRIxIvZaEwOYBlJ0FpJauROl FK6ZLI4xoK8oQSwXvHPi6Tb39yzF+l2B7fhYONAOvqynmvZqH48N93mvAmbpqCYry3eaC3kH+HIP +3y1IYH2mwivGtSX+JRW7zHgI1qsQkv+gXSYtnBsJeKY40hLwDMbhd8fwDuBybIhl6wqHal9QkBH sZ+bebeiJv0sSyfqddSn34uKShIi0mNrvGwpRMEDxHbvmcS9s9VRKNY7DbyAor1ldyvThP8o+b/a kiwYKYJfj21mLgji0KqCdSqXkHz/DqekvEyhFmGbSoSmheKLCG4rUAqA5LL4W0FU8zK9cxpGcPuI hOgxM+w+puYSfRBfNlhuaSPiyFdTghJUtIw8IsBTBwapgEvDrDYRGBDkSIxBOlotUnnosMCbAfd1 PhTAi/SbmKIQQ/Gs/ViT0G4kHunMG8qCeifHr27VPOUgleM2FIyTbmCCpKb6hbiAbow0FQo7/K6/ 71ieJDAP92/QdOQQoxhTOYaroxNHHIeM888DWoYOM0Ipdm5ipp3YgT8eLzGkh+q7IVxrwZo2v0XT Mq4HzoBV+vaj8rrjZvPm4596kjGkJ1LoWX63DRVdHhrh3oIXV216XxmXvppsD4PgdsNpV/n7Awqv yzVTRsh3BcjQH4hhp+uarguRZvxWh4BXmmjw4DTEGMVdxqI5iIjR3qLBH58nP2gvXy8L/qtDxL2T LSTLjBu+etJh2If2NOyIsBjMkoKitGYeC68rRrpRgUBYWIkNwCTNtIXiyJAWxIt0emXPrKuemIck c1cS41hrJiG6LTvHhunahwahG0WRf0Jl+yGSULdH0HOgsYRVe6Z9g0ThMWt1V4XlAHmByMrlwiwQ s7m1O44z4pDIeyPCvwCZl4Dmtb+fcQSQeL1hs6doqSaJTtnesYA2as7cBB6yMQ8Nst6gxmh6CRmB o02awcTn/EYYBRTuTnY02scuus58/EeZxM7aBp13sroV5wIWMZ4x0+j7yK+v5udH7GqR3lwh49vq XF6JQn8P0g0GA+qiNKdzCVUwZjfvBQUcvuty+zdBxkzX/QumCW4Avcvy4ML53X5hX3VoiTx4aJ4g pW9884Eb5/CjvKuso5fYLm1jxGWnqZmq4I9hvStya4o9GZhRk2qdjtnmu8mpMFH9BFVa6AF3Hk/O DfVaL3+04JGfXKkZOeuzOGOWh7Q47p3+CIVcZD5/+0JITp4zHXv7DXcLXcyx2GdaAo55phcUk40d NLM9OwPH0qMRYnaNeNI5d5QlFVdCfbWrPB0ssfAu2fk95DW+Pn6ic6Nl4wVmprNJ+gXHTh+oKdvk aCsUx7BlOsInNfCYS7trGBp/VUq8fd3ReuFOTBKyXYyP8WCrzVkeQQ4NEOP7T5ecLtbrOqPk1daD 9igsBypDmkIbsOAu+67fgg6PnrhzDgwGwCgnyYicpAXygn92ulrwcH1vKyNLvEIbc/ZEvq5fFurG btiXdcjoacTHwiZJ0Tsc2EqmjtCArqpxL0XFws/VGCEuLfwwEoogOStmyXIvEENFoAjvn7AoLjli 6iHYx3jLAIwq0dPuUdSHK31g20PqUSO3OeY406iI3OJmhPxAsT5ePnqxV1yTBIW6Ql0hIsWe5LMA 5k5vDvzlR8koKgqqnxCEZUgpz3wuJUl0QXT0h4wEJnkTdVnS003LP5IqHt8ceB6PZwFt7Ox49OZi iCNpoZdp8VKg0aDW1dF3aCdUwGW29eXPRh1QXSdK9OBC75WTyNu+RKOpHpwty4mtVOprrZeHA8IO rV1LkQ1sAZpd7zudNnsrBG/FaPby57wnouDRKjQYT13d6rsf2sayW3UNFyHpJPmgwzfGCOgTpL6u hnHSq9utb+Sp5saQEV2wm0U6XRN2OmgknJ0xve4CGZnaHP+3BhhLnuZDzOgadRNFC5Agd7IBHn79 3tfe2pib6JT0CWN/m4f+X91EiGdvSeFepRUkoyHgQcPtqnCvxjloLfusxiUnj1RS2ZZ0Jzu0iQ94 QQC5aeswbmKv4Xtjva7eQ8f0KVXTzbpeNUOHowl0uFLMGhMGpwkryn7C37xKP2X/TMFtOOGnnq9I o6vl0w3WlQL6Qs3QENgXJm4c/77hODLWOE0Izb8yYMKg8goLsGiqTrtSgIliCNdUrO+syBwvj1fH SCgH6TQq7dIytUylvG5K3OcskCFdscDxrcNbAfaoFb+BtjXTOWJMoX+npPuOtxiSWoCNjY5i9UA+ fdSwhzjCKHQGhYSJ3tKjPUWsttqH1gY8WRpiQldjjvlwh7q+euI/UlEvlp5SFJwYh6Fst5opsP8E zBm1KedQHd2VIfbz1Ot4ok+GqoaAIAFbQGuZusfkdyIb12BnLyBDjMxUNO96bUVU4SxOvhGOqFl2 ZA4wkSqnZLUXG7XiWZ2YnUtN3G7LVmjkFhIUUL9DYEdup3UasZXrgMU5X0gwzxuxPp9KeBRqpGF8 Wi1d08tuOC81kKVG/tftYSEZQHaHq7imRneaO9ziv2yCSAt8g5iJ7eSFFWUCdGFEErtPvftCPxuQ w9jbGc6O6SPuJ0Oc7qLvgkQRKGe4qiSgzyVHzASZ0+JvqpFbmo0ivnO9ufMwKDURI+saf2uTQcON QDDzTJQkaB64OEyXi3pxAHYFilpu7J05xURo4cAf4niyg41/HogmKYw0xfMhJs2wz/EJq12jTMn+ TYX67z1KoqsHbMPry87vuQtkUa2JrsXfila9Dg5IdGsyHmFqbBspQ6O8Xl66RlrPdV2UZUdjUSDn od/bN1thVfvJhdPBQnEmzCiLwOrJgSM9/QR7idlyBsWfTywYHMeFwr3VPeDOwxXCuQtdJ6EMUYB+ /8TBB2YLiWqaWTmEcrPjd5ilsCd3prEsUUDLyItVsXVi719nrtgJSWNl/LS39VfAL+jwHY9n9WUR SDI0V4YQXnHc9B6/1zy4biqEUYoTiQQLMbw/G0ScFr6lcOO6qoUjaVTyoivk20RNXT413Pctr9Ga AVnOHsthDU0HgFzGIQ5K0gG+YliEPpH+MHzXOqAyELvO/ccrhNOksOORFR6S4GA3jddcQIXovfot Ey3bTBmHkBA0tXuWT5qwSvNu/bvZs03sE0vmvM1vXQqFXXe17temV7TsJ5FkbGRIo5J2JAVqARXd 9zkx1OdQm3JjVWEclTcrfXcAStzK7/LzSBwAFIR4V/RUaHmHWhTNPDRhhk0ZF7YMVrZV7CSC0Llq 8GYcktKvw8rzy9A/bh1KAhBlyd8kD8ywZ1YnUwVx9Hh/OhXmwDGR2sFfxPXB8jEmdutNi0aZWd8C 0qVv8TNYFCntgFBXu9QFd0SLRRrNV/YJB1lFs87dooqdVs0F2N1fO/8Yzpi9ZXNZsZbGEcWiR4MC 6iW7A6tqJHHL1geFo1DsQOYvitFYJRFIf3hwrpO5AM8PMg8t6OOitNM+gPmzMOFgyWmopWqmzymf KxGHWUQ4ZADWaAcA3NmFFSgLXNlwa+W34A3Z03yFb6mptPa8vTidPN5Q0jULx8U2SGGZDbm/7OeP bfM+HLxkrMkg+BZciqD1JwBdmVb2la2CXUqcTroxgQ5x7TjoMAf6X5xLZ8tQ6FeILRKeqqrYBFFY zocxXq9oqoNHgbzurO87BPKG8aJ5uqVakcSkZ7wfexCblKtzxEoxN6a5wwjkrCMW1r/7SKD1gl0M C/VagOGD7TKnc7idnxVcJHj/BfSeCThfB5PMjlCYJsQ9m2aIl5/pLnc2GgUx2GMHYxhnUQ7KtYT+ Nuoz4KH39OneoQP13+jkVu7qDwNB3C3tjuVXhGT3eaek2Iy7qYpnkFYuH0QzXusHnBDZGexl/NiT lGisZ62l7qYtaFOqs+g/m2N84x0aBH8O+djTiiDTlz6ouJDu6dMqgtwux0Y1K6to7w8vBDiWC7mG rPDPiEfwJsZwEhotBHBWjNQjYh1MD8imZpkyR0jTq+t1qAWFSe+lwwAbBbv+4LGPJGu6bza2Hmwz hfypsYd89DNtfObI+BkdTKJ83zBwlPmimdrwExs10j2Lqgl1xzyEPQxQ7OpTtCNYFobgvJznbk1u du3VLCTymQtxUxzOyUmFlAlxolOXs+As5tZNKJBoVFNPnoPtIG5xywiFHsK8HNFjH7m0F6xhcFcI CCaLGojPxcDD5Cy24x3tPol5PVvkvIlUct0Ir8+RaEKsJHNjcpsc+3jLjEW64Pqr3I/+QUPNb4WH ogRLAmRtLWE4974Yq1SSXWOfbDak3KLGb9SY7P5vVQtJQ4hEKoWLbiW9jU8VQvU3PDeUKEIKdCqs YmnFDqP7ToiuGdCaK/UHqdhyrdvyWZhyi70pl0IG0Yx5z79zbbkJmnyEY1Wfcvtv1BSlD6jYx5D0 jT+eGpKEFaIR4Ncg2inHnxUJMx7VkdIMIk988aebXA1lwEVKMFBWy9A1v9DYWeajwVHWNGHoIIK4 pIEwLqXe6myPGndg4YG4DyV193zCrKDEkVv1avgBtAbAvSL+oud9XfgO61TcUsFwK1kBAdXNLvJ1 fuor34UbqVkvLLdK6yDUsiDFLQ7IAAjcTFMqkua08AG119O0t7iZgjlf53X8OQCcLNE4gL3R46lJ PpmTQ9DEYzvyChVK76r0iFrnZmCBZ0KUzNDlj2eOCuwpEkkwvIJXgE31seshKX906WViDMC6A5vi xFcKKppHocCOQfU+BCA1FHkZhzPQXKgYDqVfURcZBW+2vznO9VHxsbdoQaLvsTiLB5kpk5Q5FTuA gr6Vh/TQw1oO2swD/1all8HBbqDloqwKmtpCIO7L4YaAQ98r98U4l6C4ogfdodjzeqn4OBIg0vWZ 91j3B9hex6z7SUcoD4nQjx0Sfy3JmRABSqm4x5Csik0hlREs2r/aZqiPYSOw73C1vzZRYY+xpcEH ZbRL6bLAyWKB5It8k8lBfmvp7UA6bAriyXtrICVedfmz15pvcmkjkUFdcU8aKLiW7WCC/3ir2Vi/ xyhp6A7Ry1+KUYIXdehgU0JrSA0UWyUgI5xR3lAtIPeD4bWpHioUsHInlobUdN8thZkJA4f7DLUQ e2ebFSNh8Vd/bwwrj3MHIu1PgdQdTn5jl6E9YLIFjNwa/0VSWLZSyy0zeUxhiHd66WBNJ4l70mXY xvcJX9UmGbRIiIIR+GT+yxg++w1diO+erQ0WfG1BpNbFxSVCAWVwvLlzW6wQ6dyaH4qRjQS+t2WN u9j90z7Ji2qDHsqIWEAq4IjkH737dU9sQhim1/q+xl/bvSWSiv57Xx3pWJaF0CofdwvD0lHK91xQ cqm6QJgq5DAStFkg7Exw2qWJWJkH2Rpphf8OneRwECVEw8ZWXfPinzHz510XrHnjVWIPmC8PVVc4 gyo6sbtrAx6ijDLQJEsTEQb8P3k+GFnLWxB4701EtZPOvLaj7mIEW9slL3yDcM0KlOJOOMPDu7oX mMvRy5N1rbPqp/tpgwQuCpJkHNSDDRncNvLzmTbgUObdkAuZCkWrsrUw6N1PI+zTAI0uvX9/OkfW 7wN9qur351dvnnxklaQYgtpwCpmcRDvPqyBVNsnQQ5c4EWQeitsme466jMT+9KXOCYWuwR3HkPZ8 cRptTTQ08BxsKcCYDihi5M/dcW6xiIooGcwuTfr7Wey0Ha3YMgURFMesUe1RYHFIiz2mlhId1taf +WuyEofn5GXSWPIW2qHJFZqp9QtLCBs5TuaB/iPatU0RNAcKVMiiUcya0xr3MG7U/UZOQRQAUVlo /tXc1AUtT6iQtFKithEB3WcbgJiGZTxglbMn9pxSmxtZYGoRz6HnyHCBzTlQL4SBUtOqeqIDlFSH C/Gw5mEtVe7tHdSrNhOHE7hmBQqKzJwotKcyJ+IPaG28brh1zUiEL3TtSd0BO22m/1A0kz+KIPvr GXs9bDd/rb4SBaof1Zbrgq2L/3vNSgJfHdTPCjd6g0uAVZ+R4pNM/ilE7gox4dfs65GvdTiffxca +wcMgs6sWYvzfuY/5/odF35MKXjpvrzE8k7xJ592wdUH4ddGoMsq82xRv83s/r73V0gdQPdGJcAs ufSBygLJKygLskB/M51PO3nyA6hw2cwUdYFIJgcKGlc8D1LpS0kGokg9FLhYcMLZrHkEbhdmt6RV /askQSpagkuaakYJPaKT1TdAT/7MtnZid+2XRyVAcJFVo1dAoZ+vlXqO1yyLqDHn6s2PX74dhnsx ksANe7GJYlybvPJKDYmihxgolVEEHobUcRvIJ7PXMHFwWr58Kv1OtZ71p9s//wuLmJLOhd2jThIM f95uBZUa8VhNVYiMeNR+W7BeAYLQbQehdf+UF7PlKoXYYUFzkeFXdMDcwXfq7gCIDiP9qt3qeenH xcP+C/4aDRhECh2Gq6pt4I/mnsQ6txdrTxmDdX8z2TlrWZIXNMqb0+JnVRUuFVubVjWWGKHuVZLC 3EHZzPrezE564RaFVTgkl2FHsafN0GYyQ8L/JHTGXhdCV8xDMH6uwb9QDciKlygHU0y+WABsKCDZ r7+R8IXwmAdNOiLXGa4AYF9aVVHv4EqZozimsjsJrYmgmHuxObRLje5Z7Bn1dtym5xnYxtFcSapR LhoPCugjj7dOCgfDjREqzMIImgKV1fiGVK+dOtiMHfyHwlNtLQSc07CeW4OJFc0FXgj3cZFI0v3l 3i9PZecH2gDqKtrLI2bZtvoWp6m7NVWx6P06fH6sTrkChc73uKjOxFCsi1yhoPQor66jyXwjaMC8 /i2xlsgWBXP1+9JQ+5Jk/IL6OCgrroIqgU2/qnPqCNizJImE8NAI6c61tDKiWjWsK0a5S727/kM5 a2+9P3sJ7OVp3SNbK4Nj8FiIMAhg0bUrgnJ2zhLxOXoe5CWFvrM/4KIHJYzK8bTAag3fRtilj99T JfuOyiHEVLiR9FLdWnOI9tKxKdoDaXCwWPkTQwaCQL5iyF4ZHiPiqXzYyKe05SJI3mqs4kw5ljLa Nvc6YVCtluMLpzZmF8DxxiShLm4kro4mzHqinpz61GqEnPwwpu8l4sMUU2uGFn3uFn2h5RXDzwV8 /+7Dt16hBGvX/In4JF7RUPc7Od53HS9qy0kuIZ0BpYC14gjeY0dH7z8H5ClRDsqYu7TBsmLpCaLm uRheTfvnrj0ttrvv+9xu1fegBfFgBw2BZonGxLF5EkpRK1Cy2SpDMQb1FUrLmkVkstivk3oeTz4R lA1ngEZV1lDiCKd4S/Pt1l0l84Ccpq+iN8oMq+n9nwZt6H9RJ9TqZw4mvCEzGqwFtKkO6wKcSR4J QlzpdlZyjK6Uh0YRVEUx7rO0x+gekgRywKZ7WB0i0fXlOymg+JlM0GivaBqqVoKMTnvzqxvo3GJx gw6r0z3KrAps1iucpv7HgPRjwJ6S3FMvbxfd33BexNFPTO9LQqtcDrMQiJknMAM0jOR+UjwB6uSX zO25wUqhZMd07DhFL02TMexjvnMEO2Z8ZIJ2p3S4lxdqxl0WZ0WDoyZ7QC2KOTuvTMSQ1F7M59iV Rwi2VDq+gdP4cX8rYSykbkSt4gTQwk6wBcDLvGz5/7q/cNgHuXqJFUKrrC6DnRLqMa+EifHdhNQN w+UZWpwUsxnVgnITvCL7aP3vf0TqLND54OaC0pR1ZTgpU3W7WeKed27qDHp3WYF8cpWLUhNK4rnI 7HGHG7e403b3gLdpokYuFTiDm432Kj2/4mWQxvpUPGgH7u98oln3hXgj6WcSMMcbWSdmwBUIKk1n xtJeFaqsVcvDnSLNLV+YTvodjsxONWGH19w5UmOaRK+2FD+vu4533nFvH8r6/OqmmfJzzin4IPay c1eo08FryAouw/ZQUT4NH9FTpwFEXVybxwlqKOYTpRCoGtxSMoe52gbLJSAPSgr0HXgJzJc1gMbq kce8dbrU0l+GBdtTRapDpaGZ0G42YPfh3mJKOxrnZqYRf+8VxF+iWQBY44bZvCY7yXenz1jd+yE1 rqblR4osoC2DCRb96ii/tctB+dq80eksdurts8UWG+84LzHbhQuAZiQy2LUgf+iaM5VgOQkGxt5G vknCMEfEwhti/wvSAHhko23DCm9pxUE+Ep0wEWTlg9+LGNR8sw5I/4nnE+FYynKyewQZwIG7RoSl otGFPVwoyWR6857fHs9aeH5mEg9iHSpUZEKrXjYlHgJyb1kuQf3ZsB/ibF61//V1ogLKXTixNriL 4WFR1LgNyoDuwYsUk0e9F+9ArgqkuPL2FXeb62nRBziWhYLI8cLmEhM7mzvPYyjweWPNJkRdDaIQ PfuXrVD5pPHSFuqyWfUdR7oXb1YSaBk375YD31WjFhszrKZ6qaCg1zjZNqXVL8Gq5dPCtL0CcqtW 7YDVoGlTdoZGIc/2Tgl+dunK5Gl0dJePwGe1KtpCHmjxOK/NU13dU89TY3pH032yaGcOj8Ch0Kex 4UuKm2LELaDYimzc/iWMequ4wxc6GXxFhPLVDa0IMUhFy/azg9gD1ZvOh7bNVb83zK6xqhNd6tsR 7992oTnOiuJ5cCOzYXASzR1yFdo+o1M2Etv/LbTDCXbIK8UvynMHoukTnidBQHKiOMt2fxHAuQAX ZiCLMQGst9VvdsoCGRsIW9XnfIFzCFaQ9+amPMkaaCB02LwIR/MCQwH54KzkmiGzpm3G65MTcHqh nCattPxx9VzI2XpeBsQESZ31VqbTzmdKEHQFC2CYbQlrTZJq3EMBxVZlUO00/+3BbI89KHrXcaNP iRueswzV+tESEX8TqPsAre+XrFQaL1Q/mGlBromIBsvnDEgWJVmcDyrVn4GzU1KoMfMMie/mptBa +/5ZtqTIHeKmiD372L86CH/fxySvQDKEbGMWPLrhaz7liYxXBUy7AxFiZf1FIwINOCUrd26aRhAR 4lfiju3rKt9XM2UxzYYsUZtqlBFy9gabAdZvRj65klcBM6hn04XRQW+WbLIRCayoMcWmV352I2Gx /ZLXvJIW9oj6DBCjsi4b+3pV2IK+BvmMPFwvx5CkaRJcP0E2qzFHX4F3zGJBF3CRsexyBvu+ctjx 6Po4wNL7jGT60tR8VcRzgAw5ZKFxsUue1YOgashRMeb9oKZA6tw9xvpnNkksEoWY/T3/PSaB4Jo3 F4txb41L4Njk52UjdzsNBuKIY0PuwXxx1K0AHtST3XuwOm3wKCbSPdZZJBQXWxetz11Fs6PFEPT/ hJQSpFyJe1wkuXhP1P6YW1Aq5gfSxPq4fCKo58CQfMoI1K3ujR16Q4dojoO84xYqc4Zwp/b+CDoz OBXMWMr1PS5q0TxZiaYsI9ftMdSaHFXNN4Xc8G1z/zjTr4fHpCOyTVlJ2qBVRKzYSvzdjkkVWKRF HRUqfe6CD0yknzF9lqieTQuQ/MzJrOABTQTUszeDu9Pn0hi72ahXL430mG6njx5JuEN1tlV0AKOI eRajSI8AUcYEplisri4LuVNDC+dbrCX81d81ESTfBwcKZ+rYilU66YHkE2Ypt2r65cnwRSL1/RgA yMU0cv3LS3+B0Q9H9xMxJU/LkS6Ns/e+4GoRtIOBXpe+kJdBF2OLnukSeXDnoanTKg1urC6Hgtaa tT3BwuJ01Fl26YyX7XX8Hto/7Nkgvl6i6T493AGSvKvmmdSykI3NIUFmAGjKm1NHYi6TtEuVVX89 59FL7dd9Hfqz1n0pbMhd6IIg0z+MQjiQVCNCEiri9U8uGoC6MEpdUcnVykCJw145lNNWBYv+5sLQ SVEqGmOOrA4OndX5mJL20NNWtJKTqmwmMO4MuZ+x9Cztb6WnRfv+3vEyxKAyQgR/S9V85xy8E5K5 WPyK9nRLctYBCLfcQKyUnFOTEBSQgkS5eUua1eCZqswEfXN41K3sYcuE3ctmFC79MesQnoGd58EL 7EF4Fn6AeuJ3zMQCnpiIIa+GLHGL8BG+4C7MfgJj7PDbQQrZk/ELBe2iaoLfuFn66LjmXapWixj6 SAgL6l7Rbw9PnGPUmcCqEOPEvW5vKBptDgAtaeE7oLvEKkD2rnUXFR6jNMrBVuN//3N3q5OBhIfc 672/XTBehlTAm3FfwaANJ0iyyZ29NKRs7dbOw6ISD6lGYne024+w5vwMUIBW57hDaTSdE0je7vBw 6OSO3v1gwaEEg0YoLk7Y974trA2GYTZxrsvr/DnOpUxTx3O5dFVA73xfTCFK7Q1/Gf9vI0LcHp9X KueMmo4e+lpL3q1kHaLHxPkiO4kd4zZyGjx/YhmD+X2/wPawjnbpihYFBpFbZvK9BmY9JfB8IvF+ 63RTo08sQPzi4nXC6woPmKnGanNbsox8wdBZU+kyWKsVtJYKGTf8/bCr1uv1P67b1hsQmGvbxBHu wlNqWCzWrp8JErz4zwYTuuZiw7ykAEQsn7t5+/rFh4Ifrg+JSMODUypSWMjEVVmqTOQFWyqlL2tt Yn3TbGTYX29zKMdvZfQ3FKv8szoHdc1S0/2z+wY6pSA3GdeJikLaVmTxMXea3hZsWd2PjlOxsW9Z f04i4JVeVssuT0x2iBAi7ACAD7SLl1dt6Br8Y4pwqbr+F6SuUDygp9y7WBEtB9rWpN4LGgc0QMSl s7NFMPDyxk7vLGxNZgnPNd7sKRnJY67BZTRAtfj0oZNGSFlwtcsoeTvJFE+2gPSofynlrXy7du6g zrkBpJDiuSU3SgPrQDaRtUDFCerFIUIgLHkRuUraKCaRWOxsIeRa5lN4BwkOH3UTDomtcWqjf1Dt SttCWC9qwFESKQK5o1RzfGmLjnv+Lmr4sMnyXU4a8cEXlCP43+KPGaCoU4RQOPC5JXspef7BfUt0 IVthIJKqSswyQaBhQ935ftQ0O8ulpSggOkbkyNQutf6mL+c/lGmMDs30ie3lqqVA0KbKah/IJU3D 9kL2c3Ztb97xLzu4sDl9OL71Gkt5DS8Re9rZsG8IBHPHISDr2cGGgOjGNYJrpJOt294vLnArZ+k/ kqYifApgTMsiyXuh1kcZ06FHD8WeWPmGsOB0PpyPuONDIcNzQ40r9MlDslrg88/Y7ROEFhjrwC8u qVQVXVfyI1Q6Gh67HEpyePukE6OBfkaY4XIDsZrGnCc++Sx8HIfGmq6PmgN25r58bBgmJsntm+8C w7WUa4thLXfbi/4pHhoqXXl81GZ6WRqqA7RtCtXImVF9fkED3TH6YZPDBAewuTk/IOkbOv8bktZz MoWZn8daCV11Tlg92kLEHNGGZFi0h2CEp1ae/LgwtiW220W3X6TGvr3FaE1+KDD169RWH3fPd3fM kL4lDusTiMJz/hNeNZv1KrEtxbLDo13tgJA7MJ7B6vfgmAmI14ScnuNVoK+Gg9fGSqPv2sArU0lg hRigvDLfpGcZd/aSuZvGcsi2qlmS3Uf+8S4DMeGkj4/DZeOSFZQIxHc2eSqsZLK/y8+t+HO5MYyh TW4BpXEGfo8TeSeST0/LJJPIdll8Mjpkt/a1NZuLYxb9jqJ6PKdS41u4SdHN7Cp3ZmQhqDbPFqlZ QUJaPC7fmROr3bz/U6QD0XZjkrv38ww3VQlHEvS6VGEONtwzuPEMNprtEhsFeWjYrSBkcsSbQ/pU 09jJtmVyNvJtwUP6t7R8+fD8LceZUCFWykewaZZybEcwZQhQ0zgksyYwKIoERgxYOVcJTheqHLyb Dq02yxMhyRMGo1zkvPzPghLfziAy4BZr975Uby+xhVmCG0Mb5ZwklrLcwfMj5xRrDga6xkE7jWOZ EqmPkwa7rYxE3lDAZSCFak4cmNlyvsmmvP0e27MA8kVUUntroSZHAy/XOvGw6CI2pzjjTO0cnXEJ g4dDKCkKhkWWiiu2ne4exVEshyMj3majoZqpkX6xs8x7HHkFOVMyMTHdHXzmYPd46PN0sLR45YjQ OrV4gofov8X0atN0DEjuirzsRe9cSenkLbuJQl8DyeA2+XvvnEy/rQ5hiHfauzHnASxvaSajwCbj XGgXdtdScfYt+t3IPvEZmYt93YU2OLaXN0lktFcXZ8f6LtEZ0XYmCXOL2RSK99414w93aYZUHR5R qYB1OWjNoEPzQSuRav6pIGCVxofDyXmZ4+4IBCkboC3wtqSCAe9phIHlsaJu9fzjcA/nTzSiVFXh 2Y6z5/qjlvBcfKzfywB0yEbrLnj2JNXRVOKGk6Vzv5EjmpySXE3NryQIwsfmVt3WTgnbQAZ0bjlC sbhcXtdnYYcumYL/wd69klh1uQEK1aH5eRvncHPyvRqQkmxfV6UCyFbOcdVfhbRpiOciiNLQoJR1 P9hawp/jWdorsWzj8xcjBHYGTlJ3B0raxjlepUG48V094Q/7RHtfkuh0rvXqMWuc/eF7OxIzLZnA qK9EtCmOUYKMXb+gTMru2Yg770s6w8qk6EDC9Rj59hR+yMXCMyh73Rd/7gnBl27IP7GbvfU+2GZ/ 2z60ZGc9owOmNn6B4XaU1P0/K07FPViw9dlrUOfjXYR14rx/tPdwpMMOLGaB4/tfWXcOI+C/2dya VEEdli9YnLkKhDd9Eoj87u12c7PPEejkKpQV+2iWaMPp4ssGhDjswthHXqdVq5rmGE65jhUdjgmO 1JqKkSAzokVXuKgZNnDKFAxCPsBgM6Ykc60uYrD2Av/IKg+YAhQqsJnFeX1+JUG7IERr8eiwOeqR bE7PFLWMGJrP2vVjlDaBTsX352VqHyL0qPS0X/xR8n72Wh1yKUJeZP+5ST9uO/ntRoWHeviFlyx/ Y7elB2Rf9zbeEJ2r5qco64vOOD/PCGbt3zYt4LYtePoNdsM2L9ISad1DRVuFPCCX094hnnodI4Ep DtMm8UgD0Bm/11kIvQC+ANFkvprtTL5Ddmj/aGoCEVsM2SvJYK4NaEwQ2NfzS/XAEVhJhtoxa9Tk uwK4Upcw7so1/SG/KV7yuheAVFF7y8oUin+6HPcYh01v/x38zQBGrHJLMxo2QAKoaS0tUKaqfF8Q MCBhIldOldx6AsDOAdeTh1mxM4U2J+Sqcg4BIhpQ+ya9Jnx4wGL2cZjQxOlwUm/3zHieNiKSuk47 ttllkcGxFvK+0cLBLaUag7pFsLdyTm40zkEhd+wPAaBxjPRrhdJ3yVeKupAA/39hPd5QpdkMUCnG gaf89XD/F7TAKo2vPdeQvJdHO20MiZC9FU09I3uEGmTPQAHP58wxkG0vW+h2+EM6JA1Asv15xQUV aV/8e3vKyTTzKj5mcsxS8bOrmcyuBzZtk1fwhlVQzlv/JbuBhVU5jnpsiioDLtrsAsfyxpFMCfUx /Pe/sZAWGT5wv85fQuFAKrZLItLg6heWQtnoFeF3JASOI6AOwfnURqiYOxLGu5H85NpEyWDbwFuE CzDDEW3PlBlQ+ldcjcs5n+Z5iTUa5k/MA67j+oT09/EwNIEZ/Q2PMXTO0qmZf9rDwGG/jao+nh0J klW/cP9O7SQglca9P+rZHMx/oX14rqlIok+vYgXF79kr64xUf/dVBKSQge4FaHenmM6Jbp26S7Hm Hx63SpDfltZczoLGv7VzsFz51mP3tbuLztB7J4Uee9QTv3DyOYPBL214sgnmrUq1mJRm64H/IVrk bPNC8iJVSqvk2IxjBnW/MxJa0zv7FwGv0r5Rf1tTQoNEdUIuA16l9kg1f3JQks1Lra/PWm/Qlnz4 ucyrjHWPsNrMPq5DpMk27b60hGNHE+zPeHoz0AC/Cqc9IfVJAE9qWfzF2VBIKKPjV+mE4NZ6Bv72 I5dCPKo82s01PD4LzYqEu65PTYcWzGocfiCxtcxaZ2DOPuaHqY6NYhmGqpgM+f9EtxsQ92buB1Be jnlzUMySnGMtOvExYi2aJf9WFkkt5Yd09bCYGrvgL1KsAT0isxm46zSqIRgsCJofdgGQpjGdhp6Z aPRUDf/sQVRxt95coKiwjD3J4YbFxsMj2dHPCS5uD6eqoVMA2i4JyWITvdTxEy+EyYwX2PI1NnIv To7NO4eoIeZk1A7FActlE0kE3vWB206GZYlLbhC7rewPllgSu/HO9Cbnzm3JkFA4p1zMWxeuA7a7 FOqV9+eNglHOPyyGSSNpAhD+QziUz9B6Wt+MRavXebvGXyMtn5NlHNydtgNkU0u5aPgAUuNLvDnE 01GJ5jL/Ufuu4yIGND7wLxt9QJ2ShKmxvgZwMDcKyoHxyqcp6YnSSNKKBSrd+Z5NMZmyuPSSHcd/ lRdiUqCwzN6mKndkIvTF592Agb3NUj8+oF+2N93gUpb7ydj7GWqOyPht/XAtD3sA//Pa4FZdzlO4 KBd77KZLsPOgsbzoZY7q5eb0TOEVfbXNbA7pV5dl79YUh3gEJvtLuG+YwnkdslwCikC5JLrZXBf7 AHwLsKEHZ7lB+mpidme78yMwDJETWAJijruFIMb+k38krBQF5Rlox6jos7Vh7NYJJbB9K0JZJRZv EKiQquWx7bHTJ2prZYm/Xevup0MtJaVa/88bnAoYOPqoKNiWphIZ07JoCcTr7/8Ku5cPupO6KEuy tDXLwfQi+yw6H5nLzKcM3Xw3+SZRbEOsEsD1F3V/UuAvuPorTX4YceEnW5wNweX7x7kipkhnL0On l+qqEULB+AF781paHS2l6P5I7/G/7XHrpf1Fi1i8rhIGDdiQTQR3uA35iKbbzGFG2gqpL4RFP6Mu 9QLAqRl680vtvyhQgyQaH+qzy16I2JIAIA86+8tj8Y3FG9aytSQFKYtEzpeHOH1iiO2hwQNOWHkd pIi3tP6WB0DrZubHhVjWd2unzMQ5WlzeFnxM0R6e/NNv4Wd8Px6tPsogtX0oDkjXSPYcBxG7fLL9 10mXqe/ckc7R6mZ9tGtFyZSm/wvWlMYMffryUnt3SXkyl9UR2pyBdBZlLZrvxQhBnBVdbjNvqVCa yl5eXVqnpPxCtYH4Y9SMkJHfCJ11npw6RJFQc2GjORZo+aDwnE2O93XkBsm4y1sIpovFTtR670mM hThpvTS42Sn0O5UtqOPdBpEOdxl9d3SJvAByY7GKp7/tQQdWciTsXcmDO7+JnzGZOhRAX9dA7aV1 U1wgectWlTMfAwf7KzvcdRPyWN17Md5PXO94/pzLT9AUHII4NYg5yAJueuc4MRHe+ki1vqgTyNbP IjtaquQ07J01PG1sMcM2AWc7PsX7aF9yeCbpbRSv/U43F1VEkXx7RoQZ5m2XysJI6G0wJGLBxbBN zFCF57zIAckCCN7QCcPPAKWgnhx3VV27S7Kr36BZPHejZebh73MW1r8MTdoC6L/Ep7yI1AfVM8ib fabbhZLtHpvklwENSXp1xGNz+olYiCp4Kb4/GdUrz5XeTtpdanpBgYOkih3wFNvn3YR5v7xhs5FP /IHS3/V2rwkC0AHaeHyFNWbCiKefMSpvMUYrX48cLMRrn58h5brtN+W5+xvYD2jPoI+pKCsZA6c+ lydzUlFMQNl+VjMUDqizIGmWr/YpbSqaGyGGNUHR/iAKqJpO6syepUa9B3JpUNjkp9bsVulvC9dE I0NGByt+oSFcyNhpC67fcoL8SJuyfveghzZYfaPt5jRjc7h78cQDQLEI7oAS9Lv2AFRe3Iss7Ecn 2r9a5ZWO4W9LzWtF4gqOwi/5NZ88BiXRmbNX1BURfGjpPENBE2Vwt6D7z5sm1PbibK3DowpdJdlB SjgcfdKMCy5wQcnc+RVITsIZCX0Dh5Sln8TLfhkaMxUSfh7A+maZIE93BLB2c+8bZlWvAJUUP/Af scQ7VduooAY2OBw+llTas9BVAfNtNn7H00ZfSUYFj9SZDvsMNfmpnXEKRNiQpsnO53/VteNMWmMr g8zsHzSa6wnsOMrhTpISaJtmW7GZri/MgkEFTKb985AscB0gEJVguNAxIIk29Cnw4Flbp61nSJps aE0LMD2PDK97OSOvPFhTcP8+HypiATr7b4EvTnPS5u3CpgGZzo1vYmD29lF7rzo1ZCp3GsTgo7P6 C7Y+4y6wn86yBlVwyum0FHMBzkijTBqEyZTXbDoqbhKd6uxwiAsh953iIFgcrYJB5mHtM/jZamW3 wXH4U/C+hy4SvFum6w3K1FTL+pcnV6Ajfy4uuqYHrOD1HsMoef8I2c+1E8WH0IWEwqD95WUwIoCv rNhwk5rf3ioPwmgCNMuWiij5m6C7BteOqbXHIO+oN7hpfo6Kw7bbphZBAoDbL3Jys1C8B9plZzXP KSBVNNt2muhox/F5jaP8SOXDFTEtxEuSOFZ8p5ZMDhXv2xqB2KaZRZJD8h7A9g+wf79UUWydmvpa T9H22Y8uQX0RESE1so7o1hMw0pRX2pwzHTTCDz/ACz0h28kR5a2sZ0JAZSUWsoiJKlbFShrR7MKb amLrxcVTvHjJxUjfKJgPTPv1xecF6GVFFMooZTcl3OsU+bnwyyMA04KSBlvUI6AhzyvjNFQbZzL3 RLVDS/6rhgqptY7L44ZwdvLXers7BPC+31kBT5H9LmH6SkWoa2yAV3XxQ+jKY2K9p6Ce4KUJVWYL 2pgv4B38QvBwp0YJa9PprFfDMExWNFcpcbVTeE2R8vccp8sOhgVHI5npwg3ObaXtPW2OgnugkLdA zZi0E5baqusVPpmOQRcdYEKqjIrmNhPK9/QJ6zWWTku1eNULEWDMl8BDeKupYNiy4unFKYt2RTSO p2vSAqPrgSVxI7npQmFdnbFgGSQxjVry8NvLd0Ug9sQ1IvxDVSFavNc7SL0U4bMSCnm07USHEg1H MRh8VQUuTs+VptkTxMPY2BqnVPyzw3If6/NBrg6dvKuSR3VbFeVmbOw4LoLL7yDTS1PxRnecClCq kvScKkecQBmdCyTWPv07jZTYC+9hSx4c8LkZIVclVCO1PVpoqMEHjY9CHtD/BP0jGdedGMHtNkXt Tnm2WYFAXzx/cl4TJNZJv151Z1bAIFJpE5XWHf0TIkj4vlQrnUhLqjw9VvaWa+uqNPPUJZgNlS40 GUYBoItESEQ/Dt9BP3V6hlf5QFUPP1UnBRA8CNoQPCwY/BEyX3+5qUJOelg3OywMngo9gZcQOKr9 hzNKAR2+ImrIjR5Jw0C7uURSZGbsPU1vZLPgQ0cSXmC4N54Xus+IOz29Idw075of90BtlKOuSwu7 9ummJfn8HFP4dHyu7YIK15JiEl/o6cgZkmlJq34+fg06rrdaKyfqKmf+XdJsimbOy4X9eIXxoONl JbYBvDKl8ci8h+SOblqdRn/1Uul2+Cth5cr8TUUtE26fWFCQ/hohe7rZ31RjXI1h9nfaMogM3OE6 oqUAAnMEMNDmLn5ykvzlpvr/jGrqmdRYqaBKj3du0QDOAsVwY83xeJgdpYUPn0eK5CtwyP6z10Vo 4nue6uWYgYkt11rZjV76TS3pAqqO4W6dc4RVr1+aoNUR657UoqrLU7u9YRVKR/ezrAe/Ttn6rfAW oh0u4esOkuNHD1BIh0CVafEvfwGncvVrm6ntfnsGGrHBg/0nBEZmUd+LJn515iCZ1/3lI+k3YZE0 oWpCZZGMiBGkaSQTFXUZPrLFsx4zCFzAtL4AAo0DBehuS/EKy1aeCX79zzrEmXcPrp4SZN0PgRgt QYPWSLAVAkqWz9mTrep/e+UoRwxeuuB/9xCGWW0Ym9jItZZO2lXEqg4uZLQCYZiW443Gt2Vue2JS UhVdfdI6MIudlxpheXZP0jj7DTOWTxeld+5iXqW7Q3bUBrTuvaqY1gf84fmwdOG5Nzitud4osEHg VAc5Un11sotmyCY5ax7W8KOcXE38YWoVaqEIBUmtjaaIdNRNQRReBnSA/cNwErDCiiizqVSwuqBE PdKEZa/ALCY8/J/JyH1NYXXb+mBIHGrk8Bb22doz6pUP/Kx+SET1KmSFiDX5LESTBRNFHCSakVk2 1lwtF9kDw60xijPOCeysljGE3uGi7J7mOdnCD9pHrLwaLjv4nP5IhTh1YMGZHI1f2Yodp/08SoO4 tdWJfLg8nZ/TuetgQVYUeMbl4/ajXKXcrlA2tUG4Rb7Z+PaXRU8KDbRKXwndL+CAph20/XWx613X IvwSMCfY5KRirCm3xsZUwXNIhB6NRPk9rj+RaSdzB9R86ECLibPIUQLCl3MSrbh3+Nxji7X8tJ7R kJDSqZdUF3e3l6+w4bDad3GvAOoKzDIZV13zXb7ljliEIHv5unfxZIOjC+zYYaK9oN1WDDUmLR5y ra9rulP5AhrhtvegDTlprTn3pDHptdqmu8bbcBLTBBCxV+9dd1lClwOxtrxETO5sbXXP/AkAGDOu cH/8PMVmm1Yg8pmmC/5ul1cRzoTxVwdkbm//yDaD/xfqiueXnN6/81GxLNcQYWV5aasrL7ueDW1w b+mwqPffjcq1s9YlC1nEtcOfgruRV5MiF3NLPx3yqYxRKD/y74FhXBB1P6hFdSBQB194I0r8TovR JWLnQ4EW2vOtYdrrtcRX1OGLEnkbEVB5J83W8hyPm93Zy+aGHWHY4hZcmx3vUqh1phROFwcztsIX OJTgZKVEahytGJMN/k/rrQG6OvhZnM5GJVuATRaXzKp/dJ0LXwfaOc93o+xewwaUPxvMEfl0JC1/ TQpd1pz0S1ggyyrvGeRYCb8FZY4Gafps7gg3sVT8d+I+4ui9lEix9xWdCQNFmzFyWrKlVdNSiPeU tmjhjlvXT6cvobKgCYnZhrMiNcx+EovPJ7ky/ecwa8HJuzJwqppzOraxg9XsGOiiaqHMGI/sMMAW 2rfzfKn7D2xwZ1XOJYSt/EO5xfK7rBEaxMEhqcrjuHABwaamfd5Y3MixbSCvujZ0HxKaABC3vprJ T4y8+KhqJ1Aa9uEPDjXqNc2w9RVRM8w00WQwX4oBaPPJdJYaUKFuL7a0Sgi51smCP/0lJktujlqk kp7Jv5KwgXLYU5dzWXT9zqQRrL7CHq0bb3EC7vKdu3w7Rd+5cAzajnnZv4cHjlLux+MqRVZMDBar t3/Mqvyoo+AqO+gzg3nCoZrcP8Cod4utAhUZRkh5eIp0fBQSj+LDhyTJU1AYIWaSbzsBIusTMy89 xoWe9liSG9GfBhZoCaMCY/js1ZK7YgWEwRKTZGt2Asv5+CWRI3GTo92gOdwfC2NDhOF+VZAetZUX 3+jBruPV/K60DZrFQ+GF6QAytMVz6xHiOgUGwAdCo2SlYRyNy2Tv2S21BbafhOhzJSMcVr+IMdVs hdEnoJnO8/8BVtnf8CfbFivtPef5x+oTbgQuToj+1LO5V5cn40dsBoiBIY7UMKqLtpSj1ZCTx1r7 wtP0pX1tJx2QwG8cOnj7hz2tOCqP5XBeIRf9sLTdboqQakJbucUjwoYl5h/aV8ey179jqdOYdb8D T0/PCpemtZ/N6PSoDVl8zNj4YsSeosH86UOtvqmxp1XKgRr/5b63QEIhMgcApPxy9+w3pr7mYueH yQ68bi7CxxhEV8IS6Yu673hhU0+Z8b8oqS4HoN9bTCgedB8sRP2fuB3nAvIUx6SmAiI0wkyBAopi vbCoQkTtikTuG07/nbKvFOzGdGhg/mJAwOCAONveqYWSWYJPQNCT8LahkQF6445zTcjKrIGdir3A 8jK+LRZYYo15WUvkgoNaOhrMF666QIubNPBy+HpKFor6zXKkzEvnL2yaBnkw14SBB56vOq4WX5ma AhVUdSgPQUfuxZ65T0bXuP95lLtxtq6yrW5RWetpBfJXM9REb+F0g1998LbXRcrlp3SF2PGsT0kp /0hS5RTOKT03AEYBkGkUmXlL84Vs2RSSej3KfhqOGMU8WZq5ATcCrzTRlcKzibDg+jTD9JFkNSuJ 1LbJkdUbUO/vAp2M5s309xiJwiTtjcdwntRxo998app583VZnnqQZ2LM+HNno6aiZjRCrLRsu8Zf 6d6MCR6Bg0A3mJ46Y5wPEGIzuv/N4JMbTZuAWIfXm+6k12DPpX4LPNId8YL1JmTPWyeNioR91uQA Raxu+lyOMswbL2G0xp6FGhgV4a15zfxix1n0zzM4HurW+wbh7G0rINRrUOGHJLTLNSW1XKE7Iyn/ xkROVhLPzg0StpLZj4DK1iMXpEwgkpOHM5N4r4uU/JYvkRvflSsE6GZDzQKpt4+jeUuuBcAVXe9l RK80o5PF6Ggz6eFcTQ2U8+Qqim54DCTQYR1TJ2cUBaGjnLNTjq2np8PBE6OrtHqsHQMNBm7OIydI Fzq4H2CVxVTYlZ6ib4nrxZ9yhlNsY8YrzxKa20KVOofCKYOD0RUpFleWEu4kfcGqC/6ceGzf87ck 537+/2QmHjK6r+5z0MohGA5Kcz3/o4n3KvYgc+iu0m7qSLoC9BUiqz4Grxrp64C2K/rif4BMujdV VqcN/+dcnmvLLZ/UsGJ1u1D8xfJHlG8woB0Js61iOHMB5e1gflw1w9HI8eAmc+ZlRCXBIetaTMV4 AETDX6T/eV4hddm6SX3IAGUFno6yePSu5GiSlO36hKz2qZHkHRutKhSFHt2Lhh4YYPQJCxFFv+cC abu/rRLfCIn8XWPPio8GfSrS76Q3QGSKnYSl5EIDQvRB7KLHFExDTNvrC1rJWxfXYvr+8Eg9Yzhj DXqu5sSIYrc+2XuzKYTG0vabTO/W0bAAoIAqnu/XVNcPvb84gdGYJSLmxo7lTPQllIs5LTrYJrrV HkAUHe7zHb4TRi6s3AnwtrDTMxdecofx1j9yq4VfjU7nTYEWDjLfEupe2WhcSQQS2lSaBO74+lIS h83qPpHeJZp66TQc2piwvfVXJvpMxZns4kHVQnCe7Phggi1f4F/T64V5uph+QRenAEBdzul6qoDz Z+sVNPqkqIHUGa4+AC6b1xHerESuy0Si0kXnMy5CnTI+aJhrG8kpxUEjK9K8Hsztc56QLwAUiYwi hCi2g7ri5CINOxStpK1XVOUufYkVzsWvcYl3SSJZTOhchSXNINUcJZ9mD2YQv2k9OiUKzWBzmLoi MdsGb9sk+/S3ROIWLsopZVkbY1MjXF9t2yarIhR7q2p/6mNvo0RBLMLAfn6Qas8vvc07OKZgHtti A6+AjBuuj1+baIzWyUqH6ZUdrFj2YIx1qbJEKTCYo6499+YB2eSkPALxs43UqIMyivwDPXUrmSek LdvvtIeZXwx2zZRONcWuX/7s0MumNNG7/dWKpriceDoJhtDMV2B6OPOPaPExhiiq7O1h/9KIiNW4 0OGxbtjNyHqH7Heug9f3hC/oC2pFJiOFyYWNtsDu/SabPwJFWZUpzFjrfqi2MnyOHjCP5ZAtsnuX WR4SGkFj83dHHMt9GJwxZdnW7FPnjjQmPAK6jOJ0GMUhdy8A3CpK5kh7RNf6u55HgD3w2U+PcfXP vBrqs3FejiU/GshxDu25iRTOf8TE9LwMiBFkVLYVQHZRXQdDOzhT5igGQ+oogl+ObYnrqaS0rw4W n7JENUy+0eL5nYhDGaK+0dSvF/hUC225ygOARXc4A6SqDWocrAVWkAiC5Qun1/zJSHo/lEjq8Nom IorvBV9Jo0NEhz6AmCJao4TrFQvG8hv3gMGPhe+6PmTx7lvwj0EVs4Yw/b11YYC6tchQ1s6Q8ToZ WsIA+HvoxI52eoWlVdbSKDkGQYaFflr7iTqACFi9kIaScZkjFDehR+S4N5lU3qwAY2IoNq9G4wCT BuM+XF1vK1Fspsk5p+oJgU8Ps9hYenF8KL5ksBvVIuF0CeiDCxwq7xgrxoAZBB4xSW8swr0qVRXA dVN/ueTpL7+kCsQQQdGw8VO8yfpQrYJeFccgPz1+bCLamiIdlaFgzeRoJc5/lJTelYE4aeIykoo9 8HIXKbtfDqqblwUiK2beJj3GLAKUqTvpRW/dUZX6DY4rCr9r4v/82NAyzvgJOwEs1bt+MMEveYoy 6Fg2slLLNhCbvwE4ZfJmO1YxdVwzs168cW54iRmhPOpVQZnoHn5n/o8eG1wpers+bg/Pv+zVps1h QUolFimmP9fu8ZbCMH1QCowHUYWk9JY48pqiFRHEXYeghRlKfj3wRN/9yGM2EcRIZnEZiBx7OdvM SwOpS8nfJaLh+ZKkRoLUpCVoaEWNme++EcF8d42/2pLimKZ8z3CnPM3cw/iStK1ZA7s0mhxN5KFj E0XCPmUkyk+ANYPBKHK8KUR8/db3ztTrM6PpCfS0r+W51OqCGD0meDBbRypMmRvjCEI9T8LxLQhI IlAXFMIJPcMZ74a11dEIIpYM8ywsAlHl8uX7bsZM5p6Y83co0MDl8MNKpao8OkGlZbsBFTyCYxVI OYnfPklbccEw/OWJZ0b9a/PE7XXnibAnpJERGaWCjqbulF7H/uMNZiogEUjJZmsNPvqCEUlrHT/+ 2IFkP3coR/+4eHrpmX6CYHxnwKnApvEPpdb8sNndvBD3uW1eUJXE1K93yz1Cf/MuVnDB5ewhxfnG fSM0bdzjhBcZOKnyXCFr0I0tCxYonFQc3S3hCVUHhpMlovlAcbLAjcK3ceDI8OZI0VQk9uLDixr9 aOD2Kpfg8cqcje1g/fQPYJ+07okkA/XCuWExstvELLWDWJP4VFv0Ysw7ET/IYvSmMXNCGjPyWHL0 dVZ6lV9mgVzsW9Ay+WzM3AOAt1/XQEePGjYtaBncJiP9o6BOhATkQPsrACT1flcz7Bu/xiSXbIWI N9K2X19TcUbbJ3xCWraPTH2AzedXuoF1Q4PzLdv5uv23V6l25rbhKQnYwLg+wHJfF7vdE6nhObF2 wKpklN4DBd7yOMj11dGeHxnOfCJoW79XRnhwI4pO7BboR5fsuHgfAfpuMqNky+5W7wRx6Yr7J1wr uE0NxRQck/vT1XszPxaxaXyPm0iPYx/wQ5JZn6vE8FU+Mmioi+qb4GxrogRayKAe1NJ1OJSmM7D4 H+LagjjY/zNSWUX7H3GOuOHTS/cIu6yKqogyrY0tamGFH4R/4UDVdcraZk0iqVUGkyudWqznWmnq 3TpnMYsiHX51rJXzFr1lcUklZkwb5J3T5TOpgkt/CAiYars6ELTBM66Vu7B+XPAdxUWGP/YjRRRQ QOGqtV6Qt/swyRRPQ6V0TShtmhLYAfsgaSo54mqg6U9dc7laymugUVKPpaWFH3rOBhwVePtKBNMa dGDAYlQhF6zA7gJdyvNpkuBgbcZdUktJB3Egw5qo3spQieYwt2skrAywvFqmXfbZll2mBaeqV6aT XBQPNgcsK86xN7lpAAw1jJ7+GWZgu/tpce/bL0H7AYYuqS6ka2js/UI9aoEFp/vZr9h5Gjqkm8A0 TPbtAB9AZNcrch6dzmhdzG5E6N2d8W7MDx5fE9iGTCKa/OfepxhXtIjcC45PF85tLRhs5EliDSa2 YjqvWQtZ2btus/C7etJ2SRaE7wWR4GKhRPrjCBPAFQAPEB5YYhx+x4G5D9JVJRHiZULol6KiOycM e/8WWBVPXd87dlzwaV3pUN9I2C5wKeZ3G26yH6knvUddGHGGAXrfxVS/P1b4LSK7cF7du8RhFa4V 0Xy6rZbqcX/PMYRL493QzV0WYUT9hpFOAfIWbrWCyi5pCwt5RBG/Z9QNTBKtzyDj1+ITeQ6nYREq 8G5iEX+EJ2wUMM9Q6exdxlZyS0yv8AZsdj5iMaWLbfvKjsSqXLVlypT5yoQr/7V1cy5aCiDYUfNz ROTVddKXjOqUdxmlqgPy2sptKjpkbibc7OQBcSMHXvxp6bCTVFI5SnKMCl1cA3/UeNfrg/tuscLp 2M4bJ2xhOIrbNS0EroKhYvQqEeN0WmWU7tDWXziiW2m/F+6zSGjaLbcPqZfhxeaKzkvLpwgX3bx/ Pxf8ytakz+Pj7RP07070VTJSWGaWbIH5tkJFHvse3tK01fis8OqEXszjfeh0VvItjAA86eW358Y3 OxmMNVboQ4ReP0GuJ7vjfO2ZaG1RdsXwP4M2AxxCC9tnHOAbqihAzYSl9zdDGCPXlezo9GjndVO1 qPwoS368T9M2se2lsZEmCe08qQxYasz+TwmcWvXEJXP0Hr29PBsuOKTd4KmtJN5rksgrTD+KzCV3 guO1s6XVNfYulN3RwowZYe0ZHY9i9KLNox4artDMi3WOTkAJTuleCQYvcl5Lb3sBdeCru4e/0ZQL UL69UVbj5ZrPpV1hoMGtFdUvtXq3lXYpg4VBIojcH0ikd1tJLvaB3GHKO1gQ6dYgyNYi56JY+KlO Yn4ITnF4NuKc4Cl2EQkr+OABT4YPSH7wMxPJRdjl8S5eCObybNTq5NSKI/UEvUYZwILtkVOcSFcL RngFfg14XI8KB/8NxACAExpWv97xU/jRiUDnnQBtwPMtwggGs5FJq5unsasVwXg/1VPIKiazrXFe qX//oyYS0OEoQ2SK3SfkEL00YzhFUhoMV3QCX/YZ0UR9W+OGs/iDFhSmaYi4abdwn/56obl9foGM YiOi+FUgLN6Ra8ky+zv8iQXgNpTLv3mSb80RABKAJJxKrzDMNt2OlP1BoT5YiqKgD5CJq44Ds8Uk uauX9HS8yS42bNyqtI717L1T4eTIZ0jcN0+s4l3mtZFwoSCANO7lWmPNIQHmrbOIxBtMZA0sKK9l dXFsSh621CKro8hk9vShX77z2hI0yIV9MQy+YJ2BEC2SJH/DqdQ4DVKWgm/a9lWyN5bgwmeziXxh ItK760eUu3N56W+9Zrx0oIvcz93t8pkyJjQ34beOsDuqAm61ySnm2LJItjNbZ12C4SsURb9e5nB6 DcrSSd4XXcXQQvIIVX/21g7TMneNBvUiP3WQ7TniOWxysDqbErBrdSTjbMyH4Qg+yEKlesOH7Ise 9JUx0Ie0pykvfh9S38VPrW+2FBQwY1kse2gn6v8d5C9Sxx5ZkwCAdBbTka3wIY1Tl2VhtM5QbpQF Jpl3Oo7Ewq8FSU4hOCIKZiZnCrc+wK7J9d0UKOFGeylDalRuHEdOteLxm1CEaszfcrS+FGg3c3pU 61ig1324+hmFatvz6h+LFC2zwsX0PV82sBWoqvarF1cACzk/mrYUaOBwXhMbiBU4ikv8xZ5q7SDp 2dijZLW0KvJ/NNLYJ3zWxVmzfldeh2phQDm3+/z5J1chgJhdGWeYqq7T8F1QqpnHkqoVHqGU/D/O Dym+nRv3Px6owHNdpIyYR/2q+sqo5nj59nw6ETDut8Bo6j6PWcHnHIlXgjCjhL++fxzkzVAenjVu RJMEu8pilxu/p/lrdJBWlsvElxoX6yY3G2qzEp7bQa5dc9HsfIioNF2Dh31A5ImZZRlbpwq2BVmR 2ciKZ6PkEowfakWTcIp4dzIeXjKSHhknhSPzUiJnM10qStedRFDfiErRt+l5IkSbOPwElk/BHJzJ fta2k9UqLTUdCp8vrUF9FbAM2u6I8We3GecRrGmdSwMN+gz7zm86j49rnFZBsOc2G8Qt3QDCNaIy gOd/b72R4aTvaW/WIlD16oHnBwMvAGdJ5O7NNy4jPFgSCSoAAVgSheb/zkZw7BChGVFY70KrD0z2 RsmJQhALmY1Df1TKlA1SJlguwEtSW/WbV5fJLCqxS4Cu/qoSEI6AWmLEu/yqHFaUiilQAtvYWKZ6 gc1heCzK9YGwM4vmhSmnDHz2MI+HP/YOzIs45NZ+jjFYAVqaQZMF8Sp39M3zzFO83zoPjjAsgAR9 jeOWY1YnH9200fkGmrdN2brYCWc5V4PGH2wN9kydrOaVZ96EAoRIQFypDJtfWV0SOCkHvhRAk0ED nfJZokKdZ1lLL/9HtjSyKDkE77ANjiaFw54dzdHdFEaNqUDQn8jaCUE0plsGtxtAxe9GuEA0Zma9 fuP1DPG9DR6IaGbvfJHfDAs4Ec1khLuzaAISxj7j+6PGPw4U0FJ0Uj111Zs2WZR70tiho0rm6y8i At1UXhOPU6xV3yg1oEjX8GANwKDpsr1iPpXB3Kvt4Z1CVhHMvTKBE95RoZtbxKGFhkgFnHDu43QJ krtbENtVdcILlrB25Vt727XpOVdWEgsHjntNH6c4s92xFdtKRCPEf88LdSa7Ztm+cRWYEPF4uB0k z7IDDAuB/qrT1jxadBy9P9bHclbZhRat1pZFnI97d6IA8r03P+Xxnao5CiPXJWKC/BynnTdgUzmY +j6PvF+ABwE/N3XPBE97Qc8IgbeiL2R0HnMIYR1/FqWuPMwjOliEc7auxHqf+NqgTYKsPyIsPL35 wBLId+shdfjflARzn3hVijLN55YsgjSAnV/wD/Vs73usInIIKdDBfp62s/TJLxxefSt8YXnIRxTd RX9A7hrLtC8pTD0pl1o6kYATgPqxc7mkbGlgmNVhK4sVIcJOStJM9Wts3oj2gz0sT9efvzNoZxMz q+wee61205gnXKDdF9G88O5x5bcYlfHMUnEw1A5JLWyAjdIH/piTX4VpYxtGBULIJGAzhmF1ruMt hOncFkN0pS4VdlXLW+2wQq03H/GFfrK8yHfK8vEiheLMTjbHdSyLatE/jv8oj8mGcbIGAnBSauwD gi0Ie004Q8YOO6aoMDuBLxG/zK9njgW6v2eJ3OdjI2otEIntaWw2Q8bvUYmiVhTIgGcoO8+fxTFJ oA9++fjhwWLwUx9oGQhEnB69XwcLX70CCWF/bvmWUl44ENionlOnz5J9nfVr8aOCt0Ci4FVvpOSl 3d16FnyTSXkZzdMUnMjl7HHEms9cldc/40iA/tuBKdCBdgsK7x3bPQjsq/szXXLPLmq86w8B7IBM EUWNPYCNO/QBNHpvIsMKbsoYwewhc9Ylw5ORi7222nbopRXwVtHmvK9yhPdnNDRlcL+g0HnOqEsw geMV6mnpNHIW8vNVElORwgVn94K6lWlsbasUyYCnklNH+7rTXvRYBGkvGSyUO/kezVvHcvEFsjOR 7gsUI3gmpVtNWfJYPURcXNS4SlngQGTIHDUp02B1cVH2CC1KF+wkh3P9GxP3KOJE1SaJsFuCD07A 9iATKXw8rKo7qzK+X9EnAV0QmqJlEGuxw4XK3LcFV6Fv0syIEtHWjVJnVc8CKCBgfOTsjpP7mRL8 NXQYZRZVz4hTC3ih9pCfE/6yeREWH2ieEZrmPWRZgwF0dLZjlrnicgwyzuIBdoxqFdT3Ur5vW3WI R9hdf/OpsKe1xlYosesOBLKMqRoT43sYNmO+fUWcm2U/m4oeKCqm7OxO0pY6rHHCG/XAfcRMVN8e jiNpkEQ1YhIR6Kw7VSYogksPOYVojv+rl9diO+C8DQmzrp034RSVRgkof4WtfFkFmZJPdN1b8nEH STQyncXOzI8NImmCPvu04qPFSLAdbSB5+wHV9dWJG0xYG7RneM6ASJ9+Buj45gFj8b/OmFJSRBkr gF044OfY+71Eh1O1tGz23IN6n+0Vj0yTHP7OcmyadRH7beKHBtgCttBaLIJkouYTBahbBoXilrNr h7GFHIbSBHl/ZL/7h0BBow61GmYXGW4vfLwm4K6KZbsoI1PpUDkESdjVJbGYeG8dcznGsE1EXZXu +LM3UJhI47X4D7QNubSzEvSgElMsKEV7gorQn+palZTKlEs39FBanNJo739Nlxg04Up7/j7V0kpA qN6zAcjayA0hCARH2jeG++pOWJI4ShI1xF58+gLrY49fXjet6A39NbDDaHhIIXV0ROBTx72Do4Ge hm17OKm556aQUhLxt7c7DiG+ybSJzDCIkerNRgqUi++iQu4hYdjI19q1Vn2RoUiUBA44TRV+wUat BXynEU7Jv+X3g8DDkLTNfXIM09PrzTNUG+Mkyn2g/gisxlOSuvUd/xvrEYT7PgIx07GsO0fLyS6S GnBtRS22EQ9oBIP7Nzk0iArD62RvYGAL/KiZ3ssRZ6Qued4AP2oYa9bC0buSRswK8qY/imTXMQbA kwuFpxXMfgi49UqPjyuoAN209pO/otJWcoJ2aoJq83SUh7y7tT401BpaQI3Pk2D23vg0VytfR62G WuqzyGXbzC0Dcn5+wIq5qa/CN5vT07tbSYCLNZelFOvNmH5F6PMR6tW9AXVL3kEAN7SMiUbAoCLw 4kIvz5lOHSZNfc1Y8NtzTq1ePvlIsR1E3B6Ll+hkx/GZxgjxo24RUSH8qw/yOiuJ8nss+CNDsIFe Jyehgc4HjfSeuIuDNUw+uVfEJIntPB88zjtnFPZLSfw+FVsdzPKkojaBFinPQotBEVRFHMNJ0sk7 OLALmHr97nNZoK+R9BRw/BNq0qM36HuesKY9d8XHpZ4Dv6UKdxD3SaQmXXK6yBlRt9SuxaSSNTrU GzfzWlgVqED8cCIpXBzawRZriwm8HCjY3gsBbE6fJt5+6ad7oezzL38cEsdss3E/uyaKZjjU7sky ibaFd3XeUGLzKptDkf6cE2mcMQvVrHEbZMPizNJeKzt97c+DmEWJ53bSqf4mP8tcGlOIvE4f+3Ys TjmHcPFrBwbj0l7vjguXjL1l4RLg5GNL57fglpPiFMg6wi5DxgosYpKdqv5EDKe4HoeF45/gT90R /mN9fcur+gjraCKXVKjp2tYAQWMcuG4Uj+/0M8dfnnXXL63Y21FYMgVBA7frwPnJpxl9tAlPr80s QRDWOGcoUJfM3mG0hjYjO7nJQnGhN2/DY/V2xYbQCEW7SrsH12iv5RcRNzha9JzAg3C3pVRzNY7l q+ELNJ/QI3dKeAMt4SBWXZkb4ghovrxhKMA41BD7/HbBFuMqLEe1s6EY9Ir77B8I4oQvkQ0KhYjR ywL0ZxLF+T2enmhFS+eaqtUcX2WPfyrW6/wuj9pMr67PKpR5zvu9sHENPsrDeIK4wJPfdbcyc+5o 7uV5aUXbgPfvtSkVGaITyGbmgUl2wnw/RCTvd/rSb2Zm61CM5cy+xSKz7JM+3z2YS+7zCaVmpFAM DOsFHVDI8AOd9ubieOfK50wTeMlgGyWRppTxgzPer+5Xsy3OIXcBPblPkzgAfTGNXe3Ur6YQkHKH WGHGiY01UkL+V3vhngs/3xOv+u51csREuPj7FYcj9xz/+Ndase55+vA1YfmYyU1AT8rj7a8ypOaS R9YZypOPdKBQi13nJx/JuIagdBb07C3ql2ETRFD/St9f2ApQq8i1Z9AGCbuybjCMlG5jXgi6/Wo1 GlPvjqutqJBs0RmLQEVFvn1v+Jod/ryT7rHdyRqIGbul8UYCpxsggyFwxl3EIwCqdnUz8PetlXs/ jimLGVHQ0sLiEYAIdDtVlp2+FntoI/L9Qzuxb9Llz/WBvZ5wUp3I0IZNsm7PEi4FVI8eWYnc5xGM TTb4+RAbrdAxlntautl+ykYviQE5t+brbA14ZNFB0S/Nq0rLDqqUkQ9TSwqy6qMoUhsc7ZcE+4ef hHZTAGH/MkAoiHaTdsGR108VTVltsxyj1J7Lwuj/1Y+F9c02GqIbJDj1OxvpbwuqWN6UyX93lEMn gPu7aQSsQCmt1Ga5pIMdWE0t+GiYEuyMwM5zsPS22Lr5Fs0rB8Xp/ARCn2ljXvAJ1Bmcp8X1bqu8 dSUx2PLVx2S+vHKQgYdiXbCJbyatOaELJDlBHhTRee3oTWhmTqsD69EiORPCe31sK98j9hYQGoHm rSoxyBoDUwPZWLRRfGlIueWO+Q6YY8KTyiPpnM4ZUyX6lJSc0VoKqPg4P0/PtT1Hu9cVWc4hQZtm sU/7+HlijoceFfifv9pUqQeSsc32nOBwVpbinR8GCHbb40GsEvxOQom3PkkgJkgx5bXre91WyUvP W/BFh4kcIxsFaunvjYDL4bQ0N0jkyjE6eXeKDsKnruijl9oTMPjq63onTVDPMNSPdbPCFoJyphLS j9wY4nuFkmFOH0yTG+dHyJceBkZszmhKiS5i2TTci3uXCrntS/8BLC4OL7WKwmupKADCfTnY5jD0 Jc7l2jGIRkEkWt8V1TlwGsljgPGvabhDN/2oVSrT49lU8t0vjb7UpV7GAxZsnldIR+kHb/kGYYHh XmEf/u0votk85a9SFc6xon1godVsEthkxXEEQm9EF+XydeutdLgkCAT2/ivZ1U8Sw6cE1zGeUb2U jchJ/Axq3YECPk7iDvyKu8Csg4p/igaG7xjZ1UnxhZ/ZWfYsqPvaxHLCJuJF+UKJ8VM7SUGujadf AX+y4chxgALIpXyXad6Qpko4dVtnUbP08anB60yP+XfljjZSCQzdIUYtdzAhFGNPoU9xYWystOyR A6klaxSC89NsOhYLX/xsgbmMRFStrBpB0R+gIqGpD9IZL12L1pFj9usLUOF03S4ECFSpbOb45nFn Q7PYVz1wiRBBIyRkmeI2KxNMJ097FODeJmm5GJuMH2yP/JYvQj8W1+gRylljVnuS+p6R3LHlYqjF H6KcFSfTXj7CwuSVzhJMdHXlXvgKTQF/WZ4z2FDp000uTFI6OQjLtPzWrvj/75/j6vZ3Gre97Z5h SU5ATryTVgzUGH0XYL6AiVtFQdzSVzGe7UuVyUSNEKNbkPDr2RnrwyK3OMvJA21FWPUiw7nJZc9s OaD19EBGdAVbx2aSMduELoRPwRvlS/oYrP/97nAZl0bixD2GMri1cpii+4r0KUtgbIku2INxR2/K XitR9ACbBFHvMgUorTamNWg/WOA+1+OXQxc9fsrdDHTRmEUXf5m3SfgQfB2krxPgiEQkZ5iAEAkI FeUytEUv79wy8akejslt/jFXrVNw0q9ZcxSZM/KcyZQqQnRMiqwHrTYB9dya+Jjazb7MszdETPNh y0q4EoMIHHJi9yQAKPYfy30IgyDeT7+nhWfwZgcKyNhnKpEVTMg72TAFWM3I2AReqIhHTvsXBHwE MU4UnuAUs+CEE/R2MZY82GsWLSwIRnH7m0hXT8IwvXZP7mkjeA8HutdrTgSSxQCVyHUGnIhf3wzj FGRUawnHj+298vspBv0q0aX6dC8pJ41hwFj/1FZC6FJjIZICvoDaQPOKMlH/Y34DV65CApm3bBGU LKsgG+wQjonsEkQcEQP7PTEq8IvOo3fdPdHQutcHlusA3XN6r+LJARaJbqEsMDscyT0bKw3WiCaA S+7GRqsLDKH1eQVhGpTSBy12z3wWkcYc4yueKc7y8yukKHLaxcSpm7T/Uzd0jLLGqkvzGhAHKufG 0FIdf1gwx7JzKxXNi5rochOM2j7yyAUgdoRSkOzL0fxLNrBXa9Op/PE8djztt8CNtWHyou3iFDnE qQ4Rpcf2Xlio9ThNO0ODCtDbhxd0uAH+43lNQ9gKIwlxtrNmmAMYnpESG9z30a7230zq3K+pC7ip Ih5j+9fyxBug/2GK3PQ8kFOsi+O3yKKuKJbf5d6lfc9UIhzqnj+bZaxO8EQITpm8MH1kQnztpdl8 KihjwO59XuVFt4ptqWgwoeZKk05NMGgDjx9khEWbHr9Hw24e2cE1yOb28s01zObsY8fiOw7BP6Sz Jlzg5kXgGpu4I9yACJ3HefqfgEWjBUiIDTNktlkQ9IUPynGBIS3mDFldtW15D/yKGRbpdmEMxXDf TGeRirOWnIsIXru8K+UHNOz2A5m1Pi1EP+E4mtNFlpOcPfrzp+N1wZjZ7CheAdGV1wYq24X6SiJr krW5XSQ2vlVTZ93ed6Em0fJV6g2hRZ40eqO/OdUx4Oz7a0ROMxKHPrwcEU+918OcCDfnjxb5PlW3 c0nByh8V9rrgOrXOZGVXbO7qYkbCJTG6SnQKqHn6nIst2nZ/RjD/2OLPHtKPM0Y8xB3jMwaHA4a1 gR4gMW9TAPdMCDdAhwZUgJye0z+CHwLDKkSpdhdwJJ0AtrXAAkrPnEtcyArxYIYTdGuyJ1ZjRL0o yQeEoGaq2lDCOfWUd4rcyxi4tHTgyy1lOAsGaxLD3Z6QD1WmjGUpOfLo/6cEF6wF8vgCj2O/HWct ZVssnHCVVWX6T37H0n1bt3Dp6xVr6tlW7JLAxmgZzKK2JJwjyPnsGkgiD9YnatlZBk4lX+8G5fGi gieKnK/HHy92/W5uA9PYrkUG8BHEFuPhiHgjf/TxT3rbF8ijnDPu1zonp8XyIcGxVhP0MJ0GFYNL lwTc51gz/PrBTtecbhcdg2BWEm00Ng+7xvrVkRrC49+kT8wRdy6dYxd2JIzCED038+BrgPB0eOnE f5CjgO4Ngm4HzbDuMYq4kzVDa7aD87uWPXPF8vF6awV5gprVVkyutoKoaog6qO5gL09/4JnOmgt+ BDYoVih9gD62kaNLhLJ6p+Dqr3w+ahFu9ciusj7YeoFwCh7E3h7mNERHk7WFhRMiLq4NA8RXvODY 2bVT+ybppArq7Xv4FQkg6ACJJkc4mvWKhJGIiVaQQtayHjZyqFYpy2oyH3c8wF8cX35OWC+BWkHQ 8NSF5d2rMAS6xtIQzKOde0EUYDtcq7JXPFMdfiwGSSrSKF1L3gJZaoMwnxppwUHWI97u/s5y4Ull 6QRljpzs78NNxhrEvbVFMp35SQRGtdHEjy994a9lK9zG17YWCgf4VoaLnCwUieo9q0QPGMCUmD9d 29r0BooTjpeUjwhOwdUDD5YVE3yT5zLMa9rLVCzoWOZBNmUi63CNYg9IltpXZkQhI6G/9UTdGDej V9VBSuPT6SdU3CPi7nJeaiCRlkibytixfhaWDfi3a4hSCARWfWrzgE2Z9nDHkcjLIexoYL7rvVcb kpkhhcbDzlZXZNXKzUOG1T0jMgnPrSfuepZdUJFMlsmhCe2fMx70bChF7hdKdl7ovCwndz34Pa2w d0QVtBWyWt/CdfzvDA26IBvdGspwWAOuvBBmeqMMfOM2dY69hZL1RwE8aSloJffChDvqrnAaC2BM C+haWOhG+iJ+FEgvRd/2+DA0e1tOa/aUi+RVc2zAcCbx8JQi0orP7FVScesuOI6ET0wjdYsaT8D8 ejRRLyHO2kwKoK5b9krXQp67yIXxPmePyuByfpJMNYANB4pN11mTZW00kVPh4u9cx9dfKsyIiZXu TQL6wFQxqI5kXNV8HGqjykxaIDllGTz3GAMRNCP3ZXMMXK/sYnnfPI5XI4KPxMjW9rvh1IKJc0zb pNeigvhCu36Zzc2dnBkO3pDpPPCKEOqT9GDmG4v1+b7RKnJdi4j2ce5zXkPxn/kAXrg/HwtT5ZeN 2Di/QQbRIZdlnMND68Dxt8rzOKZAX0aMCCM5nD5COzS5T7LQkpnVFrjjSgRLYgWE0IBWMYd6SY+Y xPuBg3e13odyLlafJ9TDsLNCP8ob9YhR/p7x0MnEbIBATICkNxu8XNfK5xuNTRzy0Qa0JuUFvwdo Sf/fVfItVm1rK+J9TLXLdyCFA/B+wdN24nNDg78ZWIc/D4fzBi3lPcNQWQHodZBu9h5oMYDUETV0 PgLC75H36kI+a+6svyG+vQmReNv6Xogd1FqEdOZTmb7coYj5zb36LO4LJtGxSTKZFdzcLSCe/qRc LICyR3qhPysghHmVI25bIT1Qf2kVYoAlDD+lwYu/k2lhB29cAqLRMOrG9zDvr6c5+uPIM2qA1wG7 hr3dPeYRFCG/r4YObOCaAKtFK4XH7x+93aKsFrht8IWROYXIDI5KXJ64J7vH50ue+pbaOIvFWdwf 8gF2Xs4x8Fgyvh2dBwCKuBoKXqiLeL7WzLzE4J2w1BY2d9MPprRGPtgOsouE1Q6EFTbCTEYWAbzt h/P8VQ/P4/v/yY9ZVETaYqIEEEUH2t122GiKEhwZXZOG62WtiMf/dv+kD48NGUeCu65mmc81MFM6 orSy/zf6Htw9N8jyW4oJYGaXWT990MTu/oeYxVKaDyMBLsXBFJs7Nh2Fn1lWzyep/RcrG9l88cYV o1MNBNmk53vDosrcEtM3K/tRpvQnzU4nmg4UKvU+i4e9eoot7Y2JPI9RO2kzy3lM5UVk9asycrJ9 nEiTUBavhcsQSNucskatvTObE5fjiQdEwjQyd6AMhzBl+4fUORykMVUhjT0/PASRIEIv6l5S87dM Tphx44+ooeL+YxUJUXJRt9i2KDMyJrU9tuZ2DmEHP60BKkDShOPcWvS9TDJTWGiV1fLPfxeoSKjc J8AShRzTcMlhJejd8XGJNm8lE3S4eJNGFfn+Mt5m/kAMh/G/WUToRttPcLxeCug/1D+O7Bgg1q+p 95219vyb8bLgSdDX3YUNzxilSb39xFWiu2P9hoErWfe2hvctC5boXFuzAqGFwQ3i4kiFqlOaSiGS ULKjJFL78DrsyxE58sbILM8a/74nv2RU+8bC/T5Yv1JDhcBSIgSNY7eRHWP2QGO6KK7wo+j4QviB w5L45ZZnT9Q7 `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \multi_QImult_gen_v12_0__parameterized0\ is port ( CLK : in STD_LOGIC; A : in STD_LOGIC_VECTOR ( 15 downto 0 ); B : in STD_LOGIC_VECTOR ( 15 downto 0 ); CE : in STD_LOGIC; SCLR : in STD_LOGIC; ZERO_DETECT : out STD_LOGIC_VECTOR ( 1 downto 0 ); P : out STD_LOGIC_VECTOR ( 31 downto 0 ); PCASC : out STD_LOGIC_VECTOR ( 47 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \multi_QImult_gen_v12_0__parameterized0\ : entity is "mult_gen_v12_0"; attribute C_VERBOSITY : integer; attribute C_VERBOSITY of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0; attribute C_MODEL_TYPE : integer; attribute C_MODEL_TYPE of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0; attribute C_OPTIMIZE_GOAL : integer; attribute C_OPTIMIZE_GOAL of \multi_QImult_gen_v12_0__parameterized0\ : entity is 1; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of \multi_QImult_gen_v12_0__parameterized0\ : entity is "zynq"; attribute C_HAS_CE : integer; attribute C_HAS_CE of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0; attribute C_HAS_SCLR : integer; attribute C_HAS_SCLR of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0; attribute C_LATENCY : integer; attribute C_LATENCY of \multi_QImult_gen_v12_0__parameterized0\ : entity is 7; attribute C_A_WIDTH : integer; attribute C_A_WIDTH of \multi_QImult_gen_v12_0__parameterized0\ : entity is 16; attribute C_A_TYPE : integer; attribute C_A_TYPE of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of \multi_QImult_gen_v12_0__parameterized0\ : entity is 16; attribute C_B_TYPE : integer; attribute C_B_TYPE of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0; attribute C_OUT_HIGH : integer; attribute C_OUT_HIGH of \multi_QImult_gen_v12_0__parameterized0\ : entity is 31; attribute C_OUT_LOW : integer; attribute C_OUT_LOW of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0; attribute C_MULT_TYPE : integer; attribute C_MULT_TYPE of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0; attribute C_CE_OVERRIDES_SCLR : integer; attribute C_CE_OVERRIDES_SCLR of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0; attribute C_CCM_IMP : integer; attribute C_CCM_IMP of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0; attribute C_B_VALUE : string; attribute C_B_VALUE of \multi_QImult_gen_v12_0__parameterized0\ : entity is "10000001"; attribute C_HAS_ZERO_DETECT : integer; attribute C_HAS_ZERO_DETECT of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0; attribute C_ROUND_OUTPUT : integer; attribute C_ROUND_OUTPUT of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0; attribute C_ROUND_PT : integer; attribute C_ROUND_PT of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of \multi_QImult_gen_v12_0__parameterized0\ : entity is "yes"; end \multi_QImult_gen_v12_0__parameterized0\; architecture STRUCTURE of \multi_QImult_gen_v12_0__parameterized0\ is attribute C_A_TYPE of i_mult : label is 0; attribute C_A_WIDTH of i_mult : label is 16; attribute C_B_TYPE of i_mult : label is 0; attribute C_B_VALUE of i_mult : label is "10000001"; attribute C_B_WIDTH of i_mult : label is 16; attribute C_CCM_IMP of i_mult : label is 0; attribute C_CE_OVERRIDES_SCLR of i_mult : label is 0; attribute C_HAS_CE of i_mult : label is 0; attribute C_HAS_SCLR of i_mult : label is 0; attribute C_HAS_ZERO_DETECT of i_mult : label is 0; attribute C_LATENCY of i_mult : label is 7; attribute C_MODEL_TYPE of i_mult : label is 0; attribute C_MULT_TYPE of i_mult : label is 0; attribute C_OUT_HIGH of i_mult : label is 31; attribute C_OUT_LOW of i_mult : label is 0; attribute C_ROUND_OUTPUT of i_mult : label is 0; attribute C_ROUND_PT of i_mult : label is 0; attribute C_VERBOSITY of i_mult : label is 0; attribute C_XDEVICEFAMILY of i_mult : label is "zynq"; attribute c_optimize_goal of i_mult : label is 1; attribute downgradeipidentifiedwarnings of i_mult : label is "yes"; attribute secure_extras : string; attribute secure_extras of i_mult : label is "A"; begin i_mult: entity work.\multi_QImult_gen_v12_0_viv__parameterized0\ port map ( A(15 downto 0) => A(15 downto 0), B(15 downto 0) => B(15 downto 0), CE => CE, CLK => CLK, P(31 downto 0) => P(31 downto 0), PCASC(47 downto 0) => PCASC(47 downto 0), SCLR => SCLR, ZERO_DETECT(1 downto 0) => ZERO_DETECT(1 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity multi_QI is port ( CLK : in STD_LOGIC; A : in STD_LOGIC_VECTOR ( 15 downto 0 ); B : in STD_LOGIC_VECTOR ( 15 downto 0 ); P : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of multi_QI : entity is true; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of multi_QI : entity is "yes"; attribute x_core_info : string; attribute x_core_info of multi_QI : entity is "mult_gen_v12_0,Vivado 2014.1"; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of multi_QI : entity is "multi_QI,mult_gen_v12_0,{}"; attribute core_generation_info : string; attribute core_generation_info of multi_QI : entity is "multi_QI,mult_gen_v12_0,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=mult_gen,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VHDL,C_VERBOSITY=0,C_MODEL_TYPE=0,C_OPTIMIZE_GOAL=1,C_XDEVICEFAMILY=zynq,C_HAS_CE=0,C_HAS_SCLR=0,C_LATENCY=7,C_A_WIDTH=16,C_A_TYPE=0,C_B_WIDTH=16,C_B_TYPE=0,C_OUT_HIGH=31,C_OUT_LOW=0,C_MULT_TYPE=0,C_CE_OVERRIDES_SCLR=0,C_CCM_IMP=0,C_B_VALUE=10000001,C_HAS_ZERO_DETECT=0,C_ROUND_OUTPUT=0,C_ROUND_PT=0}"; end multi_QI; architecture STRUCTURE of multi_QI is signal NLW_U0_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_U0_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_A_TYPE : integer; attribute C_A_TYPE of U0 : label is 0; attribute C_A_WIDTH : integer; attribute C_A_WIDTH of U0 : label is 16; attribute C_B_TYPE : integer; attribute C_B_TYPE of U0 : label is 0; attribute C_B_VALUE : string; attribute C_B_VALUE of U0 : label is "10000001"; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of U0 : label is 16; attribute C_CCM_IMP : integer; attribute C_CCM_IMP of U0 : label is 0; attribute C_CE_OVERRIDES_SCLR : integer; attribute C_CE_OVERRIDES_SCLR of U0 : label is 0; attribute C_HAS_CE : integer; attribute C_HAS_CE of U0 : label is 0; attribute C_HAS_SCLR : integer; attribute C_HAS_SCLR of U0 : label is 0; attribute C_HAS_ZERO_DETECT : integer; attribute C_HAS_ZERO_DETECT of U0 : label is 0; attribute C_LATENCY : integer; attribute C_LATENCY of U0 : label is 7; attribute C_MODEL_TYPE : integer; attribute C_MODEL_TYPE of U0 : label is 0; attribute C_MULT_TYPE : integer; attribute C_MULT_TYPE of U0 : label is 0; attribute C_OUT_HIGH : integer; attribute C_OUT_HIGH of U0 : label is 31; attribute C_OUT_LOW : integer; attribute C_OUT_LOW of U0 : label is 0; attribute C_ROUND_OUTPUT : integer; attribute C_ROUND_OUTPUT of U0 : label is 0; attribute C_ROUND_PT : integer; attribute C_ROUND_PT of U0 : label is 0; attribute C_VERBOSITY : integer; attribute C_VERBOSITY of U0 : label is 0; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "zynq"; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of U0 : label is std.standard.true; attribute c_optimize_goal : integer; attribute c_optimize_goal of U0 : label is 1; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.\multi_QImult_gen_v12_0__parameterized0\ port map ( A(15 downto 0) => A(15 downto 0), B(15 downto 0) => B(15 downto 0), CE => '1', CLK => CLK, P(31 downto 0) => P(31 downto 0), PCASC(47 downto 0) => NLW_U0_PCASC_UNCONNECTED(47 downto 0), SCLR => '0', ZERO_DETECT(1 downto 0) => NLW_U0_ZERO_DETECT_UNCONNECTED(1 downto 0) ); end STRUCTURE;
gpl-2.0
be61be57032aaebbe5d50a0c10aa1350
0.952417
1.815158
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/c_shift_ram_v12_0/hdl/c_shift_ram_v12_0_viv.vhd
3
12,268
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Gn0784Iq+MUOrhSBxQ/qjl+KV6SBmRBc/jidpFOBRYomOJn1PfFXDIHRE3DeDO8DZdB4HbIJPYjp b6BLFc/wcQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AanznVqtNPxpRuAPwrnej7QA2VhxYzXx6uZDSHuHvoBDVrL3FLQTnlv7XaXKPF0lfBdYWAwLs7nQ s7QMjgxFZ8PvKxY+u64t9NW8Q6JQKwod39lcc2pxzLDmeqG5lD8063uuWlPfz0yUjCH1Unp1zHRY FJ2mAgtG/HVBckAsIzU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ppc9eNwBhXL/+jFxk65UTDPTk4BHIQwKiHYHPp4rjd5MXBtYlQjHWaJQO7U5nGcCB1mhWCogYjsl RX3Ibvr49ho9Qpt516gGKmqretEnGf8mEHeEfEsYwRP6Zix8vMb8Bj3Bm9Dzv8vznKYKF+KKGu0i WUmbgPnuf3v0UMb8sRaxJ/I+6vjfOQKtMqNtH9R/+T7jM8ezukzYsedMDs3f4eI7MuaIO+YurBT5 HyutCeg4/XKTgP3auVoR8r6YDY6BPK2BhAI3L/xPPFp/ekEIk58T5e+8BivQDqWvlBbiYvuiT3If 655TS5NFk1Fe8laoqvnfdaVhlod9ODSl2/oTmw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Fyz6ATTf+Rf+xD4rOSL9CTe0455J4pxKrcPdTQDTus5hRuwAYsipBDWMGp+DDOC6GHDGe34s6rkm OKA4AhVyyrdEJV6ZBQUpYHTieSi68HkJinVoT+uEHURZBBQxjz1f2NWlcG48qyFYaWcshe4ODlCK uUeBhaDVmCTziYNuom8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dV7IbuawM3QyEEZqKHLIlmOfmB3XfiKy7sF7dJoeKgvW3pGW+bTVK8vvBFnuMG0DLY7waDos79jI S02JL/AS37yY9CH+hSEsf3LPtsnjAh5ZZtnV+cSWxqAQk6804xL3n/g6M2EYYbw9Tg8icJo7GjWk e7Te27/ds57NJRrWcm2Li3u1xzLsfX7DF3l0+Tf5B0ayZ8Xu4mBrT2zSTFltES/yqMbMFYJKTqZP 1ZjZHDzjvecBqykG3Q3iKfDD8P+ObNKV5DVUSs+NWSru4S2XNSVnxVc8rQVcoqWysb/P2SBHixo5 bTkrEiKYB16RF9gj1dFtmsK8LmmOhjhpn6e0SQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7344) `protect data_block +MjyzWojwns7eDTu2ErS0/U4g9FU+vu4JYFW93m+G+6jpwYstA3Lhc1kPtr3jhL+o6Own739IZ2o TsRU+4fXC2VGvas3XptI5/A/6n+xVjF6Mh1ZPsCf7jSnbdlKU2uh7/j8UvDYcyXK11hVuDa1SA2S 7H5QvUZPWD/nMM0WgY9E1oQDVLED5YzD7dP2tMRPMXL10woUEbnSWCjxOt20j4xTk4is6swLIsQM 4JPTZoIFJ98sTXMvzSq9GnZ51SWfZI7VUPv5KDZlW4D+HJ3sGBDruk0vCYqWRVzoCNNvVq8GZPaE Hv9f87iSo6TMqmAuavfUB1tkCghiopjIXmgGrxPY6I89s+fKiTfq8WH5jk7+U/02AZSpyzDpG3+6 +ZzqzUMG32+Z28oWphYOYyYyw8OWv4nJSXuGha0x5PJEbhrZWt0Ez1XhatEKF6qkAVLwHzjGMTVi qZF73W7xR31WYojHK+4hO6NBgyKNO8wxXFr/9gwbmvYZjFsXbfK6A0zmQ7tsAa/Bgs9muBBhGQ4S hnCihKntcfgE/iItd9vPsqWMZjyfSBUZrlkFgG6z0LHnktkO3NhEWOzNpOtNoUUO3QOqWAecVT1L EiQmL6LIG71n4OZexs9qaZolH+hhRVheZS7MBFuio2WRPacXtsXZiaD0Z7azenh5sgZTRGqOLD4I DaJGu1EMtdY3PHETM4FdOf8mLYEX79KMRd+bBN9lj7I039DJ2kTSKBUuGkZIkB9w+jJ8mvi3LrfC S2/ZCGdg9CozgaA6AjmEjjPioWY8/dwskg1vI4DGIPOZhgovdPghuJhpYqI+p8KbY7TumefdetIw nKoZh+WQwsWtKFEB+nqXiMZzDg/If/0fHitV4/6I/PcVRSPvavYAMZETW434Hbi1wgsaXXj67EJU 9h3r7rIsEbKkF5rstGYjZTxtN2xepv+Qti4jif5XgDyTLLzKr2egw4eEd115ILLMKgHJTaylYfja 37YrlRcNiPM/84V/oC91rr2sbs15TaO023CZWoTe4RYeDQ3lFiUF8xXZh27EwiSCw6cY6N1/xArl ZTfr/7qMpCKAtjCLI5qdHel8xGwYISEartMSaxh6mKEDiAWaN7uF2qE8g3j+SX1MDNG7Px/kLt1C LZuO5ptFfm4DllqIzZQfYxC40lEhdOQbmc4Nc79LaLXCqm5cZ2OvgBwYea3PJjrztIfFIUK+7jat 8nNl78Ave9y06UYBNUZD0JKM3OuDjfEjtW/uFGv5Lz6IY4EIXz9+8ISmNBi306b0i06T9UDVBPR7 3eNsoL5mFnV2cJW3OfC+CZffmxpVikIEEJNEmHNJZX50rJYxFfzuAQ8HPzTzR9PZ0sRr+gMtn3dP H4AvS/MQgPvlybeDLPZ6QNXij/cJxGu0SVKOBotVOlrqg0vzmpP2jHYuqcYowD0gN+n93GRHX/j7 uJv9ApGSo76ZB92YWBlf4wBlHPL+hBzpu+XZgK4h1ydINrVTZXKjB6DUUxGpZ0C2IVqnqiW0nhlg KX+idY4bXspGFv1GAX18hABgLg2TXnfSMxCX2LvufWDRq++d3HCZvDA3Ex4Ly59vBwX8vy/Bor5Y PjluK+uaF7H70XEgWMse4/V/28cenmEP5jPpgaBu6OwulWDyP2MdZCwY6nGvznA0aYwWKnL17wlv Cpc/pKzZsqGdfKztWY3zOgNC8bSm386+7Sv62RXGfozR/YRY1Uvp0+USIfVe9IFU2q2OCn6uPaET MnOlHHKBFc+Z7GwDd/nWaTp7QPF90oUkoK8nxfNRRfzo1X2A2p5TJ+PNe4GUXGCfh56RnJVHEKa6 YsRPm3F4MA/eXoaMerL+ZaboMecVtGvl+QjLDHYHrSs8ld/RyS4TgDF67VOBJ4ZKPBxU7CXF73Ux 9ZJnYSPzX5nw9DZ/AvQ+JhDX57xkX09Q91v598SdctUcwx32fMIKhHBPDcsQeG31gPFzYXZMCs1w ctORDJUVHbfnt52iFgp0tB+dhc/UU90XnHK8owuv52sLt6BKxAK41V5IPx5chbGnzjmjaGevAVDP MDuMVqW4dyY672YgmNwQbdOb+7WNBPSBd5E0w6zKFmz04xZW8Z2OQo4VHWcRdtMQLbTYDaVlM5Gq /xRUh2MdhHgWujk7c9TvZk2Ngowf8qGqM3eUc0XknQVWSQ+4dfJ13LbB8uxDuXn9IyQYhHaTWZDO b+TAX+L+WmdO8COlBWmkPdkL+dA/syUjK34XMoSPQIJqT6uv1EGXcKV0hPkh6pzwThUh3xj0AUxx BD/9Oz32E4yHLfcTX9t1Iyr0g1+MlAWM+PkkFF1+7AzXRmhXO3Sofj8Mmy1D7M6Jjjd8XJmD4Y5Q 2fA5XP1DGlo9bb/sQU7Dr+ek3vEoWagxiRx+FV1roB9uwAky+k9rGFcZhO8CZJuiRZcW1u6U69OL C3gsRoEYC5jI1aDSD13Yce+zOoklMoM5wJTm23u0PTo8+yQ1ZIPJ2UWc5bfBkU+pxzA0LtitmNxo 2sy61H7hLd2vk2qYb+4YZ/wujOuOF79n2G2nnP1tZduWQSgpoiyDoLltmVnWA+TpBjrvmywWUIG7 16YTwbn4AV7gacV0Vs+8BlLD13TOsETp1H6UjgMZFa3QmiZJ4vGPDbzTbaw103yy8mKELhtqhyHn CJ4LH+PxNZhQGpc2H5fjWPQsVs8oDyDUx4vWnL36HwlZiReGLUztozqfOlX/7xWjdYIK37KjlVEd jIRYgw4GQCKgmqE1JJHYV8RGO3mqzc15PCEtSq/nLbs4cNFpCTrjyYbX0mKzYEilkbdxp0cTO8h2 HwWoX9v0HMOuGmih9vNgMU4X4bCLge1jETNhYcz0meLTgwZaipj3PuSrcOjV6q7TyxekOV3iJekb uQ0zJfBc3G2UAXDEsr6FECJ0yTqXIGxEKfK6jSfDmT40vJ+2yfxBuzR9QpNlo3g0CH8dVHv2JWu+ 6U+D7Xa4VLm4wAn8gMNfHFY/C4etWWC8OlIc0j+eS8LVNwqzckdazEF/REz4Qvo2p5YKlfJKs0ZI PcSfQa83715sKTC0yqyI4z4CYQIwLW3I3gMdO/YUCdbt8p/1xA+PDcJ0Gkxjeqb+obsiwBN6qOUu /J34Kcssc7d9ZyQu78uycyrOZKOXAsXANUbQyL2X87wYAzQuUHMWyVGI17RfNYQ53H3QFdXrSiKW mJMKVnlmExbhNoiuXQS4HlvTEoI9S2lPWJKQoI1aDRU+xYAhBL8WFz09cw3dk9mAF8hRzLdRRKb4 IoYELkcHeMFmBt0VoHPf1IHk/UiUD6J13iAtMsi6lLA4ClJI2FSCgLK9Y/1ce+j/06uE8gvpCCfG pp0GugckBNGqKBsE1t5sr+hcw0FM5ZalwPAZeokUy7obvbsM3atJ93qhuifX1tumr3xIEVcZJYRy 7bLzJ0hidtyIiPdFjVo4RFBbwqNHpaWPLtn8bEc/xpgTfdBiyAaA80DnaEtBGq8CGc4tt14vjzpp v9GL8Ee/s1/TBs5d7UF3y6Umxofdkh/N85cG5A/BAIJ9DYTLJJyUrGzA1PSizSee5yQpx1Now0F8 ZDcFk/LATQAOlccwxJ5CTQS2RZbkXaj0kA4wYno+xjXFfnbD6uZ6CET4oBoJB3UKjKQ9UCH61GvR OFy1rKgYsr9mzFa8ncsFs6RioVMZR+uO1+2sm0kai91+qlXcymM6Zv0nasVmtsQLlk5jprh2EIV5 GiGZ1NYAhdRzWDag31k3FKoMDcYeLb9zrpJamBpKUL9H21r+PLAxq+9CHUKvuBfFqwSP24Qgqpfg IlFx42fLIYti3E3zTOjdBZfxIu34vj+huNgfpyyPClapk78obaYv6bx0TqB45DUXdIMy7JhdOREk JgJXUj6szhds85WYzw3S4h5qX438ONIicgo3FqK9WmRyGinfx9aGeocAlaiGis0PDgYCzEprZnsv w42WEdidMA40jph9imyXGeNp+4eYDiZSQke2tcQNyuukCyDGRJfHj4AgCcXlEs9lhjHmh4Q6pdHt mTg2I2BjaGtiu8Uojmnb2JQa/U+waIdl3qA5pDjMpahZ+ISPpmv0jhkbWaegvyUXX5zGAASBoO+3 Kc1WeHmkp+l6LJlEJllClWsQmX9RkfZ051lZf0hml2hxlI877pFto3pyI6eKUh5VolqbAhE8g3lG 2oqy1OQry7TbLCAexrIYgfklq2rNhveIV7Yk4yuxd1CZl93LCKI0XGinNHDUd65zcH6YAQhCCHt0 gbGo9ydEVzo6UCwznQ/Im8cOGJS6TaxqV8HyTu2lgJ/b/bbhagQf8bzoFS3kkbApSjarWyx1P1Pl JU01DvoL+vy2draklu3hHkZhYTjd79kMs5lRPgxXhVMcyq1VYhiwM33VdDbFIQo4HsqDSH8alr4X D3clhk4x7Y8DBUmBOaC0lIyg1VNTgVkqliqdtTgWDqrUFpgK0xMANLSE6Pa93HO5I7U68cJPzjc5 pEkZTnT7TJw5Zs4IkGe1Z+6AUu2PSbgRRLt68Bn3pN3IBvF8TcF3YS9JHxFgYNMUMtPuj2dwyFP3 97lkJnkgJpPaXdYSEKCSK42a2APlMGmGl+7MGR2u0RCmd2/fjKn4ZtDFRv6dESIOBTRs3eY7oL70 Im1wjVzTHskMzGDwsgXj084UDDrEqNZuwzG7TCtO70XNbeO7SRK3g9OS9AeVmWhXSh+i1zr49L2c 9y/vlRUiQ7AEUdVyFFnnLT9c41VFA4r83dMu+mz4swv2DjgbnE2VFmLQhvjYHC5Odsa7UzFjuiRP w2p+6ljwCz/A2E5sG6KpbLL0+3npzk9kE8WvQQzX8or2/NTcN4o5sHH+uHpi+mBmXMaPO7A1oprb cmOyIxD0JMdvkaLVFBB23RBoDjXWxLoLgryf+dc1Rm0hjkC8c2uNHj4OWCxUSI+ouBIxb6Q+qRuZ e4JxiLa033ucoY98aTwf9zJ7kAGn/AABRx/AvzWT9T3lqKXvwVrLpr6iNWzrDbs3YrwaRNj+/7L7 mJiLhSOwglvDIXP1tBQ2PfOvQRX1x8MsZT1Oz6nMCE8eGfdJ2n+hbZUBEXUvqBkC9+UYc6Eaz0xf r0QPArEK6EMGpELLs5jf6Lgwf86icjALQ8ukqVjhdce7ZtSw5/bkycF2jXyXOEXvL0Z7KcmpvjF9 R+N583W6mIuxQ+QSGIMKHZGojFxky7BUe9BEKrcw3eW8ckcjnFSI9pOd4pWULu5wi5KBWKLileTS abRcpthJiqIJkdffYPxAuasn7/FbTQO+aAjO+aDOPwAjXNYaml+YBGw/2WZpOaHZ4A94T5/ln7J1 er1Sf889v7prFxWwtrwYFA3IrKOCYjldYWI6SFJm5noIOKlBCYcSCnhkBvyN9Fbt6sg93kOlF1ZF tI10TJkiVVskEp+dw2DrzMcpZINM+A9ebjG0Jnu9ji+LZ1I9sUD9SIagw+5MELDCWo+8BOds2byy ZGmL67IQREvH5zRoZnU19opwhxA9YfZy/65oJ9VcV3S2Tk5lObyNGjlfbtks+Q51XfF3KPSE8YGK A/sSUtpaoDmqVJqMWo49N4QLifGuS3VZXm+NozWZ0NXHvrWX6P3tLDhwy8GdkesgXgCA0EdlLo45 G8qMGJ3kmOB3SX8eHGxyfe9YWPEO8N7L2D5zji5ecgmRAHQnct3XDoGZqopjWSxq//XwYsypgTMr RMq3SuZodVHem7QIBdmYih2Bs116J26hxki7MKWjMXqcKYsXtXFGg6CbsMeOFm36STru784PmvQp TtqOZwZ+qHAWFJQ7O66ejlIr7YcWqSHmu837pTpy2okhS58o7hxqv5gTlWfvssvQNRdrKw9Pa8bu wmLb8/Ciyd7Ri9g3bWvRcqvYKxyrg9kMUHE6gH925WhH+djMvMI0dEVo67fX2tQznX5ZnE9v0jGU Jhju0SducKgHZy3E07YI/qmSYusj0VwmHAvOjtWBDCzC2/74ODECGST+vjJmY0n0rTRo+9mdttJV DlgU9sWnTQoQleeQB+3Z6GXKTLUnPdo31KRLzz/X4hePN+JRhXK8oOiW7sC8LEdUStCmg6YjDMlO e69hF9G07axnYZT++Y74l6qoPWkI0Ff+5VEhkzUM3yV87pGIbPTZrZObRG1SWALNxz7FUrLAZrPd 4hCNdkycgOOVgEm32EAmHRio3YFnA1Xi3jbiSBNDNuKmfMhBzFBdiiHRB1xqRony3PLSau/SEr6Q XmkmHYWP3zvdKPmSJbxuvvZqqXjSnWuNZYmQiEamV3hXhLvIF/3+PzhpvqXYhstzsDqNYeEry+08 alRl8khKn9OOKu5PS1/by8d5tKL60+RZBO8nLgqVd72D96fey0H8um7W84OKygiy50Lfqyvx+cIn MkMZkPkTkP5nm2qTyr3b1vyrK32uAbXI3Yfb3ei3kbvx/ml/OiJ2/DkDxbj+JcS2U7kjCx7Rrk5y zw06DRMys4X5LykD842wiifw3QRM4Ua02+kk0q9F5uboyPdk+2WrYoDm6/LRkiV4687Lz2rEXdlF 6PdQom18nY4THIxn63rdNZ0Dt7IX3bvJwsRI63mjsNAul3zZd23JcrM+tue3qT/H0+iwgRmPg2jH +Y204jMXWUsv4xQXH8otJ5ETZMxwgVw2QEBxW+wSKqwUpXwJ3hBcy9rM64jVWtCMyDNQ5EEqJsxt MqcMafQCp8z4pevWsAdXX1lqNbrCICLqSlnj2q3iKkkK4ZioiqsQqlpBp9Q6qjIoHO2DPIHk5GqX uuW1Oe3KFv0srrvKR03kitnI8BaWsGuKpeosGh0uW8VBTWRpm6UF78ceoMaQ+gs/sHC022tDHHzG zCKjTgNOk7jvKDxIBcWJWJsA9quJZgAMmNVzevo72m5ewEnL1zPgvOB8lPaNwprQTzld0ukIPN1g U3WTktYH+XiNO+IvLTSfX20mbUEqXBUSxhvTYmaxMtClOzEEMYNwJmVjzHmOOZVpR+/cElgMtQBl d36fCLV6zzyStlgSDjj221QgPu2ZlvpIEirTxcc+bM6LdtVM4qcu+lu98yVjCN5z5E8lMnKZdoOQ TEC3gqnDF5eTaAAju+2mS2LAM3kvY7VJ+Eb+R6GVb5CHkfSOS/+1CyaX9wQFaVHHYTHNWzkpP1vA NK02v3Wq8saRdNKGlFjLX3Mr3byrerqN307AxzQ4rHrBybbmgIkwTWjA7ZonuFuVqsbwtDBKcxGj S5/4/afH9wab7y9ZXOszOsf8cebuqCnWP52/VvnfBEtSOQq+43ydhJlHj1QGEpcEQMpBVtEX8h28 5EWExm4FUFmMnxpeMZPZUn+hgNk1QHm7EHefBkhCkXOnZPwnbhX1rYO+4Mr8hwVjmn/TSwkDTm2c 6nPjqolBmKzKflHrzvS4EIXnRzIKvBqc77uTCBilZbFi4skHsBrJa9JAgc+pOB2eRKGwBN1xXrEU GahSDB3Aly2/mSdt4kdnThppLBcEzNHNvXosAeY7dVpukTH43g50dkIATaOOrAZen4gf6ml/lXmM KlCxMCyUPzh6iXlUNS7WAyqCB7Qz2uiORfAFuI88d7yiIwQJtpbUGXr9k94bs+xjV9VVOhqvzx4E mQjL/VNIEXQy8oRVRWlRk+pT5OEpYyTBZ9FDhz+YQKS+Na7wNehxQwqZ1WFm6lSiZj8MLZBQACCH HMGXD51sTJcV90bFe7fN5PdLle9aqgeGNfQFnR9ICbqjhj/t+q8oAMMzm0+B2+ZShTi3ypuK4fGa tSuzikXJoHJx9QHd/CxIXEgcC8nEdawy+ef0bkXThErWr5dsKUvk9+mUclqED2iPxSqoLZt3NS4+ LJNNK7iJnSsCrVeqUyEdAK3XCaMf4kiGSTDWCSkWD01XmtyMumyQ2KkeyVKVNcNWq2vrJez09SWw URNPfpfz3iU1LwWniSfLXst6djkk++C8f+rXx/ZOz2z8Ps+zDl9y3KFtGD2J5OD1nsS3Tv13o75q 4bmJFBctLnp1EOmtIACB1deypG5ng9k8/zdOAuC1x6Fh66T+9kpFvGRlKAxF7c+JDPv4iNLDEkdT QHj1YdpKEAnBh+Q4Qsak6iJy51oHQ4AcSitDxFE1hPrYZh8fwDWF1WamyezVRbUcBUBp8QjzzjN7 /89+48uJCXoTEQegXj40jrK72qYz1ZSau3zeeBXJCsxEl3NsqSh2kkW5mxIcUyGURM45K03B3Bfk oAB9QMO2s+BYZggBa64Cru6QUNV0WdvWudtZptkQ5+zxwSzbLmU8RziYToYQep5IeHqz9qswmfJi b34clwhoPGYG/BoJhAM/eiAwgBdX8p1rvt4SwOeTV1SjeooRJf1pHrLyLvsSNpYg8XrrABgVlHuo Zvl87PdK8b5f/vkEFdsw0KbNHHCavUlS6g0kCT7lw16SOXv5TUky7NGKl3b9WIPu5G387pGFdGia FqCtxPJ+xekzE52GGtFp+sruOJcafY2a7wyjquIKkrnMdyXSKW6tHk/7pV3AQIbXWiXk6bQfulqT KWlZLM+HWfl4LdQuvv/IhACFpEFkKl0LC3Lyyq1q6B65OCFYtm/9rEmaarWzfUsy8udumgKft4tc U+9k1GlMqwj2X1NLRcrN9VFTlVdzLxK6hEH5RpnErLtRhOU7stfkUQLX0vTH85F9VLPuS/aHvrLL vex6pqpQK/PAUrWpqLYCqS7B2PsDVDgJGoGbJ/M1kdfTuoJYY5bPjWtgwLmgUoNynyMqqvTQIJrF 5vJiOZm5p8P8rNUr99naW3gxtlP+5LXtR3vRLnwAFHTtGDYS3A8kPthWHJLJ+6mHtx2D0SeF06Ks ltubgKkIFNvtd7ibt9+9efDLtxMgoDODN5lPRJALxEgvdvwnenNm7Gq69AdMqNhPy9AMS48LEbf7 zU7ltTP3ZGgBZNtqP+wfQmrxQpTWpyh/+GymdgeyXyQAX7dtsaZrd24UllONZyc9HsHyK7+/QLH0 uqG7N8PBPMqr3b2qZ8LFDFUW1rCp1+M9J2n/fg7tWL+Z2IQROellAog09/h02DvTJgBqKwgSbSjc 14i8wXeIdvMu+gBHzNRuKQHRnRPjjyoWL/Rus5yNGwLv9zinZBz1k1PdNUjFNp/PR++taFKhGwfi x4eg0x8PymC4itvKn7R9Uz8v8HJy8brSranqttcIukrLU7lZVzvtv3Vo7XEYlGJw+GD7I0xfn775 yPrS1BPOfHrY2rbElpcW0BkO03RRSsW4U6KD+AYHlUWKRNzRmc2KFUT3SQymR6Dis5T1xlYCFBxE HswUP+620D8l1sY+UkmVjIlfdBj+TyJopdcIipDgRqo+fijiKlph312UEAvGIe90YiEhpNv9U6iD 3HTmSByE2PAfHMp3t8R+MMHt2mZgWk/rFDZspwsqSxvdtNE3knj5YWfyH4iZRLGGZg9geBhF5Fdb zfoBPyGqUwB7VMo2s8+mg6xj+R8ZT4gPDAlt5Wt/vX40PKC18j9pyJhxiSh+4v+cE/hFJmP+p6tv yB7GC1y1swaunL1XZodn0T61UAV2a82DoT6Xzpi1II5WnrQSxhgTI3SHw/GE8o1U1hqQa9JIbliX dnD7Elb741fiqgpNcsmc0gySx97pOo7HLGK+Ms3h+LfumfBw5ZdSNWeCP64eVK1sZ8aRftpNg4QH 7MP5LKr9YFbfkfRgfQKsFRJwMJFhuBIndnDNCc7fDRaLFNuYXvoRHPsvWVZR8osPXPBb+LQPv6ya tHI0lQ5ghm6YFNGIx7KHsHTRcOFkY3XRh4RA0YriJR+gult586l4gF5yhBUuvsN4 `protect end_protected
gpl-2.0
a1f2060630beb1c57812477c3ca2e8b0
0.92998
1.885644
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_log/flt_log_L_block.vhd
2
25,108
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MChZnXxiW1jytZI/YiTwj9gzeyZ3Qm4Jrpyx1/7VfD/4sXm8MJ3FHTyDr2gyB9vw150n1ZqxzGL4 n681/24voQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CcTK7ld9Pck7oqb8axqPFufsPPcDepJfyBhewBJ3P+e8aSDLQhTy3pElUUzYvTUTh7EMafyaEYOp 4ZS6kPP4C8KacsEUmKsMFEf6vWeQHZ+fKHHoC3nXYXQIQ6vzbjq7tdFwG7l9TGXPIWS/nhE3thQu SSzqX3nOJalRzZGCcdo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block T4zOmMGWv7WUcDdq3vVsHrz3xmRr+Yrx/317UxoiE86wmpBYppdHUUGkrP3g0u34t3SIfCDl5bP6 cIXze5UF2+QB2rjNs3N0OoE7b9kQT9yMpydXbPwpk0iIVDV6SGPz3uYVLQ9swZP+yl4VRtlt0UXG v0GNqnpCG8aYlfQdkdWvdl1A6uvhKcazjYGcacvj+YRbCMy5ILyvPDCwm1tsSHsgC+eje51F6P5u ZIq10/9B7cwNqZwVUBGwZ9IrNNVNGgTcgvDAOnE1OiJVzqcJk8Fj6I4YTGWzIkA7SIBYoz28hN4H pe7b2zE+8I1r9nWpZ2wh48HsCAnIuFOrKq7yng== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fzVjxpbX1q64Ww5u7PqjbHCT9RUw1A7iXZ2fk2e5qPP9tULBjYsu8uCic00ZBXUVZ5Vs9s7cPf5h 3oz/rFSJYCBqN9uRCnre79cPdiSqO44Jh5Hmp8ICIlxaXoSfPmgqRHJCiBpe5E6/cY3jK9ou723Y VS/Ol8UBSBgxlX4xgAY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oJtf4xi8YcrSoMvcIze1P5ziEenSeg0DlLLfwjLd6FTczd4imxPiY5bDUOWpTyVkkLxT+1SEkYEt ZDHllhS70Rd3tDZAHnv7rXZvJCutWcb6sZGL7/cY6TjfIuvYzMPegq6gw4vq8aTp/gFJho2a6u/S losvyezBVCZI6GKHsz154+DyoDvtPDYLNLer2rlCcqfhuKMPZhJ/es+Avpot9TpAGjsvgN3BxCQ6 8yCV0YmBPgKu6ENHLtD2rNsrNokzPoC0YC1aW+0n+RsWXCAcWsyH/gko/paELanukupMLGaRp6Ku 684sPO11y5N9Up5XniO/EnVd2ZGXJNC63IZ+Dg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16848) `protect data_block +g62XfZkz3RDeWs2g383WrgA/Y6O4DFioNFBN5EO8Fozo4oAfphXj0gkXfuJBDdL3RA89UJCAhb1 YqbzMpCN6Aa2mq1ru79cxurTeY9HxATJiVjQCsn6Ci6YAa/mmjFyacbVH8aqPBM6aGWL4biF0BH6 r67JSVRwiLxwWfYzXADjNuSy04y5SIxIwk+dhfCjrVkfsrC0cumrNadd0EeHEbXR58vs3oD2kVZd 2VdeDmRSb7tO+9KSl52UAsbdD9T872qVCdyV2DIAvE49nuXuY9FMTJ6oyIW3kS1AgnzW+c9h44NH +jhM2Nopt31rK3H2XRiqT3n8lCIAGHAncNAOt+f1wCqkdcejwR/w8KZvPLvs/SgLI3ZNlZmIQzNs xDeILGz2AYhfcxlPjjswjwDfSkSwZtxLaUDAxNV0zG7DATAXJN8Y1c8t8Nbewu+jlFbAJKbB83zt Hyuz5aKOvPTrZwP09aK0MQ/7cIcHkNB6hqy1Oxg09YRxTxkGIusKEIOqdxotbgJyuw4sw4eXneL4 lz5j2WL2UI9a3xaFsdzDTeff5umQRDv/BgGxn1BM5jg35CHkro7xaFv5jbtIlghH94a5vGAbxTQH RPQRc7UTB4Ux+KeesWLUpb9wm+MKHzcPvUonTl+Tde+VgWtsi7vr/vvgOYrQwGJcX0tXzlG60sNN aaJf1nEwulVJfxVlJcMhJ9qgR9BNMIVCttfnKcagtmVlDSJWNCaIAlXLinAp3c/3ZgXOmGDmT616 NO0VRwSlR/sBgX1TD2goWDf0wWgH18om9iIRL1e7Ps894RT7JZOzvtJZiNAIMeY4lQeGMH4T32xn alOVcB0S75tRzkemPuciev5Ea4xRQv1sMBLY8ZHuX8GaQG50cEijFXbvUvjUn/NSP2aBnIzlRnZB oiIFHqBq4DLhXGhIeTYD9cYFar2S+FrPHPRJQeu5rU66DD2rFi+NftVBK3ebULkCUelAIdkSagw6 vfg2XppDdtWc6sfg53kMIMudamOL4LZDaRF3uR+zBzvImwQKTtfSWuTLa4v3zFVi3cgdTzeujoCy ce1UXnfeIUS/UfvGcwb7xxqlo+96EsX9oVY4yh1t6loP29vRnOkBMdQg1FD4CPXwrH27tjm7hoBc PznEAfLwFbQj3nNKkDKbqVDEDI2uBpqTSH8ft/J6u4gjCP6YwI9spMKOrSX56Y20aoWqU4jVRpYm QrLz5+zp3RABYI0in10p1yxSps9i57D8TlgOvKyQPWclR36xUiEQQ6d+i651rsja5c0o5EYuEVab 5I1RXc5rcngA6ImG2e3KjioHNSkMXghLz9Q3ASZlif2AQLnRsYwGCSkBn4/847k8CXITuw8OpSuF rol4au87cruFpL2gpviy/I5Q27Gqr8pXSqQW01Vj2VhFD7u3lmy45YBN07+z6b7DoT+LvpHdTaNQ sD4OEjWTEDmPh/l6YvNYVnLF6prAAD6nVXfB+QX57bk62IMiBhZOGZojOz2H8YSK/hASU2z+978c Bxi4GPrlEfJYusBgwvaHcY7DFNW7Ue4HfgtddD+qt2MAjOhzsDR29wVWRxWzeVv9F3v1/bCLL3FR bFhMR7JMTXVJD8fgoVczwtE+8BEaCsLH4vUx1XY5HEcp+l+merMliA7ukx+a0XUE/HNlBQjBPM41 AFuMw+2Ap4EzZDRxlbe1HyYznL5lZNZUt/YsHeITo5EnswgbDjW9v/CeTBTdFTeQRPA95jF4egyH q6sSMRDCCzTqh9vslHRGHJfwjlzgZVn0My0hqwCcpzikqHonygOd414wnsd6dBZmxD4oWffssURu BKNfpJezujHTx7xQ+aQc+RQgGPfTMDJMugsFxdGOSaTSvKqNWvCyz8GADq5+iQ7QS9sPh21Uks9s j+N2br2kjMwdzdpIq3CMZW6ToHNXqj+8EXXytJtzynKmlRfs24LpBIVo+EnRZA1lMWjjIO4aw192 4jaZD9iOSMRkUFpjNisLDwvzM3Zk6/iWNRATxZi4kGK2X7dJf9RebUgG3tqaLgZEmQsdTmIicV9k 2LgAQqpKzGM4QIskHx/fFNlw63E6LLIzulKMiXj9/CGbvWLqazUj1OveevrqW1vaREXRkerh9U++ o/s0GGOrXm748z68h6vQATg5G8Ypdf6onrfezPh2QxfXVWAxzKuMo3R6kLMhVngra2+rnMA6/wdV ZTJiD2iP61atj+Q5Zu3hYGc6QKWHiMs5Eqi1tGkmoD5jX5IseNSgpDTG08NdxLWt+vFSFmeTiRmr rDstYkBeASTEuIprifMSSnWq4vLT6jigEKWlPkhGNrpeFbBo+3NgM57s+n+mGLz2yUV9miy9auSY Nw6jqzqFlcgYFoqVDJbvMin06rSTgkMrlxShKDZD9M0zfMlTQszV1QLAEECfL6e9CK8xO1+UZDFp +LXKCak/Midn/TyvamU3gdcY2ab53BBTIjWGjwuOqr2ZC7vWDSGQl3/ifeSfrwOp5KFNwDPrPw6S 8MUETak4ipW2IeSq/ARO1VyLWE3mS90GmvATGYuDvSU2EthVF1jNE6w4TA0TOJbXhl+y+BDK/x2w 47WaGnuvCrRL8EgoViPH1kcEGBI02RLGqga30wiI4UxDYlEQfr7gfUVRp54jYdL0rmWbE7EyvCGU q4Bh3mm5hzdp6dps9j+mFLp5+iLJcWUd7bIekPR1AvoeuRZAbDTPlVZQpsQbG5fn94Nd9RWVmGwX 2sg3cUWDMhiIGfsF9aKk9feeZqB/1b7FNIupjiX7ltKPyJHuqP6TLdLePhnguhuXriemy2H/+kCL 6OCdU6XhK/CaVm7/lTtsLDp/OHpf7b0y6bKOR2HpHV037pMnSJH1FuYPfMLnd9gP2VgHSKEXuzL5 PasNFUQv+TsEVIkgaXfi6Ct6xZbfvNzGHnvRnEn9V6u0BpZBC+jlhdRLlg9R1nX7j07DWQljs2F3 9xmaEwDG8ts744hrCvdolPIxCWZC332wqbnBgTV6gbgJW613YG8Z6t2Vu9SEZyf+EkI9/hyghSJK /zmN4b81mb72YcTOxRBnDKASXbcZAJSEXrzk1ani6QIqka7IMZ1i6nrYeR4gMrwBa6UjzJsRtfDk bVk4de89WNu3b5OU8BO3W/t8GWDSLKeHn2Rfew6bEvjmOlQelZQEAyOxizlrAGTsqRKAFD3ttJkR gySB5Gy0DV+T/7S/AQWZehyEhar2vSN34hyi6QxsmAotH4qt1MRvj9PuTeREt4Up3FMJiTukNlYc QUqw5gcNP87p9w+f5NLdoQMGARI1REDvZu48zxj5uks8Er0yR/2SaSqLVwiYCL7fEr1qQSNU3M+p hOn2t93Yt6Gjv3RhLVmurKRnc85JUOB9QB8l/oM9SKgRS5esQrjvifVhsA2wRqgIFRLSzr2gQO5Y lTe34h9NtgGd5zRNaNBT7bPnL5d9NcE/fqfqGK0eEqNz7gJrH54Ue2aNaq3vzbscBPEo4UuIHHax GyLo/nrs8PkGLLCWzPbTcWtSHTgSNyJQusrFjATHR/pD0U12l1YHQREeVNb58GuMAqDc7K+mE85B HNcyiBq7pQrekJOQpuaOB88VMA/RLDgsq9vdfbTiPmkDCWj7cK3csz2fdAqdkmytNJZ38w8HpdEN TocZIjOo738k2azR+OSCi6csCzgul65ej83ldQDGskj0mjTebOhixpaWAqtduzYPA/HHRUufTaZu ju8DuGyX/dyM2Jbjvwb2eZirT21sXG5jB0QEIAlD9g8uMCmjnRj6kga5Pr5YPjOMQii39YA9ifKC fL3eiK9rKgtnGKn9RgyLks6Dz74B0XLq1d3R9Z7RfMSnian8V+rvXfS6aMXfbPsQcn/LoL6VGghw POBj/yeeCv7SZhybkYE4xXPzL01JmGAlY32tCf6IMu9Iu7AIj8ASTdKdOEVnq1M1XHovOT0YVzJq N9RiY77NEhm/qglTewDmJ4xiMs8LzRcmMK1CKvwQ4XPqrT+80vzqY0xfgCnsvWV1xjKF/pips2xp fb6C7lqNmC3LM5C5PXxVh3+rhDCp1XJ0siPqqS/xV2zUzgWPa3QqLyn4ccJkQmQozCqM5gHDT9fZ tTw3AhtPB08ijEopDtU6IwAYpD1E+EBAMBEsd5MnkLGvFG5XDA/lZRAC1lj25sprblgA9Dv95czs l1F9BvsgXY5DevNhavoZvH3Uime0d/Ri/7PyF9rnSiqyNJFuEp/oDizJCHXbMTAfJGcj17o1eRkQ gh+lfo6LmPYSAPm0lbR1q/YMLxKrb4xc8BmFGSUbd7T0aZIZ11a3mfXEtBPhZWM4WFFYABClbCRU aoQbDUDDUobTTziScQO0DgxPnF0ZsqPG7rTWUy9uzLji4phGckG0vwY0ntT4Wj7i1Jx2UoliWQAG AF4FyzZDnMXg2d5p/P2G2/D2ZyKXWhOaOI0eyrV6ndOHYkpoKrz5b00u2xJhDQ1OKeDpfHchpoXW Q317HEANIRJzCbvImun/HU2Q37NJ2RQq4bkA9Y2583dkmQEv1uScj/8KdsJ0b+qEI9hKxwfW+9RQ Bx3Kbn0DPYd6QH2XFpGb/YcUbur2W39naA5yriubaPb7fXZNXcx5+p+B9X0tZ7X1N81AudyPQdN/ a2p87D7toXHrE1kzneN9NU0109fcl/d/M3VLMhzjM4GBk2UavdD/WESo4MLVGQBaLWWznhTJ7pga +6a79jeaqT9zPRjZjtLxyXHl5ZvbP/TIYo6STKEU7kvz/qddQQCq2hcIGqA4jtVWj7ciGaefeB7D 8rbuVhiZfP5Q/Fb7W3bztRPS1cL1RT9KgkODiAz7VWOf7koykSCkSABuZ8XeI+d7SzgsKRN1A5vL KFvI0GC82x8IJcNKPjhdhnX4IiAy/vbxN+cqc395QsE6Gpc57GyBdNt20uQP3rryFZuhwc9XTBR1 CmW2rO4bevJ5HshtA9b7NSen+yYWKTcWAXnoUoN4p+tdt1913IcPHYr4chlzlDpuePsVFWNygJZh t+ORh5g1vDWXltIwTHSIohcM7eIKeUSaDlG/uy7msUXV1UoZ18qu0ba5tI2hTME9k72S+zMkCG9r EghaUar+rYmREf9E1A7nDNXg8poKlNsWOtoG6Fosan+NSfltHifdEHy6LnBzqKRCOwrCiyEUGat/ CJzv861t/wKhGDTS708uyZTvVYElsrnu+YXzJoWQJSCXXFTwPb9Po9w1LNGShTn8umV87XPumu+8 yR9reCfIlAqLwsvFvu/umv92DvzSSmMnViZa/RQ/T1XvsTFuu+Jx8fGFvANZR/A24lxF/QDCx5pH tSRvNreVRKqwg/MCuwY25Mmk4M9ZwTPFNpunlxON0drylELlNKUbQjBY1TuyC+bIgmYP0nLkxPzX Up1W1ZhLxim/pq1CIG1nQj+sIC5e5/mtUEb06AuhrH/Rc7LZ2INalN54xvAmF0fMtHOkBr/rtXiX HOjMtXv9oDq7HwqftJz5ebQEwhhKubFKbswslI3Wr0KBsPOJOmWO78B8m6/b0DDkEIFo9Q0x8qq7 Jam7McAeInm5VOcU3FlsjeCzE3qUwZaibjMVLku+UNQFXmeQJHD6XUpJwH+t/6sBNeWfKnLW2LxH mCuvX6wd4AUnJWQpidu0bztXNAj54ObhWK8FxC37YGASrOifOYf1KOGD8yIRKgtJ4smC681t+bFw abbiu8t90mGMHX94xOY0hSKfC1uTy0coxyTmMHOQP8e/RiPWxWdR8OqsZEudJa02Vidn/hgj/MrN G7ReXKuqZC0n7DpgEqnqRaSbCKO10HIi0Et79Sa2fKHKRTyTBJ/38UNkqVGLTzFb/zJZyY9aYl5+ G+VBnFSInVa79qku7kiz9fG/yquOZ3In85mXezsUzVX5+7/r6zbfSO7QnFTO9Yp0Z+dj8a0pKTzW 14VeXYvicixHiMsp1O8XxgWbBRA6U8ddNeG71lQxz/EjR5zpxzG9lQbx1OQtJ6U2UZCmfweyxlsd eFHNTgbaPv7i8Ml2CxpuLV+O6S2LdLQRKzjp/N88aV0axnPiqRA0CvmNoWkG4NgrLBpcY4uUmT9k JGNyi2JyJLjU4JoKD8qXHeJB0luwg4KCmHdG50TUa7RoIHvUv5WnF/X6aIlm7K4tpsZzxmwBBLsv QZGDGcGuEL9QwJ5NIKuzjUheggX0DVXJovVfDnwHLtdaQu6rYvzLyJaEfJy+6IOkb7vcwGn0f0Dd 8Fxm+RUuNY3YJyxxxLhFQV1FqQHjF6Irq5aCVPJG+vy29h0xH3t3qBxKSu3jEG/rB4P73U6z3lgE LuYw2fl4UJwyfgJNAbM2/+XZ81iRaJm3hvtRpayc78MKrbszt+ss0286YjunWZySDJsmnTMAB6Ko ynvbEVDgu5vE1ewdecD6UdQJrWIkbco1gDA9IbstDr+8NE77U7jNZzvn+XoUDSi9BwinuFwdfLSI iYESfgIFQX2wV9hAaE2DyHUpzCFoRrad+1TItgDD5g3Z1SX+XR4YgfQKWuKquHcH5UzKEMD5FSCL ir70bjaDAkGMPeNBBnfgTDIDX4IFoke2G7z79aAFphCZrLB7IUhJM/AyLQX+2l5Ee4ilHux6914n RNYARByh1DgX7zNkN8VM2M0xQPrnB9feBBF/zzd1e2QC4Swt4axCEgYVn9DwFD4+t/PiXiKOBfhh zk8zkxfMXcctwmiukFnn3H9eYxLZgvmgJQ72Kct3DymiH3xiNMJhzp7IIrjrOp7Gyp49cIL3xKsC mTioISsuLweM3ve/dK913oD+Qn3+prW0l9+50ScftuXNWIPDhr64d/R5UXX81P1LtNSM0xvjSXgz 8W022yIkvr+uJBrIN0RDFINB7mkmx8JFTfDYtdEkqSLi6M4ceQlBmVD4eatrP7DNbKTrzR3FO+kw z+EgFhYyrDvNwpmVhYMCk9CyRapW6xH8JaqmSNS5Eq6Cb+iqW+r2en3lcc8HLQqR3tFElRwGzIHY xtm+pklQ2EppiBC64CEewPt+ULY6hsAjMLFtSvcoOir9Vik9saOYrwsNhUUioaQnqX3Ma6INBhGL ik24SsubRraMNP4KBsEiuIDVyeduxAbFfVmGmtQOMsCTGHFZqfnBzT7r49vapkzXJ71VNmO5n/up T0xFH4lPAU6bi4wsdyhWzmyIL/VM0hk/Rq4OWo52fxXHUFropZFQnjthr/bVsSdRkGGXUQq7JxB8 00qp6DA2UYJEIDJM2/e7YsAm25Ixbgt/3nPjSyKtxRaS7JcpvA2U+qjBSFS6nfEz+QHlRmtfwM+3 RsKIzw7YaXlwPL0DSh5l5pUxiWxkaPxQPnLCb6ISguFf3BNAlg+izRoAYvhMnASLsWOhVGA4xWyd fvhjnFmb7+p2eGKLNyZbFzRizit4KWwBpcRlqC2rvo5M4+eBs9FlGrW3vl7oyRXcPX6f3sLUj3om JIx6QKsZMkKX858L47QPjEJkouhw43pR1WFY9hu0m3VzY6T6cBVABjX6AEVMvqinemEs/FZcQpJM SWTvAL3OIa1aoLfOF7DTDzM/XwQxhsGMv7FU9niQ1n7SxX4SuWQczz9dPmGYkV+HCIMl/qmF7593 6o+O438p7m00ZFyYcFP+BvqWIQobKdOA9wjUaVFG1rai30oWGkhkMzXMfLY8imP7fmQZO41c++d6 Erpbo5ACoE8cLuaOShtGPFAozt/k90kV/TzUqzxkM/MY/ucaNVf8sl/vjgDlSjrKIp/X7DIMdJXa 29XdnzDWZCxsZod1Xp3JKVTN1FqcEPy9R6oX2RfPF/eS9l2aTJyzh7It58T+WSiTOx213PvOFXoX AC088wSw3dYbzS47glgpUEH95A3mQVoNQvWiUHqn6UxpYckGTjXvgLw7lyGw9TCYKUNjNKmffrgw U8t8yBbFJxIhcdg7lnsA7ySvyJjgn/lY1s9jOn3ST6dP9KG3F6v9KY0GWDG2MnXrtJXJ22arXjYA jBElmuy3eNRxqGSvhxVY5bC3Thwm+6j4oD4DpIiUFs2PxNnR00wFzOgfdxJoKPOvpbgOiUV6SkdE 4R3JbF4cfy+5OJDyIDM8j+Zek6HcKSb6upAcGE+ZcYorVSOK7HOVUx1OAw4yr9kxRKTTakMcTElS ylXZYFTZeUfGJBhQhcDUUzeOogQEQX7Sm6lLkzCg6whPmi4xEDF531WDfVf32dSutPLge1cBToKS aX2qnIXk8MtfChG/LxApJk8Qe9xc/lu84EakqL9l0GVUQuNglJiPHxD5anrvvSoIu9pzdYY9ugUh 7GyGHd0NonI/h/FNAEdZG25qL3F9KwjLsZTQUrn5Cb5aCWnIdCXcK0lUf18xJpHP4tusJADvawyJ 7s1hK64FdKpZ2Pk7gAKkpVxaDvVBPMJlDa2LCg9RFxWv8mB/gl99w8gpbhrjk27un1G8t4JHSqhX QnktjMXXNVVKEFyhtCiabcDkpleb20150NNTLxTPsiyeWhA5ztLhQIl11PfmMJUXna/pi2LIIG0M cEMyvXPA7sK3YRMmP9OjDNSYCOR4MsFXXMrLWnKcKm2MP/9wqSjnD/lZlYAaujt5+i4h5oxTMvSb 5pV4t7TVxQMeIxE5IyBFxsRRkExoYxSDIGOgca0X/xHgnNIMN1CdnxqfHGeFRhWRlpK5D0WnZ0Sj F3UZHME6/K4QLBziNPY1bfVLmWl0Pb4U/81L9kenoOYnjXCy3yV78YCJ/iSkiUIy7YbmYgm4Yu+u vsI/eMrJcVMN+j1T0FHHgQPsFyzwFkbJN1RHTz6E45/4ePjCDijL0IWqvyPwWKhNZDXfW4gRDf7F lZOg3knmvcnZ2grCvzn7xTEllXern6e8Y3yGV1akkBxHufcDHiQINKtRazCDgBU5lQ2vjjWvWgzA HsTQLopMNUPzallQFfzo9RzsP1XxIGW+7XhZlIXTt5wJyUBTEsPNoTj71bsQh/ME5BaRgnuHoI22 SrigxUQyF/GmtiHgp3M8WxL0MR2jfFs+FegMepD9O6DB0kRHU7e772j2zOc39gJpZc38vvNXOWxb jsswcs7FOWgClTYGrEIo37vhPcJDXEEFyNM8hnAeVHmRveXUPDFzUYNX70y4+MrqU8HYduTWEsiI LwB/jxF6X5iRDBTZ3zhFOw/UnliTugBcO/KIf2+9vVPZUHRLUaLmmUYRw6ws4GsBGaV/R/YjnoY+ flLmeD7XUuq4A4SdhU6EAkqqbNUYMLwYJ1x4+CTxIjVTYJeLmiqrTDlsC0rMllQW7+vuWev22OTm Pv5j1o+a1VDIgL8/ZIOeB2TxRw6qjs1ZVai6ZbMZMVe57cyv3dzHufam1UIsbXDf3378fxQyox+m E/93focKqfOPbVcJTCy9/F+zK1+nuI/cfgZT3gkjG6nRCHThOhoI2gc8f9SgVVEdDzhNC43k28w7 RmoQqG9v5w50MWVyfOrGB7C89TyDmCG9e/6M888y6y93XObqDvyPqcOk2kfSabcQG0e8n26OQ7xV UCffwUSvyEse21mIAHNviz+WDo2azfnjkSesCPwOsaqCKtorPJLRi7o2PyyIqiXwq7ZkW3uhqNaw j3QSVmPqMxf6N7Fr9JctLm3mX+BHzUy6zZ+1b4YKX4cBtHO3Uopbd6zKEFYzbnPT09HIMIwBkMUN ngcp9u3xyL8Mqs5CdLgpNF0Jp4+h3+NPb9rVJfaRgqLmTvycESj8hfg+iuXABJhu1v9ixR2y6OXg 480QfdIjqq6X4SbpkS2T1FEw5AAt9hXh1A66ocDps5ksMsr0T52T0vEQF31wCaT/BJmBR/weV5jt 0dXf5/JxGYWfXkGJgDzHNEtaVmtJx3zeWkWAiNCiTkbVjOREKD5IINP1KYot9d7jrzL/+6S2Qmjt qiRWRpFrNOE7ysHjRy7rToByORefYfGy3GQ/h0JP3UN6MH6rI01wB0cqUm+JYqdkU6+fpTd2Jzbb +gpnxOOb/RAC+mBY7p/FhAs/8vMjulWBrJWnnfVq1ClPQbJdB2BkarEbXVmcQnUYpaVqbmtSViP2 sjMdWAHWTzW33EVRTchXyFQk2vKkTilF6r6wev6LP7pHLfNDSIw4L7jF/SQ7jbw+cTgajPGlBlqB 7CLeBUujmV8E/0pYAy6wj8T4MNmSH6CjYWj+n4VdEhx2ZaKvVUtjVu3aTaflMo8Pxl1vpL2Pfd8v PYtpoFoDa1Htz/SdYWyEVfHOcCTNponuZEMYtaatkMDlazOKo5pvwdu6rlcZu/9TZ0dsOJ5Aov9n PrZCpPeYNzOweNSna5cm1+t7Coy03R7lkFs3VgRaNTBvVqBf+mHh1d6VRZeUemJKjY25FL5QvQVV uzQmE3TJwIX7y2iJAZ0Bhdz5BuTpO8w5BNcO9IUB4KAntdJZQfnm6D7wAMCDwfqlOeT4BQHwRscH HfguYB+Q8iuYgpi9/f6q+TohxWs91TlAq63EOoN15EDXBN5H7Fo4MRk8cY0I3KfPZOMoNWDSfoLz KmJ02SQ5qD8udrXerxzgcs0BuCQecdCxxAHDhZx6YiUfJAeSxsWTFmiQu+v8PlRNUMTvPh74QJyj FaVUibQp8gmExWITNyjYPR7bsSbmxBq37dnrAeoza54vFPSP5ISKrtCQHoXqNySt2G0Dg8a8Lky4 1lKLzS3zaZMjn23z/tPfSwGlrnsZ3KZXnJ6SD4LJqz3HAdRBuT2i3SoVfiIbtJm2mdhP32gEzKRs t9UNDy3BAOVTWPzTDud7t1wTS1goNraBE8WiXHmKxmsp/iTywwNzinBbXpjIyKTFxA4JUiuFxecg 9XRkcd83Vhnqjo0Hhyh8HUSaC/Qji5YrAvT0Q8KPJ0ZahxIs9xy7e4CFos+RhIwds+4gP8BajxHr EWz6I2N6KjaKoRPwe7KiInvsgapZSlVu4pjS+PKWxXIM/2uoPPFodSnOPRQEEWveVrBhIQSGYzTH LsLZZbhyK4mJ1Vy5CflYCrZN7dVvfB+Ba6o33JncJTPdB8ddtO4GkFi2ventdPrbV5tU+yzkuZjg Ij/v+VWV7Xgw78A3qEFEhieFyqPijyekZtF6mz/uRpTAzoEGjHLD+YstaOvby2xXtmiJPu3pN5jq Giq2urq07ZOkP3h2rvAZECWdQRAuFU7qMZmVKCC6U1h93IEPEUlcSQJ2qonaDYRe8GinINhB8I/1 CR7IUeWfxLy8KasrRNEA0AAsZunyIYN9nZLjgpnlmkxb9bio43DVqgIIIS/JXBsYgXIpxAOoiSzk 4VEqbc5ZjDpuoskdxa1Si2PbCpQXEpVBz+RxpIb5hQ9eTQxCTENHVRT8rfDcN+cRhB5wEUS1g5G0 4pzXqAVkWudo+01uKQiWgetES22rZILha7tMfZno8Os41nXDKRv4+soOki7gJH4yC9AijnGm4uP+ GaVRwXVd6s5jLAK6ePfoYvzH4woIhGnYrPOiD9a89pomnq6PgfEHDS3wVp+jPUAUMhOdsL+QgRcw X6UMVDh/UrvUStuAown0qiKS8uoYnTelSALfu37eYGyn7sAecvyxqPVc+1PZJI+goENrgCWQouQL bKki2F1wy3Q2LnWsyCr2ZuyvXsrTJMejhAzZJaGXastr5yftCVCchesDz2+6XqoDsoPC7nyJTuCh qgU8DixWrBClSIoK2Nk/7rBQtGapijQYXi0Bxiaa6O5SHmqLbtPVekwyDGMQk2coE+4MnyLDX1XI Qwo6wt/Oi9FX/0HhnxXG0DmJxXv83RDL8X32YIZ0VfhEplXu+fvsxlfAex/YU7t2MzEifGmCDZbc ovJTjINQ/3VvKP4ub4BBPUTMtQJKLGhID2Z6eKwtDl1BQ4pUMsc/IExp1mWDtLRUrDnefYULivCe GCPnOK4SDHtE7qFXQyY2IfiXSM4y8x4zqdJWfS24VNY2ofQ5+GxN60Jz9M4uWARDmsgsfugwEPjE QJh30Sz4G00bwK87RQyT1aUItm4WcBY9J23OJVxoCV+7Ip2sPpEDlyWso4RdxnGfdy0ZijbRMZrW 5B1sopzl/0rqubt7DHum8ONKT5i7jtgpvXrSTmCR2hncOVrFw9tLD5vmYIIBqeYO1HfRpmOCQGkD Qe5jeQIqWy2RfM/4cqqi7gJAvx/cAvcyeJ2wtgoGGjVH7lIK6reKFEMEteTx8R9A+qHRJwSuVWjN mycM0FQfOX5ZpTZd/cSaC1jJgNIZ+queXirnrZUb9qOEioeX2l6xAjRDfXhW+74D0eYD6Kbb7/Ei F/Ao71qe/UUHBI6Ly3A4BRDVnCTQXvt+MB84375fwkQEtPmfgzi9WRiXj58XC2FfZsGETiuifNvY 57vWeCTtt3Ry1vgDB3P8wozNU1FjW/9yIvwm99WaOacnhgrh4I0hez2jAB9IS0AA/qjEbywluZnr hDPEgWxNCvhI4dGjMWtj+sOal3uJM/A4g7mHkrg/OFIBx6bkr0K3qjorgubVlEm1azuBgiMjBFZV gG6TFmzOIVqhymuhVjPvdO6kTETqhUfRxAPm/pe0HOPG/3/b/EY+Cv+LOpuhhofOE0zEsP+KpKXk Rw2epxuulAHcljkf3lVHwIdRFV5Bl6cJGJ5qLeOXDj7KVU41KOlmJy+CdzhCAe6QL2UkGapAMhTt u4kdMO80A7XmDaVtTGCMcwPdOst+MI4lKntBBZEeFeU0j8lD/jgc1g9x/NY/P1MH2G3r+Z+J/yPW hKC9OEasGmz5FKJiKiPu/L5UtMJF0wvp1fk9fiBXdNT+g4fIbAjDQ8I2+0408VD4TKno9fnSvkDK /DRllMwHg9AvX/iUIB5yvoJ/JydWJic/5DfzcN/5A2hZpDraEvoVTqNEamIkdAnraMr10Yn7Y0Ef x5hn7oCP8AcHoxhmMiYKsVJahq4GkltECHmNczKDgV/0muDLipjxoB34wH+4iKPxbSJcTRT/5wih dzHRJVXTLiQx19HceEtDON7+aFL9e+ypUl7dZCSIInvcsnKy5Kn4OYBchrDuN2pEsUdEEzFa0oeq cgNLA6tE28Tv8YnLnQWq2Wt6Sfzjd0QjKt9M4qb1H9NvwkbmhrKatMgj4yPXD5sqDLgJlhmP2l5S g8MMyDb1p32KcTj3nIZzy9vt6kDOAIdimr7apO0mlio+VsBddGJ5mo0FNDnV/RDGwj6WFYrSEWN7 W2L3TFB/98kUwZDDVkH8ik+uu+kYgfAFnYY9ZtP4Zp9Y9vEu/CBzXvaPoCGBpG5kr0pie4kvs7h9 adq+jmzT0JSVms4ljMZ92QJi9GPE4PZP2yHKQilpRNIfjt2m2YO+++9E+8JX8IGafN1OeR6wx1b6 jxJu1A7fXaGR7xeTeTpWMogXZx3KoXjaguCfVdb6mbCZLEoLbI3znCnLc95HmL7kIqw2qkHCWe8V fsHe9G3cLfqywbSA3HrfnMgGbrVthubP0bmutmOEu5xTii49wIo5an26w45o+SKB+H0B3UEgERfK 6JtsprECw6KhoHZYwcjQQgWZkoya7BKsx0p9JCRRmJFjymDlDHNwZA/CiJMdhY/p0UURZjiBwV2w l6jF9aGztrbzMXIVi2hVbwWqYfOIXZgnabVXeK+HRQ1M0QOZ6urUiKxX31tAz/a0rPIZ3/ilNfBK IBNx1iKYkbKUCwK2CF75ZG2QNpBFpAXnMKajjQBJZVN1dBv928kghM9AWa3hQmpzp6/34FlRgGrD 3T4pjTk2YyvIpI5of9Vu1Eu7NWKiyGfJ8+lopdiAAh8mr1sdaiJEs0ClQIF5/Lq4dNZuePlyiZRE TVKate3M5M6oAwM3K847wTfMAjsh04UvHy4Nrsiqb8hjBbXf3GZLdbBmR87wqq2Vs34YSSqvtCBA zPUoL33a9a0fCWQracSqzxRgxvJi6xE8qpWVvM2atozfQfFzyzNtT61BaHCqZunjcSaa2Ij1WWwC ufBNlSNPw76+NMJ3VHxoT0KAfhq4Jv54i0+sSzz8IdpRehsRP+jAeVvnMnImXn3Aka0KKVwKY7wz mltJ5V4fIui3jEUyx3735NEf7+QbV/wuj2ZfCHfMX70r5mb7OxSLuY23l91A74TkV9yohi7QPHrw jZ2J/I7vKGecDSJaTwlHhGGFH/RXBR5rhXZz8sWlqfx1ZkqEt4y5H6MQ6CKFPIdu3yw4OV02ABC/ BhTG+Grl+eOxUREnE/mTsIRlwcqToSah/90cKp82FdWX/e4Z/54FtLOp2KBrxMaGbe6vYe9ltBa1 qy5X2bC0FLzCdY8xVMTTp7iqwd0sw4z4YE2nzMD7l2A2AhEP4NfVpneGEDt17HWZC+d77RShXqG6 JViPGxX/nw6zJn1VADC6XaqqFiYoN4eEIVrjU0sWs2r5/e9cxmXa6eSgWM2rAHnqx3mbq9ptZ1zy 8mBqtf224bSOuSEF90MxUBVYGXsYH/fAWIz+Loaq212hWxC20vWZcuCO9yb66A0++L30cbM42ALT jdKPiPlqUfNwBZQRfk6PQSdeyuvZtv6X6msm+e1P2L63E64uJkxhtI/2ScP/PlLOm3Ao32gpEq2+ aT5b1PKFzwFM5wzc7J1ld9iujosFvSuYKhsbQkLkk9GIu1n1Y3L5sS0FxE6KJntG7A8BkuFikH73 DapNX7VnYoN3FjLbTvFJmZEW+/K3Oxln+L0YVzoF4w0xd9d+p3/snhNXWoLcTkNQGb0FSODA9G03 T/2vG48WNjLL5lU6x+HLiVBFQbFKQk3EAmNqbVxexz0TG09D7sR8mLW0npG/M+gCPLZV2+YK/q2Z mZYb0ltOtqsNANhdgi+pJsX2H3T5UZpTY+xEm+3FLAc5lWtbLrMLKLMbbPZjsESt3jxRW4hzvisb 539wmBd8J0POFkpFnpT2mZDeufQbIQ609/WPFrCjxyuMp/AgsBGMj/rZniVJUusGt42sauqhgxFp 4eGZPWZ865nvPcn1NFRkcpUFB6mIP2NzN0R+WEM09VZyXQ39N0D6YHjRxFYJc4qh8CHMNiwp+8AY xxhOVWOyRQv9/33B0C2oiosjE5zbpSxCDvn0a+cTk1+cb0BxM1AYs1gq8Ak2MNbLmkzETUZLwFDm kpyFSZDbOECIYZAcDCt9gkS2ncSflxeHssRChNp3sPLpu9iS8ZRXbetkKJBkN4Pvl4hwfslh45bx QK0IT+iFwWArNKiC7+dvVhv34VdFjN8tlD75Tn2MmQEmxgA65JLOVE8hUztWBAbgrNkvtxXJigwE 4VFSlFcxHdFQx7VyADdV0wtQKcoCn8Vz8aYpetb8Vk8h5VUVqpCEm/k0mV+Rofc6x4kMe2HTnPN7 ldBicphz0C1x6C/pdGz3PwQXrhVlnWFaSbgYd2sxNs7bVWXS9SyqewtiHwTZz/wQewbBeExmaC+J zkinYnsJ2PewGORLRME7z7/zvWEb7kcMO+9z57SOxD//0m/OjJhj1mbuc/MKdI0BppjcgZOb1+2z rGPiAz8aiRv/C7UhPwg3OYPlVqFbNtUkmbBoclBdTqCFGQ//Pf0pkVIx7VMa4Dj4eL2B1AtIb0p0 cXYIw8GGO+AS+tZ43099ldUlAYG9UpKvcFiBSRZP2AUV/P+BDH8LvF7TJk+vrc9Few7R6+G8+B3O mEGtWLwFwPhNLmul1uG4Uihwx1cL/mJQFjWHXvhodQA8E2RT1AtBqiVvFuqGUW629gku/t4tKadf 74pqnYaqmCbQBr9Wlz3u5mNPRWA0/npqZ+M8gl3s6WhCFu9TZ6q1/D551sARaHzNP32j75Gv1wRb TQHrnP9YyngS33ntmnbUJyuzpv11Wnjcsczmj7NDjc4J/UTrIMdBNY1ifgFW+9OQdTqmUKT4qVOO HVv4/hSH2NKT4CzMjsse/+pm9j91qJsfNPLXe3B2Ykaq/rrwNPlXEi4kpdWrJC964yHnvQoXH15p ui+e5zNhZpmclgSrciE7dNoBwT4rAvbePXo02Jrf2O8cbWAOwhm/deUn/O6g7CRJO2/cR6cxZkch /Bjz5J9vSyls9wNMvpufjwiBN1NDQ6yvcqqZlyfGE7orOJHV88Qq19kVHQMiA9F391yfKGhcVu1I G1pL4Zblr9V51GldlONQgyfIv1JE6eHINTW/eGzBpjeGFLW/L01DqlLW+io1SgETiCU9OF8MWKv5 TjcDBRajlgQjIBR68Y3GS+GX/jghrO8fDjZpKJxWhVgrQJkGu6bvJmbJmXHmNTKDR1xFmZ79YVRY iEOqTmjApQmrbAdxN7WX5cbtuDw3HuqnSG/37BiPOB8U3R5a/fpJxV4h7tlkvnejOpLokkgZ+0pc VukfKPfdtsD5qwHvTSXmcAlPsWBOA0HbaAox2RVfoXsJcg0n5tpOJvXQBEG1dVcfsaxFsgmtBfAr 7lFFf0An5ISdKhjPg6lcC/1RiwOgDG0uYYKMSyNR+tmMs8o8wXZfbXdDiyNMG50t4T/JrEbl98qe zdPBTwOwpJHpol0Zitl/TBLIWVsB8bkQ9KOP/2DesS6TXp1d4n+4Eu9kRJRHy37Hisegft3XmYrq 5vgKEuPe2SfokI4h+yttGpk9o66e91u8z9hdeaGxrNyhRq/xzqHcJqttv3uOKtIuUH6CfcJOG1pX 3fKrIzLxs7qwi61VtMMMGT4skHRLYAthiLl9OTRJCY7fs/dos0ig/XMRPZ6qXjQYdJdlOBfEaS74 USmPWzR54YAjako2gt0Ky3+Fs4OkiOus5hHcak4qLJqLVbhxcmMwVbPariq8uqlAQcueynjactJ4 FcH3d8T5DnAA+4XrGidJ6rcubvZo5NHBc6/NRnLaLQ7io9nO4tV801GKEInQAufn4vxf3EVfAT4S 8ob38WU2SwVpOyTO9TSh93LIgctqxIztnOsYDgI8VpwPgN3moZVfGVTZwQeAgGvI/CJxykxZ6PWg /mcv3lhhTYzcfh8NremU0W23hX4hWlU9LYX1UJM19uFm/21WRc8whAASD62CMuHWw5nU/WFadz0T nVUBnk5CyS1ZaeX5u2TJm1JOBrzEtM9AEumb1IkMF025FzV7erUshHNdRg8kjsbVCzABG2UdGC/G UxrdIm/s5pIKA7CHwAzkMlw6rUlAVoGAMguy1LUvwgPjvyEhPxvKOPfxoRwxFdtZ9VxhVkRSJZWl T/ik3u7qmxsq7mJG3RdJwq9VIzt6uAlTCURrO4Pzum1DQFVsuCMqPgKa/LGJeyVBJnJjrEXyzJ24 MYxNEFKnJQiL2zQsTm7zwOGkjVEmehJrObCoVoHpJsSd07/Afp5WIuaxJZsltD/sku/QHygkEOYR Uq8aDuOLZEED82fTJ+LcsWYvLbmlkSdI3yDt8UqI7ldRyuyb2nPh6nIkq8ZtIz4iLbFCm5SRMzMb abwwwNQimET3Ce6XW0UUyadQeLf/kjI8xmpp2Y7an9LbGTNLn32tJ8D5evAPFfSlmBapn6iotQIH LfaXMB2nWSv9TPa2e7Kar/d9EAgRex12DJ09sZmrrz65/wxdaBG23FHFs+e4Nllb3pGXJspE6l6A AzTaqGHl705WNjlqQOVr1NS5EeNhien2WZiwRSK5YXXCbrsqrlOw/63H8N8/MM/22pqHBTWaAhJK SHP4OkqhwZkVus3fX5ZVcDWE8HlOz3iJWrGZf9OAjU+jPhcu2CBqIV2qxsvyt+qlqz88+z2udipo NheSJd09Yscaej0Occ+8iN5rIiQM2ZQUUi5UCxhF9u67jZbrWNXr+PzX7FYf45PhCUp0o49NcrZp YEITj5DCNg4UNDgeFTry81AphjiSrBhQBY1gkh3uxFFGcgqWuejJs159S8/BjvCll68m3yqX4j2h zAAxbkItFMwOuuTwDwtbehDJc6vfUl1oupallfTgxMNdGubOQ8KZHpGm2wO2pvm42l8EsssccyTY 4U7ISukpx4TqpF3ZQuan71H22YsXzw5sYDoCJKBx/X9tqvtpPSWH5BRSQRAVpGJ/lb0wRnVMX+kw y2yqMKfsDyvFpiM8Ys/OuthPJ26dzdiUSunoYPR6O9/T/mlPxoyftd5DdYt2Do85jUceDDopfzhi 2GsrCQtoK7SubLQjzsxqKyKLfd1KIUBBMqUdQQvoYqZ5RWOkBgWHoKUTplPaM79iApzzbbfZZyk6 Dbnh43hLbllph7exc7EwgEEbzJW2Wj7lgbWPTngSn6T9B6V109Nl8ZRuQCnOSGbnh4qaLy1bFsdq dKE0p65EoH6D1I1xlc1J0/QLVoWmO1yPQNyk9b4QrYwwAuwjarnUR8e8z5+XRqvQa/2eY/BMBksm QKQcTQ2Cw5NzBO5ZLn3qBeHYLvPteZaFdmYQIwqXd9uAC1ZbxnvdJk+/h1JstCpK8JGh0QDhBwEO aFAHlZ5z3F+P1zOHVA8fJRtwJF5uDtd+qVJCSXSTKxDO9NlUm1wA7jPaYi0IrJnr+/VvJS1F4YvG ibPGttgM8Az0Krp6ZX+x6ZvjUiuaOMgvqvRhXXB++g1QxhGJZcoChhabg+h1+jNY2RkPobj5q+Zn 60pcsCZO2TrO03IJN9zvVHEh4y4PYr99rwhKIX92yxlBZ7hWBeyhYPz6+bPThzWHeECC/DBjvDzC BIPQjk+jZLo1G29BytjytD8QhAscOV/Cu80i3s0apLq26Z6XtBcroGIOIZRsnWYDK2ce7VopOwtM 4seXoGQuLY1TOjM09+RikjVoDZl8N7Zj5CvFSZs5+kOEZ1mLAUpN1ecanM1YF9L13V6hhJeh/ZoY Q7do75kpJMPQ03EsVLQNHrRQlIjqZCkrXFFFX/AYpCCs7fvpq+6byfPB/1V6JAg6EzjjJ6/udVEk 0phQrJDA74B/MQ2EVZR9jDDwLH/1NP+H1g6MSrtXdl59rMgWLXnb4XdS9mXf0MQBxSprmk597GsJ sA9RKtuR65uQx0SvHt6z0IvUHXbzqFSQQs83eAYjuV1ebFGijbo2Sh8S4kVtGYNe1kuUfCyEzQ0Y 3wuY4nxTseovD+bfFE8qY/bX468gTBmvTllyYq1ZyIL1HUyMUj7oUC73cyjvIVAiC6WIJZwm0NEQ 3Nc5O5QcZSWs5skh0r2Wvwb6wV9J4B5xvL5O77B2BB8hPfqz5NB/eHPeCX55uxvzbTFwtyCxyRNf h5659nq311PbV+OqFJLdTnTIWA+nLrpqH4+rKhvF2FNLRrz2Ql2arUHfTYzrfhWfsItmbtLXlHoR zPrUxPtiA+u5/kpuPM8fhRnzaAhRPB9IPt3vO1dLWyvA/SJv4lEUnAmGSGyLK0YqY31mB9sKi3aR H+9lAaY7AH4wK8zAfNLblnke9uQRL5WkLE4MmOrGTKwyP6XBcwPkRPhl5oAqm6ajWmfEZRPazNxb 6jG3QtO+JMvi3VF/09JXleYk02pRxLrUUDGScv5kK5OshUqsebDhSb9n2tq4hiW1VwQkhOT8BxUi VmuqNW6WV9GGcuAYeM1GKx/UCkv+zdRBo8mJdpQ6ymfOWnXpRR0X8owLAY2bJoK3EWk9izWMA7na V5EHUmtkpYHtf8UPYkjZZ5puTbv4NaRyWcZ9m74Pc41q4bHV5Tro+aijZxyKAYEra63+9vBeCwpb cFFO2HnyONJiYDHZ/JWHxSmIhI+0o3sTK+O5rEgmtW62x0fsT4YnHK3/o/n3QwC3F0pwlf3s+WnO DtAivJXHNCEQm7S53oR0kVgYjCGF4dKB92H22GiyMfYU9CCToOE+EGIPHrPML1Aw+crrz/U+9qev GMLpWycDI23E6Rv1ulgKJR0OEASxDWLreAuhw5uUBdE+dt1k0K3rhrZ9VILRDb+mkLN+jn1U4yR0 hfUsFfoTNwB7TIwnvIhqKTzrrkZS8zx3/BCeiAs5e0xID8UsJPPPp1uqZKzLVDrKrTVGoDceAX6y 83yXYoQfpS9alGqIDEgN1NgoQmHAEOvJtczWSLHJIVSyYhGEJ8lhzZFe1azUpayZrIhMI0Y3orFE tY+R30iSgpSN6hiIlfsTjRyM2mdFhfi0/+ZA4/XsukgDTaeW3Jowzwy7sJADvVcvyMy9GVJYHqGG /hfu6qNjx728owcPQLpnDmWurwjl8K1+X18nSvQ3Y0YkOlFFPo4YZYsc7dzWxdwvJJAV9DDrU55n cDxFuYjhEljCHxmaCahWQjcTIc5YgEYNC+zp6xcQrnnRlPX8xDtQreJ5KNfaMokuiHiroxwd9qk9 xDONRYwO9TgnWG8huxEMzVDfKyB+x3TegCAf5rf3mwTITR5ehorsMdxHNMYSMluZa4zVj8GmAHpA /FSWnr0Chyf18MAxhXTMpjr3TNb9o/szyNrm32vVfRxEMg9mb/0jDxdwE78HpE0G4ByF0lueUgqG uTEPUGCNv3CeTci8vX++PiBTT2nYXK44xRhIBVRfGoRP+61sKt5M2BdnA0AEx15OIz0cHITIE2WF njhGGKFi3UtI+thqgQY6NKm3+dikRmCNr90IgHkjLiGK3b0xjD42QlbbxS1nRc5L00Q3Ds1MF9+I 7g0+GKJ5nitiQqZr8ooh7J01ueQq4tmBET1Lt4lwS4gtTPIVaiUHrfuTv66pyRRrxToDnfQM1CK1 mgvvOW7uG7DXR9UtT3LOSrHzEmLnQwSVMRet6HCs5XodqVAAcyZKemhEcAokNZW8+FGAQOio8vbI IZH3pN+CPTv7R1frA2U2XhYxdej+Klw1pHTiknKPyxRMKUoBZeTQlwFOIqaeX/P6gP1/ygizHnZS PpUnFymkGZAe76i2GWo6Ac3dWzNrtzkwLLs/5KitGdytFMnpb+baVbwmXsye5BSplYtcMymcD6LV sQfzd0fhNX5qLsUZCl+70NwZX5qwTtq5Z084CFP5BhQXYQfBL4tCb0/F1i+uAHnr4NypY8E3wivv wUONaIU6HnYyDPJbYGlekF4ccqic6RxK556dMJgL1uJkdx1RHLP6KEjBpfB2/3knq9UsaWSKYPYJ S+B2UQLp3RzuUk28BvL9noU/P5s4106D7GTbmy05FH/g68vCORfp90RUa2vib6/HKeIVYkS/8NXF 8ZhAabHNcv1wEnFhJeacRx8mc/ZQ5lJkDTK8KC8PH4RwrBSnZ0OCjL5zGFCR+pfizkpyGhi+nH69 9vUgTjX/EwH3ToVHGBQTFrY8/C6AVTBUIOl83CYr1ZqKh5Gmr0dJ5UEvqzMRL/jCRrYaDx9v/Cgv 2XJwQL8cJs8udIIN0pj0MapYA1VyY9SoyIZqxqZhqYnN/ZyEqIBHr7onhQDmUCYKS+qoruO0EI5b EJg9JaBPHnoqHa6NMAAGU7XMqUpo9JwDlx70ca6cVn+lqMiWbqcG4oKWVr2YeBs4L3RhcGQO82OZ r0USMyeKNpYzLs0cJp9MOsbuVCOpyvK5V8GGleyZrbC1aY75yUtCufnajxi5fbZ0WNbmrkZf5r4T z7fBxLu9q95+l0cbuTYISQVcP3Tzl+rDSkifS0V8HxwCiL0IW0K/MvUO/KEWVA2ghl1UTPQ3znTK C8ZXR3VfMg47j5eY8pINtMGuIJwoLcFG3lozHZdFh3zEmpKNLMlxb6bAXiC32Qmi9DAnaHCykgFv TnwyWMtxweqeadsLcB7Rm15c8uxg+QVcNRWUuiopIx70OK+pQdDomQKgwmNsgLpbzcSiuqvrRfxI lEnXGaDE5uyjjGrZ8Epj4o3/MMoKCrUeRY7DiPaVVUKYl/WRBVZSWDJpT02BMeSrh88GPUAnQiDT v2uvVEsEGoQfMHBARZstPYrStpDCKcvsyREkooAhgSk6r9IlYsSaDv9cojjs6RmO5U9Hv8jZNOWF +fNSXuSX1RQh3DxcKpMM6aM+dyx1QAQjFOea87v1PZYJPfTHOAc+4z3XZSwEV4mcLTRw/waPAsFY KH7qUDMQ2CXQx3QJvXkC0+p6upm7OTApnrnzesnfN4txGZe7kiFnHXkSsWTNFdIy/Cz/VRMt25ql 2E+ooKfczYB5yUX0iWDp+m2xvAzOpAWfUdOR29ZI2ROLz0RdEGl+i0ry0T8O3BBh/S5rYeSbITyQ 7DX5uq0cMUUv5cTM5ASzmCmzuo/BuoW5QIbB79wpEvPPGX9gyezKFXt3b8I3MhQA2TFu9W4prGbC WkLWOaCT5ZDsj/YJPa4Ka5filQ0iKjXi7BbzzcNKx2AvF/VABVneOa7YZB44YrbptUa7hCbylAAs 4vHKOh8jJqunJLm4VASkzJQQYKmrg8e5xv7mwYBa2jlwnUrmO8ruElmSOjpL4UdUSCmZXza1RHet nZbtsPxGMeAuulzzbEAv2ouapTpFaWakdvyVQOY4DnY0eluF/DSGlhF/x98pS0sc72wlsQpgtZBW 3aNBcPzoYgQTBEjFgz2ghI3T+riHXBIsVu2kc0Tr86Ep4QDrfb7xAbq9edj8j/XSzKLnYkr1eYWW LtOqvQ3oTTBrNslmJovuqEeymd+XIaJfNDmoGDaAI2lWLjv4TspiqJ41DQyhkyVBPxUilGP1LKiD Z3v9B/Hquc4p4h8citit9ROgfutCuyixAGXs4PWDhB3I `protect end_protected
gpl-2.0
98815b9324397b4469ef6df5e06daf02
0.9444
1.846584
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/vga_driver.vhd
10
3,053
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2016 -- Module Name: VGA Toplevel -- Project Name: VGA Toplevel -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Toplevel of the VGA Unit --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.all; entity VGA_Driver is Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; --Data INPUT DATA_CLK : in STD_LOGIC; DATA_WE : in STD_LOGIC; DATA_ADR : in STD_LOGIC_VECTOR (11 downto 0); DATA : in STD_LOGIC_VECTOR (7 downto 0); --VGA OUTPUT HSYNC : out STD_LOGIC; VSYNC : out STD_LOGIC; VGARED : out STD_LOGIC_VECTOR (2 downto 0); VGAGRN : out STD_LOGIC_VECTOR (2 downto 0); VGABLU : out STD_LOGIC_VECTOR (1 downto 0)); end VGA_Driver; architecture Structural of VGA_Driver is signal PCLK : STD_LOGIC; signal vcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0'); signal hcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0'); signal blank : STD_LOGIC := '0'; signal MUX8to1_OUT : STD_LOGIC := '0'; signal BUF_ADR : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0'); signal BUF_OUT : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0'); signal FR_ADR : STD_LOGIC_VECTOR(10 downto 0):= (OTHERS => '0'); signal FR_DATA: STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0'); signal VGA_ADR : STD_LOGIC_VECTOR(12 downto 0):= (OTHERS => '0'); begin VGA_ADR <= vcount(8 downto 4)*X"50" + hcount(9 downto 3); BUF_ADR <= VGA_ADR(11 downto 0); FR_ADR <= BUF_OUT(6 downto 0) & vcount(3 downto 0); U1: entity work.CLK_25MHZ port map( CLK_IN => CLK, CLK_OUT => PCLK); U2: entity work.vga_controller port map( RST => RST, PIXEL_CLK => PCLK, HS => HSYNC, VS => VSYNC, HCOUNT => hcount, VCOUNT => vcount, BLANK => blank); U3: entity work.RGB port map( VALUE => MUX8to1_OUT, BLANK => blank, RED => VGARED, GRN => VGAGRN, BLU => VGABLU); U4: entity work.MUX8to1 port map( SEL => hcount(2 downto 0), DATA => FR_DATA, OUTPUT => MUX8to1_OUT); U5: entity work.FONT_ROM port map( CLK => CLK, ADDR => FR_ADR, DATA => FR_DATA); U6: entity work.VGA_BUFFER_RAM port map( CLKA => DATA_CLK, WEA(0)=> DATA_WE, ADDRA => DATA_ADR, DINA => DATA, CLKB => CLK, ADDRB => BUF_ADR, DOUTB => BUF_OUT); end Structural;
gpl-3.0
c736c3497df73990cf24904a1649420f
0.511628
3.617299
false
false
false
false
notti/dis_se
vhdl/top.vhd
1
1,520
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library work; use work.all; use work.procedures.all; entity top is port( rst : in std_logic; clk : in std_logic; pc : out std_logic_vector(7 downto 0); rx : in std_logic; tx : out std_logic ); end top; architecture Structural of top is signal rst_i : std_logic; signal clk2x_i : std_logic; signal clk_i : std_logic; signal pc_i : std_logic_vector(7 downto 0); signal rst_1 : std_logic; signal rst_2 : std_logic; signal rst_deb : std_logic; signal cnt : unsigned(19 downto 0); begin process(clk_i) begin if rising_edge(clk_i) then pc <= pc_i; end if; end process; deb: process(clk_i) begin if rising_edge(clk_i) then rst_1 <= rst; rst_2 <= rst_1; if rst_1 /= rst_2 then cnt <= (others => '0'); elsif cnt(19) = '1' then rst_deb <= rst_2; else cnt <= cnt + 1; end if; end if; end process; clkgen_i: entity work.clkgen port map( rsti => rst_deb, clki => clk, rsto => rst_i, clko => clk_i, clk2xo => clk2x_i ); soc_i: entity work.soc port map( rst => rst_i, clk => clk_i, clk2x => clk2x_i, pc => pc_i, rx => rx, tx => tx ); end Structural;
bsd-2-clause
397b987f5eb1ad50decf83c50253e714
0.484211
3.340659
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_fma/flt_fma_mul.vhd
2
12,896
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DtmjOyJ5RqjEDakuYm0MIXc3y8PEJ9EGFk/21PmXoWxYGMSx0+4YRxl/SsySj8WI/wUZMhVN+R2Z 5ku7cn2tGw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XGEzlsIMImCyH2Z0v1MZQqRzi4rXeKbQi9y5v2rqm03HCwCMFbHKEipfjnwIPOmmccMYmu+o7qIk ltismMVuSe8SvS4XfEH0cCdyIUVhet9JkLP5i0euy6wnSRlMJfHw4opxxD0KisxGfiqJyXI2QCTP Tx0jZQUsgitq9J2I+1U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cyd7WjfrmXDchMBhuo5CxtDYF/I+JrhkP9unJmkjW72yse1JPc7QyDtdL8snHySEbkfgJEb3hGi2 vD89CAxYiWW9PRTbZHSTqvP3aQaa9HMQM+6STkRMsWSw3eqR7yfg94aSgAJkiofBm7B/4/fjxe4p 5E9vOoN0KLvm1LI6o0TM6NeAHJPFhfr5Dq3E6ex3vJ3xphwZ6luGKoUjLVqK22nw7BTdPo0wO0hU ODA/2VlfzJhQkCBT0FK50hpHwYUKx5p77Z2c1J4onmwW7YcfrzkLxRH8KXBoRfQddGbCgBn3/ikz oHdZFLF8e4b3iUk/jYtIELkyJm8KubuyB2TY7Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Qv/859PRjancuddk+ezyyQd3dMbA0TNS35oNOND/1Y9Vk72+9SgTsFniQDvlEe97KLg6MiTAiE6j uWfa/88hIhdRGw/vxAuRY9NEn17lBzMJx4oMNPuTdok6JZuK6HhZhuEAVSGbe3PlmS0t8e9U5EBT w5+h1hnSl2hzOcV8hyQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kej6PtZJXfPiTifX+HFfi7BGFvBYrVcQImgj2VL1pXRJlqT9wwFMfyrZKwf7AwwAwcodgGOX8gz0 lVbkR95WfFL/VOoLKQ62rThR2Gh8klf4gPV/DPZ6OOfBcH3jtjuT/T/5xU2k+d+UuQ2ymndez5Es /YfecW5Fu278vsDcYbbodgCW3zuGZ5sGUq24S62IGnmjW3RPNdaxrlIZmCe+u3XT/4Hr1Xc/TSNp 9XA/o4rIRS/0l68PXnDYnNnewVGpSb4fssfJ+ktNkyUy0dT/WajZ69yZMFVGsKTaovn+3EiCYdpD zVcrgq9POZC5x9bKVfBUlTMsU7mvntjyFy/+rg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7808) `protect data_block A2cwbojKy70sWRpZdHBBNtB4hocR6a19vubTcgxGjtWQgBNkMhgxTn1wHUt35VPY1ONBsIz4+GlN c3APOcf2ZfS/p9UzH6boUzX3oArgKEus15HhqodHTAsFlzI9IQt5WU60/ip34zvEQe9k3TKGaEZx xxv2fTR/a5WmyNOEu9DQgxnVpIZHDFaxCINZVavhbmYOqL6TxlOwRPWW39+swNWOi2/VhVyAEudW M4KAxBR/2ceTUgLgM0czZyUjUEQDiOrg4NlDejsJVz1bJeFIFO9mmFlFNkHEx4zi3BCTT8E7L/6T Tc8wbMKKQWw8+GwfxGLMwHYFHrN/SPfDyjFmEivw27CVxFyI+B5hRrLtBpm9yMr1IO1Vsnl6ObTm n/yaii9x2bcI8dR0rQKasKgOS8mgp6vRHKpaxmE3q6LSphSJIVW3oNVdETGjKBLcTXUswyjZQBge g6WfXUvh2hjF4LLkOcBE/H+ApRPAcv53+k4LZx/J1FzTjmzQfuNz5DUT8m3YKFSAxWXn3YurGpIe 0y1PsGf6xscmXCzn0rokmCVVlHl+ihsBA/08Lhw0kydg6na1TmQ+0WjBD4ZOin7NAK1OQ9fBNL3U dC48atNOOyJ+t3K24I6a0GSViXE8m1Z0bR42cbRIH4Khp87DLT2lzQ+U516NznoTFtYV0+GIenMw BYyu+bzPcKp1/j0XpN6Q/C2Gw6+Mc0kO0spytBhqILvFOO2AJzMu4KZWAbosOXVlGZ4PmXzUd/8O ddwJNIiC02Ngw49+hA/gLbOyMAJQw5Na1EMZJ+yXDgKnfmLz2LUmmNiBPrSNUJ7g94lnxdXGAERn b94S2TOgzHCCIPN+z3oIqnD2hEglXd/lzchmx/xCtmZTKTtKfRTBCTd3PY6jJ0mcd8E5H3Ep8oZy t31PH1sqKYRtiUVdGlKC+0+NWPdpfOTNQAYLN8acxdqz7VyT7Q3sM+sfrdahjw4H363z01yl+C9F SL0GDQ8xUTTOXrAiWL1/LLZDc1iRtsTwI0IEaGyp/GEHs/8dOMu28Ax91rv7pVF9Bfh77c5jx/7g E5Ta5GEsAZtxEDuh8fIjglVNDnro8XqN2IdV/M49pmFakwwRV/NL8AUYNJY+kdnvDDrMZoZ7DEkX ajIm7u/EmE1h4fP+IGhoBE0gMf0oPX6A5GnAzSxU0HJwP6t7WriRroaj8ZtfC6qXxu4EjlT8JzuS gLAwnt2dwpZPJqhZE+lgaYdE5Vzzwv40gwh828Zped09JxO+y5tZAnhroJbzOmF/3+N05qByFlSP Ny+ocCu7euSy5C9WWSaCZJE20bR+iiKeQI7mG1S+VBmEqnu3CZCQodcpSK0sNPkrqoi6FelE4Jh8 +YXC0Pw2VjC1n7t4eQ7HG4KpQqF2Y/5b/y0E1JgzRYi8fcF1blqzhnmsAEeyxnZFt56blne7vsG3 9iNZVOcoUbjWPnaxYglsMgqJI+ouhXfvYJ7IIsk9fEOqQCSdHzyhPYnzkQ+3cizkhBhzfn3QEb+c PzVfBV0ibaEZIMajvTbjzw4yel2HBYbDT5YAOI8hKZfNNVp0ZMOalxHOlDhg8DpqQcr+BL4giAu6 HQezeX7PCUFWccjgyOlOrcxghqAnm+oQCH9KnLyD/UwBDrg8B4C4v9LUuAaZU3JhcQ6ruhRWm3YJ 40/56DG9vUZQh3euA4Huz++zELAhVSl7yP9tuyMPkKvTohf6fczF5fsHoZfLMFdESm1c8cmTrEa0 ARIw38GwbC+Am9xVj1BFNZv6UsL6M6TkYYSoi+rjMlzLqgM2OSo4vbvhWkpSFeoHB7kT97OndP1Y s063QGProgEscfi8tNGDwolMt8erFqhOyr6YjKFojSI0q1uVJJs40fOc4suP2jDyabp7Aiwkw/Yn VxKv9DfMu69OCw7eME6MhhIsdV3Rs6B7UGUYKJsrTV70MtetuSN6YzcCIktOFJDsGmhQy63txR0w jjlmbyn0a5zwhpIF5Xu7C1e+XhNWweIwECVvlWJ3VcfWTD34BaTEck3U/Acn1haPNbp+y99Mp67c zRwF8OhBu3c0CBvI8fINcesLPkdIF6bgOb/DkrYRkEwCdzrtZKBnwKSkQAS97rZ9/4AjjPc6CIVy 70U1Ig3F+ZY9TqQtD008F7BmYF8XJGAvg4GAaqUb5I205ytJ2T7y7QLVu7ibkZsxnpLHhqraxbe7 ioHP3nb4bHFJkZh4zAFk+KFqjKQ/5G3z0djkZ8R6YExWwJ9YRRLoklHlud31N5ckLvUf6Iui/EIa Lo2hlVRpEQFZ0JZkBwO4jMoznwVKz74LuCK5FEDcwE3S90HcZIwCOvD/usQkNGf5QVFgH73xbgDo PhyxK+O6HKNoBP2Mi7x8LbbxlzRjYzfCWNGl2jICAhpHxt+NPE5+hmPceagi5g7gvIcHXAztUKgh A3jVp0K65MHrnrCAL/PDB0R/2EWpWMFV4ym0pbt7Mflv+tQVE4YVsjp5XMvIuK1j6+Ov4/e5G9fe Vemf4HLCSje5CzAZGhAUdNZ7PDwAz9DcgOXPaMxw1X7iNuUJ3kdfcp4KPQRWyJOO632uwgOIJ1fi DSxAxSVpF5suaPliSFdM0JHDjvq7yjy7K8aC1WYUjLoYVGvD/G2WfDYXwsw0YEj/J3NXduizy/oE FSj3sFE3bovVQ0f1jVE8C0Ztkb3cXo/J2dyJUZKS1NjlKNI8tTPP9sCx9fBtOfohyEuCIvAEhOgj I/in1WRF4t8SxklfUL6Aock/eUlwrzgVv/pxqOcHToNhGcM4Lm9um8LXyz0ObzSJjgYAUjfuy0ZP JCX9gq7yV+cZiL0bX/fuK+13Mqw/mcXKqbxKDBdksCNEbZxMCPgDt2oCaCkvMeQAn4KOcmwecp3A FQzrelvvM0UjpzjSxJmkmVxuSHI5wYkVdRxxmW31bHqRrF/veDR4ykqGzBCD10vNuJjYQsFFKziL 3Rwh5r2OZQf0cMqmfYrGSQbnVmdH+cuHal+mXOv5UmBfQcVMWQ6lMdkpxajv7fsCrn5upidtXrdK qMCeTdMhpGgJUi4oFaVsoKcF/BILDOH1kkmb9nrONgVtPGaML/RBLZiNpEmNQk420g8irN2HRz96 zdtOgiFEg74994WKbm0BBP/cw7nVOxQDjhMZsnlPRZOKIzXJ/iOVfrW6tooNHnCPngY8Pq37zMzh mQkAHoLOF/KAXiqD8V7zbHgvQNOl98qLJeiGx0V/RdnQBhNeZPWNr1zOZof9g82DMFLACaW1WcUl SBF4s7nxiz9BIPCo9XNsxMZwnKBtsdqLsjvsHuTsSx6VSdgXjhhuDQx9IveA/57Ei3qyfzUK69jV OIXKzfZqt2UyfshG2ezflt2m8gtj1Cx6QfXcWl9uDAw+7MUaewFpZadt6LrYCTEnMXZAMfIXdOXD blXGvzd2N8sk6JSk3hLt8iWZUWJX8clqSt3FY9PtB+U0jeCkrDWsbVBuCOIqR2royzAcGH9MwD5E nBYFEPwn8yEa9ZceN4Rz054gadScoNhiFaWrDjJW2rBf3FuJUAsJatAlRqPtBF79+TqUuZtzkJMe SkfT52U7klrtVRFPQf2oQjmrwa0dkGiw3OgbXA5/h/GDd12pzxW30Wt2n5fWxKXqw+Ug/B5ffUwp waqMkkSFhBdzywQrOqlSY/kzlqYAStFWPaaY6+cImXNycNX1I50MSuB64NR6xKiyveWipemvwbNC xL7Hybmphu0M0tMFI/dXzIGlBw1CUjhUU9fYWn8oXOCY6+7gmhCna9d1Ye8tGXSEEZWEyVGV/pUU Jkl2OO6Buoad4lrgOMn9m/GtGfDwcPlMC5hr/kTRCmZOsZsYkLNYQ33kGD5t/Ty54U+OVQ85wLTd 8MYXZ/CopsphU2VuVRb7Bjv1KOti/Y3AdEzEoD8HWxxcsT/fTav4NH1i4r478PDxxEaXcSnSRW+6 cZuoYEpXCoO4r8wQdiiZ58tStcFt2om+3MmF0YP+BmhdzVqvU+6FWTuSwvAqANUfneaWNSd/iQql 4qHvW3+Niny8BET/y5IdIYEQEsQKHNe6vJs8NNOOnJLddGsLVLtnlYC5Ph4jEuPkmvK4fa1nen0Z IRlU9ivC7z3ACYrGV9cPvrDRxeL/Ts5StEjLIjbmuoKasZIK/vERiUZISCo6xUOPuhlcT2NwtyWJ TuRyXx8AkqC8aqcxgqgATlch5O/Gr3XF2IfZVTdfYoG+S8msTGrexFaz4LHpSRqzlHwhE/idEsRK yynWSAtGJ9fCNW3ldvTinaxIFrevI3L86/cPQYh/miDgwdHp+zMPc7gfQnJV4E8AO4UK5gVI8nkt Lf/ft6M6DW1DD59YHpnwv+gCIzXCkldjIjtgtnyyI0Cwa7LvC1F45lIWPj790+Zqj2deDBDytXIq t2vedDHmTnuuAVGxApb/0bBLiNTE6fdB+fNS0XkpgUjS6QFo3XFRQlqfcwTO9wggcRa7NEPvc6Ig 0qu+YPoEoqrYY42gHFRgDHvTB9jN62oziNNdFQiV8EOJuZh3Ba3xX1/0ai558orJEfHMn9JCVcKD NpQFiqF/BGK5hJ7Epg8s03h8IkdvYbVzEqH/ok7LSUvUcyW+8QXiHEngVy6NOk41xc1GfpINrWsP 8AYThyBgtU3b25KKJjVFhXsh0UT6khFUXqigjPXjqJGK2iszMUX9wdDPz+P6vt1P9R/dPS7YkRL4 PDfvKnj7BBFArbc6IZ1f66n6n3Q7fixyWdNNoIIXQJxPbXRfj9UaRN/snIXnrPz/AeA8jIkalBaV fm2GtX1rvVHlecbx7GNaJtbgeBPJEWQZ/vS1cxVpmIKBZGssKlXmLA/u//PByQrASKp/0v/O6Znz 5awLBkhbSJ3ExO8hmErFBmrQCi5WcP5lnW5tOsDTTl8cF5rWxNI2dmbJqYIxd5rqtw+DWe+svyYo /47nmdG8N2ufaqXuoGiyCgRCfm9TV4xKZ1i/qFbxors29PPQMMDYnXwxj/yqvaaVaoXBKvbKdGA1 0Y5wFC+bB3gP96GlY01cHsPHdm2BKdfTIuQHtd179zRXODys3a9INg009+VHxlL+3Rl4OuJrYMSx AKd0A7pkOo8laYA/V4Uz4ZTC6O5OoX1q5DMRImJ1vXmqw2DFvbeK1CErmyvbXrbnHqC6+9tGirLy lHVy0kz0rby8JgIAYTSMy5ZBxcRwkDJ4A0MdqpQj/tYtelo89mieszKa5cNLUNk33WFiIGQ6G/p3 8wZvmFhLRUKCOPTc6b456hGOxaXHsiZ2TpEfWXwbk61lfMCloQGhDdz3K+5cUO2IFXp3ndRqIVBG qbfzJBs3Qszj47hjV2L7fG25SWxs0KbyUldFyUTbZPriAcyxqGWvGF91ouS5jLVnjaDuK1xuFD3R gN1it7wrzUim2ibvpY79O5QqI9AyCXN06g28AAUTtTvZBlHGpF4CCrgx/lio7JFLiCteu2Uqq2Ur LjlFfZOc/o5M2TnHY+OdlGNkEzESSIekFnpv5YnZ6xWBtNJ8CknhtAEOeRoGuY7KMqFaFh35oijR NyIPbqaDk19p1ta551Kz+TWJqxJ9Ln37ZxJV5fNcFK6bvXa1/eW+Pc6tPuzUl9AE8/afaVWYObIN bGOJTuzEdEFMUmPZuT+q8zvF7cqonuyWU4hklLDc7FpQp+jYMjiYtmF1u4HRIQfd/HLjJnvD8DPG OR9JlToXwxwPfww9BiYlPtwcwbeLFyLFO+vVoQ6/HZ7Xq+0okscgm6ovChlAD2nSiWt1S9tSl8Zp LKBs/elylXq+S7+GRiaOXAjMX5SeGiSQgR0D5rY2aOJz/stdWzXdsuA9XReuvqORcs/NYlXMr5l0 W2BzYpNsXx2R5UrmO0g3b8w0EBYQguLwlKgH8z2hMdkPA4n4Q3HHl7g30GIFBWevd+sv3ucRKWQX iuh24JNpH3c/R5fl3hkrXigrMF95UQTs6bAJ/ug3KYke7vA+Clx/T+IZdViYc5/BU/V2G3suu1Tu okkiQ6EVxs/CW0hn2WdWQ/87bxudFNqh6E6/AA0f1QO36XXiH5050YE8yVgtJ+KQYvtlE435RXx1 +FtdpdM8JXTEAMEP9OFH+LndRdB5MYC5CRuGtcup9M9vHSqNInVY5vaOgH0EwwMO6eOtJTJR1Xxe ZbRXDSQwoSwy74WeFY81vcxhi4dzk6/tRCtVi2XIv7UAvbw2ALHN1oK/EZPSZ9owp5v1PJJdpKWk tkEHOSVC2Nbm9LF1eWwexwh18/7scRGVIe7uQL9t/rn6zZ4MN9vQUcWDb3h/NNel7/+DccNF45Uv a0sft3BddPLfB5khSaWeWe/9ezUcNsEy2tbU5CIyVfzkNxDdPdUD8sJAsFjdPXlm65aegLfmffNt QttFgq+n6l7If6WjTVPExuKI88yelAojLVjuojSv7l8NqYEo6QTQTImcBHZ+Fww2FBWVE0wTTxvy Ey84iVkHY6srpG4KU0/wqZqkIGldQDkLl4vrKFDRx++Xr5wwCAGGyWRaoRrpBeSk6wjHtLU44cXu ztY2TILsVdbWGypjeV3aVQT+h+fxlZzhJQH18ujLk4lPdzbncE+BWiRBCt2wnkHusLG3TSJL1hwB ITFYaV6JJaEIjopO3MSg3JQJZ+Lim7R1en1+ZAr4yur/EdREZZ0uUoB9pJJ+lCLO6EGWW2jSEXP0 +hqDOInwojsvnsz2ut9NjNfQ11UTSmu5uGIcYOGO8TXdnTRbEwuO23x8fQakyuDApRY2WO9LlCOi UlxU2GIH7925dXqFMtU5T5G5KImfvzDaYUjuU7TbBzz3nUQXEynskn1wtkWvlzsNUPBYf8VUUflJ lrKl1wBMRMC6z8S0RpGlfa/o4c6rHWUaDwVLzAec88qV4UlgANCv4kSJgF51us9c8xsWTmdk09Tv bYZ99M6ELogKU/MFqvqFxI+yWDRsLfUbcHnIZNuTo13msSLQ5C+/AIFKTL/XxMSWB9SWS6Q8uEWR zuL19AnYLZbDDdA6bmMDSQ3PlIVjPUvqDOT7tUYjH4B0vQYWkhZbolrah3IG08PZH1T9ViNBYA77 nJO994lKMFidrsUh75OfUnAyxfzzXTdqcJoUYTlStLu5tPK3Vn8Wd2gCjRPe3WQqpu+5+JtxpLa4 169cK1n64TLGIrkpe9bm5/6QzWJIMst3nrZfFY3NpKfdHOkvRXdjAE8y4LuUA3PRg8vs9ALnnyNl 8Gxo2KzklREZTHHsRU0i5fSRttObB2bwCLDXipyUzS+d4Avq4otbnXlOEnVySjt6+M0qGM4pPtGk HCgBoBF+g7GCIHejqUPTnhQ0VHhvY3n45chR3eb9wqs1waKT9kHrObeh+OwrIOk8wzUniBf+k462 AOlJo6spdBhq5euYECaufik/L1yH6u/Pnmm1Rsr3tLke0fTxnZQHp+cpsGTz3WrGs24r6fxl0Yk0 NA7ImKLVW7xD6xMVWXv+ZcsGg2KLmObwmJ+Rwv+WqmxDXFFAsHfSuiSQ/LhAuqxID3kURdzZpzOV 6Uq97NTpFmv1oudSNRBLdgtJ+FH4GaBJZd7wr05j2HQ/oiMyHedXB1ce3AOBi+BS9GTfUYXmH2kL Oi8lBmAJoB5c3EeNEXIVpqWZ/KMNvCt2hWP4rN6jRIswGsYahH9gsZCG0YwtDv8ptEdvHS4kS3Ef UCUOrwW7B7phXSqshZlatuz4gfESuvuWaPB1EbRoyrp2wZKvXWoFuQLq8D4QW/dGvaL7Z3DB3iO7 lyqhwAVN+7mZOvVtLSl0dS6rXFw30cDCVRFk4lhs6FFN7Ub/4C1WD4wLYJB5VDP5LelwSa/YIZVO vo0Qgvy7QhhtwjHN5UCmt90dhuSfBA21wN2rVLYrP6R+VKZE8HpLbt42bmSs/XnfGIXmoXwuOWrN nwsvkmJym3YXyAIEBEoEsq8ZB5/Kh/z02pk/ORehY8+NbJ9iRPnYzMZ5s5rRhcsr09Y6Jg2vn32T X4/lIFKGv74Ok47tw+vNkeivukovWwA6TA6p+8ET2KKZN/ReXG5Vxz1RqTxTR2LXwfdBXCOX/h8n HeUyUakQanPMUizB2AmK9FhbGBXsSTtj4EdRAw3qX0coavY/XDqovB9kdsapiPB6QXI3t0XkCk65 73C9nPTU5d/MhDvfJuyEYIUXdTZ86/PTqpmeViDtWBtctslCxDICycoBS7yNyNkS6kYFJYD3shv3 jgajiqk4vk0TBWPIcZGOP54J5S9usmNskRo5ejP1OWxX6f4ZMw6IqCs8NLMAlYqM8A0hIwjky7p8 F+sbHdpPz8Fmw+SVl6PeF9QBqvA0e2Mw2F8jHPr3TQ3+hbqpzPO5KEbmLx4YecFhjmll8K9iRQ0R jUqIIZTi5MsCDYHQegPA97FeoZbnRfMiLiSG7u4F9FILr3tTRPdqNTmr+Uwpb9dNqLWkDIOYrTMS 4t/BLCyeu8fChzDDEhb7yhhkvkcbdNW+FtS/+ev4wWJeQGOGLQI9gdnvl4g9zS+Syw2cVdnOnmTN 8HHhZLUGUP0mu30wCne9HDfkV5a3K9QljuCY62xeOOn674uv5fPpLZNm6TXGKx9g2RcbRYX6ZwBE J84L4a0MCTGuOUdgTELfVCU30nWogBWA21+1FneQ8epHrKjXn82vGmuJScpyjDAJyS7ngdiiM6St MaqxKiXBuZDtYtcl10xxeb43VMnE4cb2enzVzSx+huaiY2BFKPMFc5oBiszRqhfaoHfkAWP5au5Y qE28N6O7zRt2WLfjxrmypqOEQx1uf3DnMRXxA53HSVHAY0MV6URseWFwm/QrFpOa2I+WvqOLwZYf oTOhRkdlzdZjDeyw+vnB2tGp+4uSnPQtLd+p8iYZptzPhBZw6LXMfe4qyqFDF8cysc3zl2hZ2vqX cQxwxF6UyUSfS4cYcWcXJsC83U2WiyY9fGawjpNRGUuKpabYU17DHCSRxXAY8ztlvoj44UMxiqfd ETW1y1vkl0/vIFdCh1aUOKYRBw6JXZFBlGDfqXV2EL2uxT62d2Sf9Hki0eL1G+AK0JdYsJqWpDPK M8yho76jYgrWmg20YBrJcntMpMdz9ZUKGel1BAQnGfRAmvymcWF0DFFEIHdEtUwUojZ6OGCX01jh PYjS+ZR1lS9C3rlL03Z+j1iBwjxPo+1b/d7rMDsxpRnWJ/Td4bHwjYXEj7nP56KfDE4n+Gj6VtET n5OK15eg00qLZIFuOgIeEsikE4FIEHllBGzzZ/iZSWDvm20xAZSw8IeOXosOXZ4FUYMujTyOKuyP +VCRZW2mHEhow+bbLRGDe13GoPFCpuYJ7wpg/KWvUNUFhJdx0618WdRK4uUcdsrs7UHu+Mtwwrod r1Z5iO2Wl+NONaRTg5xewwVk1oEDB41hQvBwd5uNHrto5Udnq+54rz0sSeirdLE/K50vzjDpVM5z yoWc16NGvdMwkyQJ0dCgEN7lb1nRLlKTCGojjct/UIny8aGMJGAgltU3etDmHxMpAcMIMAuf2/o2 hNSEuIhQ008+NnA7Ye+Rz9OSvNKjzy2pdl3sovgzCvcgUW74wBAIYJoUArjWetO/3iWwdTsKc7UY hxzDv4DoEm+V2M7zrUZgNZ8djdQ5tgc7xEL3bTHSWaqU/IRSo7vmrl6xonywT/5qkR/IJZ6jp6PO pHhRUr5FZ6XhaSZkQhj7fWmILShYw3OrBQNi5YVqTQu0/aHZE/YhMBXuy6VDNXxrJiCKtb2Shh+o 3XX8XKxrkATrdBBjMd1GJ6BudE8AcotL9+RhEcOR9GPCfYR4GTwZEXFzfVTyKLM43B0AKe3f5yGP G+4AvBpmH/wRVg14iKzSND2jjxyB9SPCFRgdAVPoYxaDBVSHKAQ9+LTKutvUGZuj4JvgEGz5jSW+ 28cdKUNw+gvPeOshOOXg/4lEFwICHqH4QtJ7QF344sGyXa3bQL1/mht+V9Y/D3Eqtnz5q/pVkbqE FTjyTa4u/SyeVXj3Gqgq74Ctx0XyKJgAvz7X5BYJFXv1FpqC7+WD6oe3xs5ff58c1B/wlYAuZa/n BwinEx/4eKOzW1BWlhPZ5EpAbfc4WmfclzfxDkBFRTIShuj6PMpllCqFigKwYg+JFuJtTJkobn2R 83iVel/o9x/bF/9LiDNPshFuXKe/Z4RFZpNQUDXqxJi2KXB66uAD0D/YwVIrEhsT4wo94ADKGJe6 hpQZOp7aYdv7HuMSv05NvRLaHLdINWAD2W0/fXWhO3xDTo1mh4Rdm+2qDGd8NovMex+INs+Fh7s/ D2BeLrVbuSv5zHpYJREJSoxEJ+teeDU12Sca+S/a65VBkoST2L4eS4IeaAoBr2H4B6yYZPMSvsME N7QiIQIs4qEmR2tjZse8jGdzALxYowd1b/Llw7Dms5ea8v2QdjzEAchh9rLh9utYK7L/7uGSPNY= `protect end_protected
gpl-2.0
6f0eab306313ea1eec994da8179b3cc5
0.929746
1.884554
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_taylor.vhd
3
41,558
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block KHyZJUmpo/Asf81lfBLfKu/Uori0e1lsbkILlvqIb4bPaTvXZmA3g6iXDWa+DYtWYysecs3mWUNn DmLszCwvtw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block THaJ+kxivyRofb8YMq9/nNd++tvlNx95cHY8/KuRtoBGl9eLFJ0iAFl90V/RNaPAi9vH4/IorFhl gl8A0t0Uy03u1nnjUXg5fFfnmb9rlbx63WWHLhK8U7S55rYZneutZVLCmsBQpiegBSrOElYjyM+r Hrqb1OZPWUMQHZ/2aZk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block P1gns+qSvCs4I0xSf+NXb48i6zVV8gYueaN8lt0lelWn7pvs1jkiYBmqYmh553TFNIZZooYr127E sGaPlUMDFHXxvRbseXiKyypfVM+aIz64vt+z97pHNP7y1pwq8wdr+2/+bMweb7xbqmdf6Jwovbqy 8caQoKYAOw4VN/fey5FrQLhpmlqFuSXWM7nQvQruckSLzmz8sZaC1Es79d13J/FckzrlxR+vKjqF 8KR/EeUfCwIG5ZxOQB8O1fWCMIEaZgIan+HekV69OUb2jQxOOED20mcUg4x8xhUlIbxHVgZsXGfr HjWL3pGNf3ekMNzftp8SmWgBoS6ON/zlzn0T2g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AjSMLBpEHqSAQCb9YKYtnSG5LELcXjDZJGvesGOewUHL5d//KCMh0184B4ki387Iwnre3nqfVehk e6t9/XyVLE+U98YO3sS6x5F6tdKYqhRjBVmz5Sc836VIvEsGDaSlC5jiq3JsG8Vgy99tRaSGoAQP Aj9HmeTTVWL4PLXPb/w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fOiQ0P0NNUHf398Nv2wiN//s1gPNVJm//BVYi8KGxgSdXX63QmGCJgyA8bcakOA7GE33UnGFiHTI ZTrRkYH+Rcw4NKp7pHr3zKjf7fJcFyXK1+fjy/CYw30CZFzhJc1Wd20vIaBZaDdkwVXYLgElpU+Q LLXLILin1OCtEMLdbSiLg0dGPAdozl0OKlqSfldGS2Z5Mxdq1P9nQtUh8jAopK5Puva6+AfEvl50 YQ3vT5kT3XtMzjQt60OUUhdROEoX2+LTqnZkjT1xSQlCCalWBmBrz+ic6BYZS9iDsyqxYsloCbHz BG9xuQqTAC8tFVE2LUZvF9ty4DdubpbGkoRvcg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 29024) `protect data_block 6cJMZM88P1paT2WD/nNPKykcWq1IGt5dxu7U8GNh3HvWOBJ+mWwvSv8QPmrMnIFAnbGS0q2U05Uf R1aPVP2lQ5Knxdc9ZRQXE3ul0DkiBjmbZ/y6XNFUJ8a6pHtad7mIWamBL77qO06ixxl2t8j0nwJc QhFqrtfK++njZUwvw93Zrp/MSxdKLA7hqOD6x921zYceX/MdzRae1sJ7dOBpwA6rUWEatbejhYv8 PGhcJbyxX4D63ZURkdEkw75JqMvZZJcuEheBeK2ZL+LlZ9/88897NwV+CMNDVUvE2+LJ53jj4O8C /mmk3sJKasH1nYlxQysVPrF5GFYqiDn/ag7XLyVvKFXCssaZ+6BzGt6uZD8fnhdNgh1KalgqxT8f B6ZKR1UvWnV2hIz6K9ScCqs6ODBz3VlJwZMwFsCCKSDv/E2f5+rjNoMUteerHF6zjdM692Q3+/Jg FGr/Klcy92h3lnUozoaSIOTYM/a7/i++bM9IoaokBQQFTm2RhMfSHjxf4dBLp+VCbjQQQUK7Qdi9 Rwz411zOMRdaTAH4eCmpsRgZp5KU2nZ3uNTvk7I2ouiX+gUV+vouTeItrhyQsyMlY2zkh+YgT15h DhR6q2I5tHoKyh3G7Gs6jLSX4aHcTLqXFuhpsCCx/1U5zCU5b85IbkWENp+wHokQlDC/xITL7vb+ 5DjqxXdDAKmeQxWOPG+52HVb1J6wY1TlxuQ+cQamXXi5WTovqQzBkUFtgTDTlMyhxt1OwoxfTnKL d7zjGSFLcKsqFjIAuPCuqHzrnnNZ5qx1KBLiNX4/iDXJaoCyVf2KsnzCIGb1JnFidjzc2u7P6sF/ 19vBCD8ruKexvu8SgORAMU9Fzn8Y8BKOfJdkME0xHTBm31BefrF/xQDsrMQsSyrqmOotLx4Q9Ga0 wdSO51tFvc6nbe3+ZYmhdU0e4Im9Jvk5lT9TBogrUIjx9E3jun5Al3F+bmEwcoCn3zK3C+yJrCjQ UrD9xYo0P/A3zaeUqVbM0nFrh3bAj3rYYgDKkS4GKZGqAQ+OMhJ4Cd3kMq0izxXtIS9l/dlowf0e 01VQZMMWSH3T+Squh7SfzuwljyVx+NPdzIcyT2jJrFgoWsWfp2jVl8bEdoBqZSsyGECaIyaHMqNI tvFQmwpOcq7Q2NI5m+ZoesyrvmHBFnorsgYko513ZkdNQ2OjLoESYLHfDmVISQOR8NjbVT0mJUn/ ockOhwj0xivpE/hBB819igLjddGiOzHMlOQJht1s0pNsHesFuSajBOwmHwC7ra+dvpKO3OBf0tB1 NQ7IJFYoTkL8IPll8LG4/XWN+8JN5KmZIpoCMYbi8C9R8MH6Wx7gZApOCm7qm5dFX3sz9GT31Aq9 xfoWDb1PvSwlHvu3KblMYBqprPptPulppkuXL4zyUj4o6EputNaw6hOCFlkoWAH2huXAd3xr29vP hXCLg7xoV//h5jvacixuLHmh4GNbBHwssajXMNiB6t0sB60s2d2ZWxejz03Sv1o4ARe2uyO88sOC 8m2VXEhGO5+pxyAflwKqp7/r60uCh17xAiwuVeHHQQIYbEj/a/iJmlWALh+1pJa0E8+0sEd4Iacn jr/i0RoWIqlNKZL9zNfR8mhR9ejseDaYhwZ8344HLUQSCkQgroDbDSsoXlGwUP5OmgQasVWoB+l3 rXdIQLY/Q6OniM4h/4blToAsVy/Ew7XDRB0JS56lHDF4WYDJENh+d4inTz7NT2oDOQbItuJ1vXwA lGRKecDgpS2N8lkMdAIUH2VHlGSv/PaERy2RKrqGbW3J7xoWnwbOxQwAWngAsvMnkYrEUn841s0z I9igvOUf93K6/v8dWQm3Y9wMpcFWC1CPWMULt/iS4GkZMI4+bKysAlwmmVZaeped3aQWgRM51YJx 3BmcERZYjNWqVaXZp87KRLG7jWwbRxkDHfNgoj+/9FNLMGhBBv2hAnCiq5k6LXmYgtZZ//VQzK6M MaPsDyDPz4Zxo5SkwDPj7yWR9gSi28DGoZkan///DPPblojctVbsOWmXoF7bkzK/9y5iPY65oRBk KOl9P5+PiUWSTwYO+H8DovNV7xxZ8MKiDLbtBMwP3iPbt6BfE17we6wsycMNktDyhHJx0wlgeTzf Nm7fMX3gRJ2edvg5XleYi1MZmeQQM8vF3mlN9q580j8Y+JwGPUnM/i/ya2cvEdQbBUUuJgff1BRH cqJSgczT6oglg5LAQh2Ga9RQWThA/Y0HHf6P3b0J9oFvUloY1DvsoBFYGnCA+2fLh8sYe5KfrZJe Y8WgUZht+V9ydQRVeneQdx0wkCy9CDdQ0rEfVFszhvQmHof+zKxQl3lIxD+79/YgyBv1DE2GG5Gj Rre3cQaCiNZ02Gz1VhLpsqFivr4fQjD1XGh4KYK1JgMWzHvP3cgaPtf03GylF6pNqULRCCLnB2pM DjjYOhRaXDS/ORS8Wf/upIPCtoLJfQ8WbdSLdV+4neRVYpx+cvC2X6G0P+2gwRfLqPZUlaEyuQHm KcAXYEL8dkeDjkGGkU1iJwaaTtvyOTX77Cdqlj7rHZ6x0Ykf+eTB0MqqaWuhJBgm/nYoBDN6c5jA D+4VRMxj1X0fOMn3Vom+d/udAdHBMNzmTyVTVB526QrYNGXEQ2un588aCltBgCrJiWuCjp5evyoL f6NV6dGWe7yJwMuc8QAZaG1++V7VRgo/YHsHKtvUQ9Ql5G66OelS/BLQb+bOiKOKPnUmbstzK48c r7g6ma1LTgxV4KJFePeoN2davK/jd3l4QpPfgB8BlIvoX3axLUdboionGlga2bpd5eV0T/YWgO4N AA2G6/m+1M/y91mNnimZae70UfdC4wfEPBYPaVecRcI6hYRYxbfXfd1M7dQ+j+e2VTAZ2zUQBLih fDFeBpZvdj6PLa8KibUYjORznn8/Q47ZCIJrgP48Bo+tRnJIUVhB0aMPRepH4P+ZjWpzW/47F+Zs yw0PHSZPM27BgfWmvrM6hZSUsa8aEujrUCZQfN/bobzWTVD3NciC0Fx6IEpRG/YnW07lFMYwYtYu mAqFJULrB2ZZfZctF1SORKNITeuLA4FO5T8VwpK5bbDcN1AzS4d6lS/tvb6rLCNZsPPo3JJKZHe7 LVBhvvcG/D4Jh3BN14gHtXn7Zql7SpiimnXmpt1M9VMrZ2ldxiKWheKycqX/BF0J+nSFZbFwebbe QnIkcNWm3ed93RaH3LblTbOYxi6zxh0CrN5z6C3UcEXOLTW01yyg/BzjQ3++nuXMcvwCi5rAy+0W j/oKCQreERpmu5Uj5QgTJrlVbAfoDT7bA+jcOJ1TNo+0s8DtlC3LiqxeweBKpyVe1Octt1tusLR4 L6Gd7ChhhgIHcB41pdq8/LIEAfSXKFKA/QSV2y1hzRPmEjczWno3GBuvsx4zyl5xhi2ZdoAGm0YY tN/991CLh0WwRF5+uW9fUDKWX7OupSmt+99mZefZLrjgSZn/F4CAwb7ryDgGh0r7pxED4wrpQHJk +FxFngWhF5eqhqEHFr6nFl4EvKEd44YgM61u/eQzgyuTAcC69085XaXEbzKNprqOSFMSOPPuAZWS dT5H+BMrQCVlt762ignmE/T2Z0KSa3SLSsqBgp6SeuE7RtBa5HpiBLEdr0RxeCpCQgFZ087OlqQp MCtnVgRDj9HBjtygte7GINzzrDMK0qNG+EwrjHH9v5qFu8MaSEm/bX1xkNfH1YunUWsPTxycLOYp MDp5pFjhMFMakjNeyTVgdodkctqSaBuJuxLnKFeHFhAvFuKBrvwyA4UasZwVnMWWcg4rPTbHB4a8 0dFjmhJ1+9BxAt6zxkZB4XqrH3bhA4JAurafJXIxZ5bQh3p+wzj0IGQrXiq/AB1IjJ6UZsS1o7Xy sgxKfsnv23Yq+0jBThc5UQsTzvIDAueS2IOd+NHGduORmjUzwXH79FWjEXjHkDbe9qBTnXWfq0vf hSKv3AcM/G5CGmTlBK2A5caj2uC6WJ5Wgd1TXUc+xpFQ306SdoJA415SLICPwNGPwH2eLUFt/cW5 rpnBKJ8RK5TJ/8SonAhmxixcZEoYYlYx2DcTiS47eEjxuzGT9I5KOBQPyJkKJN7YdWoA0i1XmKEz rmTLp6bCcWkugZjmnu08hPNMRWSt3htRcbMslphRvlPbfRQV0yh/8h9BEkfuCj++8bBOcZGxkrfZ PsMBW5a9ucnsbq1cs3Q4f42MNLNw2t0gV2u3bsFS499syu6FOdqvMZ2yiyqYQfWq4/Y0Lmw6eSgR olZf/OZ8jFB8QzvDCAiWi0qjnXpK0AEspP1vrjclRDAeFR3JS+VnsG8XnOcVSNhYTz6M2yVNhSA2 nzs9VErrjZ0hkbaLZnFBTfKXNgQA5XAw6+7QOeVygS2uZw8fD8bak7rZ5IRD50K46swOKZjZRix5 hYwBbknvRKA1Yn2iSND48w6wkr0jmQkicIpMv1loEu2o+d81NNgIqKrQYtPQ7gL8q54Wu4VIBu56 a7fi1lVoTXNe+uzUyOwtG+wRS2RtnQKF9lBiuC/07XRMpKmY9Gxl/X62bi0jGgvxV8/bgBzaHoSt H5EEI6fNut9if2B0FY9iNTH8DuHjFizF9hSNeB6GFMBKBND5V0ND1nQVFyvekFOez/zAx/AZFWDn Yf1YEbrm85LStIO4Xj3Q5luX5Xf/4O0xL/mUDyoD/9lEViDLi4+WE3MxaPK8QcHkumIre9jSyG+8 qi06T9v7zT0avdNcBThhtpEMSrMSIVBvvCMlFp+UPAhCwAIoB5IteDkBKTh1Gaj+h5tqLoO/IewF HmnANZiVMZzK/e1M6Mk5s2s/617dE2nKPsd+s7QDNGDS7whzE0nyzimwHImWckcfAW4D1IP1myYE jVCEB65xm+280BZ8rKsoIx3MJ3NDDPdD6Q49S+uuLk7j6oALskij1hNpCCfBORnns9plkTTte3jK 8xtIgR9lXRJmwLod/C+d0GxcN6bieRiCrzzUSYPIuueJIHf7Xv9JPfGw45JwAQDqqUm0pD0Rpqn1 fIJhDhPJUaLPh0CrLXFn7FwQJNRJQe5/pZVPFE0myDYq9Nx4QaEqwGwsX4j3yuDDToErepNxCWpU jMMSVd6qjRaUY1UGe7gMIb25cAdy7d1+FE2SNmU/BgjMeFgRXy3YnJfk568zzgSESca3aXCnx4o8 MJggoWBpKC5p1d1C8a1yfnpo/QrvGfwA59C7i/cYGiI5cO1rbxCaCfFm8n6BMe3vTd+6zIl2Xbdy eMvxL4Ksy37JV9/kYwnKB9HOAqY+BmWA0ExdgbtuWmspL/biBzbgVpvvSjtwVzgCPZeI/PxNk8uc g0mRSoSpQgTM4/ymHFU2a88l4wRa/0f04mqBDIIEB3RPUuE5ADo7zgUgKijISYf7HVs1b+Wa+1Xp Ofad4Cjyb6/QCtkdnuGISJBCgjkQuJPJjeNx3xeT7Z1qc+Uj2aRNeknLVgDuqjqybqfhSU8HBkI/ UW976hlIrLKZFvNRim1H0EZvh3+meFmqIYfuCMxgeMIrBBm+7ChznXDrQ84ZjfSZMntPH+FbcVfu 4BdDzp0rnYsnkDZJ48Qw63fYvybHROL+EEl/nBfIoErV8Kh6p5W1NnoEk14Jiss49zaRWyArH0S+ W4vtSdel41Qnf9nuuWQFlWqSqzEHgjTS3AIuEXyDQVKb4aJSSDY0Yk0TFI8RHyxu+mq8q2NaKOjq btXz+xlNn355ZHtI8qwlQ63TKfOw70sSgi/tVdLAmydJN1DSBxGiX0tW5Peir+6Wh6kxOm6Sp5T/ /Mc+LZmvQ//h0uSPM0iy9Ig/OSGATj/7BgTbSTYCztsu8mSqhArCcTW4Rqe93zsh/TjjM0tRT3ZA IYwfRLrgxDKYHxrcwjtSkIPMdlGirTTnlWinTtY4SaqggSEawTpKnPEm5TTHBRVsjZ2P8b97LvnU Um+HCOln01Qoxab27ju8Y0YfkUuf/Vp/vgZxQw6Zqz/Usx/5dZOQvH1bRSnua4use4on4ft/pj3L Xp3GU48ZjIhxPj6OjbPJO7QT5k7DAf3idhPprqGVyWFf86UylsPCQ0Ycu8FBLY87EC3oJ5OktVGO OaUi5a9sIBvryZ4rmrhDXh0AnEQkzLOghJ1riVygeKIJtXuOnaHN+bxB5qLzWaOaRK3YsKnMLMT2 Jj6dXv77feYMlmX8XCwDW55GQPB7kdiEReq7CBJ4MWj5c/e52jmDiORadUW+hiGLOoFC3iT60psb HPZg1zwesKKbMsR7pJPs9M4PSnwSou1eyA0MEaiu6rWdLGFpyuEs1W7lTyX6XH8V8SKkfpPttIPV GGEeTuGH1pzda7xBUpw8urhiIyEjpjfcccL4ZLcv6MLSXLBYHx8lee1br2n4Ul+6dCtJv4+o3B3u u0ivrpq7IR/staVbRpXCc/di49t6KPzpjMc7rY3ATUvTeQ+czTFH0PbcQQjgPRSjR17iF48pm3gD 42ptgDhTVg9gCYCE37um4gZOJ3HYpBTL/e8/JDZBXRW43sEGUIlyclDIvyXbQmuMXNlAdxAdMMDD tamxh9B3YnaAC1/raU9rSjX+aQOqGYqjHOnlkOfx9KNdTuTrSR4o4S2KAeRv6/3eryGJqyFzE3s6 QNE+oos1sPbCTUBanNZPCkQB8PgUREAFVwBo+NsDFp4DgMqROiK0iiFEHpTusV1DpVpz0YhhzG2t qwtY12tcfAkgLdu/CQjz8hkgfOqTH0N76bk4XJVTUtIAEgc1W3KDPcKRsAgmScirk9SCWIMiTEMQ knqo6v7mgDaU49i7Iv3p1oiCZV2Sc+R9v/n0Tt/18symG+jAHbhzYNhmLU64cYB7k8jOGJKaiJ2I d9RWYjtFygXhwCAUx92i5s7tdGK/2uQ4W7UhuLDaVSWN/oiGZOVno3a6CAHDpUY9g7sbGuu2EC2l fuHr3otul2Co+w8C+N/jE35NUKje+w06Nl72Z338uol/PUFnPnofPDMearv0TxWu9Uqct5pEb9SK NRhqswygGma8VqRfue3p2Mo248MUVo9CCDy0tqLR+Bitr1p8dj6Kr9KHp5i9oZIKFK+sg+qwmWl0 IMilYrdkJvSVdfiHpePSa+bd8eODwRUNzHsPKtQb+VLn65HatP5YxqwtfAKqK6FkcH57E5OTgEdx m7/4pzw7+GSwHR+FqFG5rnOLo5M4KIni+elzoz2JRiCFdL6LGwyCyxTUzfkCk8tQEi56RDLwShqA mKhxa24yrSdlpHAGPw7NCDVcyN+GoAOdH+bEC6fFeWOdPm6MS+3r7Lg9yo//7Khb8hWRWZCw70Il s60Oah1k/mD0j3yPtc9st6L5b3I8nnUBvCxehxaB3GtFliOr8aH23NB73SxnmB6fuUfzZJ7Kz4Y0 pJTmip3bemiDpSpC7MjHjkckUK4TsgDKZIt9NEgiNZnVufrrAQRxQiKXMTCMAI2JIIuAtvMFodtj +LMsZiiAathxTCZjO1DZs4HdauSJ2BILNe0U1dpuRINUrvCW2OgteInMBQezWQ7Fdxjpull+X2Ag Jk/tL8wbFjvGjvKslyL8dmjGtd4MN/P3hu3t2nlHK8RGHfTjgyHjdspvNbRys6Z+GEurIy5JHBgq sarZsh6clAiCA3dFUIkxF2F1q7ProDXCYUcILgrJ5q+ZBJ/qiSnIaEbQZBWRAxiSsyebetL11wnV 9GnA50yAHKHvioAzAnJpPYeyvogz7fbKqRyn0EeF9f6RNwrfx3Djr8Vd7RjZy/y2DiSmcs5DF+uX nlQBFwPh5a5wTotTA2BHodd/xnzP5oUqy99qWcUyRuC1Q6g+LOESZyS7PoKqBa6QYmSXzzuflZcD MPuYAFFZ9iZq6mn+Gpm01OmLmkzJWq5RJXGwUtvc9hvAYagqmldKpLbHgR3/LadC7BJ/GVdp5csq /OMc2EXsWpN9b37Dk7DQKZMy59VK2ku5BmXzFQcYfrqgBb9dcAD6Jqnbrij9Mnf9fcy3D4bV2EDs gKMDfDk+jXf25mJFR+o8je4elbv6236A3cKwehykC/QozlKaG0WDoZY84R/1gR8oD1XbkANELkxU ZPMLD8lJO8g/FaQpIm/kA7BEL3mEHKbhx8r4STOCa/+XwoWYEBOv644SI26yAT+ai2/9m+LRfEPN xeEgAm/guC23eMWkxfAqGnZnnGJje2wrJkWLxC77rnyA9ChizfIlPr+8EyWFYPUN8jNIGDmTjjxg TMC1T3VMZ94yJaRAuOHp0w0ruI40AzZZQkSguNzTVH7z6k45uj1L/bMSlswdDB6gX/aSIuJeSiT9 +2vzEc+/So1SVmccH5r/zaCJqDlvdA0prJiMZCFqJ/rZ0+/FO2RsZtbBxnnjmTYQYKF1EnpNx2h0 xAxpbepmpKtRJFW7WeAl/4CskobmR89W9zvn1cz3n6UVjHmO1whsQtsiZnf+q4fXc69Y5/p4YsVj +MMZimYY2hHgC638WaDMzNDLSMVxeNy/q/dRo+nt9hZZiIwu6kpbKzP5WuA/TgmIHOtAug3esZ6N ffkUsRYl54yyZX6jlWSyssKMt5PjxB3jZnlIatl6lO9vCVM6sylnBTBMVl5CtFhgIf5CJYYDXLUH 4XWaYvduUkwbYlAc9H/MycOewj1DXCIoS4ubS2srhZVOARxiBtuo2c1SwdgA6hb2MyUgSHhNppSY 3LRQvSj/5G7c//qqM8EuWbWbam+Y4+cYddunckYaoOGKs0rEJR6T9RhVbr0JcGp9vQXhiCxQWHCV NvEu9LBjCEVTmOnQyonuthqgaSLObpus/FMqnSFbc+vgfkt1kvv4Yowkh5oPHlIr1KxW1RiC6pnN a3ayzGxxgivnlfOlJzM0jerZJv4cudTpwFrGCcfntvsRwSfyhw7f396trA+PreIkP2gx67FSxULz sSgOxd2NcYQvIMjpd80QYTXvTdNHSBbAM8G7txtLbTJpai3356De/6RYcKGNVXUB1v3bj8zrQlcC AlvXMbgjKlv/5aJwI+DrAVW4kyHqL79B9Ic9z7220HZokGsYsTuEbSU5qF4M5OFZZm1XVXK/Tk30 7fe2faVYx7UfFWlyKEtVU/amW2OOkVc02wg5caMsDFbm9XhZUP0S9F8x0TuAetuIdtx9Z6x6R63B ETLvpkATmKTXHsJXi83jLHqq/TqUT73B950QRh4GtOdrrnlli80V8wmfRvBmjnKiZAxuGJ8h+VxO oZpgZC5HyM2IYBzPLvyQ8Z832sQI81J50hiDO6Yn9+zx4w/eJs60KTNmrgvFueXZ1Q1YDd7ETVXD uJ8Q8EGWung8F+JORfXqteswGKz23jOr9BQ2FX4PcVstvACrcZdONvxjOIpr99CNzjTIEICTimGF xBG06LkLSgCJQv5ZGFDqgbndHbUlgKRQu5DUlxrwzo184EvOXvo/xOvRAuz+3fbtKNnOyQRU9A2R 6oRKk3qs1vvCALTSgKH13vYPRw7uwNR5n5XnezZiKuI71ETPTbbCcc5bqXfPwBebgxe9UW3n6M1B S76dYxhbjrqABCcTN6PYVeOI/5pFNdNOHzU/LvAmBU1qqSstO/FnxA4XeXj9ZEdLAD/beOZZZYAw YQtYRHcsVozK7EEMylsO4H9eMOA7WvaEq7N+qvgNgSOFDfpZi3SUKN/ogbinKQt0nKLjlFr/Oh/r u7XN1aB0yhhg2x6T2G0jNYSlHNzRtr560vPoE6giluRfWLIbPq/zAeUwHWn/pb7iV61Dv4xQJoV8 Aa+hgyNAf4hZqfLOaRiTorFlOjc3VrFEJMyfeP4CLyLw0fCGCaFJ9nd0imHuUg5ODj5AlLdMXwiP v0v8qV3mzMeqpA6HZ9Iyr4FCyF66t8S8SA9TmOudqxlqRUJhgYrLCkHj3UaZoDuoY8w1hcjFYb9a E8kJ+HB/A223yZtUAiYSTxON8f0RLozHH0dP75aM6mvyOKd+O5B8jpW3Xx7kUH/J5dDueuwoj7Cq zuNGWd195qVPCE8JlLk6ubGnhU4ofL6lrYLrnVUaSoisgoJcrR1hzXHa+aLtzh8wIj6lOhS+JQ+s wkDQ5YkR7NGKGV4cOQly12E0Y/oRMKgb4kUv6E1SZdfcG1hDrj3/IBeFzDpDeqfYfuqduvTyBunY u6W+WJT2i8zXipdm9ZilwUq6pOPLgWiviDzkeMgay8IfAXZ47WYFnQkUd4qY311aDQ3qq2iYuQnU fhJ7wP/bP0BqjPHcECnNgHEEfeL6GNP4l1sqhxzjBzpnT0hc8OMTaxdo0fACuMAmRP/GntyFzCaB h5KaZwkr0IdhXVRYZ1YGqw2SpVGkNCsOPMOadCpM5cqafnvjmien7DgwlYoUQ0WAYVa26JgZ8Op6 VmHJkvv8AMcwE+ZWvQmkBar0+gxuUGsDQm+Q81Vj7lqCr1fBL4X205O0QZGkva97AHoMaeK1tPeV vZ0eFZnjkzOKkuz6CS4il0StQ5qaa+1oT20P48UHMG8zzN7a0ewFa0/KKeGsX9s5HEAktFDJ5IAc b1n90kysTLj4uPkXQhXlYMGwa/TLUTWPUKjCsyGiT+CnF/jLbmvLKVw9Fgy7C4L/r+mVDHPkPiGp IyLL9+OAbsLPnAgAaeieNn5ttVjAySd0kagFe6yz3La0aMxCcfvJBTKryvzM1SpRwZXsWoLaYgIE SF2hu+BxteRCWhWmHW4U5H9OshXhHrPAqWLosltoIHB3AWQCSW9ZnuqjjxoXQbqgLG+uSMFj2rXw aLQL5g7nIXhDJ6OnOjiuQNTzyV3cLae7gtKoVJicOQM+U6hpCVq1yZe3yEgQNz/7FaGMFcNy3n7v jl9ZBpfuizDWFN/Ybfb5tUdtadrJ650J3FkLnSlKIN2JnAzD9XhRLIzBQIJr9nend5xzplV206mF FaB4LYYZ1oSalOK5Lbi4n0Pz8X+B4Vp/6LuBD0At72I5IKC5JockmV6DMB1fuVh/aNniVxChO9AW VbtjPKCV4N3rwjLnopUTl88wmdWFBiONdGXHzhHLj1cjFUTCG/L+sO00Fe/2NxjL3aLluX/4699Y g2WM7LQUz4jPWqfEbxBKBjiVtlEKlDoXHVKmteyc/vENiEq+IRcEzLfoSYeRErbDxOMoaS3em+K2 HUKM7IqTwk2HjUJR04upgqIMkBKLHTKlUJpLO9bRc9BaFABwjAMHugyoB/Zx6Y2AWPyfSaVqE6VA /MC5ECZ8PUX0J71MsSFXoE5cTOD3zqzM4lEm9KMz0PjGDrBtqlLNrjw4ELtZiSnUU29sgokb3KwU U2ZIsak9m0r5yQsIa57Ue9O8Zy/JX7OZq261YokX6xLCtQYiBd+6f26/gGSanxTbFFWtpu27TUiH +9GVBHtx0aW5caO9/fcjNa+ijUF8R9xYbhAkLAlXSVLTb/KyYjWC5Zb9cc5TaZdVnLG/GeYErUlm PulwY/qUs7VkcIGKqvqTnwUXNXo888JGSlcOCRdCqHQPAkuHLz6mpuhnmBMZRNC0i/YwXPgY4V97 /ijFydg92STGfrAPsOv6m2NPmu5+PjPOf4Kt9at0Qi66VgarGd98mOpEla93JnkSvJvVQO/fxdPf 85zpPjpZnM/qfQlUaaWnBEX5IX0vuyct4+APOOBgeenj4PaJODy6t+LuFqGdulBFIgDVP5mkLu8s 94Q56IArbUY7cQG6kWMYsnuen4yKw+VzbMbZGExWc7YAK0aZmQLvKL70JHTaobfBExfZm8FIDppj II5rOeYAMcPwoAnekhJzZG+2x2c22hoSLKS+KwUWIdsvre2kptQjYqxnKeq9mqqQ01F2oYBvwwoo 2buVziNFBJrLyOMCrNzDktblBpyXe23C2c5yrFAcwDTcGTfyPS0AjS0O5hAGPshbu+1AWrwTGpFQ YO1EdvRrYceZIaDZqTMRsHKMgxlH7yrrx43QTenBzJPlYwCTIiRvVK11POu+bfzWuZFO+OPTC24F 7AzSMoMaHwhRiJpbNGVbar4Y5sjRN659NqbHYEi5xDy6r5PnoqkWVnhTHUcO4jskPS1mPZljEobM 53EObegSYIKePxwZRE3UpEbvF/1MbkZjQFALUjSPW+A0f2ITNBYeOu5C1CBMUsjyAvlovpySx0it o3DXOr8TOLneRZx3WmCC1e4zm3K7uec3KDh+vW36kj7cu3M8rOH+3dpQQe3LXo8z6qxgL5tyZcyk QsnA/Wjbmk5mi4yj5E8Q0xVe7SJfM+05t3o0SAg6mq76BsOm9lkif7R0EmQ0DAqRaVoTCXn9ZMi+ duDYxb0rmi5zpAJ0gkis0fjQaVAdUQjYKhQj1NJrbDYjJhLq+BsH+EXD1Rs4cgrKumLlNHGQ+13m cx3FWNNcP+4FD8+ImpJXQYiwS9Wyy/8VLMgY+sp3hYDk6vfS9fgJYuHxJXSNcucTxQrdygymonJm fR4H/4EGDXoh4tjUxeQQSi3OI9tZNxZlgJvaZofaOE2tyNFgmqw6wgzev1P/GZsp6nTxmA2dfZhQ a+wW7hhaobxeZkcAMtQUuXf2aPD3Ng1KZjamnM87bs5NCZtnfaoDiFJHKC94DeF77Yn+0Tf8H0ZT NajBIs7UzMeFg3W7pY8Hq/3nBry6zRLMSYd+vhoB4AiOtJYSfbdff2z2e9SibwccnNqzmdqtjs96 mrV7XUreMfyKnp79XRmQx5NBdbcTVgcu+37xdod5CsVN/6U8oGv4sptv6cbQQEvXOu8svxpkhBwW 2xb4gCULZDbqy98QAVOdeAUOfZtso2a0S9Bg1lCTVVsASDcSIl1ns7PFdfD9aOFIUKyD0tvgnYMU 5poYyz7UhXdhYyutFNvEjjlYusx0p5Cpe1QdMKp3sVsW7OkVL+8T2E3VcuD2j7W1IWdIu9bnDEWr RjNGgpPeJ8DKWXGUB7qB0VDTtbGCh4Xtsp7r6dPdf+AWNGADFQtmQrVH6TMe+55Gkli+5lABgHFG PoyLowzA7oxjm32g3GVD47ZKsK3mSTt/VZQks+L5bkLQN/KL+acQwpO+IECGnWNynyQkImaLISA9 fMjcH6rgciDAWyWcZwCR0WInNFEopribcK8s5NX70A5/BYsm5oSHUH+mLE1JKHdYWSAPemVfg5o4 u/SKAlV8NLM8VXOdIc02X5EeHBHgkZhd9qlRPF2q4mLEftaZEDJeThg7RBDVvgcKhcgrqwBjfkun J+FDfQcrAsOUuJjEPTp7zV8ddlpy0W/9n1SuaIP+XXp1XYpGv1noMxk++nHCuJlN1MZcVjoNOynm kkke6Cn5ob2XTZ2QeTtutZLwfXznNfyxZQjssqZzCOP0tzJe4H0Gmp3GdvFNcavkGKcUbWu0ugoS kwjfZL2vWZ65DqavsPgQWd542nIcwI13seLnFbp+BXdz6T+5rlMyrK7xvevWXoO8vyV+wqJIQLZv gFw4JOWtCwGYNoMQN1kwkDjWbmNTDy28OriHsAddQqP+pLvb/ezHe5IAZkvF7UtIp6ogQ7B+JCV5 y8JlxEagZAOWKXrEaZcx94N0/Cwk28/1Qj2PwTBPp+kGiYHyrLQhzS5vbUjSf4KOZ+FSP0ZhBXfi uNRBS6flWTdnpx3DVHkS3bRwaC0biVIBnw5Q8yhmFnZ/jSigibJtqb0/PVSht6JSh2I3ThXwMsfw yyRLET6LqA24jQvL+bMK1xUisMQtXhrDziu8A2nn54DgUv0iCo2ynVthlT/MsLtehtbETooyU/LZ yGsxSQ1JbZ9rgBxAwRJjYqLsEJU6VDuTt0sUVsZ/attSU0hn32UR2Gi9PBLvxu6KZ2EuVcAOZi5m UUousFJHvfWuGvJT12D4J2+1qxdS8PFjuM84SmKjedzqqaGMh2PI2oyJRNTsTywq6kdcMIye91DK 0BtPNBOCCQv1PAi08Uv7xqKKCNVX93DN3a28chpbaKB6PfQCEg+jxbs8AxDoyaSS3hLGxcpd1R6Z H03nmpuyFy6wgGPS1PNONl+9swJUc1pBB6vKp+QUarKw8rS5d4pvE93NJ8G3QRuOq+DUur748HRx 2xS/B+Oc6VTMYPJyc0BRMxuqBXjqS6w3ceub0vdJQjYXohcYVN3YD4xQtBUNz34hyw44NHqWV14T RBkaWTPD+waMri8UAWPJMEStyR76M6405MujvlsFV9hnQWBfyOZhcXjk4ZC3pTO4+galtlPnIZht HQuDLKMDgZCDv5h2Ew+Ze4WisstFMAVQ1sxV4myp1kzI2Fv2rQarFPKYS00kJUWD1wVCJHbr8CNR ObTAC5GFBJbZP+JszLKy620if0lpNN4NUMAOB4vbFpS3eHzd/w1CPAp/42cGkux6ZJ1XC6ddLdk2 LMV5SlsXx6pkLNkQ23J/6vaYaXtrGiKBe05r0+nbOoCKQSp7wHsKNU8s880Ag461/QWbUwGKRiNB Ti3NXHzJntyGkoPPAfplhOjJfXWKtyUpiZAYe0a3AbXIF8EDKy4VBTIXnZZVr98gZbODtYe4yizv LRD7qplPIMpiOH4R8KuFRa7r8z4nVIq0dNPaK3yp3o/+0s1FsKB1bpRg6NnjLvQlk3C8/EMMtNl1 HXb+wFAmyMyEiq/8kFEi/43L6YiDoOwiq6lmaiCqJT+jfepRdP9Wu0aUXbwrRUt2DTsRBXx8d0B3 XkEP6EoY0XdrfsX35u9WnWl+fWHWHIWegrVvDN6n83PDtoDbJCIbo/HDr7A7ofmv07oCfdJ+/NY/ iCiPh+2A8WZQycfIiyY4QKW0IdTIHc0sOrrBZoE25Y3+bTgLz/Bo3L5cf8ApehdUFslMhQfjWMfx 5qcy0wQdpmEg/8UULwjCdkkJn1z95yEABhT7oyjxBHQ8E983B3lZvvzBiWot87//10d0+HRuDeWu qbD8A5C7FW2b9axbkbbXAUZ3Sr8M/nONZFKOz/vdS0RQFRsg9o2dJTjQTbqyzdgcZfn7qSZ/SDvS eGWagSBk2mF8ehJ6MJXix7VYAgPrZD+3N99/Rti4td46UYBrmHvIoegxdLalbA//TDhbG3D0ie09 uEI4iDdZVUumMFGaJluk6GiuS8zNMo5aGKqYytHTfZl3I0KywJfSk6sAlbcSKv6dH40zSsEHRd3A 5T5NIhQGglc233iVL7pUwfeGJe1twhQKKe736HYKKxf4LyDpH0TLbGLzLAmuviANBrqzKFl7mj4r kVm/E6alKz7D99zcIf4TDvkgOT/ZtRILUWMKmR63bhhv8i9zAyXAVwvCgTdnXU/xLr/N+spaYjj+ iPkyd2CYEj9jXKjNDAIr4nqI2QV4hecilanUWnLcvYyniPtS/Z6l4pimUmyHVpOz2/IqsKBToFV2 Xts0zXZIG8sOJ9GBlykptrgkaLVDcdB6zuMyzY3JyUt2a1G/uiOMqQIsH+qO7elzEKgUBdG0Vs1f Ep8x7PRLtEjSSL3AB4KsZuCMHEfnclrdxsp1bQ0ATGi1CuLlGIv+l20So2xFhgwC0V4dG8TJiNKY HW7VcNo+2Eo0IsUTvsc8v9EM/AQeAXOflq2ZG6G4GJTZRCODGRdKzEZhwxirHEf/am6kTn7RO+gi Lh0bgeutShshtNRKmrhI6LET1qc12TBrG7biG9CEc/U8sMVph3YMksgyAytxu8WDTwf/xEvssIET EZ+syhGgfKcPkYf7Ug7rQXer40TEQXT9vruoxx1+J1apAnvSX+n08xA60MjMGc7ox2zirObsG514 XzhvtwPDuz3MqCX0WV2Biboi50LyOv1rn1jN8Gm7Y9YbyJCJHUm/UrT5HcbyDL0JYMil0falG5u4 FOVDCHyrHGSiIAk+qsmS/mQGog4TENnzAygUsuESA9pXbYTbdNKg8T42s3bKpgo4ZKc1VQzzhuQz kAEvhYtVSDZ7pM3m6Z6wa6AkmDI9TISBAslMu3y4ergPGQ56+jH3QWaRyX6VuGBEjiuWLb0k/scW 0A0qvlHxvieYbYi59N6a3KuhysJDuSqxXy0L+Iv4mk93k68g/0qw7pR6uSyTJTO/mpPm3qj2/o6I X2vXskmaw9Cl/h2BpfyMnngcrK+5uxctYPnLXtJoyqb2yj/zPOsDbkjEtRtoBPF7E/S8ZYzUirPV H6OeXrT+Bk6vjNk4gyxdVkMcmx+YOWBOuTCyB0M4pcsfabXjlMtzZs9KdvMWsM+VwI09obkvrQh8 mwx3FgoX70hJTw/Lck8Cp19lyYxgb0WlLKEZiAdaetvqXyLE21SQ1Q2OWyn6q64PXKMqnBe/xuDX zga1urELfp7SkuUw4sycsgc/M5IYkFHodZpvNNVdJKRAi5PXoHS4KHfaapcsGE8XG4N5zpS8q0Yi 4T2A+y/UjVpjKHNwBREdvyU/YqsmoeIpx2Ge8tomME5Zxbx2P1xIVOHKKok/Ridiq8TAtdYdBdxl mzEuPQ/112A3sq2MLBhN2+G/njmWkTu0na2Uk2qJaXTJVLAbIwfoo4p2j0JZCLZpwFpwwfpx/ATH AsCab2pwaAdvFXF27TMbRcuVb3+0WZBb7NyIfU9hdTbzjr6Rlmufn7NJNBVbiXQNcDoDbZPBs8aF 770uHTQzFGpjwYlR6mFPVBa8LojqPfUi5fK7J+gaHOoZeHdnO22i3xTJiSPRo+/MnzYanQAtX/hd 4teHMQeXcUlJbq/nX8yzr7ye3S6PkZWYlBJtxYhvBe3ShDU350loifTv8MPKEJIAQpaSLzfJNgoK K1l1WGbV11cB1FCaIWLucqyxY7IewKdphsfGnJuSBTdWKPF30njRqMUGGAsc2njuVex3Yzo+PXyB B3w4bofpcvyEJRqgqUXWx3dRl1frZbwjeYYSTKWIKtTalm4fP+29DVVEo8/RRsa0a9ehd1fqCGWu VwsVjzjtHh9zosGz32Gj5NqkAuMYZEvNkipJsxr9zvo1v8CQIsSaYU2M3cm4ODTKNcVfT2J9WhIT ILp2+x3CZAui8ZMKQrJyJxdsIiG/ZC0ny2r8bBWr5J5U17PzG/tRd36A8wEivslU2ImheiMRAlet WOTOFJCzwMIvXAmr/37uBzSHIvh77/eepjfJK1BkxT5otmbWIxVBHCL/rSpz3YB2hLDOrt2o0gnz gviUZRNJmo7wweVv8FgtlCE+5qb/+hqAXXbyQYQeQjk8CQ6V/V10lSuiBOAgcIOxBEsh/h3fXk/B khPbNOXce8ecxUyzPDsEvY1KnUJIW9gW4S3D9fTIbRq9zaUGptuoJ5FS62gA7JPUJleRu8czIjpU iOgQoKKKyNEX4egW/dBtmJS1vwrrpg288BQ02+EirzXhKmaddp6zwnYivoEOv9KZ0J4trsct/P0M i9yFQsjVsvxTovUDl/RxFGcG4hDheeUEkfzNyS6AZoWETY/Dzd3HhVffHDRxsr7k2hxPgOLiVfEp Fb64qKET7yXMUJLXvhJeedJCsrcbpJwljdZZyZ+OhpbHFsCkFLJeG67TxtQ3/1UJDkPp3i16RsA1 Pz91TRW7t9/mm5AM0lSzxQtpm93X0Wr8ZFf8k3fnJXnQ9OdmR7nu1YQq1Xhyd0U6xaFOm4Gd0x/H SSFfXmeRquXabl6PKVQZUKz5tB9Cd9uH/mA70vQYY7JUhCggcQNU0guh761uR64rqrMzO+nuS9Aj detJdXQ3oJSHedlUUfwtl4eB0CpLKiOSYHl9/LhsfJCDt8xxI2xqoHjdrhUuOPiGB7eiQIVKCuwg blpRnDH6KZIWjxjkDoD9wGPGwtt1SJl2Ddxg9pNKA4hiKX/YhQrEt6kL8o65EsRqNMsK2+00C7mz UHT1mjR7gvAAgKe2XBw68CBXLaEVfwf9mXE5NwJLdAbH+w1zIJKYp3GzSrVsShd9rxds3X6/ENXG d0KxoYAMzGuTLF+PTKVkAhHRkDITFeb84vKcuJ5XhuJTwTekecKdyyPK7HybwPPCltvODKiCOzbw wWQY1ObeghAmtB/UoX5zIknjUGuaNMlMnlKcjEZrT3Bw9K4qBIPwVkUHkdGiyHsM/Qs9AnJ5qxX6 orBHj5afGAW2JEjyJdvIQzyi0Pk5/Obkv5Le2daORDYAFL42Fz+y1IP0g3DBjWQLvz+tRfdaqD3T LBZr2yKuT19Zzc9fDUodzaeeLIBXvLGWgMmKDCiNeafDoBZ/JbwsrqohWLJPAFSwSkob68jCVdax OlPsREdMPfeVJiyCgfUB+4Sk8FCWoVnUNrSbzN/K4kLpy8hVgfLvgXH8VNDWn11bdi+KcKP0D9MD 59IKVlTUvuHJh+0t2mNfi3L+6cJkA/+G5BScGuCFQDlu4P9c1VG6SpvS8/IbvTJcslneUGt+GQ31 isDm7Wr/RS4LmLnpiKitgj4/n+ihpCBjHAHNU2mRho5uzRoXlVGhmak5Ksp9GOYZtBm2QobxXbrA uJRKzQ54XbbJ+wLWU1eEnIwK0x+5W1vA5n+/+usIGD66ZyUrGOqwKruiMwe96KP1KM3TeOeL3PvH 1Dd5oWT3LWhOalTEkmhPvRxj6q+PfKGThoygz7sjFY7L8TAiY00j9SVaYj58KX+dR1E2QzQxaKfs Fjvk03gqPCGGfgkHDv6AWcsF0+tIYVLfoiXeEftVWZZtDsOUMaoPDH+MBUI5X/JfoLpFwKbsbW5h YLWDdDPe7P5d5ArDd35le43rpLfi1oUmrvHxxp8eUBMBz3fW272RCWDSDFNG+No261AMa8qYAk+Y H01hKXuozCY8pH7UeegPK1cA0ZvI1nhpgKgkwvZiDXswPTo99ePLGleXDoJCGPu46+FMEgmTlyua 1SvhvK9bzQUAa74G0hdsUKy7xyMhel0Js9cIUoNqpIEETJFhTzRYVM6uapr8VqUtEaT6ew4SkMi3 kKJ1psCDaAGgWeSLV27bDM87sPNSfHNjN3XCjmCyOj2lkw2znc1QFgjI+xlikMpWGXvdOKo+rmMS spKD6l9BHhLjvpqA/C0iwVP/v3pgBnI7FsmV1qTtwhqGR41ImSSU8oyhxCSVGtr/XjayV05bdlqq C1SgojHlcm9SKZAf6VLvvAfYWz8mCJ21pNLi6RCNtGBl6uwcA9I3O0XkFyjg1KSNz9TsABvgVhF7 svUYf55sR2VZ7sWYVa+AXI9q1dnHUA7pPF2cvNqzK43Nj9ac4fMny1zz8Id1ZKK8WQCIPj7Rd/A+ ghruFeYtcZBcWPtb3CCBUAyNZ5sK8vT/wQNmzcHuvgmM99864vq3RBmlOqVOhzzaDcd20uTSMM2V HtlAIe60Fv/JCvTFdRcGiZOs3E+KNIa4QT+j3XIWQ9KrNwikGe6Dn0V9eUf+T5VqOCn2Wfi9a4Bj 4R/UpRK3s41zWyMZZlP7xdXxWIPjDWYSit+DtvgeRpbgKNhzF3wmJCyzguoFDlPTYcv1lzEln9T2 7k3JJinQaNI4gvikcbKzz1usHUj262/Z968ClQ+mVF0HXhhklY9SVRWkATgL+quyokH+L9QAM9oy MdSFOT64pjnJMNbEexrrDQivFPywfUV8M8HhyOawuRl4s0K6jEeYvTNXG+00I218jbK9eVQ3OEfp 5IQJuRFKHQsNUym71dxIRjJFgtRPvo4u+wvnWTfVyXGR2z2UgzsLJdB/hVuFWS58cII7RlnIGiQR ZeobceXiubMFahmYcvJYu+F8QBeiwKL0FOoA8icewHaEIKmfikjDWmSH6pq+YsTPJE+QiLj81aG+ XfKFnic4t5qKb8RmpYprtKFiMkKn8Vh8DuuVDZrvb1UaIz8Ec8bFbC6OwvIa0mNhfYMnYDE1T8UL XCPPExGZQXZbU95Bf8AIGRBJaJWTlHpqGxIpKVpj7QSOFQDN4sTa9V0JPNXivhq77CzIVIcZCQMA 3jlyEtXFZXE4T9u0EbGX2Squ8fm+TYKwprF4Jie+/zgCvIsv1FZnMVhpO/ooB9abtLnr+4PI77RI DQcLiwFr1kEY8tZmO+rA6FAXtsFvQybt4bj3KrV6kABgBV+EXJF10L1XBuxGBUupfgE/m1+Z2YsW kZ22JUtBPufEYQPfdYZOV7w5mvchVvnmwjBBQk1Rw+cVXS3MwWC+P6s3OPmve8/ONkBfT1eeA90o DOwxS9lEYnedvPGBpflo9Zu44U+yL5CRLUkSOSjXvHZOW1yA+Q2nFGwdySTnO3seZfrNq9nB0TAn qNiZiv+MhX//VnvJEWN/0oTtkz/JuHcoMOgw2Ah9LD4ujLcaV6Rr77VqQmEKydoSPGiNZgagv+LX ZTwMUilG+OWAF2a2H+nNpn8XmvVKVyZqchPRA5xUOxqALsWsDl1PuSSiP68iP6GoOwWO17pMfSx/ oFHJIDGtOkMALhSsHNhFHF9mdEoGaPngfhEN05YhWIiIgm+/TrDiLEaMCkGs9W+1GD6UgCQGZ4oS Dmh96KcSL5G8reHPG8bRxeI7mVAyPyLf9JRvSKRtDi9V4kQ9XOwnPHTJj/UUm4wDvn/Slrvpa2oE cal8wjx+cNEmVKhMA0+PHtiahXEGtZj3IhdvQtGI2S7gThvqiDRZ8K2wezMa1m9Y1ScHZenz1uJQ I8soJKpyNr/XwX31MojAdU5fc3eN+0X0jKz3T2jBS/GVklVXAxcckzC5EEpKXr/nudT2R4RotwQT sGrVZEXT8rs7oPOpuvhcikMf5c8Cnk5YTGXKlm6QLXMZDEhoAqOwp2ryQkurOc/slOkWgYdC+1D9 yvcW0+Whi7WI4N/SBHpy7AX7PT/sxX1xLVM/VNtVN/H49ECYiufxa4SirfE+pmIHPiw/F4lpSLOX MpwOcEN8XMpf9kTrd9W8AutBO/PaUmhcQYBHQZ3LDOsCkY8P0A8a8YN5LcQea4lgWbMf+9aijL4C zzecqZ891C14AeWyAXOZpPN8L5sIRGAhtGra0lSMvgTbH0XZ3R7U9Cnm50Y/jNPo0cbsgFbvXoz8 LlwkE+qIuGjTFPexk46jDCL8/Aln4qe64uwQECbSDVByPhnJxvm6wSe1pijQUz1NnkHFKY/im2Pn +EBrG2RMKLYUOiS2Uzrsqjq22pUSCKmUacPbrB5QHMSnqTbnNXGSeKWOrC4DiQCKgzzF4z1Omof0 0AtyT81B9AjjM4sNxQcesoDeN1n4KzhtWuCMYbjXJSvOA0CCmpCwlfc/ZMvZx8z7pmpIgm8NPaOS pCFZ8mAv+ly6O8C+SDJ/BEzyhlCt8kRuJnk+0DOEQcR6KNv66omea2pkWbMavGoQoW8KEknfORGo uCNh0eUJ/aqcbbzUMjdXL5ZSss333IxsxlO1zste/BD3Iwc1WIilBpukN9vr47HPYx6uJ+YMgGPy UOg1fsIIAWNJHijxxBNTB78iSVNtHnNJF4lhjzdk2dc50tMSJITAoFjrCgGwo6CDeZRb67J4ZsT6 vwlA2XlsT9/nJ1jE5kyj2ILCeyBijW/TE/5F0B3s4lGrJDC5fL9W7db+LL+gWjeOId0dnevaP/ac s6vM1smoqh0NOLgKejD11adELQWm4TiRTcckGacyUQpo3Av8VXgn5inIxMqkSrrMzqewL+QTw19g i6AGdtHY3tT1w9tUYhadZXFZHlYP5kBx2uTAjRQB8AFBaq3CF9Hnx628vnqidx5/DwJn5HhTXjT+ s8uvxrsqXYpmxW87YkjvK+/5rB6O2wJsYq1MEy8e0am6++7okVBUb2ST/BxkP4wn/35EKJf8bpZO hwpalr6CuJYQuNBExRE4kajalwkl+yj22beOqzAL4GIoWFVP8ubJ9HpV4FpBpzPTIMdaPfU93mMm eSCBgPBvvvaYyoc3w6DVtGXV2MDkFYldX/7aH5jSBslVHrg777eBxYYY0endTww/gBNwEgrnh3Le uHio7/oGsiQ+SlgLTn5Z7eCf5QaWn4qQ9cCfXXsEV9SFxklTvP2kgS9HXmmu/KKK/GxiFMt2ezEY Mcva4WZw+CHJyZ4CDJjqLXILitD9zS5fVgQTj63XuPlvmF7Uwy3KclGFUb5hfsJ2Un4pJF1nTWzN cl7gSDIgVIbfT6mXyZN60KQk4Ir8fE22wywDwjvosBB1XmTED4JO6smlpmLP8amX467/19zPfyFN 8RZoa7uTaKNr82Bk++cwWv9+ZjbMSSoSvs/RwtMOJe8Ran6wzTSIHXZqT75st890BZHZon6sT61U YIxDtdVrobjmpzhb/o07etr7XtvA0TofBzQDceUEdWKqzxsY1EN5291K0YaeML8biIOou7CInrle eTesx4Dmz8a0HK6T+K/DLACHYnWUX9NuWhB/yUyRGQ7OQYYmKsnQ3yEd+sHYDCqH2y3fSSo6x2xu NbT2Jn+/JnX8/XaioKhvV2lIJQj8Hp26xzKrjiaz/kUTAv2CqrnjNIMoApRlRnqplllybbXbWBrR +NLIMZyk8vGWuuzbO8eYcCezLcOgRi0g8TM4aWCDv5jhtQ3iggPOBIppcJFaDXHqKRfKdiF4Vr4B nm5u6qBLmV7fOjgYrBp6DfKg6nym6TzR2pR+j8tENcOH0R0Ebf1IXm3e7eo0/7URLQxwD+82bvxS sYDrX869xBDH8U7bF25kCqUykbjli8bF5INRSXaSXig0cJdCgmjTGV7d+VOD0KCzsXrEBYyEB6q8 Ljpt/Ic4Dw896UHj4MWDS7FFHLGpROOV6FHnkMtjQMaHY6IkDGpo5KKuhW++/Y5axs5XZL9L7oAH 05xqxHrL6+dKarLsE6i8wqIN5qR3yO5EH34AGNv1TC+pIJAfFYslu0Ec1vVkx2TMtadhufztS5bF ZZj7HmlJUDx3xTxKUVUooiKCqx1i3bMwtgHM7/Te59khtyccjbrvHtHNtnNv4Ac0zD/4Wgey7Wo8 U67jYoFUCY5PyCKm10wHf2QHFzyieERN3QR9ZAj9N0V2YmdLhrlZ3mr7YzF0ptHuvJ7Qx+z8R7qS l7hMvMV4MbOUkO6g1o/cLltfK0mOLcWr+LaLU1sDFhbiWTnVwE3lLufIrzZj+jv7kZGsncQbDXeo Yxwl3xZXovYA80gI2+nDrOwY6IpgJkeCOsdjxyUJKSb+qo+osEqqxmrGKOJvnd4URU8lnLm1bDHo hvwDQJ8NVxbjjeF18poM5VNR/SB54IFzBUj/fBUfubmkVBRnbX3i7mQ2P2FTpQmKPulgmirEiHKh OW0JnSsVwxjdJzw+Nco12HMkD1bJo8EwKGmYVkXzLcFlm2ca5l3/fmG8SGFeAR3GHymhLOdNt0Wi kG3QyxKg1x0OpJvK2LRbo7bnp+dos3NP4lidUUV5Pk1xwBsWJJkqwVSSZvkSQ0wmB4UKjggK88rQ t5QeArANOhYdxxQkh741swxwYQ9RRkCCJjS9nWRGMuxP7ClGrUXuyWTEYKdxOXc8a7EQ5IVNiJ3H LH1NfjpAX0sTOonzUZDbVNVxoWewAoPYVydgRHl5h9KCtD5ENQ39yr2m5xM33zZcK0Z3e5bkEJCc W57ibNlxRkhVOr/xceTYWK1nieuFoibTGj/1HU0lmgUVweFzgta/cTusflp75kdQdlyvkOtD1j5H Zn6EJdzOIHfbzvG60Oh5yF2YiqWnstuovGF8iRGIDtoXggeUzFmSzkjrvG77baLdkvFmJ0/ER/67 /XYZNdHFbivJ0SVDxyR/vEQ0tanYUOZBCQKpP9mhRRNzwEDMHttxRCarxx65aekT3qALMGggDmks aHwrVfO7L5F8FbOpxJzPKmcno/XAZokYZBAb62ZA5pv4IE0NMLaV/2nMnWUO6iy4EWl45QkMUNO7 ekYbXldDPqSqlzqOW2J8T6NHqYEZjn4jHAfESo8+SAImeE173kz5sPCNqI6/O4ZZyxUUdA86RUMm +5qDiToKVLXpezjy6C33QoSYARwThnSJoBh/+1daeYh0x/A/TppM2dSFXqy5czpl4t73Tgms/d52 eb21HUcBKtG78IIDSyXcZR1fuMitr5WDqhwwcgV9aJOCJLBCpxO9cq/6o2rnbneDXeDGoypVagZQ YiCP7b27GrzEDoQ5xRUDNgdzPduI0J/YpK2IowmoX0OTCq7Fgx0cdCLp33CcQJv9L0oLTvzTswaP IjiaJUfgRAJSXy+BDzn6TItUWNY0xtMadtcImUibBoqtuAtRge/aKcNxqIqmxBjY5kw2QXZCQFBp cDQz/QJ3tfqGXgVTvds00K4MDKEd9D0LHSVvEN9IO2YWNXgHI1Q5wwkra/2RpgC/SGKCHaYquAJz RSPPM6519fE2uXHyGAgZ8Xyte/lwxyYXDX+KUEfhXESASjTPl75VFoX3KfnWRac8A2od/5uk+qCL C1yMV6YjbCPGkwx0+CGxMLmp3Tv6Ql48XI4TZqUEriPfcXmNyeVgFNMtijNf/tEJ60NR5K5ouLew 7D4B74XBNPAdUXiUD7aEk/6uerLP02YNSG+JXYa4PsfCmVbI2aqAIGX5Ks2AZ7aqmGY0xB7rYltj 9kQS2x4/cmR6foNIADKYL46LcOg4OvfluqdMWL0cjSrvQ4JU8dpCa3N4aeClavKH23CgRhMZZ5rD GG80KIHcuo8wq9FuhuOzxZRO0qhK5I6GHQ5Wm/eVjRW+6sFYlz+RVMk/jvnQC7h8U6D1XIUZQf/F tTbe5DnsazdxL3zlPGul83bG3rCDhmI6TrfqjVjwj4gRE+lEuCLxqOL9/AK1X923SDWTKZRTqzOx t52BY5W9BKj+Ah3ZEoRblHcMWBWz19mXkAYNziIyugvd3+YyfcrrycQqu2malmg7uqWV4fBqIYLz IRCltgzzJZEWihdGImANQpVe1CTyDDBGvbe0erqQ9vVNqQA95xU3WNZj89A3icz4GeHasQlVj8UR LEhI0BgeKZPyFlQdliw6knF1SWus/iLhejf627ty2Q9jHz1JXQ6d5DeQZS4Z+i1LiNQVMbsByFyq Oy+RCE7ehqA6wn8mWAdoJzZTk7GJax9BQaOqyvQPROOUKVjCEnKER14QjuOF02ZtuZXrIo9WM34S fipBgnw922MpN3KS5CXKTIcryY3BkuxgjML8cbE0xbu1nlnTFKhUKB1Fz+eb0eKvbrhQ//HBEUfE SesXmiBMApNFOxul/5iv1+pGVM6+05gBr02enNUJH3t+f99m8Kw7F2WjnkWsESu040lUGE4cNwJx WTPM9qAtDIOxKIeOzXHN5YP33pGKExpvkXWODTY2KnDCVmW8LM64fSovCnGbgHRJHTtvqukFNQwJ d9hvU3vQa7gZAZTHSOxKg0ZaVBras1LszlBNWTtNDzgLPir4T0KVwBgV20R6RTlBs9tLy082CIyq kGc1DoLR4ihBt//x1DznJs5tBLgLdUsN6TItuy5Ejia3pQBfTcegPcYWP1/l1d5JAqZANcwkO0M7 ZhrRKLCLMFXKXrWTpti8EVNZcke1Tb/B11iJSxzwIhIQ/5KobGrmmEcyLKTjmHdJo82tbEMfWWbb mfTYoOZ3KxFhtrhCEF96oBhWQiG/c/D982JhMkZsvfUqO2VJKKcmjHhzDyEb/Hhc/3ZokzxGoPNU 4q+p9YlqGDlVM/3LUMwz3zpZibXYdAItmEuQ09nTEjHl2cM7XZKMVT+oM20ToHzyr1ugcEx0hCeD tOWQ9cCK+SP4r8xrJesoEH/84pd6YYNBna8oHafT3SeCLmDexI9PJgstHB9DbIIO4sepEgRjDI5T ami+xYP/zpfpn9eLllIxu2iIm/ciFTHZ5LQbyo4YYBFyNosPHFstoUYqcRd7bMEZx8L4AwNMomab OWMedVm2O96K+Lm6Il+QKsJbis32JbbIWoTd5tnK/iyErwZxZPhoWLM+DtinE0f807azmChLjYYL ANnDRtVUehyEVzRRUjwMQNgRXy6Ait4fFmJlTTp2Lflc0rtclI5xUtjFfIS18Gb5ZnVkD+/Dr9G3 JfrxkWYhx8IpIUlAge2Y69Inhb3hgwT/Uw1l2l2AVu1EIY1lxXV0JASRGC6fTV1A4op8qaIlGV+F bzUjRGm7pDaFFdInDlyqLk0wGVS/v/lx3iZG+qlL8kOlDCT9Vmto2lrprgFME0HFjwKIgN9VFocN Xxxg0XDy0e2Y03G+xps+GVVPQXAxn1ILA4zfIU/LJXyjdvNPiMoqlydXc/8LdG37KxFSXebFY83b I7Sq7GQosuv84Gnytz0npouUAd3CCKYWispkZOeUdnOwqyBXH8mqbjcDeKkRO5JGz55B9Fywvby+ KbH/OZ7FSX6xbCyLu1zqr0kHvveqiy0QpZAGQ5aG3TbEcvFkackDKtVy4Dn6VeyWKwNgEqHEYMW0 LJd9Xj39ps7iniJbW+qk/Bx6qe/X/LZOMZ33dGV+WfdbFIt8tcbR65t2O75OfCJmjabyNBldKGpE sSiMuy8t83JlkwT3/ol1sBwgiu3LBQ/H04gEpcqJJ7s6bbCOsUcnsf22n9foHxPGBK67+g4TcX8G ULlMCChEpkxJb059m3RyfYG91JqapCNAv5bZsXKBFjcNhY2zq/igMVpikcdMOodU5vuOupTIa1iQ n/mSqRwieBDng88XBpKBq8uIgEHUxtyVhQyhPTsisCVXeABpRU3Txgw2kjj1sc70LSyeg4U8X4vq 5trdl5ztPJNYBTnxD2P+ODdLZV7QqTa1Z9tgQRKP9/8LjWtLyD/X42kvPLU7YGhXYKMOMXFHFu9s hBpTX5RHalKnPWUjAYw0Y+Dw+Z/x4kgYNM4n+GcEJOY0IFSv6Z+7zLGN9muRjlhZUJyOw/bnGBSb QpDq3nPob44qRVsfpeWKzEWK1dplH44lrFgNt5gU7w7KhzZnVU/npPqRhSDPTER2VxWGhsyhJ79D ZYtF9x3CqPksk5PsVVr5RAr+LrrKGimx1AqtAI3bGVbOazMl1j9+DyFejFVwMjveW9iZol/cIbm6 rDjGEZb31dTy1o8Y1lZwBeZCVCruu0ufHlwwu1UZEYQ9fa0l/SqWZqUIJR4zCuz2BhozGqxk98AI cUwr4dTXFfq5x6tx34R9j5JFQZfMUPxd6BVcyiPktxH2yvK+qjfvhpX5tK098FmosGPKmaz5onBF WNPeaDnBHJwG+1CMx5PsXb5b1ZFm3Z6gj48yuJO/n7avqOiUqTGUVeQFrKlmPWT4tabjCipC41Go nX8w9ISQHYxEbGgu79p79PkKSKl2DMj0LIrpMMjOEohFXq/OWJugDd9+JCn7p/mdKTNkcixgACDJ GCHgdfc0ySCQMbxeHLWs9ZiHGCpDspMS8erCsp5qzAHbPKOk6/kqqsyfid4932wE9Zql3n80UtfU /CCo3ddSrYmw+BfKoFvK2QGVdgDxDtKTNMKlf2jvT1OsWlhrma36X9b/PiU9AIhB6UVciKymh4T9 uOtfNqQAV8tHJBWJZ1ISABsxQdsC6p2H8N99rtT1Jm0t9uZf2zOR3p+eivwPagKvU2Mvol43B45t tWVCpBftqBlXtMXH+0xcGw3nIotSg3tuGgpGdinoElTMsaz2B8+igjL2wfX6IzX330nENskXyzQs uitKqurwqCXgnKFQ0EPSWHS95dioH0MPSQxDyDDVof0RKzZ9lrTwwoJvLKVysHME7QKXmCABx4PS Rmz9KFSfHZLESOsfRs4v5IAagnYS26JBPmOE83Am/xsZDG4qEqzIOd6casToQdRz3sXF5I4o7YFt mbVnFrifq3m+DQB3qkdP6ZBi6w8Vwt/CFdAR0ILR75k1kEXRR01A47ksiix/C6x9Dw2/kc+WUze0 Dy64S3+GRbgFQbckZ/BSnfU0b7LmBTpBasshxRjU6VCEELlHAhbd/OIxFsjMoV2CkJoccVZfBE1d CeNxIiiF7Pgld4lWs8Eqcg9hC8Iti62RmQQ7Pfl20QH0XwoU7jFuXVpAStB6pPbiUUmALSJDIgys N100WRBHI7wghZ82hy4MIACYqi+E4X5BVdxq4ZulJYJPcHz0U08q56C18DqmsTPTrD6QhMZY+yqx Q99esvJPe4Emk1TnYxDvUuXRguKbmhIJZZYDB06tIDLpdV9FB/ZS7nR8ok7m/Y3Gtxx3BwFAmDvR laQnnrkvqhVKpxbxL9GQcDDiIn/uOGkZYx5CclzJZlWvVS+5iI0LMqh1G540vuLPn9SU48qk2M1N WBxLtiLeA2s2YhDqWMIfhsDecamdAxrsg+05y7V2HZm8e4AEZIYup8XxAEPKqWtWz/6PGyzIqZEb lnFFXCtZ5EHh2HgAiDiZnqLihy2HK6Lw/ewH3FLsHZFYK2OMmspaPJVrfg/trxDeYyUcAD5gzUto 4TrAVqZ8SucGVZj9ovANrAul7d70QZ7Ujy8nwC0vWS6utbsXx/oIH4SlJCIXIjddOCkklAlCWqu7 auEKNQ1Xf+9AadLzoy9mTsWzuIhwsxXyGDbAruDMhR9lXB4ZtDnMzByDpPh5fPY+7CCe/3SLwSRd B5YRqQcPEr+/u4/5C7XqQuN/LVR21GUlDVe848IKc4wybm9jRxIFY+2ji+CekogPIaBAeakqARkI Y0gjWuP05gZZDUj3V7MnF2eHILYsFuHoxeIG3ZoY2rd/Sj9pjP5La1y1aLuml2QYVj9O7N+ppgIw dHl0iI/OyoBD0jvAGjX6cvF40f2jFbvmcmdwR54zM85seiDi+k/kpHgsMqvCrpvKDWD8u3wd7c7k G/lDak6zD70+5jrEQMzaBDIv7DHaMjX5yc+fRSFG1uDMnhH3RJplRYNSsY1+mzjpE2Qy71u3bR/R 2ckWvf16yGOFhSWGKEvWsh9jGNgDC4VMcsWRYg99kIQJ6VvB7/LEt/0ePCohmB0nuwJsvTFFMXyb cE8IaSV7ll8oCN5QeF72DEMZFH3levBo3ES+rfZz/4rScNQrIz3G6aPXfcttzDORn133TnE/Ubse +JHEPNjI7hjeMqIqWE0CG5C0ksCQwkVMZZRLuOiBruyCiWDvU9cEeiHGviCBsxiclCBs1iTSlf9R n5eTD+i6D0DV4awlM+zIyoEMf1jY5+Xs7N4AH7CWooWSOAnXbylWY/VPUZgjV98ee+QGXEaYMfHK F5EgFoRAzgwxqTcVQjP6GZAIEKQZpfThfDEfrnyaBJBnFed42+XQQn2od+pTM599exOM553RfleN CIiWdCst/VWyA0Wpp0nhdgmegv+XXiGFQrD/e3hraCQWwI9jlWZOWe2YG6w8dFGxE8tOxjqJjIfl uKZDhDJPh0qQmTYFK3fcMd3TrxuCMS75jRqIxhwRAo3w+s/LKwyg8OtowQDtQE9M0ev73NWII80S +vcVZghMxRF5PCEnzKA5SlbI4X4csX9ZzGpYFxv4i2PA+nz/TpVIMDbUwn63POLuV2WV2RHuB9ag 7137Ltse/QSfxp9ibt954KjbxCqQrWFDgwjCn1wZwhtsA+A7WBNdeaVmrb14AxtUFW0D7FoNLmHE pkoC6hIE4LABbCNN+7M3wpFi3zJpJxEyLBxLSxYKjV3OQTG1sGrgkqk+1MeW2Ex1oo26foXAlCNh 2XQYkLOKm6fMTYvwkI533XRd4FgaCIhA/OfU/iPY6DdyawOo2bieLgBh/HkVzN+xtumnZOl25C4/ +BX8Jxx/3QeWnNkCKte2UZdjQGRRyg+wot6OnLFf/r0L/ATxbLmp85vJ2UIUH2qFLyWn93EvIKZi +mRsYJ+0Huk21wtOoZZpyqfAXgqWCXtXkz0LThVjqbcN6OI9JHJoBHeTKTW23nC5fkTeRplqNLd5 MM3G2Ns6KSu1n2hCWOxMMtiOJyzt4tTMkMUy1ZnZ8J1NMgPzhFByHvlg1nFcjMlEQ21Qb7gBYsbP 4QngUzQFR9VX3W2qC7Yh8Ta1NfcHAdgJ38RAachuQd5egDnyQS78l7jhxiT61TK+D1uC3Zt8hj1/ fuOi7Tl6MFPjkkPXWjcOtaNacleu+JJYmCY+qq8c5/F/WXXu0yTv26K+zWMUWnXywLYT5skiMR1+ E7xbysKgCB6SljUsluuXV7Id6zA/7EwwTTdqNg3OMaU6ha5SsO346/RNcPbYMSQBUsj1WWWloZb5 r6+8wtTvPIkE6JC6Zzbp0hp0fMpImF7LIcweCcqmaYQXS6xqcG2Jotdgy66Z3DhC4K2/hJGmz2ho Lt5GxkWRL+64vuo7CmbJ1266zqR3kbBUlMcTTFS7KhmaXaTEXRolTXj6tXvwiiRXL0xECSfsMUhV Mz+csusCAhR6Xzh3hYs38iTZkUU8Gotby+kMnQHOXue+cO8YJCHv2wnL1dEB24g4LRTnrdCoWlh2 wsAWz4NDjsQhjcfIk05IrWsY/pKVgDrzLh9xzuR0FxE9LWjHcbpBfh82TUJVQvn9wBFY1yWvveEP eHFgvXImFJg3HAZvMEXLqXwrM7NLeVu8yAZdGm7xxlGyLjd0Bz9Ls/EERzhhiYEApRWi5PxHdtJO 2ArieBhNvDnO+v4ynyrm4u4xM2xnFUXa9/F2+O6b76qZDH+Jp7+sOlO0aMAxMpAbTft1zutSxDAY hZ3dNnMmTR7xf2YLu4AQfopIQ65O52AbbYYU+6C0gYCvzG2vqzWzOJ6X5hRWpXvjPAv7FICp04Dv u+BsiKYyrbtyG3e1lCrztYrIFyNVC6i+huCA0RY5KTqiWUwfJETGG+VFfiBYhkzfTMWhgdvc1JnI pXn1nUeN6wN1gvCs4KcDk8qXwcdCrSnadVffvrA98uEkfQjCjN7OL5tJW7w/39bG3JNwGpBqAi5a NXIbMRoSP2LcaEEtKxn5FZJcZwDUJtkGracojZsula4EsrlHrsfNiQ3OVRshE39qEQToWAwUSTbm /gk+kJXoUDmBHBdVf+As4H5oHSKJtySV2MIqZdCXhANYQmPu6CDFfmJoty1xI/OSJ2nQu+jncDNN RLpeD2mtsql4wvHN4sMX7r1mHqJ12zoE4Jescdq/Jj4KneVX1e1I1GX6Q4GujhxA7SM9m33AQIKh 1byVIemev6KWXQ5id3Xh8dbniIOF1xe3CEd632zUDp1nrxmiFVpGvY2t940uHa31/tHbSqgsIlex FRAobVwr4Q3GaZ7ryQayCazlw6WWYrliHrRd33mAQurOKZr8UFWrbyawxL0qSEi00fL0kekjhLQo hWtTZwgw1gPXebQbSFlKwIe4hBCN7K6UxQIsXLXvnED+XG7Q3gH/LgU3VpA5F3qRdIlKjOp5osYF YuicmT86uZyYfJis+25kISxhmAAAJaC2ARTYkSstLRfQaB2KUyuDi/R6ke//FuXOs/4SRL63EC0W c87w/wPmRYjRk3PdXLdgnpNWhMp6oOeLxdEgDtS0EDf8bkSFPnfJiyrM/aBjQq7Dt1djobMpAECe nYntz+cmTEsuF2CSpEozAOqAfOYeDAW32cwhfGeK2goxC0bKFYUyAHEMO7uLMeGROP+n3npRns8K 5uTiUniXR2vF/bRybfq+bkSbUhr0fCArxp4tjiXk+o4decPAtPIj90NihFth4ZW2wD9Tj8eTtlQ+ i0pM4KSxiYCAv5a99PdShby8vLJZHHsOpItlvHulH4sYM5eHR6Ve+LDDN5trJewIQVjXOqWWcs8r AEMTfMnodPRiHlZqwVR2FfFzrjpPKf+HpSSTi0nUcM6dSvIJvZ8oB1AD28dFj7MBzIh17z9vIB1T 1UkJB/8SDDP+LqnlWf0RS3udnkm+q0Z3fJISQn/T0LSbojNwFduQM9pmkJaB7vMfn2ISWHSSyH2v +122MegYBmEnf8ua8kJcSK0y6oJd7/eMg5gyUWTZmmRP5jSYpzu+36/1DCw5ZhqtUv867e1D+Elk VNVlxgd8d5q2hhw/t+0yzc/k3x+UbowF97IE3tco6OtaRjv4AYT22HA6mtLEBirvXJUc+2sGspDG 7QkMZ+YH7A3RSKunnvlYKn95q5GwOkQsUYEE7ExUoIUGK6i8Wk6BtFS88dzUNa5DpnavdpjNFTTf E5g0Cp6HeZnRLmV3d16LIllQEjUT7Tr+rvXlhY5tRp89DaERR8MmmbQ8iqX+eXlkB2sVpCysCh4j W+qxfRw/G0J19vxo8pCPDn2aFfMvg2KYUwwkCdCxRJjG244RmV+4iq31cenrob0nRT7vN5M/EDb2 apYrF3tHTqYsanje2u7sa+OjIZ6R1zttsyV0B75ptuDxNQgYA9zg2i44mmnw3UrwXIo4R1+vOeND /i3uQGv+I247Ei3W5yUsF7zNSzRxEswIFZYWxBRxcq53lXPU80P2SLUXWhs/UFMUMyD4b5JuNhBY 3kK+pa+xJ0a1e2oi3ih4dTknhw2a+h9v9xELkiBRRmzwJRpRKACmV8/4iQC2/x5Id4Rl5HuTGZCD rNKvl8gDNhoXPNgTV0v635W2MCHRqP4fZAE7UtSjzXjiw/3hp/C0Lp2T1QlGv+bKwAAjtTAFmHXs cJGn1dYP1AgBDFtcZBqO8xs/Uu9cwbmFi+o36nietggOQ5LjpPLoyCmfPEK9hFJ308iQQqOGKLf4 PKkQom0qen8ItL+PUcr2SpK2OJRv3amkm1v8LgrI37MpIJDhRfn3hjxsCqW7DUv4jnfQ6gc1fRf6 sF6nou4J93tGbX5cI/jdtmtBIV99t6QOk7W0oa4WQgihjdhs7+J7yrGfDeaeO0uz6+7yWSQqrfQF 43DHNblkmH5cU+iBYAMeIS/wt9FYHkAKu/OnXLE+yC2YB/PfJMqdJ4+u2HjY1pzCA9IAmvDt/lUa ZK/F8eynRewZzDUzXQ6WwGC0hyJSA8xKv6aduHsAD0yghnd21LsCOXkBsEEdg2lgyl6+tDZb/OJy 6Rs98jQzVghIVMb+ZkAI9rWgjifmGKHeExkOoEOAe+TU/AxE+F5gpbemgL9eKGJ7QMzMu3nKYPiP BTBxWF+hiu8AyZXU7nPbFxW3YaSOlKXkT0EAui9UAwlLAVYaUIigXhB9lf7tFmAfAM3JGEbgGFzM /sB1ei2mA/dRaUPO2As+oajs1JsuDdVnFtcUESftymufxRVZZMvTBDVR7kTMZ7/YJ61H67v534fJ yeeXoySrYixGSphZivj+s03mLOfw/rjqtSWzPLMaokbOaJL8AGckLp3qGqqDHJv1UNEOimHBph+z pg4eUyVCZcV5JWYvaIz6/q3ESx+QQcAaHhbyF+pzqcX3agcovqBfgQf8KcyCwZms3ZDyp/4bFS3k MSvU49hbymIozRV8kmyoyB03KjGrUCr38XCgii5weijdN8MdLS6gY1WgptCRwXNoFZknlxQsnJGB KphSQ6SbuQmoJrpSOFA8B4l9F4g5snNYPorYOpWlWSGrvZZxnP+0A1ELp1bO3g0d1PSU6lHz76H0 A7m5Xr51c+kUWRO7hcsFu76H/WwZP4PekK5KtH5g5jOwqw/pu63VsZ6aGn5dT+v+2vpwFwAWsol7 GT8OnLITmu3y1KXfjEW9kNSo/qK42PR25xdHCERrOlyGuRM7ODHF+3hufOVSRbRiJB3r8sS2sCZK i6gavfHVHSJcSbkZsLbo/0lRzqRmAdzA/uabn6hN812QHyBMAGrVS28knUAXfnC1fhsqpOIolbuQ hgyl43uXvElzdgNLWF+fb/2Is0VpSzXRWLH2bhdG8qqPkeZQ0b9v9nS3jInqc/QSiVxyua7c68VO mR29ZUb2OWN8SEU953G34XJhuWgI78BB5ZJeQdnabm76EwcRtldsyLmPba5QxPS3cBj2vwAIBZoE EacanikVpXRwI4Y1puoDal8iwVahMc9fwfd+mtGftpV2dO7e1WrBAMzdgWZ9plsJir/l/91qlFwy 6vszDXvw5+CDLOso4rNhT2gihTgezyQ7nub2xaf+GBJZPJvDg8eVhzJZwQ46+GpGqqdnF8NzxQHJ bIBAthZTN/jJAKlmgfE++3sr10Y1nXNdsU3cadHegfT2ni8H8Poe/+A65qNd5qhiNWWuX/6mAQ9l rYBQ4YoXKy0GRk1iC4zq7JKSE9qg4zWxLXQCA6WdDFLVsr/jxWoKCgevMoDGrROimT6y+uIUi/Hr 2Qc01njkRg/GkBE7IaVgNdQ4jPLJ/xxOulKuDeAh35oNPLgyPxV5W5PsddPo++gqLowbeQXhx3zN yDttSX9EDKuqgC8cHtXxc4zcogkMbTTm7c0LsVfCphspiG1tipftaPmvg8kvg/uWFp9jWsBKr1dl VCWmhFcZGFlrMzfj/tFkt/uubjZ+ed/cVckPUUnnp2Wp4IT45l9dyNWUeeHkIV/Jly5hPteb/xar nYbAaNEJXPY8o9R5OPxMnqSb2oEOimga//TJ9g+ZwvxRJLXV6J2V37M3csNgZbz0GxcMratwIydy /WZ04ilPvlpSnycbdgHvXlPvZJW+4P1cjL/7SWoVqv0jaq2vQ8YNQPh19qQWEXz/fTL8EE/EBHEz PqoCYOjg7zN91FLb7vX0/o0lQ1/PIIxYPysG+evJLwWHrhx6cyUUkF1HIQf7w59f9eZgwPOwzIDY GuFVjMXa8rYed6pSOYdLqucrrGd3m0STCCEEcNp8JSK8bqqxVDc3wSZ+tUY7KmFcdlNDvBl++ewc 8s1GD4hSKrXdaWjb8qE+s0UGRasNvhWRxnZMUxgcIDSMJfAujsmEoPsv1gGGSvgia/Odf7qWTQnY vxH0SvGVXTfhUhHaJGnPU0DPfaCm99keSyUnGZAPTKWMCK4rf0LvO1UCH6E0yqcq9gT9aNA+fLvR HhK7Z7e5cysB3odpwgweMQ4YWMofkZuCdBOTdXI9iDi4rTmqnL13+zwjJaS9Sc+/Cyf6kGPTy3GL frX0fOYqp+boMizWBCH2lX6pNq7C/zZg55lDvBPfJefiQhq7ZqEs03dh809tQFPPn8hwI4MpT3eP oKTUTDklyaKA8tNhgcxL/ZRGC3D/dcpPmSK7TeQoxNqp0rYnngtjjwC4i3SBdtxMMpkOn55ZNDQ/ 8bWtPSB4kjAG5a1usIkJ/5PmmcWanh5u2K78PKPik1mIrdfbq8FqJ41p3X9ObMqlM8sDnv7qFHY6 tBdYkuThiUcK3ivwwYigfEs2h6zZJVY9+ZM07RIAfELhdZ6Y/fBjel7Ef/pmZIcqegbvhJrLrIVA 0BGMqW6TNiAs/8dSrb7eVC2RI5Ks9cazxHZacx4ybrFK4aw3jj7RvpP4vruFcPLeaTB7/KcCP/hg cyejzoOynELGFaw4QXCmBTzxnPbtoB13YC4b28N7QSwGE9n/RWutL9t/DjNhUVap+LhOgnmfIINc cdda1QdeJCyrO6LE9TywwENXsBgLA9VESws+mZTiRBRubUe/xTxeRzgp1HIf/gDhPIfNsR7APLzN 6G0n141Nu0YIkr9XEd9PL9Ow3eNcXfGYvHYmTDtdD+w/A0Gcw59wSQWeTZ8isaPq3F2gAA239ynw Zri6nXjdSHwZwUrIWeuPci45AEkVxaegWVFU8ekEi9VJ56S4efTmyEuLqwEbsiIyHJV3NMJHvjAp 6lhLUB/vtJuSxewxPg9xCC/2BHnxmyOaxF8F99b+oPu0Q/FnrbmRopJ2cVsj8XirCrQFpMuFS56R 29YrhEz4LwB5LF4L0hngLt8JX3XLL3Y6zOIjk6isCQzS3sOY1yIfGUvgeT36KUR6UooQJqN4QJzg PbU0a0tQW+U2K/R581vpJOwP/wOyH8RjIRK/Om2SxiCi/FEx1+KZBrfA5/qP5skZJ9WJj8XMZM/7 K4q2jjQmzMZXmWyDvR4vYRdlZzaEagG7LyIE4KkQcu+euQzL/+7I4YNRzYycuUs4r2ZWuRbC/RNC kwc7jpwpGRa7HgKHXMjhvdrTOwqwQdhKuaP8gk+MLhRS1UqV1MUJHl1v7XJDgWpC7LC/EFhSMsAh 53BP2jgVxyt+xl/L3vz+CvtsAtdHUcZ7HS1CytugnKvziUnFVv8gnORnZkTQxVsoYnNPs4TeY2TZ Qt0SZQOxf+iYo35KSNb4O0SepFzsiv4Hrg/CLwGaORq4mrfmwE/tpc85XgNJQ5hHZDQgg3a8h12g ym1KRzbzwB5/i/OqcYFHqbOivq+ILhG331CCdGjp8owXQVbgMDpgl/Rv0ImyIkUwYCwa1wcdGMGZ 8f+W6kzDwBA23sEzWmlVerGVuAi2SD5D1ppR3gqU3D9jIjP4mct/5vhNxC7SAFk8uuWPG89do5gN Kcd66akYMnMWefePMggszJFpfO1zKU96UeGwpSJbl2ApVMlpaq5ai1Sy3dgbgYoSkGo+xmxa45CO m2xNozeJc8yjv0c3TVW+3/g8FFrQtfgCYVSP+/flqKUWacYtmMHQpD1WnAxTUL57iQkTl3J2C6+u fnczMYRViQnt92MQ3Xccd4sxRvGEOLegMWgGvwlhmXuYe2aA2iDOG3YnrStsNc48idLJmoN38g1H M9BqmCK8bcm5bU2aPEw22oWmWxjNPvDnF5tyGTDx8Bs7beNP5bN5zuQX/WsMNto30bk4MsP/HkCj X6Ab3nX8OfdkHAfgb8XljDVqr9Cq4Weq94zsmb38s3Si0Y4U++C95cdWuP9Tq1puRK8d7+qDe5q7 Ll/+kcvQcnADbf83om6o5THNp0vgUkfHcxVkjcvhpbZJtDCSZThqNtszTbxN9d6qYu88q1UUcGue 7VZwM+KrJ3IufB7s0FlKl04sxeDZ60yUGph8NTpfFtuFWq3Hjg6EN9lHilJzUC6kmmyZZtYV1hws 1zOKtKEzauK0ShPRPc7T2I2in/9TsnMlV3bPxCC11Uult+WZ0hd/PpWxhcMlyWDsP/4+7tVT9opF YAZS4jfAIZE6+EEJM/bDsf69Lj3aiLCDDjgc/fm7GIbVx++FFLpdsJL7lPdmVh8jx1wzyiAATuk5 hraglSyVGegHUp8dypvH2JBaddfLh2drDEFI0s4yidj7eP02cJrR1j94fUTTNcZtY3+dAhP1n3/F NQ0nq2TWKhrHIOEBRBao9aFNoin1Vqg8YZs01hvIv6bIR+xmWHUJswSKJN2rOkPiTlj3MG6Z7cr8 7nhxFDePzeMARqgkd7beMivxO4XsgAhpLJEh4le+klodoLs19+OthpxEzB9gaILl5QT360t0h6eG +glJeFw/6aI7oWsrH8cPh4zyPk1A3Odslx0WjFpCDTUghtU0zH8zIZW4KjOkYM5sxZhwLOKLsmln m/L/Dak18+g9fy6VJDGTrX6IwfZEp0VspmPjct6kmEQ3JWxNXjRrxbFVZiuG6NFkIXOdA0l63w7U 7B3DLKMw076Wynqeu4zaqw2MDeOFe1PQIdsl1xFjQ6yq8vfcB4t6xIdbYRyKtTmwX+wDtHdnkH12 ZCPbymNHXaWr/gbrIIA+lIrkMuplYOoXoJW69ZGd2PSNSs6m+Nt4jN5qd0OOYj1dgR8vX0jFpTYL 33GN9mOv4Y16kNemSu7G6BrotylrdCuyCU8dpayvaKHHNQnjz+tnPoQ4Dib1aWLryG1Hmb+EYWby szRobiJ9VUP/MiaZCM0fB5qLzw0Cp+6Zj7CqEoQHYw5NQSYwR4tw9oipzVeqW6VnLmkL8XY5rhBV j9zrbgmpGqZNGnCoKPSBWDwEkw3YRAm1JRb5AQwXQjFlOhTmpEPr4EIHspjS8NZfGrHgkVHknPjy ttpFLLWQVCIAQSnqEhPHmYkK8G/8de4dH7dB7XDXoERbjGm489D74TT/GX/CfgGp00W676rNS3tP BAJgXjHOyimmdWalMQJgs05UdJEmH8+/xT5RWBbMQCpH9fGM7KcnoZT/8N9tdW96BeoiIxNPZ0Z7 p8Zv6Ao8X39ZO9KQZmzj+qOk6prh6sk+pnrIBwOG+o5Jk26IjMn6Q+uap9L6T4CTsdEepj8iSyVP ytL4ZCpbVOTsgolqnxw+fJxSudo9j0oHqRJ07GquCHD98nOFOTsf1AU4f8rherKTYsRUkq+Pco3J 7VU4Z4p4OID6KHOe1o5CKs/StG7+lDRo8DvUX4tKjND3Shk8A4AsnPQp5NZBJWmiIKJbsEuJuZZe 6OZpg/Rmx1C0L2+I+oYWaH5vPjFSvj/oZsqkSdPg75ubEud4jn+ecyFBfgQfESMhkgpn5xbVgopW t0ajTQ94PbBXS37eSE+1Ofukpkkw1NGhosNXVBp/DMx1rNgbgFa5MSbiiUF8ekkjk0urp5yOlDL/ cm4MgELvBERY3KihPkjdxO9dP2srlTaR/rsnW2HwWaYC5WfxT4TxgcnblJgATJLzxIWbLGli614z bB7JYvssSLegrKlEB5ydzzFXueX/o/w0+ptVIlTHynN2kpzerUPOCXIBRIRXt4vxUkp1l39a0pP5 8yISAl4ODgd82+B+cmyos5KE/Qrda3yWx3kHHg+ldqOuZBdas+tlF++ONB99u00FUTs7w0Oa8b4e nBsAJlWOAXOKkr6B6d0x3z18IqRN9U8HD7e7XlNpSWrBPDQ04/9h6sjG9rJgx1TAu3dn59vs4uTs No9vh+FVDsY5VX5/oFFWlh3S1rH+3B95Rn1izi7LA2lZKx38d0zngA/Osg9SCWnURQv46Nk1QaFm z50iN1geCiOp/wFI6C/71VSMZ8Ci+4/KVZZZVbR/umKkEB1JPCGurwl/TdI531KhKi43eYLh9Hra 5kYalT9znhmvmCGdaFassSXLcU3bt6IA8/cJ3w4R4mdcyie5JUSkdtRLbPr4KkBPKZL2DIjmLJW+ 3D7LTWEvqP95FA5jcbUR57pSjV+vazQloCxeWNR8+wzUy/hHuUjCO63SlaNukYuUzKCr78KziTRj BAsfrmbTcvG2+e+iHqcMqVLaEk9JAZBR8hQ1Kvb4qpn+d+y5KmjrP3BEGxZDzFOkGu5mkQjQNdQv 5ffR2gTuUe7ww6maK6Vxx57vfAs1EphcfGYWvvVN8y9njnAewdXhFjRtNMXJctwU6eG6l0CV5wQd K+IJELChD2Jdsag= `protect end_protected
gpl-2.0
91764c70831c1d2b17c2c87cda4126d7
0.946701
1.832848
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/ipcore_dir/EXTERNAL_MEMORY.vhd
4
5,683
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2016 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file EXTERNAL_MEMORY.vhd when simulating -- the core, EXTERNAL_MEMORY. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY EXTERNAL_MEMORY IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END EXTERNAL_MEMORY; ARCHITECTURE EXTERNAL_MEMORY_a OF EXTERNAL_MEMORY IS -- synthesis translate_off COMPONENT wrapped_EXTERNAL_MEMORY PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_EXTERNAL_MEMORY USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 14, c_addrb_width => 14, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "no_coe_file_loaded", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 0, c_mem_type => 0, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 16384, c_read_depth_b => 16384, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 1, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 16384, c_write_depth_b => 16384, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_EXTERNAL_MEMORY PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta ); -- synthesis translate_on END EXTERNAL_MEMORY_a;
gpl-3.0
bc9d09c68b99562d74d0f7b6608c28b0
0.53792
3.993675
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/butterfly_dsp48e_bypass_hybrid.vhd
3
37,582
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eClZLsrGeziKoHkKn2p8ZfyqwNnmjwEvfw5RayYu9bzHXf8jyF5rRlK+Gz23yubiMWs//R8LuXTs +3CPwcxVIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HnntjHEiI9A70D7LoaVD9bS6KP8FWHF5cgfP9OqgQxYpxZ5t75diVAED08kBtLS84bpg5S0bfvYE qq3y7KDC1Nj0JV3RXiQxWlNi7wi+9mZP7EY5FvGmUJj8no//zaVh+VQkLR4laHuMaF/wPfgCvaIU 8WnqEQh4r8w43/euP1A= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QTIEMzWhUsghoQF+NQXLMtsVKl5Nq1ImYvDZE/xNI4WEIHP+l7pFsGBF14JyY81lnjd1JBJvkdAy pqF5n2yjEhKhr7nO3ScCSC0JLHoniNhzPRjsASJfWFOw7Iaovkb+GqoZSJXM3uCjIhClOQPpkkeG n42lc9Pwt/MLCKuv94rWsHiBW/QPPh6+b5/kdJeDujnV8AJyoc9iGQmCIjllwT300+GCTxkKVplv lVeSyK1rjBrWG64obnJxNly4jNK+RhI6Sk10fxB7xQPaC2qq1tR7vUo+YeycfsSb8j6aZ65z1dug xoO0SHOL02KAKTxhkJ4XbDlB6gCXDfvyUAvjOw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block B5ZNAEJZVWvotmFNki/HJIm9tR2jUd5toiiDpP4FGU2PuR87mvjwPIvQ+1sKiB6za7hcsj7FXwYv 2xfxtauAStoZv4d4lcHnpw2uyRSshoMycG38gnRISs/61ZrCr/LQme+3FOvytFnrrrW8nFMsbEkY ovwlpOU/GwiJ335IHko= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block THPdgjCsCb2LChuyPU1WYRPvWJNcuHxQZHuaY7JZB7cRuHkzZkhRG4oVvqIs7PixyKIC6/NC7PgI j6rXnVudcsIoGLJG6clAOgNjHLbHpsoYDGuQNKFg6LyasyTQ4Ct68IQGvoTuR2QdYMmbLvkYGMA7 cEAyM9x9+De1a54Oeym7gn2MJylFpSlxdcNc1xgUg0EJU/ihLdYTInYryQVud9P+J5NB0y3SvtiJ OggN2WfazaGiYAcYd7/u9zl2wMs6jDqOQt+dYmWDXJnpjX6Q48iHqznLME0fOcSLJbM2/Rhqfg2I 7h4CupaXT1belHSwv3jz4c+IqVyx8BrasdtnTQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26080) `protect data_block /X8hGmESQ2dsiJ0dDidfvzIPrnuTOJHQqL9Ca/ougMlW4V1R/AfoHF11aRKg0xfyT3uVV8+C++tC Ojq4RMt3I4jOzQJhdTyJOykQ3X2vO7s6sD1tV+ucuGlvo2/7Ghm2MxNGIq5EckYg7FJUcvq6RAmn wRFLje/GQe8yL9dbozASykzdo/k3KoQ1B2f2dFwxS03JNwMRyggzlOqNIO6helkljszaBdXMfD6N a2QjpKFDTopuYkLWPK+mWPHSfZuNSksP7dIYYLIGRM09hWdn7SrpftICADYOlmsoGvYDW90MQbql sHvkgTIIrAaGOkxYvUerKnh0YLiBm6CghYsqtVT3IWpRWmpqSbjBBtZChfDvHArSeX+XPdJWHl6J KCCeKI0rofFBSib2QhcxJgqd2bbKMLX4bPFzvpfGXS6lPFMs2jY/5iK7TnK9JZgulel8pJRv/SU1 IUAU4tQPm3gxWoN7cZA8Dke2uEvq/kLvmMay/nT+Pc5K43jYVF9M60xkbFzImzBaxoce2m6Qcdo/ DocDrbiGtXMb55tL2pfLjWWPq6ynDQ/+1C4zyuKUAnKITSbQsMmsPwyDcN9Qr+M0qOmSJwrdgQZ0 Sl/nXR5kjYRoOSkwPIKGo77frhS5TrA5WKUEaV5Ll6xT7KJn5QyOqVdW3z8RTNU4mwy0BDDVdcrx xiyfL5z7iWMAx00XXIoUh9ioyt8Mk8Vu61ZulqqxAgDsvdYSYzCG/p/C5fDeMmzK37Uf+MUOiIFb 45mmTUgPeP5TEF/HHagUuO8sSj9R5ZdUXF6X3CYuQ/CfxpKh9CSmyJONy5WT4bUwVZgz63iqKS4d v3+OoXv0rP4k53Mt76IIUTaVKLviFcx6S1gfkzKot/X2b+uMlx/xRIvKB0jFmZipQPf7EqcXCSW/ lba1iOP7xmjAjoZa4LI1T+ujlKPDQ8a2Glx4aPuV25m25yaoFB/FtOmQ4Pav7Yu4ZE+CZ9bFQQ4C KvAKTgMNGdXx0Fd3+MD5OPkA0dyPuVe6tHOP9r+yYc3OVZRmZV893qrFEfm5xv0e0PItwtSGikUC 5q7u4nFlJShkbBdWRyZkGJdNlqudWXT3afEt28nAK0WSYud9h4XqLpOC00qewusGMYIRj6+GUDMj ppWMfPRKcO60h8eueIVP8DaRC4hMrLpMmQq/RySH9tTnXujUnltpzK6L9ow8KhgFlk1/wDJtF0o7 2c1mk1Im0O8t0qLZE/akvnzUd8JdyC23dTzoayEAfafMQSzbP6cqoM6HEDvO8IDEJw5hzqnNbdF+ RdNQkJa35w0TcaAQFs6eRtRFInjuVpleLS7BNtSz/PJ5kMfsbngRYnpnAhJhwrBo36RzMMqQx69I 2cyjDalTqCGfcKLXBfjmNHngnAQTukq0snQVkf7b8FS68lP2axcVvsLVdKPjIcB4CayXVd4pYqlV r7bSGZDi1DK2yztFipv33ew6X81oBomN+0BIjK8j91RXubBXbfmvKdHVNkzwN2vE/Fc67TOrqgPU 49DVzaz0YXcrF61cY04No0CkOFWbhz0lsZZFtBALXCVZ8FMlx929IM/XcDFBdO9BTIlm9QVdqGtp pRVi7IQiL0J0HYBN4jJUuI2lUg5J/E/gBWbQ6gIMQ6EX3zFAVXyboVLKeF8v4sdBf0/icEFUgCJC xcWVFJwmxCswSJKb5bvyiIjs0iBZH6X5V/lEHH26m8N2k2o0zrV6qhYY7qaTt6hCIYrIANTsH8TM TYF4b6fpoH38KubYucqVlpcpoj/ShL+qXCb63cS1GP6pWIDlU3cEnAdG4QqoTmC/qQrApwGOWm6L tWB9L4Z65gN2fTlOwmc31nGLIL/H2kh2NioFpzuTkDx4UCApg6TreiX4NqXvplOJaeHgVxxs7yfM gWyQ83fMGNMAoJ/EI9DK1gFnrgndgqj9xZWXtt1znXk4tQaof1hSnBsIlpy8c3g+yuzhLTypeBNB 6lSEng+xQzz9jIWJRsaFbqEblhhnAXQaICfCkvp0z9EywrBRHdAG7dQo+6mmA1FtBNEZyow0H2EV xO+PiQBZwOJqS9+nhkDncp6VSmASd8Qr1ZD6A6aWbvfsG3EAnf8Ghq1CYk6OnrE++kITd7teg2Jn uGiZfaQNg7N4vyF5F0msB/PbW5UtiaG9VpGwJzb1F6HqxPfSkiaDlAMyQjEo2G30pD5yiFs7U/x7 qoGFEKEgj+rqs224FX+OTkjDLp7TwxegZ4lAUpQ8JQumdphZNxwbL8LcX4eorXiTzcDG9hfXpIP4 siqaBCRhzURwj9yYlUXo7mOxkGH6ev23DNfJwm4DRQZEVCQd8xip/EdLfK2vUvK6a6e6yV7Yzl1Z +AQYXLp3OVkbCxJr4OP5NfgFrkQam9pvW4ZO/i9Le6Wt9f/hB/T+2YWiw2rX8TaBGF9ZIuY/m3FW q4ychTP3s17O2noVEz1yZ1ZTYq43SeTR3ce+2UrxGLeFCssJhikyVFDfcGUpNBHF5FBfSF+1F7Lh drfMWbnTzpe8qUcuZhNVqx1RkJ1NTo0c2pAkflHXmrVxJwxNdjFjfPsaC1DI8rpLjX+wvtWhDCG4 wI6ttgSEwuFFTKTScHGsEWuZxsgL1msOgSULHPvVr/8YmvELIuHx24rr8KC3NNIlYZFT0ih6h1PM cu6DW4K/tCo04GDRshpH7GvArdEPlgNZ1WqnbmTUubujz3/lgG3kOUrC5yitj3PFfx1Oxu0yN6AI EXXGIfq3DPYXa6I1bHw0dhHN1uRhXbg2GzmXKJizhcP59avDJdo9m0MTmY2QYfO23XH4M+jslutD CnQYVMscVC/rg0Da/RgqE3iSrA4mbvudxfPa4CGiCaDU3XsQiyoxUWKGV2wfo0BxfnXHceff0aKE Mp0HssejHnBSVhMr1ei59v2IOh+9ucKBzEXBlb52WZoUPhmELz47KRvwbe8Lecx5TETvgwhE0n+d K4nimBQvLCJmSpdTL4spfN9Ij55UYynENihpiAZC4L8J3x+XAreIprFOpf6DiICAoyiJrwmUF1sV xRTSpfoNU7o6YAe3BwYSWpyhBK0gAC6y1PZOrr1eJZkpB9SCOFx5UrUOej2o4fh/olyl+mjYY5v9 tHxxxuMsldLxvxpzcQoodRfanHeA3/3atnTwa6SjsnS+kciwoe+q7Up9PVLPehD1kzVZwUnB7vOh CsQn6AsEZYB3ORBzrZcSmEDnWdzUR5vEPqQMG9tF2Xju/ZqDIKUWFah8Q0qbTfcG4HAjefg9F48c 37l/0GGUysr5A6maQ3VzvUzoC7QdTAdqJTPZwCYow38bQGwcQ40b1EePtrxlMDSXtrtmDHpnO0cL CucNisbP1qZTNB6Ri3Cl0e4MS4sHYMPePYqflADy6s0ggE4rwAJh6T7zPm+RWtKaYTVnDG81zlwQ XPPl5BW5k8B+6p8GgqKkfxZtPcgBxi98Hu7y6TOZcIAF6WwX6m9SNzQ64OjsyKDCymMXSDbCTzuB KO35015l+VsB02WCdHMeqvKSsAAxDsV7UVKOgYvYM7WLD4Iu3musNwLf3DltsNL4wE4hUQeJdwpf qOu7zI5g+keiphfNsSPgD+16E3djifIhjsxKwDocNSnYL5rnQBbboaH7Ppy9gqlRGR2Q2y4OBg6Y ftTTLwoN7KoUdUf/q7FY7Jvk7uBsYb0STw+TdjZ0Slf4wQgRKfgk133fYFEuQ1+JyrJPvZEc+kLM HLi6wqv+7RH9bNb6X6kHS2YHqdJIr03hY7c6LsS6UL7ArNp8v3Afyrn5upNvg2bEFgEpjG/6iA+W tCMHjy6f6Jgnhi5IQf5eLcgB4k1+lNTfKqnyKNtrPfF9g0WWU6XJ46r8Wrh2oe/ku7k6D/qBWpOm E+nzqGFda+fUeuaIMKW6mdpfZHD58aZ56So6Ub0IvsWyoH289mLTfDGznvqCHFvf7k8JIaXJVAoT PqBoWnGIGHDH5gf26DzJowP6KrtpmypBZxk5ldx3640PuT83zpZXXoGVcjvE+Nk9CxX2R7hz4+5C JOlyO82Oc9lLSNLgVeUs3kmj4t49tuDiYUdVXrAptweJ39TTTjL80BoBeahXv7c4zWaESeUfEwGD 3bmpHqF789pfF75KcJcCJtuBGaljLb6Ujt06NRjrkX1zAdLUcDBGiIEvkSc53gMoCFF68aYkWsuF xwWkK3fBX8sCEen4CO217l9ZodPUVicBgg4eqChsFUmR0sLPSea9ouHf1LsIqVQHgpMc8McpIhDv wrVDrUQlZN65+tdx/icXaKXDubPYj3l87wmsOoezHIBP0w4tM+wwOvpqp0xlatUV+zw1IflJcTJT vaWBi361OxJ5U8BOFSoWayM1QLYnWBgEL4xftTuFlWZOKQ43fS5BKQmoUbIW0o9OSrzrpiKsUrJ5 xjaPKPKRu+0NLNWvutkJHplTA3Smg8JlpaR3WwHhxpXfz90S2I5eG8Cz43k1nw1g01QXtKwMydsq PHCMxsrhERXtvm6YGWV0BbENmIQ+YikiuGJ6+fTcfci82xgl0MKHVuHOrEZ98arkcnpnRennZOb1 xS7xFcPgZTvoANCBujOHVqh5ulwD9VgfaEUWtZdZi92qo5eV94xYbLZdPqm6KUsgkO2T9xilo1vK b1fLcakb5/qS2J2OC5NECWcfa8aWPkAl/j2KpbhSQ0VwTOjENZagZ4+IMA4fJf2/Qf0Q3HCH1+GS i6gNjXdw9NU4qWAHrf9MvAKRVjHzUm+Uf4suICxV+5d86p7VF4T/YArmOOCW1dzIK52LnDwMC+0/ QravLUmRaOo2JoCzWhlE0tdiw3PS2GdeaL7TvU+69Xx2g05xV1CWXMVpVpUWcAtwbUSsspt+IY+L KLeJlZO8f7N1cEX45aCxKPYwN09RydOp0m3rhuY+beCyI5sqX5kgStLC5mWwzuqEU0vTKjpwdW6E azdQ6EommmlhNl6FBpN+XcDKauHMOGRzuSxPKEVLWna9KAlIBWhzZhxRVIZPEVftWBXW9znta2QN BHMrIfAuf7rHEGAmiuD+tM6H+fiqWa9UZ8e1atnvoa/+pkx/OYQBu1qY97cj4M3fCAfvukspS1cF j/2vsfm/tUp4E3mDSHrl6yn6FmugXMAQWuPTufLToZ9CxwNv5VR8+f7L1oN4zzJ+q4HeKI+BHYJH RpDcdWg4y1FePcZu1qNXMB+OkcStP2FM5pVCJ8is7im3whRnVcRErej6tpI0UXd4+zCycdVOh6VA sxrCKDaEo2KBZ4Nz6bNpLHiUpAIow4HO4sjbpAYdnZa1NapRR73hivWvcmae63wuhX8d8q78z4yf cQrF68JQgIhDGhj0/+EZn19CrBzDIy7zuoCROkStOYAGkahN5m02t2fx2jDuBy7YGBH+bJWxR1qr nrt3GSyw6kuBK0TH/nIaXdYjKX1hsKD4pwXKwEqWw9LbBNTrJltailWgx68+gTCp3VMi4pomikjU UrJQiJcZaKEdX+7a4m12j/s97eFHVw1Vnt7g0Ivj7vZZLHAfD1VezXQXSVhUywjzwxc4wqu1jsJ1 4vsiOzHET2V8koJDWX+4d3wQ/61ZzJrmhS2kFrqoIzy1Zmc/D3nhdnE3//5ud+RG5sAPdAFiyzqk jdQFlGV//k4LdNt3ik2cEmdSV+kJ5NLlwHM33i1e974Fj2AihOxuICEFvOUfqDZb7ldV6PnmTV2L d/ZKiWpk/T7tCydNfcqjtkzMjVRi5u5xFpmsq5yQh59AOU0/efDdgoLLIU7Y1zExtsQC4/jpZJKG ZfxRrKPB4Oyd/4rFge0MMFXHWmcOdvZIrorRHR73bp3N6HCXFtlsr3qnKLGEoYeTjUAXsbVkCopL CjVMtFPtUbasEGvd+hfs6MOF0hS3bm+rwbcFVDu527xneAVe5l3H9KmdhfVZ6kxXqmk9/yHbEgzg HkNu4E+HLSTlu6bT48cuswkxfxVuzurHe441j/mtVP6PxZupgPbrOAj4GHmg8gIZTqqL26MoPZZx zigSn3U5QRAGSEGpwst9QP3uwODdym33xiNw9iEnFzcz95Uij5qkK9351AbUsQXOO16j68n+BtJ8 80jM3VhIr5OHaEpy6u1nCaazLddHgbxXboA5izqYqq0ehjSZjs2rDxQxJjQHwe20hmnf3EQbzA4J Yb5y+F9Ipt2GWGyKUUd3Cw7ebtALvYrBcXBpde1mzorFNwK4tiOsqVUMwUDKlnDJC5wkTtY49EbU pz6m3VDCLmKx6ng4JRTaR8ntDfH/UL2Lwu4GoldWTJ6+OUazZeX0o0vBgrB8cadc1S38hvG5MTBa l2yy4AYia9/aUQUHCLgpFRm6fpC4ue+PFymZM+SfHfM48258m0nvo1WbbfsbcOIu4bgNHCh2CdRq lYl/d8MTcLawrvrUP8o3rguFvlM9MvZUlv1PH5Zcgr6IXJSg6vyZ1vPm3MD0tK1KWe/s/gXRqUqj HOaaxpR2lvJHSqp/W8MBV5z+H1ylsUTBt3Hgbcs4VwwHxiVjT/HAiFXdFiSAtP8b6gxKTWYend0R 7xazS5xuk+iQpJYFbTifGh/s0WztEYt+G7tm7JUgFhbntrZVgw0ycx5oU13o13MYpgEyr1BGfwM9 jvERer8BmcGjQ4TLhBMnZNdydOjXgVbMHFAADu17+sfte/wS60BaCArGD3bcl3hCwoHRccSt+x/s 9IK9/H/KGpAmCmNZvphBuDRro9Bc5DNdPU+nXPn2Szbd/lXHD3iodNH3JajC41OZjz3+bI86/ZNA NC7fAH94eoOBZvI4Ut/VyLfvJ+lfPO3dQPpuazOPagZ7HkfA6HllM+N3WIMW7M0d0vCUhOES5hSm f+h+cP7d5nyOKieRHZjZw5uUPogiIEmG/htGa3H1DvKs+xVpXS1bQLpGYuxV3vcOptuFR021pkHM JEfEDyrTzBV2o6BEnhj5B2ge1fs+MNyBzTNzE7F9TeaMt4/RX0lLJyPwVUOIICZl9wopud6p3/fE QCqVxHFs1W7bnQtI5TbMLVQRISlyYkKJcI2qSRqpgi0yRy3sQzssSyXXWiTL7SmOu9WczDOxvvfa 9OUTwgAn6+4CtCFyKU++3O4TLH+R4d7W+aFAuTfrPIhGwpyCeRLrQ1zhO7f7yrMxPtBA1YxquZCo 8Wrd2gIc+fo6OqmjI8MUbjbb0yPZ8fJr2mFVChHOn6+ZYPvOOZAr/EenssJQ8ecllij3FH5Y4BVb /qxKol1g4FnG1lAbFnrY7IWZOp/6Iqk64WJu1da2IJihcfpAtPxICd+QpPXj/SjAsTvlyNN4NLpT uJoa1d690tqphIY/tyQtCS7PPIf5OXvAOZaldMPChcJaj//enCd8xf31UXhnQuxCrQxrddlUSGX3 H+e+L42Ph9Kad4HZdBGGvIKqwLwFMdVaroDozssl1/jSmMT6qZL5uV5KtLFBOyKT6fJMzCIFJCQD FJhDJvKaQ1Nmygy7LHsdO5Ub5qqq2oNFECA3jeG0zUQFRRMwsqJNQsJ1Do2hEpRj7L+hTYER/FFk llb2MRdqrY3HkscFdJlHPDQPEDON7tzolqqQsX7/FsSk6HJCf2fdJ5DlAcnnJZLFt7lHpMNy4rlA 3n+084vLVKimh+cHL3PlKCGwChpz3A02N2jG2Q2quwowxGIg1iOlHPi9/NV9kvQFdKiEHgmngDjo v25vqAnO/C3h7hRo4fk5cWqwqAVP4wXtN4Dy4KfbNbwb20W4SjHrVd9mypul5JJg84yq2wfixcCq +nGW/Sn+5LmuP4zK2s7fEMKioi5WI2UZvMbDx5H72X7WFZ1+vjxOC0dEsVwkgpnwAu3B6UcXlar2 dUQL5u3Lz/+RXBMps7UrK8JdMPwrozZ5uSFR6bfSzvm4Wwdo3JtNN+fpACaL4UOtSQyhE7ow6lrH d0VzEzEK3tJmuePWsr7PRvs/wt097ucvnCaXAFlb9PQ3RWsNl29OQOQotZwDnoo/O9asZwc/DxQ7 HSh75SZ7uscGbEqvHIeH0gcM9km5UA6tvft3wqi+RbsIxz3/v4qyV3IrigsxKnoR3LY1LhWtbg2K 7XfnfgrwS6R1boxQBxXw8pB9xqZC1o6/nry26fb2Zxp7wBu9PBnsFwblcqABmwipT0CPlaUiodGE mWONLvJ0uiW0J9iOcuEfS3Imym1qYZhcC5Zus68ehigjWTTKgcBBG09PVJ2jrGmzz3zC+aMWUoTx iKe677fP9BHlZ27qQsjIH+PL51ZcqxE+yj4+F4Jy8M0tAEkwLbXSBAp/Iy+1ta2Fi8GUTWP1JGgt 3YJIqA4OT0Wk/8JvPLcT5F06msWbOz2UANTyzlXOAC+MFyvhVE/yqq/vFwPhVW90XwJ6sT2Jon2j fyIH+buHwMVTsEG6p1JhLQBt7vcZYtcFuNzaZIjcnm9z/EZYpJ3oUHhTHSptnstVHGyj0HeX6V8r lVdewwYSmBB1kre5f0CfA8qvXKdrCyPwzMNxAo1wr1ml3dWBrTLTLTMTWs73J4c0FtX1XVQ1sU3p 7uSZ6sIaTWJOltJVt9rcAxPh3LydbgPK3I5IvwB5NKMmaxIXwbPL1J/GCBld5ozkiwJPpGGV/s6h 9kVGgRsdc+bO6l/XKw1sbj92bxef2eFrJOVfWVPKvhKb1gJ09OOHyi1Eo4WGpbb+5fKn995bMpNL /Hf9GSxMwsbuEy2otePQtUwWZ6DXr8devlOvx0d2Ra3hTfGEUi1lUaSuJIfFEuK63lY+kIiV6lLD Fn/kBHFeuRydZlCnJa8LoPw9zGQlfepzyKFlyNlRMsB5Lpu3BejiX/JByFIJz7ApQz/d8reGX2mH dmpBy8laEJ6I3Wu1CGb4VhM9k6YKF11rivKoEvmfKIVKGs9JplnolWXFKy7+LNQ7m5whTO6UziNv DwTPsGJ255bDmKEBJbBC6YVlPAj1t0D165AbvFvVtoXbAtYd1kAUq0TYUHciQxgjINdwkKvb/jV1 yQr27+T3utDW3i+BevZQeUyCjG0NFy2TGxqmqyT91/52Tzc3WueRC6EZ346RCX+nrb2AR+BJ5a0s XLEhcxlFg9gwtqvQ3G1lnuV7T0VNJAAx8LnGD/A3V5Y3Gv19USIYC8mnJLqBqTZ3AaYRhB4upM6+ 2HEKwFou9mgyeSM9tuCXNQxRy4dGrqehBCOvD5Xzypg5bqfA5P6IjIdSd+QhgvTIJMYhzuxmq2T2 0G6JXvjII+rJnYEPyJlMPnQq3E1gizy9/QrR/Wx8CciyzA6s+JekVvzv2P819MnLKlXYrzQ42LZP 1fRpd1DdHYMvBxYsgbVAcwL/Hh3FMCJ5yn0nUuZqDyrtTjGgi7fgg0pGuCZBrs0/MLGy5sUgx4gx 1eeqVXLpBeTnlg0vGKF05VO1l/S8cj7VUdiXjtQHErrpibnbAFB4Trhokm8LTco6+IRHLMDxMfNx rDTtXhv/BAoNwZ/2LKutnYz8cTheM20KQaifycg7K5VsPpo7c4UAd8B+i8dCTNTpXh93SEtnHgNA tkUa4qHuHSJ6STnoPJliquA6tsbEJ1JpWEiQ4rae+RxRWmUAvS7JSyviS0vokrtjZ6uxi2oLjLYK xaNqxEDIIO3stp5av3lZ6QnRq+En6to0kSnhBE1qP+jaSCKSCgL3tJby0ko7iuSmqResxF5gYJMr i3ePyP6rHWxgqdxjD0Tzji8koU3wgTHIHMfkfXDWyqvk2mLUMnnYbhs5XCCt63/FCgwg/dsTp7Xn v3C7MUs7CC0fO469eVM7JMZz8B6gVaGDXC3Sc1TJSblFcBcGv1VuRnNtv++0RVKX+BPOx46QmL9o W8Q5BEGg0WQPHbv679B0vaMxjIcxIrY0McQ3XqVH8cycJjN9//4mpur5roCt6+BV9UrQ4vk52QX+ je12VWDBnM/Qz3M/6WMuNTqGk2D9JLpTt4dIjJKd3Q5rgrYtBtnDtgVGBu8ry0uWXcw+FJBK72Lt xwdtBAnFjxj5KeHTfNpi73+s6+uU9V3LcZxfEgpvO1xql1zonAYrH81aWpOD0TcjWBTlHkmdKAxR DFnavzR8qVs1NFE468d9DgYNiB3GqxR2aZStv4e/3WCNJfuqMScRnDbI8oX0+c+7Y+AB/yEqogqf R62K9pQxRWzO9o06GUlayu8FwSv6ugbd5Z31SGzGIqeabxIaLA3N5gi0z6CtfPLtJCRtPKhJpAOf BFpJrQgagVi928/sOWPdMJVnUd+aCjp06niA63MIs2fdBrx2tpbYKyx5CT33cUjgjDtl56mHbyeP 7OuDrOXio7z9WjZzv6rLMiHZ62uxeo2znpT5nTjBfWtiPD7vMx5xhTJabrPV6jDt77A6HrKtb8nC 4RO1vqLwomhMEojBKuA20RSSr7dwXUDvnyhllNkNorRhQf+XLtqiAXmGq+vGhdm8TT+s3ESOASy9 Y8gNAWkaFBQtNMrU60Nzt/ANK5xUngTstzY4T41ts1D7nBngwNHl6WZSaCRMuBTUYQuCD/nDwrAw 4l9jLGCa3k0b4lW3aReW6yoRZ854UETSyNG1zUdr0H4JHNIcIdG5ZpuVKwqeYQ/DhYmDyJDrf2f/ RahxYznuqYx3VDxhwKjVxsxLsk7id/jpcvH6y/p/5b/D201ljolnYgSomc3/F27niYMmaYiV/pT/ kkHV3paT2o1jtL06XqlBuRLuzLIWvw4Rc6qUsgzatd1Y7zdoW/kLpoMwUb2As0/0JwETCn1aNUhZ O0wj88ALMpZh2z0/x/7E3BL7omogu6LxdKgCm7ZnfWgJmim3yvC2wWu5KAMNbXhlz6VZ/gCUz/58 O59yFwzw3PpiZo4OJ3k1hArYtWj3a9t2qSw5ZKRebrgSjGO1TrIulMOIKst9XPNFUj8UQoYNGnVR btKccbeDY14L1ZKPJdXYq/87AvDfccxJoUjHC88LOg4rshuVe9UKljI1FvoonyJTojq3wV4jmewl wSVZkq+sI7yGFGNXCm+rnVo+1zMM7dTdPuI4uctyFcblO1+beSStXpM0U5m71ZT0b05Np87/N5AI sTyBNg3u6sx1b7+YOSF1hniNKhm1MEPsvTeXaQcJ6wjPrbvg/Xzof4PmxkLSYBAgQw2t3jOp87j9 Y1qV/S49AW00dGdTR1rhsUU9LQSU/LPmdfPBLkMhdsXQmbAmAxWfEX2oND2gRDhre47b2JcMHMuZ iqWX5soDxtfjLlwVpatXyBfmfgNV6PSAOH6kYtN5udywv8Y10Kw+pfjNmfp8cu3rhI3oGKX4PTSr jomGvzQE8PorPoZr8BsD+GZ9G55I1dLKk0DoX7PNBM5qGMDaLB7BFTP7nZZ3IHjm4FzPUUJt358s 32W5I9Tt8MwWQXDZr8k9nmN73uw2c0RzlN0ncOpSa9YL2YRw727ebT7pdW1zVFXtveGnKsVC8gMe UvvBjl9fq/PuHWL4G+LPRvgi0xD3OE9KwhFpYCTKpoCKtVO97RLZfuQm6OCbz0Jl3qZD5YQ9sC2n V9gEKiZB5CiZUsMuE5+9sIvr+m2DapIopuaukhzY2nb8a0yVblpd/oYuffI+aKDYWfBWUUKJHZhY ibXakniZJy065JX4wMEWe+NlcRV9+dekY4b/a4vxLEqG5ay9cF4vWkaB6SCfAKqV8fLpm88kO60T mw0qpaQmYXiONnuf9DeeeQdLEm/6eCP6jFxfIJ/wJCNy8ZktYbAwDNcF04/Cum+rRoGzdrXS2hms IhGRlasO+QXkl9yrjLcgrp1m2VZASFQQxl6E8rU6YvhG5WBMR4QqN2mpqdV2wIZtPOcnow4jmUjW ZTUVsemrf+N3uHrSX1SeMSSVBsjLAKVqT7fVbgQhhCxkpPV3IehBGTNZJ2QQWc4hHVmkiXnCK6lI btrCISNQcNn/KxOLiNY9bxJ30auOdRDu7/WqP82Bnhn1v6JruVR3VX/wAZ1EBKphqbsJDS1o5e3w qFSa+UJDiV9td50o+JqWSDTmCVnW7iINAD49nR8qo8lySUun1QzD9db6vFbpMpBm7QH+lRoe+f0M YDEgg/7/5ozm7c9jZY2r+YOGFCvEClJOu8U0CzAZOLh3fsFmzKZ574z4aiZIkUKOCozxE0QLdSyk 3F6roQ3rwfYCbeXHAIcbu4dGsL74sROIYJbzl11UlQg0IAAMFHATi1OJXgvKkrs2MlPpljFM8GOz w3W/pqlpcZdmokPtvIirRasqhiA9W48P+8+jMaetHrEo5WsAhr8bdBF/PgO+5ABubHZt0I7/jets ENm8iZvxqQBuu/hC8GELYMb+yJkUq5d+JgBPsF+r/EZFJwkYrH5HgsHe8XV0zJPyFVgBYnMWKHb9 7g+v2GDK8ZH4H3jbev4KmBq+wOcr7QMxPxpTGAH13aU7afDCetCB2JsQIwYM87is52fd0X2WhDVn 4Jy7pvZbYG33sm3B59PcfszrUAkg9lJnpbZae4Rl+Rns/5S5zbp58+vs4AtRdnvLaeSSEbglGFUb ViqjmuDHBa6GBttFHLROfEGQHzkf3h92hScjHPIl8qhqPuEjHsnmOEBrvzrbNX+z0DFI/o3cMn7n EcCW31oxYZhX6BhpBcM+0vhNaaUECKMe2Qwzdyh4rQRIu4uMHYA9TS2AnkS+LdXpnsEG5r6mNb1J OCNKLQ3nu80YmxKwVqrvWEbvyIqw377rQ/v061ZQkEO2G+dqKu2ylytjjVjBVfNEIqdz4Io2FJhI SRMlaDEhLpns000dbJliFgIrr91wwjj8bwwbF19N1MXqg5RXaIge1BL05ecHKw/L91TsIPblQabB XMT97WffNeWIRcUZf5NNr94rB9YicHPThgIIYv5nZui/wXIMp0UlCBatTnLeBOeaOinYskmEjuwK Jzzd014Ty/gRYiQ2uRRhK0clAKLP2KTc0v4dDBJSy08h88Cd+NuI8VzF4WiV/0EnfzI7gVHDH0mN uM7uac7KsmmcIHVcLKk6oaiY2VlgDZ679BHfaRc11US+RltswWF92M/xSVMJT/vLlAjK5Dc69RaF qoLTM55zP42ExHAYOgBkCTP/mCgOCgMm4O7hRWr9LOppLw2TwIF+1vwBwe+ORgA3vY9WSEbjEg/I dm9KkjhKA8LmjNcXNZi8hdKMewz9xatAP+RXPowZapdQzw0oS4grNRaEWHBPPdqP7tfN308oqrD7 ZIIKG+N433olXPf5MitWBjlAGSz4gsdnW4rfOPk+1+bxLXMV8DHEzhPXnG0VGQ6RwOg/qOayImge zmKyUHJsifOoyf4SfnFK7wEHoTCQlrClcwh7hlrOBV4r1jypQ3IGJp5d6i+Q9xcqT0KyNWy34ObF UKZhUMJj6+zX81nn2C+TrE29oKpJdHZe320Kh+V+9ggnqBDgipQcOLAQIl36Se8BzU5j/x6LbgTN /P1/CEMe7JQPglyl8JVpvlmYhhJ/6wZhOU6bWt6V3FcveWyzB0a9GBVGy4PYTvvJQ9B33PCJOuDK AEb8Q5EFfiaLvVwT2x0Jw0NyQSzmt3QAdohOUggrtILBAui29vlIo/PNcEx5HDTMJ3LTtbMqm9t3 2dLTOvrWotv3iGI5a3XLiwyi6p459w7MiXBSGPWg0cNN1Aa9no54cABJnBDd+yP4qOLfXz3lJ1u1 FV/3zycch9dcrKhqMSwJYtwkVD3YGJFzAfwEOAKhSxRydoveWnyTjCQmzrAE71SQCbVPPMbcq7vv lXbQNGV0c6g5D6t8GZEalNrcZT1fAQOgX2of7nG6hO6WwybYq7qwKQD8W7akZbP2xYmDRaQjbtf9 0b+2X0EG5q48L1i+XCwm5NqqjgrnFfn+DV93ED3hs3oWl0fhhM1cACB6cp0aZAUCOyISHWfjSRtN AyT6HeK3BQkgMKMu9La7owdB6QWiVVPUg7ZBz9sU3agZiNQe6LWI1tsxuCEhuxckELgu4cuuIhlq VjH1YxP+WDwZ9n63aGbVTrr96yJBE8juP+JUKk7sGQndWyu80oa4x1B0AdRo6EwTvmFAwCCYphWu ZvnmSf8l/BH+yEDT3XQSvrnQTWjI69HzDt4ygtYy3Q3EekDspLT1kB46/hnMiGUoKIZ1mKqFxOmf ZvMcKU4zkZuA3kXgekT6Sxt20ljGZ7eXGp67xiXLoInj+mpyqlOaYgo7VLikj5Vn/yU9PgiKgUmw wEh3ldtjaAGEZRC2E4BwD7uIA/TF7s4ZyAD5RiKY/EIY+prs03sKc7k9n5IvU/qxA238qItvWYxV la3YzFiSvmY1l2KH/ZZ70X8YjOoh38yfL7AArKhg4GX5kB8lAIxVxkVbO+Adk5diSO5gIUZgidfu ImC5Ufun7eX20nYSnitpn6ACMyN1Kt47IZT+6ibI5+KmsRJoUJyPJylPp4+o4DrmXg5xx8R/z01A 9QPWc94U4ofemkH6oiXYuxoSSFRBue6vEMwuXgxLiOtEgpNZ4clA7mCoTOxriNIArxNW6IP6eE+/ ky9aVh3PHatFbulA2iFh+LkzTXRjKd8ryNleezkChO2gP5ymfGw2jb9lNxefqm6U+LyDBjXJ5Igm AMbWRVM7ChD3Wk4m2ZBhQPOQ/RR7V20mbyjuYOacskxetEwtFoBE6bKuXJAYJho+oBy+WkzWPRnq MveMUDA9MMBp224Ny3reOagLVGIZuGqJCkc9ex9Lp17br1mBqCpu5coGRmElEIOCkRiF36GQnMdz oKIBF2GW0yxaQnb1+CwASWshYUVgsLWWbpQpUuY+L5o78pNqQA5Abc8pdMmYBhycJPmvVaVQ0W1x F7H9qIfv5R3G7A6rqkQUXFwvqfHgiKnrlUu1W8uZXG38BRNo0Oe8LO/bElLDewOGR4YGJeMVuHx1 O82W5oY2esyTi13tyqwr0pOqGfMfAgmIOHkWjtXD3ralNyGYnAUeb/V2dOeB5f5KwVIHCr5ZeqhJ xKa4zxEe3fUdtjst6UyYYYvrVFWJeUUYz5Ex74hF9mgLLtz7c0SKAU1pm7s203+wgkcR/HjQM7Sf xxYn73/x6lFeNknw/aex/2W1MJeDnJYp2WYHbDu71CTKCi6Y//1yNiLLTLpAJMkgwp7cMs5fEwmA lzrCkF8dL8TndBaOX3CogbRptoHI9WQP4LeLhUGi66PwMivqEQJLwdjET6/1cAgGDRi+XMBjKy8h X1ESFX+RpRQFQPLwHRgFImgbGrJu1aNgsiM7q9OituKTofBBV8XLwyCX/EQzZ64U3grNcwvqUUYf sOAHjxazVQhONQMJytjX3GJv+BPA6Zz+/eX/XsouL1rBEqmtqprxjDBJRFFhAYiE4tOa7NUroQWh KqSzquf0BOcRKVfoI3FvLjA0gygoYQ7zKeXcztUvrCAifvS2hXDoMmogPnF+KnLNWNES23AaI8K3 7TmEKEHhs2mal4hAVp7ALVQccLsezm4YRHNjUF2JAkbJwzwjHEOEUJKhGpG8qMDTDRRnrtomFjy8 +iw63B6XTJTbxn4UtBwsN8lqzG3E/O0xENls/rTfvIOD/KxfhdoJvec9Vizh9VW63KYHwtu6j4Uv IgqgoYTQLWlbYZJdeLoOj8TkDZRbb0jlfQb6pUEGRJR8ZxmMeb9XT1UCrNIPZcLFCaf59D6eIGvZ 0Dan4rquqL93WvZ+rwOiwFUKdMzRNe3JqXnzSBu3CRVFpO4ZiKRtgN40w9Tfee019FsnFlSbxT8a aE+moH5MsrFiysBxRli9KlqGj/XdIMN3YjE7+GecqYf6nvq/1CI/HB0DRtFOk4PmaEPdBDEIXwCP aLESamZ2LsWqyk2e7dfwqSLjaIDRlQorCfxGHWNORQ81uLg+H54BqY8hwdamykIJGIzw1v25M4Sx axiADLfouEZqzq2yOJK48uEeOdIKwrWmUXLkUmXJSRKaZocIDyih5u32TMr0BwTvDizCLzluUigF KpFuDobWZQUUED+aDzO29e1FeBybWXx44WmZKi2N24G6vzvdXlaOxPJTzT0QLXBQi8CymCAVIZW7 eQfuZTpLfL0E/9UdQzxq/5WSCdCg2b3jjDaIVI/S2ndtMFRUSXaNrevilADjRI1vfMQ2XcszMhHI XnjSM0GWKV95Yb8svU1khFXxgn1FWVMTd8vphhpYiOnsB6mSHBSAzFdM2zH9S7DK4b5WHlTZZeQb xacE2BqVRJIGzoCV8rJ6vyAukX4yQRKScMWFPWOJ9ZAlF04DsKQ+1rRmBxtWhhFS03M9iQ2OYaRk SjkOe6pApb9miS0crrPUwovg1dz7iOTVQ0wY5DXUyihW9qsiKGcVAWU3FkOGa/2/5acogo6nUoXX 0ajVvHoIsGX4UF8I/MHdfzUh0+0UikoDLnVGjXoK/0qI9p+wrF3FJXvYynarsToc58U3f6gcdhjf cI6+6uRpS/3aQOTw8ej1AkL+pVRVPTW6mVX9sINoqK7WomGyGA67S6kmLIoQQDJqEff533Yp+QfH lE3sG4tbIZglnuXX3POOqrTz58e+KJ8nl8nEvIi0t7bEp1fkUL1EcEGTljpAKwJV533pl9s6Yb8M sosHDhxofYy7bV46gFZtnx0/WNIW3iu1qPIRAaFH4ICA2URIA7nsd4yUZHtJf2JkitPjFaFxHoAf ebCVHJhU5duwgeDeEpMARiNiJtn4ow0mWepBy44bWPWvWdU36+pO+wivmHl7hQijC21AY+NPn4uT zL5UM5oOiJRwSA6Ro+MZOxwRVdGTShkGNUwJm820LW8bO1kTcarF1O79SutMLd6OMSz+uSG5tvIq gq3Qb/WmoaAJIRVeHnEBgpB7Sg+FZyT4SDMREL+Pihn1+pXfz/eUrF3yvO0NuUjjmGauv0Y3lG01 3Z7LkNzPc/nSbgOqBc+X7o9E0YEmiAgQD5P6OhrWVIEFUh/6N5yK4ZmpJMyh1P2BP78bxOFtkxrZ cANTk4Y1qVTXReKxpZMgyCgMop5Rr1AAEX7dhxonH7O2eJqi+QOj8xdQzeTTDdqxHNrQ5wDZfmvX /7EHjhaLjHB/E4tbf6Vnx5sPjztKYaf9mYLCmDIQEJoqEsT85IOCLYpWgU6w89ysTU8Pr17ngzMN l/Qag/ElMiwC5zgDP644h8wUEFijc1tYNkvHuukqprDSOKGKebe+U+vaEQCCWAbF+3ZlkWYanQ1z QtlYp7d42RCSK6d5kB3DI4/fFTpaXm/Oo5WBOr9OFdN3bp9yWgK535kVV0F0f7uTYtKVAaLPxO31 hiv7jYZLkJzgLK6BTtm9a2iCWAgtzw+m7Ip1shPfwZMiyg7eS0XKko46WdkchnBV+q6o+AZUr1vJ 9H7iAsCesrxrrHbvr4d6bkNfEdxViyDOJsSp0mM9Aozh3M+OTvzoT3HnnuKIfXaWLT5/rbkIAZAd LA4XwkAfkcVhb6CV9jOSaSCQbIq85/vNinGWAtk2NKXQ4rC1w0YRhJpuI2G9Jvs93LZPFFCdjziU 7hMdRunHkw3fVxBBw25mI6b85P+Rwk69eZBeUEfjtJmEMwrs14ERJ255zUsoKKfz2tqWxDbOY0Bs XjfLb2qicSUpS+dJhSSn5l8w6agcjAv5+f7WVGrgpm3bnRyYDAIVvoBw/AvSKMgVhm6AraU9Xqh8 G8nHN2AYLD+umHaNunb5RI/PuwVrmHoElA8yFjTq2NxDeZsDGtHgJGKIaquZpkkgDBv1dE6Hw6mR bPPyPXKK6bJt0Lq3kn02kac8oZIdmxQlFieLtRWMdO47jUFLH02HcHepWUe5KJEThR3grwfNXnJ2 INgg8s9xV/VQ4bQQSMJ+iklMXuNLWDHADqG4qHM4TiD6HvqBNR3FtObndh1SvTkYdB+/VQ/AqAbp dKfPyaIdDoPTUFNp1k6LK0bjOvieZ20aVggNuBqc4KtXLmVKcY+Q315xhRDGANtnzdFM3x89sRqP YI48w4alW0o7wPMh4x6qAmssigH8aDZNjuMSXgUBLdjHMY8hxnyScEUqrwoVO90/RzVuhcqNevjP OzJi5DdTrl1FttHBA8/ZG9hsYLT2wdAW/qMP8p1wRr5XG8ict8T2h99qgAVz4zh4cPIol4IFuT5n eh+9TACTTnjFSqlwaOHtQuMwqVJcdVign2ObePwY2W0tjQWtu6E4eh6tCF7GHFsg9wsYTc8grvqB 7+BPWDwZDxrhLvmFg5pmzw5z+g3n0SLiGgATAKcdNDyFZKobx6Gmoghm3ALGR0AU871kIyvgG6+c U9U1E+VxbiKQpa5lWmbHzYD+E4U+JY8Pbe//RpetsaczNLbz3QoXsAevaqGcJ3drRNzEYZJurXaI n7pYvlPgYUM+utCtDuoAJQFP2jR7yoDsJjLAu6Mlseko2ZsELgjr5i0IYe+eGpgKVtf8rnXvB/Q1 uSvbD0SgsZfSQW4De5to9VVi3njzU0tmEc7zgcMxAuyT4TVIB+WrMRJJcjmKyZq1poBmyZgSMJa2 FUDsXydfBCCImSU7RheeRTvbz+L9L5UFu8wPLddW92nKBSJVyBg2jQV8xWlwfFmJcl3eERQvjlg+ HvLp4viOQqH1K9/UXFvvqDKMSsX5Wkg73ajiVmks9IcR2CuUlMOh4v2sIzbOCmCcBO9vJ913NBL0 ycD9KGJGAyS3FO15VxvKT3HP//78EER7E67G4WPBmDscXLZCMAM4NZMjblRO6IExIyS1isGPhFq7 O1AfkLqYgm2C9owQSBRpYuBnGA3qAzXN3lskfgIF/Wf3t0UO/iTdSC8C8h9V63U5uEx4B4sr5/kZ RgsPV+qG3dGUT4WTK6B7ANWln+2gA6PWmFpG5t19VzRJNee7doWfG4i6Kitrpds0QjGkLCDLhUbO OFGdsE32ikrCze7yDP7pyMi6Im5LFw6Pe3ayDoL4CMTbaYIn0nMDxFFtuKQBJVpaBF0+diGqwNBt jeysughJ+6hbcGsGqqNEt2iiHuFEhzJrrXjGf/SVb75cKaKpPExuQRnGIDD4Dl2WQxq049eQx0AJ z9Lg621OfZNvDToGhSR88HLmRS1OiGoXDs3ECkobo9C2fiYVpTKKWESrz+17Yxv3Iw2YA8DrJWV9 g9kApPkHsh3hhDFIFLrTEszmEfMuly9Tb8ph4LoBvbuf0yVNKlfuZOzLIK1duJkltf6HqHD48/mb 8h6dST9ch7xJ2IpIyC0xDlBdPN53jl5LDutgK+iuZg9ThG07S5sID5Iv0VwlRkJK71NT1+tsa7qd 60RqT/70iFIVdvJNA7u+n/IpjtrRiREza367jesBZLteFfkV0ACS4/SRXcedb6N5rk6q/BmYBTNE 1B/2wNONRiW/26LM3Vou5qCbch04xMIYgSako8yFXr4qoO+JSYhJsV5Sc/Wh6OlMOfUYkg6QNRwy 0jCYLSn7NAeTXEFv8ugpqmmW+J3F99ySL07aQs2J9jPAF6onFddkEfW6VQElq3thvqRvkSsJizuT cNkzSl+gAFEj9u9sPdMH6ka2yxczqWxkiyp35+YYzEqYU//fVEK14MzPcZnZRbbjqBWTwESBHz9g 6OnYq47S7MgdgbAPHGZBv7hXOvSMLfKNgVQvzTfyBelCvNXgTBWBkIoZPaxHlHeTfgJVZVrF/V/3 tMw8nLK8w3ankP1sx6jjF2LeaNxBCEgpORRodYRvhpn4tE8VcQsjDcpIHqXYh7g92Ad+hLwNZN1+ LwpjU/M8HiDVcNzVSdW552ll5RIwyDv0Vi8YRBzKjThQHpZlkS0axQKpdfzY1zQclRfnBVJ1VVRK OHaqff82FjQygpxEfaqEiSFwBPGV4VwUosGXLVTCG004SCGGVHHP85fNOeiNUPoZ54E+SRBLvjNu QvRAsV9ZeUS8qPbs4btiQ4tY75BAwyiyXe3f2N+1H6o4XoYTPCvBdg0lSnxp+CipJ/PKg8jOY+vX PLl4YRzOdtD3HweJmpN/07MjduwnqvQIFPzt15A28qp4BLd95JINYAD05Mjy7Rq2DEsxWal+KaGV QvWrhSsLmvL3OZ1TnPt8KG09fG/k+wVZMKsVDf2fHppXxfzLBqczHz/cAhUeTGmXOqG553cUvl07 fCyEkyqqF4ODA6ouHvncOKDrnlevcFkzEiyOQQ0y0x5+FQlUrJ+dUe+sFqaAv7ccNgX+wq2GKwPZ vfuWWNKSlf5zpIISw8BaqLfMVIe//YDNG+4TQX78pHcAEMztYK4gN9UvCRDU0/a7y3EySp9XH4sE SGxmpyoJM7+bviqIZh+xjN0uiIOwUse+CFkhfLxqd3YmbfXQMyNwxb9XuxNuA9F83QnAONObsJC/ XDQXWoDsicJcRZBNKKkkZLBgkrTNo6xCRYwAeJIcxBJnb/fvc5zvR+1gpRb9qrNm+BPkNdXu1pMW 7H3cJKmjzNi0sjPFe91/mmA28Fa1gISMOYYcauq0oLwsYrTmtzq/G6qLLGtUM2BToaU65YHnyMp2 cH5K4DlNrkqVjDpyKYkuT1+An8NgOSY5Enn1CL22t1aEzfyPxT5NL2i1vqdSuPPhq08I4BArxCuR oa4Q2uk1ZDYxjd9jVBI9WUsQqjU2Oa2VWO0Mk/ci90I3OMaX1GyAi1VrFhDlytVZEKpU3l2RsMmJ +ReOwZtQxEGOKI6iHHHI1XI/DLFWFhTh0CTLR97AkfTWj//kHIAbr6G4bp+yt0t1QSBJf2LztWSa jDEd0X5qFnMyfyQ2HEgJrwoXPYy2H6sEwm7UUZDISF0MspgRNSJLWI3Jtxs3Gn+W6hetD/xCJhzx WOnJMj+DSyRqyqkRjVQ38ekjQEPXcAqm9OKzLw6+MVNB8Ddbdec97bgoOmKZ+ZEsTfAyC2hRY8ui WOfzhfzqHeRqaDKiuUHD9DfzQpQHiHPDPkcej+9V2OZJ8Dsjmgb0f5CDq1bcmvsjBIftsm77b7Ma IMEpEWOSQ6x2OzT11QEpbkikeMEooIWqu6yVWH7qofc7dBiK1lYrTuwykmF2q9DTsGt6WzQwiEFE Swv6rVu9zEe5DOp1yUduyWZlwpcvcc97OKV1ZQpkGiouxkMtGM2YDgua04jS9Tmut9Ftprm6XvS6 8/4CVsWn0zE5Vh4S8QwZi3HLiGJtVlMdQgNsj5sYVEumrj/CnsEOev0c55+AEA1JhRRaOmWhGiWY J5aPdeMfAPYeYju8UGUqpr021bX2zPyM/gKR4bINacTVOVn18BHh4Eoy5ZDYvPXAPwLj4o7hXe5I 9/U2uKFTvGkzkfA5wJ70/nvr+849WDUua14DhqovvjczX8rplHkqSyLEIvG/wLDl7l864RPeOI52 5H0zJwCWSLV2mMIoUZKShGVRo04tTYNNg58n6rYAzb/ZQqH3TOEhmpjCqbJ8Tj9euP+RdicKPqNB g/ytSXVGFGNQXV9xLuqKKZKqyHHg4Pcm8KX8rvELWHNGsccv3pbZIYZEBZjAnnPHCrCz0+8GOf2y LrD9FXB36mpRbrWlps+ddOjCz+zZhaC2g6Qit6NxlFqeA+Fs+KYooB8Uc+QZNbgNrNYinj2hRAlo FiAMKuxBwduWNzpEoe9h0QaegA0mQ2HMz3VIqVKVbeVXcjF2EWh0szuYePRYJTGKajEhExqLCCRM DxC9wAK8MAvG2B/x/SiMh1CsUEKjmSL4LvwVhpq9HUnec30DtWLdjaAjcqJ+s3Eu0fzhnFV6+hzp cjPG8gx+qJqDN3WOJSe/kDq2+H6qP/K7Pw3Yv81y+paBDPqkLBhyAsVWGKQcCel29aJcYCb5Q8ro BNlb4Q/zd0ZNqyKGTrnmmm4dWu72ImRR0ileymhXQWXAryKy+jG84a1fKF3VPcUSl5P2KtdcyPHS vT3t5gsk0DtDvurxWyXPPh5slnJtihYaIaZdsh2osnrDpqbsO5bUSnRGr5PlIUqssz8xbcc1y4sp W1xc/mjnWdWUY7Jx27a24HXESm83kcz9t3XCnKEs7EZlpwLoQfCQU714UvwSlKtbewt6lRdOTHb6 hcLhBTx9XIgBGQJcPARNvzodT/PYX6FkcwPnlHBnSImO2HfWtlKuFv9hGjS2TRwosW0VCfUOvxci lgC/2suorqKgE51eUlhdELN8gkLnL8HLUzS0f+UHbpLb1vNXHaJRlA3PJpBDr+zOFW3q4j3MuxTJ UduygJ+RNKHozEjyd7I5KtQyt+kA18TPSrLWmlGdkzKfJJWmoDn6SH7bMUlYTAHbPW5lZ6stNyUt AYRETp+c0jIaUkZPC5WArpsSKw9UWHhocdPylnNw7TK+HWyIbC6FIVBHudgz0C+tVMngDaPyVpFr aKwwEuEdSWHuDdFW5X+kwijYT4CFE0ew85XY3lXTqnKsmjnrU6ii5JKBp68vh0YOwKylzLyE75jf 2F+x0kORH1Hpmk+GXHsOHfRUV47N5wvej3pygzYsWEOmj4RTk3JESm7OAbNxRk8kl0RaQ+Ti4clt 8ow2ONQGFmii4Z4yf8KHBqYq1/DLYpVT6OVXGmQLKjC4oMyr3+IlKN41pFcY5qNvXZ+Z3YBnZG1r N/83bY/QYVoyDcfFe9XSOa4VO3keMahruW8szTby/9j7jH+7GAdOnuHUI6z3kPFtyIncKVCRUZKD 5sZ9bldSltpbh9QRVF6NHWYIUUJNUjefcamn2UaGG2+s1SBsQKApthq2lcyS75BEGr5qh8FzuF2o pTwewrc5Fow601ujECulntMCHgT6ueFXE2QWcrE9jipWuOuyoGfdfhrSBdLUwVmGuVMYh0aNCpI7 Xk8UrPIdrSoI15wLoZ5g8pHgL8sJtragetwnQQLuFB/+6LfKfjKIsWzv7yN4fwTqEUS++S2C6OZj qmzhrCbcaEvZc90VUx/1ZlAwNJqUh3Iu0ly1RVx2tmX3QVFfnSoFYXVmAjnw8tsuBcKva3X7MYeJ eZ0SF92aEOxvRUaE9IXeIWgQkX+wbMtCZF3XC+Ox9ngIMHjAy/CryGwGweRwfrrkYEgjnTL9muZG 9kcQmi25AmmXVgk9L7+t2l/T3tWx8A6uJzdY/rj65qPk3pXd0Pnp/ky34CWlOhejQqpJ+B2sVxNm 6HRLl0u3pr4gMpbXMD5vScMdEbR50ia62zpHkASeS0+XABaeTy0XHRIJMvHtQMR/CYCcQAPtMjRP 5fqPmvO+tUJfb/6BqwM/gZDJ3+3rdKIdz+Hruw8TXFywO26Z5fTHMOWZyefR+YWo1e97o6ROfgjP gOMRvkSjlT/+qErExCQFhFwP6B2ep0Se7xP6blCHGBvUumtMiokxNSetS0jGpaFWalc9HYJZe5pN Po/Kq0CB4T8qx/l5EVKgnwb+CT2EkF1jyyjRUgMP7e7fmJuEH1QK4tK6kNOU98XGTRG2hv4R1Kru NW2S4cVG35FBaPICEXUXtQ9QV4i89dgLU0vhFltga1JD9lyZ1SaFL3wgWrOVA1HdmJXRS+cDRyC5 o56qRd214gK+aPHOxw9MTrXgGpcfz+nxwjVIsfNdLDYa1sq2A9xq9hXsA28SMMqPCDYBVufMdMSU IdS4mySUZqPZJQQeESZSxW5aEWocwpnooiCKInzohPey9svU6EDtIUNTWfCo9yUJdXImbxkfPbmU Ir9EG22ZyMrbSZcIEU8/vlzF354IfeMCO3TPjxYd6q6yQnZwvehACZnT3N8ZCjeMDenyVvxDeImU vOa3ilHJIW3YPngRT3yypS1SFY/PArpuNGM4KuWP8NV1Yx3UobeBms+fbwEAKBnSwItKi2O2BIDN 3EpNVqBPUuAyYQZvxruZhWsngexJdlqO9bYnqslvwjyG0At681GeHq9v/aXtcwOkDagm14mzRo1G 7gdomPURVygpPNYQ6KZDXNK/AkAvAVVknh+rjvG0SK/rSD76vGBw/0WPpbNsAksVnVRpBCgSig08 kE5UFZXgTlSRoGYhOlhMDgN3dT9RbnVxO0XN/rLsPzMeaboAsOjHHjM7Wob8qp46T3mC8XdqxR14 ZLDT9Q0aln8FGGGWSZIRuKGwAMbmuZTVEqiR7NpYKYFfqyL+diW6yP6pk0qwOkSe6bSPaj1mM1/H DF5DkqL9eJg472BO0lB/wL9gG7B9/G2m+ZocOhrZpFkG134ZB8bO0u+b90+LloaIOtur5Yyb/5Ty Wss/6qHiTFX5qGDbGt3TJuLmQo7j+fBHooetgZHeg+BmZmtznGmlhfOLPY/SunVCDF4ryWwvsfVs OiqZFZse5T/UyMTka3xlrWUI2FZveXEzfC9VZqH9unPXJsg4BxwMtZdP4kexE5rlNta1jwrA7nF6 URlv0ffydam8/QG6y+24q/RqrLfMqkzOiT4T00ACDETKPSEUuH3h4E4amkyqUSmTfHRJqqneBhzT IfO5h5DMDf8iv6FvY8z1wn3vHE+2B96gwhenzQKsbEH79OxLK6lGG0HgpZCS73Y1l+3I01XDAAxH BnXFWM7quegxD9uJE7ueiam3hCOhJw6kOR4YENt48leY5yEP7UWLH7nNQn3YnGJWs1TyXlnpLZph R13VmblHrNLMLg57OwM8kNSWQ4F5AdPrDFZnf3U6qy2JEa2Aq/A/YTGlY563Tix+Ycq/ryh07ch2 FO8MDwoJzfpTc8sOmmaoHYjZTbW4/bvJh+zBzZ0PUYCVYRU097jribmkmMarfufRsnQ26Irx/gAY g9nmlb8+Uwo1QYzPNcl2/OwwT+GyjCrsXBulhUy3CwI5RDYXrdgCkaM4N1OK44x4YMqVCHwmCYwt bQzEf0S4jF97JMO0RBEn65JoMthx4dM403Zxk3LjfWIbY/xR4G4E1DA/Gy9qcXJnvuKMnuwjsaI2 e0QNQ9L6YeOLCn2bu7DYzzm2GBBp9d8tMNV+B0ces23kKd+QLRLSgEg/yC0SUgGxL3pIZHkyYS76 hpCYjcpBDWpaN3VMqBv6436rB4vi8XfCwLsdkxiAZfECG0QsaJ9dA8HB46DRSXCCKFZXUcoZvU8y yyYzsEMCAYVdavczK8JiQTkQLyWWZ4PeU2RwrcXKLCc+rLaYXECxOmZJcKDm9FPftnO2WnEo9MY9 tEa1rsU6g5f+IEQonFuGMRsXvdjqhpPFTFPWwIQVw0G7kjxR2L1wWUT0DLMtw4NmPW3WXeIiSW69 k1xROV6tqLUhx8nrJ9YIxcSv1qNhzuyk9han6KCZT4IKn8nw4HJum79cQk6di3Oqv3EYM8BiwTo3 5WG7XUgfGoAj4Q4jOkSFPeasclyPLWSIV7yoxOLO8LyCdDoD9bxhD+EqGRri8/srvc0+2cXBg6cC 4OtPOpzXyLZ25kwVJP8jNZPlmmosb6aftkfvIaOUxpKySAurp9g9Vik+tSxU8DXlOndR0KF58elN 8FdnT9fgWYEbo1LEDMo2BfnkiMOqxEoESCAJVZbAcb6gUVfbjID/oxVLdvYIARryKSLMLFdFK4W4 /URUDffHIRd8TLgK5NRgv8tiDBqWm4BjDFzC5TvgC+x4dPg6wwmYQXCbX/VCOX9FO/OFQHG2aSqI DWVua18DamEw3qh38il2ZohuxjVZs7CQm9uiREs2wQyw8jE+pBQSY1sQzXD4uVjrKuDi3bzN8bMI hG5eVQzFeMAuYANsDR7vXzvJQimleXObUJjDv362gGS5ModP6LIQNreDTot7+Ef7ODEl/Ca2P11J xI+LohBBzi1fdwOZY2slDrXxzoWWVzlppKVCfvmDqjQbolm7VJxAY1kx1O9O4TVpCPPIC6Sbk7ok vWgitlpTsQug7XnGSYCuMTHqD/ve8lzpdJys6kW1fKQCVZ3+m6hTChuIR4DXWeoY/KHAgDjylLPH ZYRWHRaGJhVQD/UjGeoe5v/SyVASI1d8FDCewRWCuGZXlmCV3lNJSkzrEbOrMgVunq7cRzCykOUI vIPGRZq1+KTquOVTz63v9Z5t+3vZksqLqXHaXld868UfzgDQthCyWqKKVrz5f6J5ebpMWuUv0krj wn8xGuT8oupt9mkZZHNq74rAumodoUGD5L0iAT8+G50LcRzbADV/UWwzqewuMHYxE4V5rk314J3r iSuoBj8boVyaqnYeeJ8Ix6re1y/eVap6EZlnholJFE6gT5P0yTWV6NExdwO2fX0gq+QCrKjHxPRj hjAleTZws6z3IzUwv0q+A6SBvzg4Uzw+wPU3uRctH5OCZssC1iqblJ2vCqTILre5w+k01ym1kiBF RDH57vVsH/rALtimaJqoyCqGCrJH29SMbzpFi6NS9gYu8zvKMiSVEYsYfKIrho994OM45SMW1RDn nAMLs84O6jSg0oN82LZD3p3GNCWZsv5LrHLXgsMTlsxJi8XTIQPDxCvzyG1wv/ani/50sLzdmQA5 5pTYocp699hDuVF/sW4dG3brhAJpJ0AMeeUvLyuJE00jALSparacxAbK8yq48V6s7rB9Pkwnwvbm fB+2HnBZ+J+aKtg1GQpUI+IRJoUMDmp4YXEqt7cZWfjt1dja+lSCULhK1QRDsSZgKGpgDO3KIpJr kr6Xx/yk47Abe7T/HkIB8UgZFoJZ/eXL/8A514dMbbi6RlxdpJ8F7tuEtEdjxB55w3qGrhWK2RiL J7FlX1cyOj2xWju8gVuaPkomaq78nouhiF4xkPQtHCZpvr60GmeKjMnuCUszQjXdTRt9HysmtDQn qTzIwrARHlLa7VYul51DlCSJHX37iAPyNzcFQJa7xDZg+E1Y2i2mbmFLxlzbmjEoH69CPxj2zRjs LOj1vUlkxz3XIWrlTzeEwlL/z/lpikhfOTeMdnt0tNqYkNhGSxXaA/xZ6KPZD6qwhZaDnLklmxy8 WQTLcde5QgZF82/rQtQqusoktqjRDnyDeAev852Q3wWyMRj4Qd7w7xrhdexHYOnp1SM4QNFmIVuY /Z2Irej5sibBzs7lgzIrFO+8ZiKSJHOGoLhn1mN4QlsQWn57u3IkiWqyxkgUx+kaZwQsETGQkglU ZEFNLsFqOqUnxh3wbLOcdCxQxoLnHOiSW3KFqDamTHeTDO2hNpN9+3hxh/kftmK/qJw/w/lSJuXR pPV6Q57RlGaOzy9MtfX8iBeLr9/CoGnEJv2TjyVd0Bojsf+nojRd3zDgBE98jlKbD2VvjmqqUBPy wgUQHJ8NUxoWHuaVk20zbF1npHfsWFBjz6LqiSdYAR9vROCxT2vJliW1UAnUFINZA+aZymERuwjx QY1S/rOKJWFB3aO7C7krkrz4jr9ECdK0R6p/0i6jADWxRlser2EH5kKvpqD8YnTT13TSLD/qxwH7 9jdhLl3tKygZL+e5leT2s4SI2SAeIb6SlBCs2fzhQlxqwZZodb2J+QVA0RYizkJad8NooRYsb3+w 2JmhUGHwFu3tvJ+NGFxi29aqW7/71j8YQu4XyXxBtaUFxC7tGVzmjX4uHiHshy5wyhxKBeYflSmE ytW0YQ2DPLRQgaFlDen5Znhzu1IxO87e3FiflWDnSCEMON9s+nRTOWzkJUeL/mmVRLJgGr0+nlKc bFaY/wKLJYFVuVyl2fYfcObhL7J7+PGNWq3dlSYTbE31V276ObouNa4wv/W+i4byRME1pEnffqu7 Xup5j4/7SQtPVeiQyUyR0vbqHf47c2eN0TIWXk5JCtx0KmsiyKqUj/Y8BC/S5k6M4vT5AmwmhNQv doItriEFxm7vR60TQ5puQ8jdPBMe5noUr/eykQoC4bm4obzdSY7EnfXjjPAQIqRdq7zwrWNTxc/E GvenN7HuKPo5KLhf+MdgaXuSpgDOmeI3S8WfP8UdaV8p/J+7vSvutftK75OS4TRusgNHt0KsjcAo 467Z3EhVnwo4TAFOTrohxmD45ODu4muKw/FcQhp0Dlv9OIZY5zxiQV0pMlVGR4CgshcCe9dSsmFv 8DbJq5Afa4t79KrK2gh0w1zgT0vcswhRqlV1hFwl3NJtQQV6oXpHL8ZEFbdzeTgwvRgAz/HQBEYU zbLwMQjjcz9bM9tGc8+igdLmG0TDxmmeU9KJ84EikAZaC94kLwiVjszEMyrMXSJN6BbyDqdMveqp 4A1OIUnC4BAaHZbHFmitUcUE1MRu/anIzkSZ5Wa0p0G+1bTBIL/DdWbbN32Rg+SZzTs9CAOYwC80 YXXFW0dXDQhTFIVYmZOHPjl6vS66AZwZxIY5qXn8bVhzphOfeodHSQWvUcL3mi+ob8w4cdsyM+zc 0N92jdK6GFwzviavjUlsVvFOjvY5qRmfcsU9rKUCBo2aBDncNLyrvYL1Onx9eJIMzgPSH7F92Mpi qoAWA0a5hSH8eGVWo7ZD242Z6nuuE4vj2NJiA2llI3jw+/jMKyoJHIzxqp1DS9yhXHKTUZrjlZuR 9ekhXYXIEf85IlpfiuNLJ3r+oihLEaAl+O0NrBkQW6W6iy9MCBG1H+tMin4oIZZrA8j089epdGpC P/114aJ7glyWsSCxWBDxQHmg6bfkbccV96lSUF26Bu4bgZ1SpruvDgtgDGfiDEx7PjHjr+XlPkR9 0wGBGvxzL2DSaMjBww7yP96tVu+qOrDGRTTl3ZJcKazMzBmhUwNfC6LYGIUSTA3PjAzXn3aVHEdi /4N5KqTOOiDt0t89guo3KSB79UxocJ8QAqC7rn/WTKWJbE8bX/e5fjPk94YqTuTLP3TVKgVSpp5J qWz7yAn5MimADiLYne3ffA2S3Ebt3+4GYm6ovfcDN3J/kWmOrpETQEyhzY3YsSTm4oU1P1QR/9ou 8Wo4jEcuSXUp0KRbnD3nKkh4KZfmuhzIYPD3hfMhtJ+vx3k40td1wMTNBrS2/ZF6eH6yXlXw+PI5 /W0NSfIBk20MOyvWeVHIfJsDxEsS/s5EdArNpuQKRQ3sMxS3PcrXnDxivrEFerJNtVYYAg0Ikccf /aAJjx5I2o5uMGwwAlpE25C7ovX7qgTCF1NPuY49oAkkW4PpTriFFAUkQHs5pfLkmTwzNS5RwCmE aFooum19Oz4aXnorS/O3jGmlpqL+wpFUCq2xtz3/NMSz0p23lgtLRYTR0+PrsNkH+qF71378l1Yu 4h9O3fg4WCNtV8WNN5b4zqZHv+ZZlmUG0qyuRXWiPL0XWT6XG4fX1rRlRl/9/KrWcp5dFCzp5BX7 epAunVBn6csXsjYGpEB9E7rKnFS/Xs9dEbBg7GP3NVmvsXzHUZmcwmdgX2fVvHbubjt/sCregFP/ yaU2EHxlurxasGEGr59XLYhySk40uF+sD44J3UnIX2gCRs4H1bkMn3S3w5b1cUERm1uQeBpPOpRb 2BOFfhbiRsBt88BJmrEJDzwq1wNSa51VSLPtWseEoLxZdoDWaZ1U1yg1MXGEhstH8bmWHiresfna UcZuk8h3rZpA5pFQnztPcxgf+geicMLd3FKkd7Z0f4HO5/bQZl9BS8oMxolEUjnRQTWLX4wrYwZY pxvQHqKnUBcwjQs6vh7VFEldEoLytarDyd2HJHWGBr19PNlUe6AGLqqr48zfKj8D4S/m1hP8OcnF eNFEpPmQp8r0FHg+gf6JWH3Isq8pqZ7xVezAdmtTdzxXev4kpWua5RB9qVBX4+QsfSm2alx8xYV8 pJJCfdtC2JmIhadhetVG317/icnP2O6trmFK7TDj97yz0BD+Ehnnxz8voE7LagGSh6JSOCL3DDkN j2hpaM6U3tGzb/vPWs2cDrfLYpg0LESwwSQvDatE13Q3w2rGXJ7bfSY+jueSA4PeNQ69rFqQYa2d lEkup324UKpo2cIqQQ5XHvIgwlojcqlJ6aW5Gwf066z785RWWLdBd0UJlFB4bxo19R2NcesF5GnZ YB5GprXouz6oUoObwOI19++OggbVO4Es0zkPiIh63Y8EgOespTZlXGWQEIq3nAmxo4yiVySbkLwy uwCBhraCKA6Levv9yg59jC39gdZHIwi/W7qZLNFMeRbfK/wGD/+fQfFtwI1HNj1QugBj4cVMgRoF +E5egbuE4nIe8aoo79pp/BvqmHpxmM5IgoAPhjWjJiRgj8Wz/SREiRR0pa4Bke7/+QNNMgzVQNK8 WEe74RsGbPgZX++40swagmigK46qxOuoBPj4ofC464dBxLOo89pu3GwWGa04rdt4sjLVh1uw2F0x 5SJgTcWdQp7kv4rCqaSMqdHeMXjArL19pF6iDDrgThGECtGNSU5StSK6CfBLhQ4NcL3jaWxRq+Ny +QBfWEShDvbumj6vrxUa3zcVWUnA0lYpLkmtf6gH8CVjkvjhDW3KS9S2SCWtIIIoSsgvcvtwWyaa MV5r9LqHzJgEToJuHdji9VcbXN5OvOTN/yg7VyTJpflAI+RhBWC9eZD2lcm2lJ/n9Lg17axkINIK hvLOh3fGeIkfVowbN5Ex5OBC0SnUHpLECC9r2ckbdRB2JwUN1SMQdot5XBtjhSKwVUeWWBR3yNRr HPrquJPZMv8EkZL31ymKR4q1RgpGF/Ojg++LgvPfreM/HpF+6RCMndwxufRmT/c1t3M2Y9TqLoET r9j1TYK5tExVcmq7HbcIMt1j6cudU/0mRERbJWxmviIoQ6lyitnuUO1pzwU/iRIIWAhuANmkJQ4y YIWwnc0agGIrb3/YX/eNdcR/2CfvtaSR79zaIQoKOxdEopTEISEDjYYihVbzRvge5vzGsZv8ogbi m5JxS1Z8XEARTFwWKVxRrp5WjRRU//Uv5I6E/UeMyb8pFIuSVr4jwatI+kADACTA+xGbyCSxzRZI nICR4TC1Yllh3UsKpmJL0F5QWXfIW+PYndBE7y/SIoDOwREcdENME9ogq+Fo4INznSrqdzfKRpd2 uf9ud61Gk1uQ4PFuGGiVcWZn/+lY1ZLlbQ5LV2N/qMHaCOhzZms8tq5m0OoGmP+nwt6dGSN31wLS HbteXnbZPjk+4XEVoy4QfrqcUSnJIsqGCMwhwWBVjof2bEA2Kk9Y6JqDucjlfqeH7hVXNrgrOiFL MawLD1pxCSUSl67QbcvkcEazuDUECoFT+UrFc3LmS6r1DxcCttc2X7wxxIX0ejD9iPLIzilr6Sdq 4THi7vJnmQ9/q5ouJAfktruz8uZR/dzgh39C0fgc2FnW679G7P/S2c1JzVaATZUm1Bg0BAzh7WsJ mzi7P0KXUPDZgekOg7L0nUKami/hcix9YXtNRV4YBzjXUiIfz2lxN2TSk8qgzvciCdloAo2x6JTh giEQGCcZP6LFuP1ONDTIpbrcfHMOcx6RFN8lFdwspO+iI8S+XuL4iDKTcamoybO8LMH/bu7hdBfA tYoOjDMJUrvzFpo3+794bWhU8rc0CcPLHriLtYjcyYxOyneOK7pW/IWbcore3H+HNS8q8HKc2Bsd YgVg3sJngiMdnRN53p38d0WnqLE/F6kM87fq7hnOlXe10CMZCkBCRbURtcVRYy76Mcd8mxVDUuNr dGUL7v94hfG7qlf9QcIfWGhDzfnUh5Q4t4I/AEUPH2udd/rsnvXngGEy9KJtigizW0StVDdEHsq5 uKVf5Ap7cwMhYllWvdYmQPa0LykwlE/katyMkYYVe4yZLcxyumZNcce+Pr6URLuE9Dh3wTZi++tT vnOlZJ8PmMcxx6LKx5WFdipSfjLEyrci+u/q+R2H171LdcXbyTZmv36+8V+AK6lPjX1MDRGLvuro pwpbIedmRvLzNLFOCq0zbUiiSNl4cpplqrsTliENJfNrtCyGt7xb4ZEccUbj2RQO63OtBHS57lNA +igxrhkf7+nr3rsWpS0lYr6ZEAeO+NoZ6pI1pN1sQ4JnR8SdfWb0dBWuK3KqrJLAVQ4p5gK/hk+F 0I24Fpo+mj+tX37BleGgFm3jg4QJcldSJc3DraWNUteM0ATKBMeaecR5qzR8UqlpMzFyCVbn0Bts 66zzK+LUP0lcY91wNQfYqBteuFNtNkCMZuHd94MxEuZdWy3K60RSpjys+2KxPazDpan/rcJ2/plq pwDOuPla3PSzswz1qkMVP6gT9HsfKDF/zsrwsrXHTWz6nYoA4+jN3NvejnAAJBHCc3LITUqKErhu obkwKiKmvN4/Xji+bMVnTw0vLGYp0nUpVLG/Pdp2QIRnwg9ovywKmQ91GAF3gb02UikkzSimqYRM JuAIEoRAIzqdfjaR+Nz7y+NDg5917BL1LTqtFqRvHC2qUSQidJGf/zccB8yhg9jnomFVvc/5CRXS 4foEOnXD6tq32OWHcpOP4eCRt/tbXiHkUfoTZATn0MpdjBKRUqZ4fNbZJZaUHBiM7EKczuJ2VVDD QAF5eMKZ3AbIC8+y21aXZGQULvbGzYCg1ciremtUKUvZMOeJuzuZFw9stBJkwSNsZBV134BgSpw4 bUbNPASG0dv/XL+VRuPdzJFZi1nJS7CrrNUrB0bIN3qzdwOGlJPQSaN/d6bFgNqIgTdAj31cPY1C Vbf/TRtdk23G8vikhuKwn/HHcV5ttNR8P3t1xU3db0+UvOInPJzkuMyrtqJsATNvnKP0rnu2BMDV rReZjZQVVw0YSgdB9GaSc23XI9R9IEyj12RFx6QAgecgjGpLEUpYguopWNSM5P9vi9psqJQnwepd bEFCwy2gNmAqnYx8vsfRmqD+t+aQkw2o4ohnYXfOGzPsSV6B1X0lx6GDVLjOwA+pNKlfWU1bCK7L B0mSTB8rMSKUV1txiZRt1awTV1Mp/ozxBbu4ZNAH7FN3PzVKj6hHDKuwq+azWwj3XRbCT3YJdn5z gSrD+qTQlRzr/kUIRJv9gJyuQwWUQPS+F5qJHq6GHXB6d5n2cfW8GetDQZrMu58C85S+tCqCuMb0 cZ/9Urp/QeOliXQ7liB5lrzaujlrVL8keLZhl99UiNhYpHK1bA8CLoxfun6a7a1087NSJrOgEbAU vpwNxLHxLG3PHAb5bg1tbMv0Ek9X3zIGa4te6nTINQnr6zsBWjMHQa5pUhzKn8h/xh6Zl70nFJjY HrlpHiVv08Hvr6jzmprsSvcgAkjv/86AEisShnKqc6SqIzowquNnBWohoi0QW9sN03yAZ8IbQxBn GhntnaE+hyEtFmdpsyo3XHZ2H6q9fpdFkvHyZQm+u68FMERRNqgRY7Hq/yAVtbz6fcq54ArkugYJ BTm08jkDdiz03iSCmYGydx79fczyR9lWeoU6B+w7/MUrhiDeNxxSFiHCPsVXZbGTxnsDDh0ggfmZ gey8JJMhga978/xrnQSx0D2IGijvxZSehErnvoVBnIYk/jT9OLs/FkUlDGgFnMzHvRxiX8+rl5ne 7P6iC7pKLmDRIRBgBdBzIB6cfvu99L+wvLAFeyX1RJZyrPCioK71+Jt3gYfs4tq9D9zvLiqp4VBl 4vp17e+gjZaG7J0ilVxVAJz1QyvAfl2IwnlS/1zAlnIKfzMOv42470GbKir7R6+buGpTQU/CIvRX HvMzzQLaq6bnEVPm5aPXYVC97SOiNsI+7LBkNuyz35QCitU93D9TyPpa5xAPa+P18r8yEGNuFjV6 U5+kroCXIx0q0eR4cl9sjSa+QMt3LoPKqrE8uZWNTZarXbuIA8KdkCo/fDJQrZ89Dr4ZctgrjmmB eKIleZqmF8QkWEN7rdbP5cT2adppBrEWdoYMsDlfiYawCpm8yL2ILZuuG6mAzknp+mE3h3w4xN+A FepRBtjHlh2JRsaS79MBjLd9S8iHvyzKPcNzhU+AQm5JSRFJLP5Ow4xqWN2uEl7TlsHyyUXKQYLJ Zkjkg9EpVSX6KLSnf2flrJJDk2I2whmJwO+sh1D8/yJ09y2IP4uhlJP1D92vZul7dwY5RYkR7ax2 KAUxqgtB+3nlsCLq4cFO6H37wusaY9kf4K4UsxijjQXzmHXTPFaX3RkbZsoK8frN2icmYOCicvq5 kNp1BUJmlTG1beOja+f24zKPZxRUPhTSrPAngSNEiT/HnF26+aXEuI0KfXFMlj5Xubb9PhSAKv8c +wSxftNX/WJkgUD4NXdOFWwZ9yDL985Tg7wv3RhGftJcjfvZSpKqN8Wn5TYoi1URPQz/1n22CCK0 YyIr91jQj5HyyeDRnIa2RXIaw1seaIju/QQVEJlWU2bbafUzEdgW65n2DF6ehgV6AAmPl2rQFptJ LbvKYZ5SvGz4xiPQ07efg7tpy3hKMSAXU9C8r/g377GLHtpp8fHuV1ctcleLdxjMkrtGRhwNreh+ pr2lTLWXQ9yHYQj7IvqQrxwJ8d/moft0qavm9UXcr2BmEH+5y6WfdGI4XALVBS2/4TEZW1bf2s5Z trNT/GJ66Am3hN5iDiSd1xLtZvShyHEHDIi2M62ek5lcitIPHEsblZ88lgCw7uTbTAAwGYYZjw9l zC/NgygJmEmnP7FfPlZSPXibP9ITBEjcesLPvL+HYnZPQZtmeAiyFck5MlXNHT4LXydvMqQcweWy 6wFwVaxsSwRxQ36M0iYVyc22ZnLH5sihgUoN2ALy3v5IUUUpGx1EZ5HxWDjJ1L0oU0O2mBSN1X9I kL0zWVfcGKntmop4ego/VkntuNC9ir8xr/8KFl8khImSBQ5QGYi3xz+l/kjDM2MPQf90Up79/f7o 0JodGQR8sYz1XvoP9936oBRa+/BI0fd28yVLGVNxJgvHa7dqFRY93WTVGiX9EesGEC3r8/enK2LW i6va6w7LsAY7BnptpLwCDoBz4SdqyVjx2HtgoP0DPZEl0awkWvlRRtQ8fS865lbYua4vxuK3/LWB pZPHILs0xyhQqW4y5t3X+fWcAGMjD1IfRQVq/fpyup0r7Ss07IqgeNqozcutwJcfoGB19vXJiQJQ o4lfpXvTVE1yXEVa5L1dmVZ+5/zUXFvir1kQMUTfjTnt2hdyRKk1Sl6B0XlWCGzZz3TElkKyZLIq 7bqp23K0KMPXSWq/Q72NVQaArTQ9Vx/O3WvRyg/zaKEAw4Hm/oiHl/lFXMQFBoiaGu+jvQjqcgMP U2rD7rx2NiZHFgt4youv+HYSd2uAfu7Ln4Hn9Fs1Qw== `protect end_protected
gpl-2.0
555355cee3e57436f13d373e67ead206
0.948805
1.836045
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_recip/flt_recip_reduction_calc.vhd
3
12,702
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mc8LZWpn2E6sYR1YLaosWODd4R8lfDfkxbW9iPFEBqsWtP35J01TYRRqs9J1uWvy1Vt1um2T6jv1 JlkkiibrVA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GorlH84xkq+O2R0IJ3Iu2dLtvY9UAj6VcmD3wwrWgIw+hy5d993212Du2wzDWnag5AJSAwXyV45R HkhJlaeXaZ+7FsBEOsyd0v9rE/OShKdnGxkilxzixfWFGGDfZzHbIwN5CU0HKfzKre7LurmuU3SE 4DOsIgiohYc+iSq293k= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j4gX/j4N9zCWXNWpuoylh8njGJ/Cs4ViHuj1BVGvvVUH5rYEJvzij5HcOrUr4xpiPcyxJp2pgicr vda2Xvjfaowp9GBbsp2bqx4+SdLarrcYRzu7qjsgVpe14wuStjhXgPMOpruayV2Xrme8lByPPjat GVyxWn+8mCEhc7YLCRx1Nrk2fjLNwbTFlr1igdZeJvWD1vXoa6nzWR7EeDEoJ7xGbehAGCLtAhd1 QKp8MJuF3ffb7zEjWCZ7cwwNqWiOHXBeB21wN3BwPW2eNbu/OkVO9EbShzuGhObJEWtTFowNJmB6 v1gvxnr67sQf+yhFjajVtcFCHvqOGbc+RZyiNA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block o7wAyMhTsNjR0WJRPC5+P6xs2vNrYznNJYpi2H4BScorqSfwZJtBZvAokSr/uZsHOeA+Wd6UnBsl FBv+O6Xq7TahQy0vww8ocF3o2UhC8hCWTKnLe2cwGDVIcpdtcKsqjUCBgl9+Vd/BlHt0R6YZ+1am rAdQtkxzxR6Gn9YpuVo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XYMDtQPJ049Dv5lrLdkZb/m0PaAswX7I3ZFBAEeGPiDhSJbxBkyMiZTJzcBZN4n7U8eFzRsLD8fM 3EUR4aWwHkgj2d0Kb9xwBnXfg1kxMIBGjCSxiOMV7piI0UoAWgwtb6nWjrc1wNyka3mDGZ4md0bk 2J5jPBLqYaXZtt4iuYT5wfkVRzR36ixy/lE4ZdicZKLCkXYBgX8XvkGnQjIfTnuDBQAtl3EMwcmO Is3ihOmNll7yYu/rXXJguLnPdfMt0veR/nj7zCTb2DUB8We2sNxDvNF5qp4c3tReEX8JZSpTYro8 haypJLC3AjECJETXooFSIWkfZ9eDD6qJZ6Z2tQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7664) `protect data_block UBoQg69vIEtO3a2DQpJR7MQlI1AwoXfpV/QwlsE+LAelpAk8PJRHgaNrlJdvWBq/H45GbB9e1hQV cBkl9++p9lFI0jzk7WDTcyQLSpFOrgyAA19vFB+VcQgEbZKpOmiVXExu97aQOm1o+l+UKj1TkSQ0 hsksbqnBRVcm1WlRUd4QLN8bELGnfjmwG6P4xNK66nj+2WYFBvE+3qf5VQS7BPiS2jQV6380Jhpj pwYF6ByINXVm6krm2OlHLh7gHOBMIw4BvdRcTNXhoC0RnCV/nnKNPMqwPPXoTrlMiUfxJCbdRfUT NeBTZiG1xdI4temrDjUcv8noaDdnnJLyjwdV7giraUINghiAO/YVaOoU8YTtkktGbaP3dKf2uasH YzKPzFk/8p9LtC9k+mxqmjnd2Wan219ByOcnUmzVO9/KJRKIdoFOzJqWh4fW2q2ZzZ0dF4eO7YPL zKdfCPdUy2RECaZq4as3a2l5mD3UiWlB1hH8xCqRsrEcoF288AQO5SeBC/ssl3yeB+Uo7Ef7p+6o wShXNrMDMtcWOSKhM7BDiKEJfVJY4OBycBfzk0m2bSxEtS4ARQiXSr/ASOze19Ne4PSiDVUXvmQD eXa6z1BWwtfRCnXlS/HAAbd+Lx2va98xeftz0ccGR3MKm2A7Lj9lKn/9IVsDRKiPbvFEmRiRquva zT/O/+WjeB51VFQUKNrbHjsE/Hd0vlPPAjowymBTwvH/FLpBDrKmc71deqt1orcAwGjSNBWlFXMu lb3Qd7vyF5DxFEch8cXsw9Jwx2DPssU1CpyAEbmiTszqUdbyQC/CRxTWH7ZG7lwsrKgx/hNmPp7u x5sjOQ0AgkfkefCIa0HTV/m3FH1QPSdq0Fn5A+WT1MYVWB3JKoGgKxhggqD1H+Q5Bw3hn5LIZ5df idXGs656fpZXrkHWgbblUhQUv6sOgZ3stUdaPKQKNnn0afASdAM2h6pugSjFouY6VFM15+pso7h6 dH5EIm+ItRg36+EuxS8BDGXymwcjoCxsUCtALmWxpFpazRkwxTST75nRPNC9d2LwUYDuSJlgScoj y4ibVmc0lac1ImOzuI9X5q1WtkquRtV6b73E050fXE45E4jQkG4eDvR2aNBWKxJhMOMqq/lTBDt3 oAeqsXSdLDDtdQmdDHYsSyH0vwGuLBTa9JM/xfEfGrwooPt8dAIjfVqzRrUdcyb4LylBjpRPponU 76m83Ze/eq5eWLH4xepuBWUACJT22wlIFc9IjlkXO5Nj0kNCTKIX7E77Dpgm5DhcWt7st3twUvXu /xnaS9FZ0IrhfKx1ikUuAOTaTAvVYwqR4C7smaWplVupriG6ZDbOsxjIqKrWXlaH8aPF41CR+PCr n0Ese+8GedM08RWeFswlXxUDVImDmXBNJQzqi2prD2qjqOUeRtn55gJdcXkAt5wjDYaolSv43LVB ZbJFDHWSnRabKzxwlypsVO5NEOeFMCdpRaRk44RUbWsW6BPV5bhs9ZHTPp5ftxUW2U/Le9YwXZQt DwQAbHzLaViXpnI9CyJSEvQLYCdGZ/yaORJ0qsk1vFDsilKX5T716qLnjkBW7EgQP8Y/XY3ACRQE nrdool45ik5Mt2Sbck20dh0eizQ4CoYWlHmZ5cuhyZJIzQmknuTJZmYMME0PeMHAuup8LH7w3QeI lQQLco1n6VyQ/98k6eT6oxzJJsbzMOVAogFaZvzv6Rm6oEdA9Wm+xh/rMKCXtfjrIQT58XP5nN2O SOVeCMTBfBxoZFo/NMXMEZtU6qqjrwfKNsR0e/PQ/j5Edy+O+KFzNJZEsN9nc/c4t1XbMT65axE9 cjpOFeA3x+mH16VR6GMx78okqCATHSpXD/o2rqnlAKJMmrFXns17A2XErjb4+ZaHp4zJId/lxhUF vfoVw0Uw0uutyaVIWkwUgjCMqbZyFymof360/q7o2uvvoPP+qXvvt/DHkS+UuH1VRYInKW/+ceJl 5n3NqzWNK67oEf+KSuPd2UuQtmH/HJy2oNagFH8yUQ1nU0xwliQ31eXRKd657OwN1nnPojLjTdWh VxcpZ1bs/Ke/8X0w+Dsc5ogg6h+6poRv5Bdg0LrYYavKQUn085H9Mdayj5eaa0sXApalJKcYBA53 IpHM3KGsrGRslb4nLB7QeDv8ejCnWmggh8RRep4SFFWm10r1dhyBFuLoDqAcoK1a5MJSYv8uHpMr HijJu0nlXza0Lb6P3qdRfHcy5hiwAH9Lkm7RYuKLRynHLiSDKT3D3+dsc4XUtfLAdmI+BG0y55Jx AGbGOBldR8yJDvRGyBcVDn5kuulomAoiS2TQgijyXmrH1OVYnbqYxZ/P0jUs65DpfDG5O5/jDX9Q mQpQ7M3ssXYVrGUWuDUc9MkqQ5IyfGB7ADZ0sWw6fv8hep8Mnzy6S6uAbsHY1L5uYXSigVblEbir FucqFrnVd1TKN5msb2yF5XMcqL8VsKLPZXzEOR+TZmLV87N8q8wNI+mDTaYTiZ7+QFweMRIg48bR 62BeyB/lrW3n7jB/xr4SEk6KxJ/CseaOWyqup+Lf3zS7aQYGQm1ftSXkXJl0KLE70kLmrE1lJjS8 Kea1/TfDv5S6eaapVGubcafqJ3btPev4fBGb5wTZ+us/+vMlN3bXJt8+A/AqiB+x1ISilPaxwSpF zTUGFY9xzcISku0N9OgjUV05r7M25yyN1M5lmvvjeX2wUl5xI57El76u+Z7xFpgqD0qdCzvxM3ry HXmt3ApCAnf5kQRGRHCF7huX9xIimTnRBS3PYTtk60ExfwdyW86XEgwUKoAAPJ/9aiiRu3h85irR tsIEZ+5GSdnu3QK9/OyDNiiqc9IaoofJOLpQ8nuOplEbq5iLw+iEwrzZ1wU0U3obrsLeb0OV1/gy IttgwzsHTh4pOqv1VsDvPIGrFQ/xM6uHfEwovzY6HwJrsjJSzPzr5J3VViOLC6zviF2gEz48XVm3 WWSQlyM0cuNdp7VW1Zl5CzmkZGaRw5QeCQpxKvytEF7nkFM3ysbQRg0y9wt/ZmxXncupEKE0AT11 WZow1X0fdlQI9diftEYixI4oBAanxPouwX47yyTCiZVTgdZ09qeu0k/E4juw20GvgaN0UmEMZ3Tv pOHArs7IiZF5s+COXIf9NjWQCn1CA02gPq97bQgXL7y+R1aectBMro8R6ZmA/PujSs/ms4w11PGG xDUg3/vGEP54OpqNO+i8yBJpDRNA1xFYoqLh/85hwlHLYNbo3pnod/d2fTedOJ166h38FL/afAez KIS4Q1exh8VR37GyQRzVZaBdCd8qMmh3CnKI39P73LFLe/gn5p/QgVNUEQWMcpu3ey2cuWswQ/og L0LdWs/wVsv+e/bwPMnEq/8leQ4iez7m1aLqWESElCukBgs2bXaRtaWeoobLKSxJx3McBYVgp+sN +9RXGEqiC8Tm3Ax9AlYcnY9N9tWxZD+MNqb6HkHlH6Td1NheBIeTRrn6N7aLBN8fKXn/0TNvr4Zs S/J+e5eAUfEvIYbcUs5hkkrzLR+FXE4M9nm2u8fV3Hpzs0HMCaasOYPwG5yOfi33UI+DR6VECcYr s94aQKzInRi5MlN03GOIz4cirZP2hGqRLsIqDxkWGhUccZacO98buwCvLU584TRfOYjguBQROUgt cK27efjgXDjIx5XpGl8dOB/iIuDmSahrG7sTghzJ37OIIfu96yIBBUOHXYmicC5tZ23tjwpN0Inm G8wwiYr7bZIjE5eGrnydimX+UdBMEkNbVFM4bbzX5vy4QMFmCM4XSTOHLslOiotrM5qoGGq6ytnK ICJwx1gMi6UFyHQpW4DP6sDEiGQqJmsYTepnP1ELxj9e4bif3ShEKgrlkRkZzcRoau2xVguCwQQv MLMZDy8L5H1ZOMz+JoBOapcBjygfUICEy5a8wi9OBU26Q9SovBFQnR0CFr7NQeveZtbwfVNXqJXj WC4ZShMZsqxzdV6KlOakR+mue9nq3K5GUrfFptMm2VwP2h8R2aqd765aVTxLiSyltUObMke39C5j QomiYKcjbRqugMx/U5dw1rVIGCUHaiNrufIql9oLuheOcAhFeEAV3w3dpizl5PyEYBuyb5esrlT3 PPYcd8fQ9lCDcNAq+kvOUZdHZOB/ST38I54wBNdSt4L2mEa14CjiYFfPJfWMMmTUsgefhWxQpPRY i53VtIkIVpnWexO8vBldZlOw0SuLGp8hPxRfe9vOZAmkBM4oPdsnjlSh7vxXGV1Tm1pU1NJABw3a 2Ig8LLCgybTlRwbyjAwHFnzgQpUdFB1127kSTiz/OsGJSHGQLYXjun/qfBIGxAX/H0HJSigfImUO yTQExfc/GCK5bZ+l38tRR9dbpfivIyRSU8onNLaB4quozer1u7PAp505SKW8ahCrujMWiRPy4aP7 rjYNgjzTNsgaDRuMrYBHP6SpBwrc3V02n42ZEmOp/H5c0C7Z4nb/eXd9DlUiHVpFOu+aRvNMCbq2 0FGq/BTM5bWWtxOhaO/asbFFXm9EstgLMi3Lt+0BfeTujMsotiTlJFqsSVbr+A7kUofc1bIYqWLj jEmntLTPwqrOILGpPmCNm8O5l63l07N33sFFrXXL2/3KtscE1GXhxicoq2Xrh3Hgmb+5X57CHE3B uTNjRcCkRqt+8jeHJs82zf1+SiTe7pvyIc8/FO37V6rc60PTYRFp/uXAGsPwHpyuMDrYiLrYu1/d 88F8nvnvprfN19w4KrH8aV9i+IyzAP49mApzIVKXbrKkJ0/fi4QEHcoKTJVQT5iGEReDTAYFcSlE M4J3cAM8wOKz5dQsvNQFiFyKPGtdEHsNBuPiy8aSslmBAlPpN1N1n/y4e8L+neEJyeC1eSxxFby9 25hnJyGCDUFx2jln7FiNiMg2Y7S1uN3min3AutzCdI0v220Lrlgq8WO0OBemV22j1sM8DXHQoxdm 0FVKC43ijsA6bJG4iev+S3/njHSDa6I2GAfVAFHUCnkaFc71mT9YQkAF0dGrmcmJ7ejX/hxb5BO+ fXxsIly7tL3qbqcp+ikskTr+mIjTZ4R9lSBVR5lRtDu1TeQLQ2pwX081OveA7axNtyWDOiWlv12F dgmdCOpMd0SZaM7se35vUHXXS1RUpIKNb3WGoKF/kALiJsNvK8nvLWoBEl+ZkVV2fmImwpFG5j8U aKGKbiVNUSELOUAAy6W/00/fHauqyw4vbBQddP/4EhBoQhtnYl3zZ6v8AikiMeCKe4rcWd3wJv28 5EMO6Lqyr6YA5lO09oUuapV2Zc3jEju+iMYRRt+e1iLkMQLG7mJoIV6tzfQOwpMrXcB69DLFsn4h QSefLejkiUw2tjzFZRwngj31sVVlHBZS59KrOqR6NMfR0hxGMyncUjNj+bu1PFS41B1tAoh+adA+ w536yfW+QeyybmRyGWOjl8Q6eFp0cHjCIiV0i4MNsn4ngHGNLBop1eA3FZn3IwAkV45PjacTGOLO tZl9uEcHPq2u2FqsBtohQAXL2dTZaHBFteuCb554YEEYdb+H1+nEZMM2Jf0d9SUKG8EmFEmnJqli 9wdWzhPcfZlBfUpdbQCdEZYUasm1YI+S2gBiVOW1uHvhVMdxeM7Zmzk4HdRfkhwOI3x60Ir0USnC ujm8mzvWL8XoEkqCb8pPuNWJcy04N2yPw21sAnz2da+iiCGVfXV1TrF5axGiS8jrEarShp8imF4b FBpLm9/dmdLDnZc6rhnb1fQrvA5YSRHr5fGxJpG2SM4RhpI1KeaumrTNykf9kpNKcgzF3Zdu3NwS PNMqeZdYSbKBavfBFUISqfcI9Gev3elUW/BIrz0XbiKovopoYyygB3qsI0DygZUMJApXC0fhsgFy oW3xZiZyEwvriBo7xLMGqNmt4pJVKSyWzWwZqrQfuR9QzbMQg5yqkDMOPwF1K/oz2v5/jOs4jqKv TTMc+TDs7MFUCFu7KCwqS35al1r2rSNKyHS6obiyDesbDF+PcrrPZ1NWU7U+kPZmWmMmGDL6vOHP 46bG5qhDTe7D89k8vi7PRcrKLWpwO/wxgyqsY3C5aCXnfo6tIAQ1vu7TWfemM/IGebnRH2fK1ahj bxnGS9Ck9yuIjpNmy5Qr2m2EpLSprF+x+o3/blf4m3a0zuRelXW62J68tkUNWd+pgghJbyi3ttFS cAj80QjkVOCzvhiGKFqdoaFjrcE+8yOJ8yHb1DMuNfXCOg+Ur/YhddEEPcdv7cpbjytg76NMg3we KORzm/8XpeN0jTewFQsu73iuktbxkD4wiFVSUOgj7GAdA2jZ41i49IYycCVBrwnJgfNi3ZkAfsoY 2TBqr/j9Y5qdK1Lm7QDOR8NAFKmVvlgHGJsKnYdUTvrkHfP1ub0vsQ9vk+DxqTLRBn466U1avB/0 JJC/QMLc5cs87bImdy9A4ZyQYBqlGWvlUS1STYcNBoKb/o0ZqAnxQiLIg6qOeZjrtWlWKn6G7mJg G8/LZnAlkt1EaMXEet/ikYov7KMrz9BwGGV+p2MDLSfx6ViS4FmLC05m3otc8kqTFpVefTLaCsOS 5Nst37Kd3wRixC4TKSTY209GPKsH7ubLaUWxV6jWW/7k+5R1lwppiUNZbgCFFYT4lKqR79XA3JYL mYVnrAnxUMXjgAZjqcTFrHrmQI3yZ1/GccaL2QOpK8xn4cFrRMn1CiNDIDh76S8YgEXFgZKrdy6L 5hjbCYbHKoTQlnoRwgln27MMglC48iAMC3YONoyORRDcXI7+frvQvUETwmY/Iz+rL4Co95Ip6PEt cmOL+XeFdvHBu7bLTEnhGXZ9rmdRN9SWWvoi0S+b1wXiB/L382L6QJRp+01/Xl90y7Ng8m+bJa9A TbmVFuxk3Xf1ZUK5kwF30IDfWCFKNpkb47/mMqXSgNZT3lwXlmN7ZN8UdsEJ/lgBv3CbU8iA/j2U EapPnX5KNDCnpluONr5AAORPIbjRgaxp9EqH4fvWUcHCq2wJQ7Tvkv6LdBEkOYMSUQQ+y3pQL36i 9Hcaqm6Yud4Mx7nn1q9cQV0VE7y1HFVTjjiIMjEergtrjNXVWsb7dTvzf+ytBi2Rq6CV2QqNJ7JT IwNSzaO5hopEwVos/HOQIh6cPmRfAdxSfztf9TQEpHYIR1yV4qey97o8EtQ5OAD7iBpUvJhs3Rye N/kahL6Kimew1rFhWfSPc3m/Ja4A8kFrB40uRT+XftDFo+YicJK7u/ZgG0dtfSSIbuuHUcZ4mFvm SNjwyK1pUsEHb3U000mr8M+mCdG36mnR5TP1WZePEktmRYMzWCadXnR9TOVynf3nfbGtBBeQf//R +QrOU7BqiwOATob4Cmer5bo7a7ZTA93UtfRT5eJ4PFYwQ0Z8+zoWmuxTbrhbKapYszRFyRriobmW aC+PUkHit9S1t8cD/Dmqbi80xJnVPqZbQGC5Gmw+yLO8lavpMQ33FQF1S9VJWUbmSUQnUHGLVxkM ZS6hURdLTssr8Olbta88e8AugoeWpTk3hfqlBzYKzip6/59as3Mpz4ldGIPsvtuxM7af4ZpWn+pQ yB8GLPyDCvDqgfJ2J90Hx8NflsF7cUIIDTdMNAgK1XeID1uENhBl9GeheZzGooGdU8s0Jy9GmjDY G9oM/aXwBzixm98Nns0mP4qz/UDVwBgN+Ccg1bTUpMGXeCoDS2BCxap+g3Cyv5uiBn5BnRDw8Ebx kFe3ZbBIJa7kdz+grDLHHmthAetpGwTQ0JA2G4G+eiEYvty+4z5zNq+8H4qxCvWAHVpq742SZdgs loZ3+CW3Lx5jiEXHEZKk21xabjb/jQA9wwQwKLm0yyTUmytzBTzDxZ2DpYgD66tuh9G1R4aPIZWs oyiIyNKD0JggGyCR+qBpn91Kawebg24hKQJWlBkuRIukmJK8de/NXkHavsp7vimph8xStABBqEPC YsvHMIzaBIxUGpum6gNAm/4MANqvGGn306qFyqN19UdITLPxV+AGyucKspXGghhss2WjMfFoavzF LE9Ffu9ZSAiaKRz3WERIt2fFqodWa1nKmnP2axsSCCdWnFMiRkVYKdIHOTQqLm3JkRVh8SQQUNIE R7plaVbvaCje5rVEXvCY8xRdqVyB1Sekd98mKEWCiJqqxr0WdAwx16oGkCV4MLgucPqfu9hUP535 Gn9lM6OwO9jgYm9Iw4G4ybm5bY24t2TGlYSqqgY7+6asYxeg5IK0osz3cO3J/LwYBC6K574knQgg NqmsEtJgVVeHJtpamMlkzJDV5kdU8Qy3cnzOGZP4rzAAXC94wwyNU8aN/g0AQ3nXz0KfWiuqNh92 zZM4XnUHPem97sTweu0oFVtjOn1E6dCH3x17fY+0MJ1XPSEittzRDzOY2PGPOQk1SC2bPkjYvxWR egx2nwc5ihDay0zyhF1R3rm7RGJRssRrl/fsLU9vXM3WmHmwYc4kBSQqBu2+eGeDLz9dS9ct3ueR CUGkcNGrMzjnhuR/ds3BUdHh724MYZjywRLjFZ3wl9Jo2zeNGevHAlVPJWDdrBEiECjIKiJM+PjR x9cDv0P6A/ZdOeejQsduo/8JnSAKlaeqvWl6izCQTC89UUL3RIvXNvBLUmrCpuvtY7SMw0HFaLMX 9ukBS26K6U0Iv3/4NOx6d2xjc3iDuJ9EoqtJoHagFWH73YfDs3rUXgwWZTgToa+YKOFYh1NQz6I+ VzKJsRcuZ7AlrqFEsXhrS+grEYfFlwxJK8vwIjRDUwpKiXP+yZ3Hl5+1M0urNafEIZD8ods9m2XN M6o2+0yVRiJN73C3WJ9cTHNU+tNSezneO57gHbwZCpI+EYjghMaioYcG1llPJU6XKBepGIoGV1B/ qXjDRVn3N9lEYlzQQ1a0aBR4jmXg0Mofg8pCOv0qjYJCMWDRCADvPbCesA7pUSeZnd/27v4KfIz3 EFSnDNHDi6sFwpPfGXESataFWEOkNz9I9buGHdszeUaP1Y+sCuZmL0OySph4YnygtsrReZfvFLyF QSGsy0/nZ67T6pvmBLuaawGISMPtYg31zy3lUkza9F6sCefqxMfP0AM2pitDVzgEQoQK39PFFV8f BoQKe32n6tU9EDK30Y884XjEmPhBJICNLoRsMA3pxjzn9AIK/5T7NkkcPmV/BbtZp0C4qpqGoTVb TebZiesNihRawgBNZoFyWYkng2B7mUfQDpCUxywVFWheMuoLM3tdWCni8qzYzSFXK36o42NYLJIF cIh5VdJf0k2s25Sw8e/rFRwWQDU7+lbvPVMLjTIVSP/cM3Pu+L1AIRd4N9lU1bHFrChSrdtXYbER KyNB7uJxEJLUBnY3obCulT14LMNkX+A1oCqRffhQh1MVvS+bThfVb+VDAx1nzmaqcX8nZr059uz6 xz5B2jC7cwSIY6fwmAAo3Dm6K1TDUvG2naPT1/gN8ECfZRrNLGIQuvkiRs6ia12HDedjBUay8RKN fJBrEh7E3W9BGaFYJc1A6daSjvQbnt+DypioQ/znjr9NrmUJ/3h5cyK7288/pVFkN8LFn/5TWyNj 1jRjaQ5e66CfQFNvhDsXPSRoKbehGTCv19TCnmZCK6T4GqU6IYg7xnz5TtwrfxTu2zGAdO8wEO74 zE4hFofjZsd/mBNpHcjY+0OYnFXd5MoBDl60O/rWnVKAQMPKn2qEpD64nWq9dB4/h/yazJNwg9g/ Ipw7yq5OaPtYfh3HgEXOOSvwAVLqV/4etcwqlZXm+e4qf6awwsGvdJ/J+YExqnkQ1jcJUhXuzFEE XMsyIgrOQ2RnKbZJoRRBTQ3jqnINAKpgOzsMuc7Bpr/9GP+neNiNs1TxpcXUbCjSNQMFaqi4E9Hh IFl1KQzVlC7BNM9p7c6JyfpcAR/hybfDo3zMzvZpw8iiuZ/mvOEH0OkZ9dT3iB2LUdBP6uPayAxG u9q1ibJ/vQFMUKG2xopbhcYTe7FvfmlFHR+lyunOdORgzqFmKNWl/n3ajXUHdN5pRxOyTitdKWuU LfShigd2o+9L4pPR+tb1rINCEKuRITtEkJQJf47vutmWKLjjJdTJ0gqw7fOGSJyy6HHs5yWZfO0v ejz8h4lGA3m9ekT4QhEpliziIPqShwx/Q6Tazua3Z2AlcuEDbSE5hlmoHqB4ATkkpAzFYdS9R1Gn 1ulYf2HTc8f6AyBU96KKuJvDbcks0m+CiO7EaeNoRcz1BZyedpTanvEj4I3tnU4CMoYAWDrxaPV6 a8ENJGgLn6Xj2205oN8oaRLFIykYz5Kzx5Q= `protect end_protected
gpl-2.0
a75bbbcda63540bf363d2f6f62d7d1f7
0.933632
1.889897
false
false
false
false