repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
mcoughli/root_of_trust
operational_os/hls/contact_discovery_axi_one_db_load/solution1/syn/vhdl/contact_discoverybkb.vhd
6
4,160
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity contact_discoverybkb_ram is generic( mem_type : string := "block"; dwidth : integer := 8; awidth : integer := 13; mem_size : integer := 8192 ); port ( addr0 : in std_logic_vector(awidth-1 downto 0); ce0 : in std_logic; d0 : in std_logic_vector(dwidth-1 downto 0); we0 : in std_logic; q0 : out std_logic_vector(dwidth-1 downto 0); addr1 : in std_logic_vector(awidth-1 downto 0); ce1 : in std_logic; q1 : out std_logic_vector(dwidth-1 downto 0); clk : in std_logic ); end entity; architecture rtl of contact_discoverybkb_ram is signal addr0_tmp : std_logic_vector(awidth-1 downto 0); signal addr1_tmp : std_logic_vector(awidth-1 downto 0); type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0); shared variable ram : mem_array := (others=>(others=>'0')); attribute syn_ramstyle : string; attribute syn_ramstyle of ram : variable is "block_ram"; attribute ram_style : string; attribute ram_style of ram : variable is mem_type; attribute EQUIVALENT_REGISTER_REMOVAL : string; begin memory_access_guard_0: process (addr0) begin addr0_tmp <= addr0; --synthesis translate_off if (CONV_INTEGER(addr0) > mem_size-1) then addr0_tmp <= (others => '0'); else addr0_tmp <= addr0; end if; --synthesis translate_on end process; p_memory_access_0: process (clk) begin if (clk'event and clk = '1') then if (ce0 = '1') then if (we0 = '1') then ram(CONV_INTEGER(addr0_tmp)) := d0; end if; q0 <= ram(CONV_INTEGER(addr0_tmp)); end if; end if; end process; memory_access_guard_1: process (addr1) begin addr1_tmp <= addr1; --synthesis translate_off if (CONV_INTEGER(addr1) > mem_size-1) then addr1_tmp <= (others => '0'); else addr1_tmp <= addr1; end if; --synthesis translate_on end process; p_memory_access_1: process (clk) begin if (clk'event and clk = '1') then if (ce1 = '1') then q1 <= ram(CONV_INTEGER(addr1_tmp)); end if; end if; end process; end rtl; Library IEEE; use IEEE.std_logic_1164.all; entity contact_discoverybkb is generic ( DataWidth : INTEGER := 8; AddressRange : INTEGER := 8192; AddressWidth : INTEGER := 13); port ( reset : IN STD_LOGIC; clk : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce1 : IN STD_LOGIC; q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0)); end entity; architecture arch of contact_discoverybkb is component contact_discoverybkb_ram is port ( clk : IN STD_LOGIC; addr0 : IN STD_LOGIC_VECTOR; ce0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR; we0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR; addr1 : IN STD_LOGIC_VECTOR; ce1 : IN STD_LOGIC; q1 : OUT STD_LOGIC_VECTOR); end component; begin contact_discoverybkb_ram_U : component contact_discoverybkb_ram port map ( clk => clk, addr0 => address0, ce0 => ce0, d0 => d0, we0 => we0, q0 => q0, addr1 => address1, ce1 => ce1, q1 => q1); end architecture;
gpl-3.0
0acd99fd2a60d0df9dff4339557898e6
0.547596
3.528414
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/cmpy_v6_0/hdl/cmpy_3_dsp48.vhd
2
75,620
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mCrsxRE6nhDHtIA66tXIkDnFUUsjrPQ2Pmhf5b99NO9SM+W+0wcl7fYtMMg2BKsYfbEjsVwZISYM cj/YNiJQcw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HMruE4S4JFOdvDiLBufGOVRzUBeh1wMoCgc8NLReXmsLizz4FqJD4qwUKK3FxXismJw4lrPotBvD BtITNCn1CV50Fb0UrrUrvgMx8+tR7Xu7G2VBa3+3VaPZ22eQVdYU+U5LgTnE5VZCU38BMChMVVf1 VRfXfIGIvd6s9w3YH8Q= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qBYo9DkAr8ZfIQJ2ylmr1ZMPLFH3U/RL65nlT7p/YHH3XfUV2cihgn0+bmsxuQyNobA9OK/13SYm Cp9/3rs1HCkUsM6+3W75DaILvVntVLYvHG0BSL7toLCPMa9SsRmUTi2ZARWNomqih/Ct+WS2tarA kN/bPVvVIcsxvRLN2rVf2HlipSIKpcW0C6sFT5UxuIgRhSWP08uKTYH+FPlZY9u4SevCRqDoUU2h 4xtjN2UuECQfSvpOifgkW2zbODbFzXiyDxXf5zmFzklfdXKAn3tpQJVKGy3uJpsUhIXOb3FzHS/y AIL9CqEB9trvslLUCkaJoujdY7bMSkAVsGTTJA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DoXO9wBfEQFqwFTgf80ZwLF3pKSoeEos3GvhP9cMChWwhvDeydkIBaMNe/DsM74++zfzwieBVc03 onX9ATZkPL5T49n6S5ls79EzPpHaieo+RpKu0a2nKqPyPQ9IcVkttpzph8ZSPtyxTkYQRYZq2yKC 2DI3TP9tmKksB9vNwmE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DgDiv0q85jnhhPU9ABU+8C11xJExM/PfcuxEl1C7Eruj6DU6z4JGHzqipq/L/nqliscs3Zxc3gdF D23Pj8poMJB6yNos1x9wWg7wgyEWmhfSd9//kYRrBLAgmlLxyzA6pTDjQwxrMyg49cYKHIBx6dIc SySzNbPcYDQmZX4UdIQe+qJ+lTCrdpLlYEOr1VXXlJsH2TaCNaEsO3BVMAfIq7HrKYshDgiN3BuS DB1dOGfNd3S0D91wRUkFbyxoVk4tG+kKn54jcsEGJYUeEWcLn1phFTEVc/bomlT3hmnTgLH19/Ci /pOCmftTtdv1wJkNRgs9wIryNZ1GrMd/IkmYUA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 54240) `protect data_block zW2XEhQTonn+8GFQOMpjd9VOCOA4RLqZj3aK1W7mwu9s1GXLwjxUkRsIh9xCnKA8ntaJunOWt2Bc DxAa6lavV9h6tOGvlWeiPCKANtNp5tfrC89sze6qxsz62ENIpMjLowzZooclXpL3YUMnZx8CnK/s UvmUjKTgfuDmglFmf+9aSu8BD6qn8gCvuRoTqZOogjozEhtllWHKQ8mCnQpN1w/YzUTVD3aFB0Dx GwtB/VlfmMcPg3K5RRUCEvHPGinpWdH6mnPME1apVNbuPum+EXsqMQWHCiIXbQVogsrCq+U0BZLv ydQqy7O3NrMm7+Ea1QB+QstoOrl1ZFtn0hPMLcT2JEoieG67vikrG3FrEPhTzmdvaidq/1Ch1uWl TgnLyZFPieuAKCivzhrbgVvsYUi4XILnA6WfoNLYCu0yqTFyUIkaqTF/CJC36MfH7ryPaLZ2CeRV 822vNGUPwqVBtEoFdjRIbneWY5pycmLaA/PbjwgHuZRPPiMNFB7l6ErU3Da46Dhcx+2Cssc5fDDc xBhbKLpQ2nZWJr4pVYF3jxZztIHPMmjTJIy1gXQddPOvsT+R5GpTBnztN3tGtuxCkiddXinbgKPu HIOsyeXTOlpBKEp+ZbXQnEs5JzhDlRVWkwzeryhXh99sGJQqsIaaxEbByzkAYxwGfTlgycgJpANA Uji7wcox6QgepHQwbwNwvYRQDHlAP+VUOWdRIGHLnfEgJ83EyAlvIFDmdiVoVHYBeQb6Wg6gHmL4 7BdpQSzCDYXT8Bc6dRVT5cYsfjcmdoA7ciilEQQy5qv7gxVax9KEOwjKyxo+IJvnWuzKbIAJKYzM m6pQtDBxuvFdDrK2wHiGjAj3nigg2PsEMH9cU/kNUVMHeCfTu9qoZatLSvpAoeOj0mSW2aYy86jr OqhuFIWPu3WSfr6qlg+M0U+OnyDqddkmvnlqsFqo+YgNzsG76EHCJ6xWmpplutAXQuIeFVUAZeIn 3WLBr5T1mdFsueoamqwpE5rF+jQbOlfmz2Y/cJuDK0igHXueg3+S9n0VegHcM5dBF6FWGQsmRyAO Q0qXnRM+3L7JPoNgPt8iyhXGtXFtuRg15w7Q5I3A/3enjpk68cmsNCeQRJiKMUHrpjGN/0hYXNWw xERiwZtneytPPJ3OHrFwk6IOwRNv2Shb7R3RAbfod5FtC3cThcgz73zDSmhnk0Xm/Y7wtgVEEpDq 8Z4k5mJ030d0GOVOG/NCBb25sMGbEqjTwRyfJUbyo/xGhDHNfiJIHUfjivdmqJnsgIc+Lx5r6eZ7 Ha1j6BAIPgNnDpbGxfCp7GYkHnrSDmtSMfNpjvRiDQYqrxqwyoXfIh3Dz94E9JHzAhXCoD188D5f N72YsTewpSDWt01XQ+K2I1rQxr42ehPY7MxHfOnpVZ7yrGY2B6wZD6Pd3fZk1F0CKfFZ+RmPO/l1 FQOtYsVj6PUm0+ff0SpgFULGehf+AVPUnx8XhW7s5gImRYa/kG6fXne6digsNgs1P+QcYOCubMDD tQcn/gyJrw90QFmyCbwEvcQ+lhhL+jIv+kDFyDZX5e4899PKJqMQs8X57a2hN0OV1Ahlg6v/9uBQ SjDT3iaA8lI7EiMBo1OHwMhAva+revFyHHjspXGkWU3wzud/tIVsK3wBr9mFtcTqzOd1YAVdysVS OL6dVDxMOtGAz5k+f0MSpXHTPinOPJj3G2eFVVy3mKToukYONTdSRhRD0wnDTVOeklRIwisPfgEl YINZHL9Jz4bVajS6jtWlwwgcsLfIRBglK6QIWVJxwCXtHRFZzZuOfgKH/6b/Ix6YPPj+uecIZpzF 5FyHFJKVQnIdaBuEBYCVjssIi3JgWUXHLUFui5yjX5MhbVdfxOLs8V7hwzMazvms/f58fF3Iykgf 7N86OxQI0WW1Awd7GcHZGkz5F3hYS3pAsX1MuQyaIAeK6dfQbXKqa0cHhuhNel0hpB6bJUGb1BhG yg73RV/oYBklzQm2Rh72bV9+1EiTLpuMZwFf8z82Mwu9bzGLlNA+jZDyclUBGD4Ql6apnojRz8qB HMCwZSuxNzOM7ZXr1vFh5IRhzY4ZY0Kvsqob8/e9PmmBYHgHzjEETuXqIoW2jZ5c47IF1j4/XrC+ 4SMl7eRbzs5hk6fXab//Kxnbkmce3iX5TQtwMGPpb5UteDYpSf9iMtuWjZhAnyW5jUBJIh6PW/yQ RLtWnzsEhvsQeIq9pUU1o6jHUkx3KR8AEn44FIePGJro7TKN9HZW7NK+kpwx5OA+finmjehqPOQ7 WNLCmbjwvjEiPqV+CXikzT/d7EVljJuV1yUf856WZzjclC1dGmeULZlX/Z76ujhOFzN+m/E+g+Ko r8jh3qKxlToRgUbDhnvMMe+Uxp928odXmMMuL8ooUBkE6ZQ4F2Q/h9ZDhQtQhXN5LzJ6gtRS0ZEu T28puQGfQ7+8Ky4/SbaT9bo7ABX8Enma1wCALBinYWNlFriTIE/6hJV8UIbqxd03y68r14YmKD4c ZTADZRH/wdsS8rLJ5ewZRV6a0+ULjR7zveHPfgQSZCe4Zy+CXLd+EH0WcCGMS6yHnA9KiRjB7Uqs 1oIGLITjD1frevDlYLwNpkZUWc87XEyKTJAcZc1UTUFvYY5CP4P/ecfX5iGoQU+3NbtIrSpieUfZ Bi20gy1uTEZAIZ1olz327MIU2hSS3PQu1RpNO4UHbu1Whsz9uyPKvMW8tdhsgThqetLAQEg0cb6y /deXEw9ksZkiBW8kmwxD4E32Fiwxlk1A+MURlu+Za+HoiZxvyrUunuBO9ftmikdkwNzyFQu/6gbB 4A5GW7aTIfnf/3JN6YYwQutUvUecyIT8gftxEWS2zuAdIZihlIFGsSpG6+ZdZ1rO9XEjFHdTjzzd 4u1Bl1nbpTDrRMAqAsMTwkL0EFNza9SotezPIFKJ6gemJHrIMNkNws1ttnh1XybDjH3etPFxcKsw j6lTOzrvBlYhZatVHThMqqGvhZCNDpwr4AH5dLNBZhJ5KBA5h2wos1rwcm+WzZ1K+1yq+Vrabxzu 6c8pudraCLrhnaYuvBTMh0ZSmB/w31CMJZnNGrCQK+l1q0BfmuI+tr3EcBC1Onaam6phATwC7NXV VkGBeRsQKoI8mQOBgO8selHLiQsI8q/0ZJuaFpdUx0CFLC3wLrIfeQuIxKPynZ6oUOQCJRH8Mee3 6ZOXFJ2fi0CQ7HDZ/vkbhQPDICTZwuB7+1i3oCXWtwVbCbGyeKmrKHCVjmgw9CzaXwQyq+OIau0M llstnw7BaEY1sIjCtsC8OgTtqX9QI/NqxvHG2orWsv5TotYVqQ/mEqRwJNYjjHAAmFuqdM9pxyQ/ PT5OAXXiV2ZFTjdC13hfmijDiB1QbvYN1K6VPMY4/GiiRvehlyTwE8kfiTcJJCmyjVpXSSxHnl/7 6pfQ1x6kVYvEjEP4tzBg9tkQrjmj/UDk6jvUQpQTBAoeil6Ub106u6pY9jS7bXpL7k4s50fwM2Yj taRIkIrUGLH5Oa6qCabWOikNUEcDz+4opmzPb0s9kETOKn/9Q8e9LtxkFlB0MM1Fyxg9AnjZ8iv7 lD8I6DPlcNG1ypTFpzXBvUkLTViUzFFupPBPYkr7Hw3w4S4ujoRs/Pnmb071j2TS2Iw0sXgouw7e QrJ22SgysYanqdkGeXcUFxXWIDGab7+gSblJN0U2vUHhFzmsD+REZJVZ+dqv5LA0b3c5pi2XtGcN +iWkdkvL/wjzI8Poy6hMcIfUYYHbkGH4VePTBNLg/6UP4/tHg8eOlUAdbIQVF5w/EsQJHYt6PM1Z kmDvVs6v9BTPD/aDEoWFXKcxEHokeB6SPI4tR5gfGhiHf1K+YfAaeO4rv96EOU5JipK3rpjuER5n QWRxYCpJlPdfq1JUk5LmnCEpkqarRUbPWaKVCGoJeczNDxxkMKkhRzyajv/cp+mOt1yeB4mWoMdm WDkidH3ISF76pX9FuB/2IdANFE/q4iY6PmHD0PzOOl2HGzaDMb5tY4WQD3sgdnvFd7pNlYLFUITQ 4QO4s7XDaS0pUd8svDl0QtgsixnjNuT2toSMppWvtZWb+pxwqK2/qOIC71vBnNMtoTYUdXBy8RBE LOQGq0hwYloN0/hlE8DQhvdoQDPmpuk8Wn2LVAuxXM/wxILAmkLi3pIERd5bDPFRXpNwgdLZfMr9 nSYsv5y0zNSthXq/K6S+zfAGPoNMpis6gqVfpSk1BV9VNuPHrigVtEFUnW6bVJHtMN9pGQozXsOx 64HOtZzKAYONtJxrJzsoC1c0zIoVEUhpK6Ves8+HAq++4I77QgInZ7hBzqgOXQAdLW7ctLjTG5rc 6Yl9b7YmEeKtMIzJUMtBbQlVbZjWe1QpOe+Iz+qrCWXlRD3eN/PJqFogsoZ8g0JXC7nhfN/I/Dqu EmcnloHovH1hnnC7gs0iXaOrphQjqe7xTeugBE0iu0puyMk1Onz80W38vlICqwzm4aDte3tDKbsK V9bpt2EuvfDqNpQkT6d7h0v7IDf9TBSogtO1dhGeNsPfeZADAEbLwUCbQodlFhoN7i1UM+WzJl6E Gbpw59vGO7/IJPHWOQohtnpHuy27pmdwKFZbYx4MJAC2FuUBHL/Fnil2j6i4IQ8YpaiziyXW8QTJ v11xmF9eOj/+tp4Yie7Nk7omBWk/nKuL0iX1dI22fGW3m5WbczvQl7f9Oy/zOubVGoeowhKqzo6c dnV9xrdRcD1NuGgOdM1guegKswXHFeOCWfWYwMFYXrZheDQ/Ac+92sEGWesz0hNRAS697JvjlqNP AM5IoJlUCN7LAe3rkEP9rTNv6AajbOdUQ3rKAjH/tYCWEB2w5WGb6h7GboOsAA0as778MPqussD2 OH+d1UEeByPXekAXDJaGbbUZc29h9FoVg6UVdvnkGnTGCGXZY62QGh6N30pXtmj6xdwMPHk4laMG 7S043V2q7iqhV0G/sOLeo6kNrjmQHReaxh7f6/vhyRSXhTXbDfNNokfNfGAvEUBwvaQCxohO+wif nyHjrc/Uf+BUrXR8klG1ZCEO9TRgQZQB+4dS+CHhTZmI/cVIx5TxPn60/u2zkjpr3gFzojJe+pRj H0oF+oNEzGuCcrWTmgxVlxUS1AVi1u/E7rFkO8hUvMgQOifpf7IChGQU5O6fVZHzyaQRm5k4Bd9j Lg9GdNNUeyBEiNyLuLoO2hdPOCMoeESfdOrfmMijBfE9hwpkfDtuqgzq7uy3qrJJpG+VSk2s3LZF nQIxWBvvixKd3MCpY/BpbxoDmVDg2SaTUQzu/tDtlCdSH8h9t/8ugWDHlJQDX6UBZe+59K7LUfr3 7UsINe0b0hJSaeabOX/NVwOhNG453HwDAKcvsE+0tCleyFXAAdMe1BVWh+8AMnGX8DUOzGTwDEF8 R7N6C2H+Mvpmo9DCymQTF3guIYcjVl76tKbWSVHHuN+w9rikTFQ4GPKEY2wAJIqmEv+uPE+/GwrB C7gMAJtnIkSvzTjlTeIz0ddhO/W6bWfTc1qiLOmhqP2QXpcDvzkEZcjx5g+W6yUF7Yn1kwVKeQZK 5TXxWVh0fFCwhMgh1NBooNGIZfwhq6HgGIJIAUhRe5FqDXhD7amQNRy+Yn45VrzsCOgs30lDbyG7 J6u7HRTHI6njwdSbqcLFrhjuCcG1I3k0TRo+01Hr3OeeLI8neCpDh1Qg+Tp/+9Ay1LcMmAQpTgUN uGMPpjKwV4b9YXImA3UnhDzPJ2MBuB8AzVwCpC8EhBny9cQUPHlHS+yiNAcvQcKkDhFd68obRj+A f3yp0gpZdswMKCQL5b14w3w4HlctirKO5KrrhQt5lzejjXxomVZAiKaNqjWmqOZwvt9IBZz4RNTY nbnzHEZuAWIo2UgBmfOPtd9vZ8EFYkPPyzCy7DXnoqxwtKqEHHbkSQYHjyFibr6O/CJi5MoLvcFi 2hypCIVn6Ga4JFZEvFWHG3cn4/bXRheeZwEfN6kcnl2ydOmbd3obaVHuX7Y7+oJzrHHZoeAEd+ME v5Hs/aNtHH0ft14U+LXJzp9Kdj54pH3F3Bs9xfOPDtKqfpuKZyYEptTB/tdR528Z7RsvDDQ2CIN/ oM6FNqFELqB9LrqCBqYg+seocDoRLEILoIF/SxdRWKHHnQJzwDjiKQWt1A4LYfzbMXUtw6CjHM7r jaRZKYNL7l/Kgb636IKYEdc3cBny0C+neBx+Sm5DqJmBoLdPC6ks2KIOX926s+e79ZWR87jBzRb5 gkTy//tZSuiZJ0V74ksN/K9rcHdxvNw9fXZD0ud22r8FG0ABMGRtbPWZCbZm83+8ileBB3bx9YmN oGaRTnC32680KpCKds9gOj+z8eCIGgZvW7XR/J8UatWUNDJFK0HruI5+2txlBSZ7SsnGruRrBOXW GKRuDxUcorq0ar18LjppUsGcE44fFJraKyNoOyVZdpyC+Cvdv0d65bpczwGXh0h0Qe03f/Kj95Nb Khp2Rz0XGdoa3Ikly5fndxrBqKkZIHNILpmG5620q8lafuhhoxqYDuHr+TNLNjl0B9c3UlG6Ix2e 8XHwqsjlp3AweAEwlhgzl9AIhcXqfvJO2EUf4usTjBO1gY71HR7MlsjhZpF1CcAKkIUKoeyC/FdP GN8nMsYecOEUtXkmSZ7ag97wVyoLdwROw1sogSrF/y5qRUVzbTdufDpFHuPXOPAw8t7QAvhl39+u 4wGRQIDt2aUfqevkQAawrBuYTg8eNgtnxTjSZx4r25iZwNPqNXoypDaqsNTsuq/tHq214eKQt9a1 IXHdSXka4TsRjkvBB4TmUT4b2qfk5nRMvAhq5d4MNjoonNW/meLhVRht8huAudVlbifD8YcQe0z8 AtOwLIWNRPRP9Ep0k7/HEOb2KHPmKs2x1+Zoi9owedDWIGXg6JXUM+yESEbBjjs15qlirm3g5sxx LPIdbaZ1qNA2biF8Ya2SigUkn6FWr5zJ7L7DK/hg7vIn2b9pb50mTD64LR3gtLha11V3N5yGKJAJ +hczI4exRv5zhIICJURzLCZJABbL8abbakeGRl/Mijd+U8A3P2lMoedlonhgpR/nkiocrZyKpFDH GkmJpQS1QfKJrQvNA3ABzNikFd07GsqKQtnf7RwI94v+fKzSqaSQFJtxpEbMxwefLs+VNxumxi7+ 8L9iejQjxoeyh6ng9Fu7w+Knnmd4pde7U4itGDTpr+cS6R2TTkcmrAZwQ4YqkkW3iQ4I8IgeIF1G Jq6U57XiSwSiHd2aPd+4a2G4zL1Kih5jJDXZAY64GYuITHvEWgrX8VtlJxS9hSY3pp8z5voZ0Ssh 9xUoyn/hatqNKqO51a8sKcnvBvtiSp3BzEVDM18ZeoiZ7ssmPwVN1VkuMJhjccEhrRqQ8XAzds1G +jK520F0eDsMEiWFZPnlC5nxsbXsuH3InSU6AeJhKMQYAxFvJjscCrcs0wPo/NbUgP6OlWiX60xr npAkcaVqGOr0jnCyTvfCz5+m0VfVk+PakDSVnNW+B0W9vU7CATYGgtBcMKBLxmD+LMyQZzfHpmcn pTVkx8sNsvQ546b6d0xc6yV5ha7SxPSh7hb/Olyqnwe9cIS2dVLa2TxDjpyec0F2LlRyXhFtF+KM p/CXxkuGTVnJcOsdR+mAlBpNwYrnSeYZM8wfglXQP4fyrDcd1zI+dhxQfikv8Vks07l3biWy9ut4 rMSHWAwe/TlyLrySwIKZN06u+qWkzoVQ5TIuqjgGkkrPC0nxlylMYJt8x4KYU9288oRmqnXn9a2b sb+zsJT6OEDWpMq6wkJzHyFLrbKNepSbp+/kU136uRxkLxzum18b2Y563SE13h+3u2Ke58eXRNET FDRy8zMcA//jp0ZIltFo3ZaZOF0L/5SncTurFLKNpCBpV9dFF5Hu7uu/16cBJK0E+AgMmStBHq9a o6LSFJr+hXGLsYDibay+Lw/ziYqF4ShhWgbimj51cluCJItEZeDUq2+OXHZxv/TKNUtUihPRXGye h7xAz8sc7h5FEeaT39Y7jACnfHOVZzHKfLwI9Sz+I5aXsmRxbJutkNlKIJWWSKcsKvIvqM4Ib6zf XF1D8tBRoZ5CNXmc7a68cz22uno81KqtKFd4rFzd+DF+/6RedarQk1pRfg5Tl2/qA4DUzs79wt1l 10q5XLlhcOaH/m0Fstw165IR8vJbxKr8CcFZgJqWIMqL8fsYb+D171ZY0oMIq2Enn/78YDGc5OPE B6OzfZ+mdkKCY1ug7Sgqx/02rc/8UuwL52oyEo8Tlek2XclcL6AxlRkNgx/0chUVJKVBa8mqF/3Q USjGsdGff6xrgJ3JlRjGdBTx18UswgSyHx+Wx7h1qy94avzVrtX0cJyKsJz4SlJDliB147XWvQnk cvkxyTDxCZB7YUJhj3w9ZnbZIMsMCEwg7lAH2IP8DJV8Hb3LLFiwpzJf65zTu7Ba40xMeAThtln3 PpXZm+TUTp/36yi+axRjeKa+DDGsLvVcgqmgWYCVO7jqjvlQIx2k5q6zMtnYgRs0h5vBmO1PWXfR D2IZ27/9a1Yi30UDS/NoYB4iF2ulQiSiZviGEICcnZLu9qFebksqKgqnX7IFWnyiwL1+mYo2B2QU Sl+XArvshznh+m097igNAWXx3MPArbYQeKbx5SiQrebE0MbYlGLyyVAvUDButjAc1n6vjBTOQOUi wR5AwhEm22l0g0Z13WnDywkiw6cXNjOh34gowyUaJj7urYPC54Gdjxp2bmT+lznKxIsejQuw9CVw dgyft8y5MGD4Dj9SHMmNO0xuE0EYOx//5m1s6uhIUJzzPsBuk1tizF0Mvbg9KZ6M6rxlH2WlrQRH SGbfCMCMSws/Fe+cKJHcxrND8k++ZIyYk2xRwsV4F0DD4ejfOOUpHtxHibjhjJmrFsSR/pypOCp/ gkgG4omVOj3JeKfX8RMakdhQ65vFKj0aqgRN7ClcgKLPtVmKCuH/0TjH6+Kns/SGfPBwoK3bj1sx 2ue6cXWNOAG5W58K9Xd5N5+m6c2rWOgLo4zIk9EEQuG/pZHbX9zm6FBoVrahQMfak22rkSi3K6Xm +qCWOK/aphR5ckN27lt+EhK5tnRW2QHLWuPGeIOVUdgffTKyS3BvIOMF+/VHOUcyj1sJjUEV1W06 7BQWUj91VvZ6+sB6hNNJa37aMDh3vv8yHFfSnnwhFrk+mAkHJj3RWXmaHA5o7q6/EioI5ivkUuSZ iPhMFxxKeclbhgI5OX263Cxjfoupa5g8w7U+GWid1eD4o+4XrR9YpjgRNhEFBxEwANZU+AysuF1+ vqcYhUROAUeBGDN4uacCMHjQdLo5j5B1kIzayCsIQT/SCK61Jn66GZ6RceyX/rPM7UlHjsNR8a6e iuoOvZBsfhRyZS8W01aokQZFLzOUaZjTsqDU+av8eyYg9QDP+yT2mWUgqRXKvKgkyMp2dlPNgHeX rkCp68ACE2fTQLCoo3GEkp/65kk2CRNgsmATmWt125Fh9mozzxF8+0pAzuPTuivbaoK/uYDh55J4 1t85ucb/wjXk9iK4s/kAhFJLeizUMc0y99poP/BDItN51NG2WCTa0l6z3cDYwoSekgHs4XJdPxW1 qUNfyAsGouJk4HvFxeoeyvxr0oBNqcyu/N+/ZcsgM7cTAdT9WPGUkOjin/yIQDbggOO78u7Kdw7J OvHT/9Zer9LdoAO55xCxQDVuIG9YLQB+oPXbZe2L7IE7r39X1LSRXNBcu1QyvQ/LjokPq3bu9Ybw 07rMvhPpc0d6NSq7sQJ2S4FJTjAyyh60N2sXUHdGQk2Lzoxs0FDqFbfkqOSB1DaLbiTfWdmb13FG M0JsOLv3vo+QBkzm2MME8dHugng3aE0of1FH+5TqXSio7qrMhx/in6uUVQ4T2zgij8iNMj+WM+58 /EUB5pxKqwdnv4m5oRseHRZJghzeylNLpmd1isiGV59hQyfX5C3ule1RgGRCCTCHIJRnsyOypoE1 v2z2xsC39xVJdqUKsuNsTe6ik4SAntmQllf7c6hlngwtlcV8y23bVpWZL8urSGjCSb1I9O7Anb98 d6OfxYqz69O81vPnlM+WodC/WOo8y4xKf37aUc9U1MnlwwiCQ85+iqloujKUO0UYJyw4QRbB8dwV F2qEghExbAbSELDmV+3YJB/eIBzh2l4UxrKhYoh3RjcgfrSk/9N0Ze8VQ1VGUeLxmiAnT04kh7zR GODLo4hNIpcp640So4AFSdv/mRfZkrvsRSqvv+8e2Esgi5yiouXzMmuklEGtTPS/yEfA5Q0sIabK rW50TMbJ6k9SaNlxkX84TOO4vTqFfQap2H+Svje5AKWU2nTSHcij5YDoBbup0xd+ZoiwkHYTiDW4 r2kOKHM2gQMN/+wuGLhXw/t8fEkrpU27zx07vnGy7ZMnuVi+2TzQIKsSpeZlJ2A+Ecc32Ywzfehm 50TJVt1Yaz8IWGnHF+As+DvAm3gdujgXZWrDhvLgxUwj4euzEp9T8LU+VILCdTJ21MrAVfBQ3ZcP UPzwMpDJXewtuwk0a+7ZOf1lHeQLl6jhufKv85w5PPJ3/T4vGy8EnfRWCqc1emZwxySPDGJwh2Km YhOI2gSQ3rxgo3MSdtDnUAWIXt97GfmrEyZENZ2RnAiHpFAPEvmDGzUi57aMoHnc5OrA2D3ASs3W ozDA0slodeulQ3s2izR2ABO0aNxr2cFgsIKYpoOvOhvoM0MztK8v+kakPtw/KXjtfEnraUYONvkK 8uMJ2nTMr49Yo/LQWx1N50qyyws9YY78g0m0OeBQ/ROapB+ptiJ17LI9fPwh7EUl8DEnLDQ0slRO H5ucQzgtBl2b9Nr0ORcrUeXMC2dX7d5aYGEalss0QzqXTcZUHhtD1+Q7jPU3NzTrX4EooDhm83Jw swlk75kwuan4O7Um0zkiL5EazSymzH3TgZO+8lUEMWt2Red2NSRpgZHJ1a0W5xx7na3XyeM6/7KY mlJahTNDZWa0UiRwQGwwGmuYzGXhQidky5yZvHSBo0RcLwrJvMAFZ4D7s28eaFslxAn+ZMs6HY3Y UrVjl2Bmfzh6P1csb6XT4eCrmKNE/crFbcUtIWUt0NQ0dXpjMEQ6aM23NFFJn2CQGuMrUILERa6C SzXaHiMQsxaAVCDr0sPbiaqiLayWDaoE1HLlEVL3QiiDlC4ZaxQe3D1357XbppirDM9IiS71jCMO Io759dWezy6KP1R8cX4JZqs82yTwc6vGtWxsaNMtK5aW6IGER0wQI8pdBIRAJ9eMQRbkzkaYKwr0 UGfyH4LFtklwdCKyJiBIkMLeB5BBhsPN9yQpPeSf6JKOEIUH812zi9B4xvU7r9ORma7NTYTHfhwa FdpM8fVmhNN8SOoNdYT1T7dNeM3DmoBxUSHhG45k1bOLDmh3uGHbcmrAcdOWp7++D+V8FrfnSqaw VHMXCBXNS47rcBvVaklADcCWhu8BjRxppR9/R0Cly4eyDGYP1ZKQS/sn1zFbMwEFffiG0XFwtP7Q xwkm1U/8IEsO2kfEC72TpTtiZWxFqVmL0661zUoUsDfr/Xa9LHNeG7Pya/2LaNEwaQA1OOfgH5uJ MuQ3PpH/3rkxKjTy/GfjtRBdhcDlRoTh7k+7434ryB0bFvmWaUcOhQrh9qWsfCZpy+b0jrt0xEBS LmjuinwaMKM9MThmKMFFpsAUaXcMwZ9s1xeyyw9t/ekfgzH7p/iSnIPScEatcE87y0HrYRC79wbB 4TnYQA2P4jisIKo6s+TLe3qwqett2OwhcTmCn+tiUDbYQ6lSVavE2svvLkscCxr0QGOL6u5XgoRb U77J/dqXEgImBREcghoPAmwYvADjJvpOagqg07u5hFk0SwHIRg0VjcdnNSMt63KHGkAjUKyOTDHt yVhUlhwW7y7AdrfEJ0ALEf+dqUsfb3lltZNxowWyPpmOmP9fpMe7wzf+DWZoqadwFp9GH5tGpAcA ge+m5YA8q3Z9ImS72EyjPXdnhtfcp3ScSVbNRfiuJEUVpY95eD9PupbU8/GU1BCYltN7Ifma9VlA a6gtvO2bxCOC3UhLSvSNOh9x+7s+7HWOyrKwCVGhm43YG1//3Fm26iZdTX9BLSokK+xwp36u+Jg1 tzrqaRwAH7hXXaX8PZRJpGjFMN/RCq1is1ZOpyZ/nz+JuWph07RYzezp192S5j8xEyzPmeTlm60j cswFfdfY+CwTpXtpCU0U7ZGuu/fHbFc9eHQwpjw1i9SuIUkwO+h5jyqL+xEi/wflGacd1Gla6Rqk 39PClV1bCeeeEYo1WSEgg/yf46JyPo6eQObGgs0cMZXu5sx8P1rB8KSKCeDcvC0PpHuwx2Yw/tng OUaWzgKAhf06JblZ7wY4g/DR+2mjb9L1fKee8WmkNIhlizLJawmFbfNFtMygGV+Fwb4Yf8AYkB+p Z09B97g9QoWwsvCWwS/6i51ZOwCoUj0jR6jvMojIEPrhqAM2OHucoOq3wScfBc1ypCuU/b+fR9Tq gVKNJCAYF/l8GOB9w6dD7gv1XUSv8UnIdomKP9BptyROaJG+mjAD3yFbKg0vIxxDdka21gMVy1WE DLlopz/R8xZgnwJ5MXrAGXE4ftGAoihXDmxHLaMg/cEmR8JD9ZtDwRl0sAqLiwtKn6O6qVHrPpOP cMkFsDCyQpqMOun1iRdflROEEppqsFqKIMMMobVvis2ePXPyFfLYc86Te3EwZRAHTmBpRxfjR6fB vb4aWu+AZBhj7CaoHC9HHqvJU1R7Ix9waP0G7fs6r6hncTOO1rzCwHyjq8b53VIuaAZeCWTc5XQY /2GbOIPXUAvKgG2Qk3Bucj7bADOd4OHZlXbDBOjxr/9oAyy99tbxMbZvrEaa4+N+bqtcBfRY/dnN r7A5lF5QU/iMBm32QsXLW6hS9KR3l7OAj5EXu/PoCdBmqdlCmR88rRL+jpyw9U5XzotRlKESm3vr hVvPaYbJarbWE7n5ni9OetKWJhDuC+4lcDKDEvDksGofe6mG8vltUSyywoAssGxvusblT7vpuZrr 17ffk2cBn967rv8Mk6VKtUltQXzoi3ckivilJnWsJ36YBYsMXMBYvbwP2A3xjF96v+Wbu5FxdgVu truTSrEEaWpg1yrxv5deZudrYV+iMbItGtuxAKo2GTMijYGFFJ2jRa51zmdqfZ6YS89ZFvWpKjjP NbIidj6SepubYFhvJbrV157fHma1lDVbHW3ZN9LrCj/YnrRKKvV3uK2ghb3rmVs9ML5MMyXXVzkD i3OBqdStGzztB4h3zG2arddgw3Lmi+FX3g3PETtcaRFiiJbSHdKXqU8Qw2uq+/gLfdHwkjtWHPIE qCnePY66m6T286WJwn1gWzvMq9SqshhOo1DxQmoTMqHGtBAPNqbDJJ3K7YbnaRb/H+XH335SlTsJ CQ2I3tQujfRxBnJAT5HtGQkpEQ2+gI/t13aVdLS3Bn62uM7r3sDqygCM6el+tNoqgIUNPdkLl+7G TEz5ieK1tAk8+MkRWwWjKarb5CAL73GERY+U6IJauXpKuk7V1dgWXg1NaafndMR4vNtghFlT8d0p PuE/KE1+OzGvlowLXEWtUg9vdfGmmm6whCNMBcVjM1+AvoRxxYogoZg9wrDPfXBi4yCelSqaRCWp 3GYeQDCepu3n1LmJpSedsHEEglDqK8Y8I1V1gh5+gcDR3rAWAGgblBfQoHWys5auiFnhh6X+AvwG kCusYqHu3lzB9ggph8xeCtc+cA7AmXO6ZccQFVtiQNlnIY/SV8TIqoCH4Mke1cbBcj8alehHuWKd LxXTLz76hxo1VpSlZ9z6mSJKvjcMosyKvBK5aJnwDNpkOL9frk32X2Ov/uKTqNtpAUn4RuR3F2j9 WYeWV0XyTfse0x9UmIbMRbPpHyWKe5LcecFs/DAq8hCjyWF5PNhS+zJo4/leSPVnkHd94Cr5gZaw nWPRxawH98mbAz13h/rwbd3Hjyk49SUAfROIanu8J9gx345sjkVenOUVgRynhUKTA2QCHPA0m73Q sGr8xesEJ/hnlzINzQ09XHc1GxG6x9RchpzVxqBAr+DfTqkNYGYqVCBmOrWHg/NI+MhDyUvQfdey WPyooncTMcMJSoYDgKDi9yFnj+z4f8WcvavZZphOXH5/BJch0g+d00LpDQhd3TT2WnXbYldE0NKN 4exLAVV3Xftd9Y3jwb5sDm0lBfCjIsMCXIE1m4zd2H6I8m8ENrwS5A+Wg7sxqUIdoxqUMDalE4la DeRiZZbsmTuwKoh2u8ekI/8/g1r8ShBiV2AvOH6idF4z7hEs4YYhfqwWSMdT1otedxY+aYFXFinT FD6/VyOej5qDEHfYBVArEjwwsOptvg6eb1SEhcMHYC+nqxJIAgUpo4t5Wa/+4FeBCWtp+xEHJ26z 4lYWNDswWCUtGbq0mepZoExMi4muM/DqEl/z3dfnY/Xd2MyxblwcKqtAvLEVLEKIKDJwWegohdRw 3zuPZ94VLxSi6twPLakWfvqra52/uvuMyqJpDXxJ6xuwmcDlPAaCKyxyGTOm/PgK3m2b0/iVnvv0 VBkF8ySj4IqRW6UH40F3Zrkmvc+8/pD/r6k9tXLAx+QfLBFPV2w6chEPpXqLFYe6fBzANgAUQFpp JZvpq6YZZTQZJ6KsOxztIxsFU24QFk84kGp09/qbPuGKdCGoMI2hSF8F6AD4uMhA1If2sFgUytgs wnMPed6jfO2c4XR9eJdEyQMaFAAQ0cgTU93jZXzqOGB2mjhiVgu0puO9qr6vK1D3lXba4JB/qXPS gxr9CrIjAuOltIBeh9UxBToEaDTRUgK+WFyCPY74cyf/rt7DDOXTy+brOiOA6RlTdUwemrqKZMsm 04R+9oyhiTcqcyl3S/bVwqBuP8QS1X702VL7uiNjZMZyjdHgM45u0ykv4YIoCQdDk97WKmX4m6P3 215HYgkNro5Sie/gftuvqY6x6HI5w5tWh4ejFAGIE2Oexgn/KxkZi4je6++em6biBUssXGoFKkrz +kvUD4y4HD9DGQEnrpvJEs9HE3TvnzzxpjxM0GsOuGm/v/pFiya/XEnpz4Y41YhHL6HXfMDNJAUg njYgQIGtESK++KkCZlm6KB9HpSrd/iMaRMmRSePVamGpXsE0PQ/6EGU61Yt08mhnEfUFdESsKhsC d07nZyMIPgk6OcFWjmAepczROop2gmj125IeYm/voshR58X+DMENTcgawoc4UMwxIFaO7kO38hRF G8wdQIJPqXB8oZfuFoeg2rztOkCf0RaFGMH0e24f+DfNGoozKnOFJ6ghhVHYjcQHDEnmrRouzdy8 JkMNB3X6+/N3x2PHZWEhd3BCjLva1IkCfsb2KvoBYG2eTv42jbniyT19iC17B0ZahUEOT5bBUtKw h5GDyeDL3JaXzUYxt0smPfa17ccbz8g/l1v+hoDipaRotBAZUcWI/V+C8WiEquSLjrSRNuShh8tq 50DplB2XNQZG5qF/abwHXOfB5IVeFKP/P2u8Aa7GatSeVjl6WIlWrWNAhb077RV/toZV4kZxbco+ s7q1sZtvkgSLKdfBweqwcT+gKe0X41Z/CaYwWyaLH6bC1oBnRCWdlpJ+lS4Ci/Qv2UVARKQ5PjgJ l2LZBIUrXdDUwtaQntXVdoHhl+rdH3mAMXSjsMBR74j2WMkzlaCU0wDg8OCTqpx+8+NzMm25Z2bG q/smS/hWBiaPRwzmSLkkIIs0vnhS0Su9J0Cd7BCYzHgO4Ib6vNoYLAvC58lWmifqLHBJDbqz3CF9 mdUGfgKdRgIHYmBgBT4oeLuXpgCL+ceDbUMOaIOLAosW1JrCJc6h8WemqPugsxki7ited8hRcwv5 pzwLiHM7xA4v8wYag55n6fGStL6ibPK4gN4AO/RMr2mmAePZLF9xAB+nEP4QeBghnMsesqo66gZB vcpJQcNovLUGvdBQPIVdCuTGMOLLcrJRSZkgBJJJFc6Vg340AoiStG1o5vjQROrHA4foRSDzA9dd Dp4yPIXtmqdeJebcL4kKbHyLhZQxmIrQPIlTrRTuSiKTYelXv2ZmTFY5jEi3xSyZDzwXY+m0OGDJ +GPUIYtvQjZxmtMi8t2pyN1GTosl2m+nAuWe+EOm6/Ah3AigO8NH/CzWR+kDWmaP/FyBFqb5dRfd q9XkuiqqWmjUdDTuI9WWPx73QLr9sfFAMDkhkIxDWlWD34gu8QGprcdrvYsMxLbxmrjsEjWoK/XO dYF/+uYb2kR808/fjuLRvyM9rzBW5gS3kD0cieB9NIQnF1GNsTJ7YyKhj5vYUUl3Ri65s9N1Jmyc Kij1yF8jvThfF2aaYlOHD2gH+vYA2i9jefYOi0piU4T84VdOFqHkDMr0NtVpBu+NTfZZBWaDw2ke WszNPvzg3Ov+E6NrH4w2dEVuni0DforVOYqk8eWCaX9SeIlzOxCIUevbwIZ9/VQ6rYGbe773jRQw 7zyVrjbNyWwx9HCqmFyARXTBZ3QEkzja7ulGyRP6h0CR6LV292Dm/DpPWL0FvJnexfrPRx9V9JhP yODMc4DVZKwI3vLbw1APcDXvCCcexw1wJV+W8LDiXhfebSPYs2iniOSwxh4yJ2uZqW4safOvQwvf 535wnJlvSylCGEIEqKTrHvrZvW6mEWrGXeuXx5APy5X61bxDZwI5uENd+RASCi4ZSH0wBfE9pOMh Oy0TUwzcVURDQcvnhkdsY60m8iEXw9P89Suzrfat4HM9qIeF/79IDTodGtmIaIPgoC4SAaX3uHfm zpFj93TEbSOdftJuh5jLJylZiMCqDdam/9+6oVRrlJxGWPsNOCXusGG8QkYOKN5+/K3p8kHs60dy sJCVHaRuskF3+rjBUkUV4W0eupFITbTAxczWRSWc+BR+c74fpl3bi125f9QchRpYl+Fjk7vKuSxc duMON9xQu8DdqCCZH3PWrSl5Cqmm8T1yl3vtbo3tzzbN037WWqcpqxAbWbx/JhEJP514VcTxJfyz 7WDjzzZ0ax85Xqh95K/ABztUXt3PdkpzgnJsuAkYMp5GkzbqLxeplklJvw9739a8Npj15Rv/aGx2 29Y/ZmGbjDcmH+snn4lABZA9qX51/A9JELgzGurBv/XSYaeK7ccu+0JgV9z0gIhh7IyDnA5qcvl9 i5QHdEx9Bmn+2RfxibMO/uqyRv9J13FzK7X/UH2Ze7Px4sDz0ZpPJpCnQL4jjBc//tg2WctzxRrz 98xxDmCkFbwbXDTPevEku9u4DIT1t0Ga/1aAutRxXpm0I4OBv+YOIj23i52weNk9rFJxunhrdapf bOlsRIp8oTW95kznBfumWdiWHYAjvSiMyhjb3ljuvint6d+iYyf4j0G679vb84ajxkpV8km6M2MW q5YUsQYTbwKui0WgO9ZFM4UFNiUkzDTU4BYs5mpYBaV3GC/hLraNqw7fmuk63jN0IOvHWuLFI8S1 T6psTOTDdwJu7QKF8LQF0ahg2FBbgEWyJzu8SkzckHBKaPQznMwS4nr9gQHjOuSvn5qJC6BL5X9d zVxIQaPdxM9yOZQ+/Lkz1xYoObLm525J7la5VI/xXa45MjqcMme7bFBgDjDQHBENznpJmfuLautk WV10KV1r5H7BeGGdVvC9Y5+odg9+7La5FOadMlb7vfgC85jgHRoCmhosD0U0eTMK3c+kPPDrPwOf Mxc7QK0Oh8id6c+yy/ua1AtW+ahNKxiFwiVeSLBmjPg+X3PRcFvEEZEK7WS5BlfG50ul8oyhW90g yGyJ+Sp4uDyIahDYxjg0go37nC4uu7DxpLKKs+T0ax0GMgYSW39PtP9ZJGwHGTf0h/o6NW3lzaDR cYb5SsGOpSOYSi+15GwXfAXkbXvE6HGxARYt2xiNYSbsgk1fndChZ0MxxKNHsSZ13tnKp7r9K58T AfEXnBSISJmrU24F71mIp3ovj6tjqgC+Iy0A3k7P7Xh3A7s7E+g6rdr6PxErfWjFNsz1QR4dFWnF qScmfWZVuQdNFTvcpeFnxoKNXlwY4uVY2vlrldFOGdNSybsL77XMdht2Jbr19TXijQGyTeF15VHi DmPg9L/1+qaAZ8JjytrOMIq4Ay81oKjMHHkuOPj2MK2ln/Qvq+sg2Wu2G4iF8GRfYwhI9rWHeC/l m6yAikH/GykvPWYnGhlmkz9HX/nVhy8rWhLZqYTkEqF6xl0qIKMJH6hFKICvDejZdbCtgwWLsQs4 5Zwy4oa2eeeXb5yNufBMxpfW1LkAHSjTjWkpX6t78/T7aG4e3SHhpTXdnARqLV61nficjyasnR4X zpsLhEOmrrsJ8SQyJrXZye7jIrNxA8NY9GzFir3qXypGFMfqZ4E8XqB2E0s/JOTVysPBYWpYhXpf OKkYzuBqCJCWoP/kD3CO8qjY/HYJSJAMuKTKlUchkOyW6VMTnicO/FWEGw9eij806etGCleBCwu9 8LJOHjvaBo80lqdhmfQq06IvndrFAtj73CwXMGTLXL7wSxavCzmoTgaH9ER0Og0gzrrovtaRxV9h f5nNwgaz59jcjUEAvW7Yf51IHxr2L3XDCJbJWiKwb1jYafH1UappQuens6bTC5mUp5nwrIi7QIBI vaaj4Tub8Cb9Py5PJQtLvcl6V4OlQqpHeABEnZiHaG5j1adEoROfaCzOzA/94OpQjAxbnMYic0j3 E2NK0do3DhIZH410zXDzTQNjkmH9tPEgoRRXTLbiONB3xvV3hcU51aTMQHToyvyPHcZE9dN+5dVZ Y3aPFQcWGsIIvz7fnBtkqbjWVwfyPU4iZQZDDSA1AJWiX3zzsMAX+zgrqKo4Zy0Q6hEHeO/XSiuC Nm0l0BJdXF5I0/mD4Lm/54FEraSVHPAo5+xE8pMChvOrry4/Pul5czf6hHddzmmLWuryrHs/toz2 EMG8xYJpQGtVYANa3jy4w3i4LnpDIOBY/7M4dy9G3986Z0EEMp9P/YhhH6knUfzH1VH7N/1GdlGp nookdgfbThgSJtAd7zzCm/8CwOVbp0ZsdsccOcs3YbvR+pooPsYRyLM5SbG5Hzwk9a/1Y0fDqh0W 81M5jG/rY+Q/+3NmhIKEqeH7BgCVBvFOVNtPLERgTME+P5XleSkQvw7kp8ANrawYP7ucNIJw6NBc O6f3oUsR8VypIf5SKF12GGuFULXT+tbC7bKpvhPn7HrtWTRCP9x8MAF0IzHQzn2lsFO3vtotypZA +igM6NnIghwbVYOW6rg+OKcx4Ry86gmHiYV+uC5Rh8MPv+r2zUw/o77jousWJotBueBPPcKbpkuC 4OzfCNJzOLy/kuXMjXgrGoFZO9r+HwOY/XWh2Ew4JQiE7BpCBt1pZLZhW084CG5w6qQ/uxIrKZpZ kBp5K5/Yng5fcxqfzb/Px4HVIA+lD1ug+XDJPkB6ldAW6CKd24oAkuz+FpYoIlEase7HG+4p2ng1 iGL9027a6Ww+iMgWh3jQK5xg8GXVhjPCAzaypst2qK5DTZFkqHmsrnLVmxvqAqXaIhEYiRrizrnT FJ/02siNb5PfhcJz3oN9dAvI5U/KR4GJDvmnVbi6oxtACBIoxetjzzWopX49AA36x3d/565NsjG6 FUjg5EslZGtARxbnPEJBqrALXFvTfa0Qj0MpprZnUEB8kwh1+/fH4yL9mPVyvPAFi1sJJ+xXLzYm M9c+Mm0SjTaKNlEcaA2acSBSOr0irFMQGiphtFD3uStyFi39BeACO7PjwHVS/ofugekYNxgoTPDJ US10hm1gEVJkP/vGOXVtKSq0G6caQcztJwDy7my6mCPhLrGeUROfg7imfEdLrFM4DQVzS8xIA7M9 H6K9kDbl8lUjv75fe4qKgz5kpNqUFHtM/kgcrsJnmUlgydkz42i4wKfBwi7bSYyiMCZaJ5HOcCLq t6wAm4J+M09tkbm5+sMMpsT52j6fux8buqiq2/itZO6CxYBcP10HVr+n7/5w/YPl0G4u5BKjgky7 Pgaxt6Xc5nqIOv2NTS3z9kz920wrgkaciGC0opUXmOUrZ4SH5+2Z+Zf67w3uAISJWdyrmTCqadtp u38WXCRDuTl6RPdvxORqH0tLTEZA5HJeNEjIUds7huX5lPL1YJVgT1bKWk3H2+5ZBY763Fh2C/Pw ngSuiP0SsboWi0Bjg+wNgFeIXo1zayfVlEdVRZv2IuruyHwoEEnb6P9gJ8pGwZy0VA6YyR5V2/fN wPHVlFNpr7TbCuJS60tCbjG3ejmalijCKIFm/kg+LHYQOtxYVLZuaVu46mO3gvvXvck8YNlgT4lH D7QMv3exAXSfH3njnzT1fmhXzHGB7asI/WTtXm1k7OKReYZMVdrA0oXbtvHL20S/CfsP1FcLuoCQ GahDQic/n2MXHDaftT7TEyJb7QBUDxoygVdWiM3EX1I7fUcBcID4SYJlVTN7JvAm4yk67zNyiHTv QXGnVhTWRKZDXABFTWzKiqh68MWl/IyzCOzFG/dYX+97LEj2kUndRlrrOOHC0l0yoWoW6Hq1em6q vrduwllXBjomath0cz4yfOee6m1iFl5OrtIuh7uHuN4ydx/TgDa/j6qJcjRtTVDbhRrH/nkGKwvd QrpjtRG5AOZJWEthRIRDHeFVuIUTbKrBBNVrCH5aaw0U8khWg2PbAZLNKN27GaT5oIovxfCGj/uo OTMS485dh0BmJH77pXijb1pFNjf4F6MHIf+3YwcC6pDkyKS5fKXVhlmf8k22aR2igU0KLM9eFWto k3p2eNZKwQ1KdjVLnPTLwpZLRAftY7jJCXn8bMJl8jB6aGsLvoRG+aLmxJYJ3iv/aoxu/GocPfj/ lpEg4ZTLziKlx3ECriLyxq1fZ5SiIsQolk5C+IUQxFK7+WVgTMqcIsEbjhPrGiDmJfevalN3kIkj x35I9N105fuJknaKmFM9ZDgX9x4kZT1ATt8qQwtTvb8725foq7ybq/EqlonpoNJJtZpeQFuhNHK/ 8N8y8r08EtXoFYrDaw1RMGCBABZEZbnW1iFDqVBca5L7Dw5ncCbKNDJ3slmyLAsY2X5vIs33BeuD pFEEu0qQp0oZZa2n9E+XmthDbLT7zadXiSY/ujaSnYelRBrdy21lEMDA5f/GNqXZ2KxB8ifx/czC R4uYJbIhPkr92yuE4HzVk1bSDn8wfhbDhrR2MKR6OxOleI/4JTh+Qd7QH7jnmDMPk2/eEsV0xShY M621dQGqHsl5xzYQGe57gPO2jFmnxjKzt6lusxQzDT2c4E1DjDfhAIgHxUvMwNGQGYSP+fYlbuOX Dj8l5TgoWJzws30FE5+QijSytmR9vZVzkCXNsqXcNEOrG0EIeK+J258wxR2TKK0HaeSlyIbmaH0T OuZRcl4K2Jxd3gGST8y28FvoIE13wKvsqNDyYVp5j5BSLGZGIfrJvCVJw+vg9zis8CP7pPAr7wx3 qoBvdtDM2X5ZRKuUhhRjz4Huih1OOLPhd03Op3yyA71V5rzU5t0JzAK6x8kT2G9g+MvIGUGgDCiI RJs5mbgYUIaZ8Bfs+p5zefq2IiGIRSYxz+C0toERk06U6+6wMP0C/4VBTtUN5UUTXnMQBD/n+tTI p2XozK+5qDTbpsf6raCKpgT87qGG/MzsAdkp8q60RYoVB0dT5nZVVHOEwjKfj0lEZRru1GwbywbU GfyyF+mK6S2polBXdSGvT0CFRavNfAwplIHMd2FGn2WaxWFhPNiHCTJ32kZP1EvShg74Ojn9gPAe aoBoELiTC+REQrGGqTBgtl2+oyH7yHe9JD6YNG2CJqU1px67Cc9BoQLJ+pMvjlbNgE0sCE+EvckC P6s95DuqYzA4TtfmPChGeFvCPMHz8XvLFN41ILTXv428ShZykAyVVh9SX/TWSJ7yvy3+gYLBF4cU SkZvHMP/1joOWxorayhw1Q0ftt6MzqNahxF4L4mtW/3p6JqGWqeNkRu+CzPpH5+1Ii6k/dkH1WJy p4Xt+tZgtdadOuQpZ4chopgIeRGYLcXlhVlS12RR2LzT/ca+1XLjlrY9kGH3Och24jxgWRgFWtXX cG4dm/BqQElw/VmYnNl3iOY+HNuwljcs0/YXaT6hspx5bGcGVJjgxC4mG9dsn8ygzUu9n7bZw/e6 uTjradGQxC7NZ1AwtiHMZyiKUJYfzos8cwpaGcAh5EyUBU5wTTGVJjFW2DJ/BoHaNxXk4D9UmctG M9B3RetHQ9xardHsAywg2nNa4GTd5FUXsUTm6OC7lyV/Ts8ftrybreTHKnG7WB9uv3MTAccZunXl /gfAH6M6wOZRCVowkRsR8j92ARA9/5evY5JK2pgZzt5wtQu5iIfWnTYs+omDOSt9w2S3Eh+IYX/W rB96FiTqcWunTRqxvie9Z2npxn9L4KSzKYO9vnocy78mfQ2GOchL2Wbeu3hvi5hp0Fxuxfp5Hv9l 6rn0Rcavgl+K7TwZ69GToLDMcPYvr3AW2BTiGRW3s09JoSQgaY+rySIOSP2lwGZKd1xnnPwJ1i+Q 38VTlxfyptrIsbVMt9j5+3qEjGJnQwaSlXx0mX57gmqbryUq1f63JsWQ8duzVcs9LOyCzIiT9OPs b733NW/bL5QTIWMc02Xbnspb4WoTE8BHr+EBlTT5AdPbQnS/ls9p36qQhuqxmc8xeNSV4G+NI4Ek 8W5ou8twXeoskanMANLs94EBX+KbQTrpsUEs1szr29r7kq6V2Gxr16CaAQoecmDnf37q0y6jwGSZ +qEsqmcoGyfw7EAEow4Vp8oa1rf4sUurX0FE50Q/I5YOQLTvm3KUKB5YFc5UkMXU02iVKZ71UWve +Hx6GxJQ4W7e115G2YrFRYcYlUPjYJipn+xflHi0J4S9eJ3J4ymZktRM3HNWjQiAjNhyZZ+Z0gz/ Emx63eu5EJQruiI/TbwAvQW2jIIlTOZp4fptBu09qYDyAVRJ0YooZCERNY8bJGJmDtqk+V9u9Riy 4OKcnuFoJt6XCEsjwSSgFjhsICpYxOW89EtOuzD1FKtP6AIf5qZAOcKszxHpiAc9OoKUO0O+0gjx KgRIz/9Yxmt+DLNibqqMmPUkxk+pYBoakl19Bvc4j6FjutUJbe75d3IM8Ul++vULSTMbkz9zpGWB 8rv0guwP7CgMIBodcYueewQzsjYNgCi9oMV+2M/7CAPRc52uo5bQI15U6Pq9bclHi/sdkYqiZuCk iuE1tMQlCB88a8r3GQcoIcEpGLVGSFTnLv74UEGhY5hQVL41yzcOvJqdogFtV50Mn68qbNS7PFka jLgiDMxrhR30TksxMcTBBB07XV64OsSmUK270tWVsjIy4PjzyO9kEwNZp9H7YTu3Sfg5E0KOZhXV w59VmahFobEFw6qS7l8uJl4z2XIjSlSBH+auJlKwYD2KUg231YJjquxeFGfmKyvZgeOJU197APFg 65RISM4muclhrlJQdMyuuwhlOMdsfYPH8oBjaAtTB0DLRVgtV1pS/RTaX+Nv+ona764E0e7SOjpW nW3Yd8nWU3gObhrdrX2O2Q5OT7rujEuuIf62vOv8UddXrL7pCzPUNwuMBXV1njIEnWzoojbAMtbR umBSOiCSzAD4jakN7/FOndEIvwSdG1sKxAe13q4oK2yN6vKo5E/3MYfbgI4pElvoRkrU7E2i7oAr XHJxqIJGnSiF+LEx9WUS2/Wkty9xak64Nf1dxjyDH1LewYjkskbYnEA6vT3OHbHkVkTc/lQR5JvC ZMZopvyMitPjAdOxYoSWXuZjBNOcYPz6ahxUg7d+ijty9GZ6bSrWmYap5ytWjlsjQLeLcF9N2BcM /Xd1RFgbWnMkpBqBwv9Xeu2gj44hfn4H8n/oTxLc+tIyaiCxSmU8ACTQyeZyqyVEG3d9/LVGmCy1 OPtJRwrld8o2qth5MOsYMsnJoQm1gZ9ENag6sP9D11GgVdCqWX6s7tdSdsOvD33DDcAX/MBPvUUV 8RvdjfciFdKXjRUn3jaiKfBg8AvVgzWR0d1q00D6oF/hLj8rcqiEEzKFIx1jtVY/ZiklM6og8v14 UqoqZz2F4Qx7W+yKs4NgDKO2EYI8Rb6MdH78OvCrkgOCJCmOjDh6+BJWo97nff/6q43Teu1CHVtR f5PhEi0W/1kLuwi7jPnSx1spG01iQ+2ZSa9d+qkeBXn2vMRUMo19A5HXPx0ZZ+XDI2jrEOClJhTT QivfScvblTmmyasEcSssrmzcmlKWoM4qFuJKb5wdIqc4Z4/FpCCYgQTg2yuZxHigDhfLaF7CktQR EdcXm8ieGbZ/xbtg7buzzjfoxKOB+8JogaWkHptmNIlmZUBecuAGCgpI9OUfSHrcxTT8XlLmruXp 95sEX1wzs0inDH10vw79o10qxDMLBAjAqwT1zyEOeQGmhzb/H8Iz7TyW4xY6GOE6TNNPgzWbYX2/ emxB95juVxwI4m7WcJqOxJ6A4KcH4oW6GUMSR+jy13n9vC4YppaFnNv3iqb7KgEqJM/tVPN/s2BJ luRX5uUw3aAhtRDFEwSnLizZDEIr+6+BaFxTAtuHnl8ew0Q2DxJ6Juo41fnhJBAXysgcWBd579vo vu4BjRI8x2QkuIvTWoBA8LTSN47IxDAKmzjDWaWCh5RRz53MaqzOU7n94aYiMupfFQMc9PEBjA60 49aoqcubpx/jXblTJgerjvOr/8gIg57A5sIql20mTsA/iv3zEFC2PgeJcbS5GJHmIa7zjESTgU6g sqCJcG1K118hK+V3eutkt2PlrnehH4A6ci4mmx49PvbPBaYVNV3ILKhorUzoExq5ZRdA/C9Bljqx UtK2xDCuQSH155M6seJZpk+rkk6pBd7Zk0dR7A5GIe3JS7DUEIF3ultzlGkYUiyDyWqmQKjR0pEL 4U/fVYuOAoUA3MkzSkZHdK+Ifxi6QdKqq6ZSIp4vGe7DpeJ/00vYaJfLTODDRyMa8V7kd9z3SEfH QePac7QRooXXlhYtioJX3wwcP8E/iXjiJ0XURfCz64jjmIj0kgdb/Tq70S/jIwjqoEygtdjrseAv vsAJl/CLw3K2oa139XACowOC/Zsq/gDYZ89FkiSaIpdk62Dcjg3U5O+HeODTxNrmlWRNtts4eqW7 DFFzgJN8dN6FbmbP50NSt9XLLfwdYp72zewkx0uG7IfUT8aN/8AQ/JP2R1E4ToM56qbK9JH34ejg hFAYITnIIj09yaxuzNPKlezypjnvjsRmvep1XRJcyNBxWtnZBWZdGlIU7tfOQ0Ze3lgh8q/YLUVI BbNORRwP/ekdjx4xlvdTtGUepRa0oJOr7EjfGwp6ulXM0Gs2N/2KUTXzcTmd2RMZQrNbAu5P5II3 M3cLP9i0PMqMFv9vfqsEyuEYnzf4/lYELrhQXXzJUMxb1dKmB++y5mSTj8mbEDCA8esHTwMSD0ok iRcsfoi3vRybFDBCeaSTvgqyKVQ0NpTc7nerLGNtHOqtMB/2vMBSaFWGSNR4yuqfqC06m1RyGELD hQg5Mpgfs3OUc0hQOFdo0ACHc05e3/4qcQmFPCHtX8Vh5dRyIYsLjhRfW09i9zcS5MkVun/0OOeY S18CaDV0hBsJvplfq8DLTA5T9BjUSC4L4750lpTwoe7Et5wuEKfKnm66Dbe3vVzF4UtPvsWvRRfs Cd7CP5mZfMqOomVTYzfHuYzt+qonA3OCfmr/gjAPEUBxelRcXry1FRaFXM5x7Y5YbOnpRn6oC3Wq 1dCkA9E/SMMTlvdK9e1k2CMWW1yOeFi/l1FX6eKVGNFp/LKVGYtFncauU7zsVoANfsnX/56mmxX0 C8ko7qzHO3oBdqP2DgqbMT4Ay16gTqewBpwxRumJQDUa7djPAECFPdz1OKuAg0BAN/qgThd+/5hm hS8zLljrNdzP0XKl77/cQlPFMCUqioQT6sxHj4MsIf9SqVylFDvQoeKW6et2UapfckJWRVjh7KAk mUMEPqbV8keqZkMVUDNv3NM8KOIP5/HVvVcCzauKDiOxGhY+K2AuBp50WHFU9Ouio/UQqDVxf/l6 XyXZ/ifxEnCdiMt61ZS9hrUT3cYNFuQiXgczvY1xIA57iYUBDzh522vbjrG6WpbrF/kfkK8b4YxE vafCRRBOwBN068OB8PWkH2KpsMNlmzgZo96vxcipNEYLAGTizbxa3msXZAcCF5belVuSRMvDD6mF iPyOllnj6lKtDEJr8IlgQnXRCNs4HMSf8TxngDwTizVLZpuIJMJ9Mq8G7kekm6O944XrEVvAvaIG i0gAkqLi/8Wy0cw5OwzXSv1DNV5P83Ub9oy5yA2TiCgTxEyT5Qx99CDov3TzvR8a+3EgqF2jqfCL zUpLRQe0lA1J+pU+1gUNGzYbFdjru3tJ+nW/yremzl7VnCmp8Jeo9/s39sNeK/wGxTGWFHoSwDXY cDBsihO5IB53pSKGkikJqMiRqNqDEUCmNT7bQihuHiSR1drI5VAxw7+LDyQ2ch20uZhDirkrJtQS dFsJXdLZoi/c0/BoPy3DeJTFww5P54mTmpKR3zl/IjtrBy0aD6l40zvNB3uVRHk4PlmqQqFLMtEB 0jQv0a6FMc+3X8ooNAW1RzCGfyTPEy45T7MEu6gInQeI0pFA0TJteZ7YLZyxT1zLPjkI65/5N31B rX+tRH21g1jJ8nb1QTx9Tl9AAIROpFyTuYitEPXigARE0L5flcniSv55dRB8afWDT4wojLvY7JJ3 jEw8XAk1GIH+m+zUqwQRMVEF1XjmbTWYTwAEN7Y0456yqz1Ii3yeZezBuM2yXu5BIvT6Y+ve3i3J bEHNnRFfLrdSzbgD8+DXHRZSK21ierkBYrCYZjXQ6DrCh6WkOInYJ4sceHeaoCITuUB7p0yAGwaJ cSSBMCp/aItK6KmZf6FOHL4xdmiRKEsCVB82VWt8UCNncIlFkBh/lTW7uZzX9wjt9c1XNB6HUxe/ 9jwk1W01HWc0bwQROS1ExJilpRHCQ/dmE+XT1PWwVZjmkgFD7Y6mT9uo9ONxCuXQn/xVbxAAWIf8 +zQBUeTJpnCSxvM2XgohZD3N14PbcIlPAnkZxvxRLQ68hu1C/xflGKqxvQ0a9pAjVlO0eE2/dXvH 18QlnQBPzZyv0dHHxYhDbdJhdkQzJq7m832aXLSdbv4YDPqYWeXUCB2W1aZ2FNrXR/A7q8/xWQq2 YIhIjF4VesIygNTy0ZHArMtubwuDLAIxdRIay8ZMebjsgq+w1d2oOcEoXgzMBj2hZ4jNjtpfVTCW mot7/tGUpf3Vrj5lYuAEQBRJblpEccurSzq4l5aQktKp2eqiQmehzK/wAdKC7W/8zhDEj8ldd+Pi tYBgD8+GRZjruhJLb4O66EB5uo5kAX8POfqfSndC3AAdVP51mQjRyY9jIxGu1hz2VxzKFHeDqg99 BLn+yQTnN3hMd/m/1P12yIHQUZTECgyWyYnyvzgtA9WckjsgKP/l+6FZugOCwh0Hfmm2DQQNsvsU 181OsC34HD4sdyUBi45z2Rr3TLJh/wUWReO8OhBIjyS7H6/01qct0U6BRkYiBuj8qnflMUV6suFK 3Fi4jU/82CSIuPKE1y5D0hZnKxB71c3P7TvXwqYv4qBFihF5DBnO3AHZ+XWc658SKTplMqfbITzs Ff8RNLbjVXm2tiaXwS/TKefIwgkIJVAqQPEYMp09fNHsflq0mXLQ5Wm5WpcTmfMUZ3xyFmYKhICp 8cpKsWVvnSv663WDAfmp0WeizNxxP5u6Jmac8wXG+zM7mVE3ZvJH4KMch2A/aCUw9fm7Gnhrn8pu Q374/DHpwgvya1qRZCjML3nNml3Cfe8WZvuhzFDB+upEOZIRpG+8oiJQr0gDbIyjQt82lWhaTS+4 aPvU3tDowxZH3gmUJTksGNVxJeAwxewRAwYtqyZdf0joOLIuMDH/iPynloHjl61lqgdve2bTRBpB TmB81nBjB3UUJUfp3+aXQwoxF6st+Web/4a8PkukCzzNyJK8TaL5fl1PvDGdW9kvFc+j+LLmx+ud gCDkSX+0HhaJXIZuUg7hvTBeILXJrR0T+GaD7lWJfOoVKb+vGj98DTdpv+AnVT3aWRuzo6VUJ+H6 N/GMucI5bp5JJ4ZZyRzdo5lig+lvvenzaKXSChXutPtFYTOCNVyYcsk/NF1KxIk/UvugS1eL/ae2 Kqo9J1rGFdZnaTl6pswARLPTVnjfQ8aDo//rWc8puaTy0x8NYhBiX8ngCI61redfmhHsLmmaPyS8 zT4WEa2yXW2TUhna4KFpQubJOgu/l7hb3TSbzrrO/V1QlMssjAAg4PZXsCLw9uA7Tv4Zn1v7V4Wx bAq89pWnesaYXVZ6Z7LiPfLGZL4qJRp11snPWFl8qH7l92qz/e/SL2sURoNkP7jP+y5Iv0qcWAfl 5NFicL2lVGUo4EIFHApM8J3ALJ7xKNhs6kASgEj87V5spNrw+s33UoTvRjqHp0JFifARsN47DRGw x9D9nvEzaWpvWTRqfY6E4QzYCZwhRhBlFHaSNZQHObSStUkh92N6aZlWJbl5irEEORtd7PPyzb4N PDNscjOeI7k7drrTwqPF4u3z280tvhzws7+AYkbZ18YpRHCsZlt3qlS7ECwUwQa28x2Yw05b8yEE kih27N5RfdH2d+AQ+i3icsH8IY1Upm9gLQP1x+Ibm0LdQOWLIaVgHX0GmkSRyfWZ+VeiB0MS5K0U lU9rfCLS6f+92R4Ady9U0FsjF77PEXhkdUv9qSZej/FbS8VKti/8CVXHp4TxbShmgCdIItIY8rux TIg/TDJjuazJ3/GA20NFluBofyq+YucDu/Hyoz3fd/gJbhe+eJ/abCqcjsK1YFGGJkimbk7tco3O hc612A4xrQuvA+GD0dLxTTUjqD9Iw8EZrUcXYKLafW010sAVwKEytG5dv8c7Jfuq0Q78wqC1w/7D zKYQ+2vZsDfi9Y4PYNj3ZwUypEVMTeVCqMN4didR8Ahqzg3fCqR0lLxJRdWFkAATpR1pSPmkw8GP P9waTheyoQfOzR2SsKy6KFB8iHxXZevLwJkdyCZDxFK0bfYHZTKjjV6wj1Z8leVdvqo9p9+R2bzC 7DeJH3Z/4xroPsUxPqo5qZpLZQLVSfSCPNMFjv+g3Y83O/lroWQCVSdRpPWq8ZiwagS/tHFS0ZnL Rsd46+NuyktdKfeNFuaPt8Tc6dVr8dBPqeuHqr0bMY0entcfif3m/KoW0dkRpiC4JYpPRF6yN3F+ 86hKSIFt81RbD58DguNO0LSCeqyuO/itWeVhMX1L3gjp/8eIM3lI40K4DUmzPZUubZvcEEosrp1y H7jF97oaN9e+j1sDqUVjYMdyGQv8FnTVc4+J7/8iEO+lYGsQQ66dywNtrxQSX+S3RZ/BpoJkUMMt 3082unRFxUE9y2kGV3RMEwsa/3RikT1VjcXeAhTa7VUs5wwQNxMll1bJ+3e579k4XZAcHlYAG6XR 7hGXVwTr8VIIPvcgfSQJ9JGiNL5JYUwHV1M/glLaAZ6XbmMkveD2t3R44uATChkhr9/IwbdqIjpV zEz/KDni/FDeJwy/2kf9RQ5wdxwcpWSRwz6UFnjElN+yHlYivoliGaDOVKUDTpzgNKSy6TqDgW9i gUQhYredC82ZOVfjKKCzzDSPhU0zRyYqBYU+nPYgX7imZlyXsGi26xReju+2vIeibtbUdroXwkHd gMCUSUQXCCz3wyx1xUffP30FS4S/8zikxTQI4/C7MEWleFh2nB2FnTvCiQhRy80LcoS0OUbKpI0i ZiRlJ8CrLVJ7mUi4ENnh2nFCfDezZk0Ui0hQD7Z9VLcoxTcrdac285eL0a+thSPnOxDjrpgG1apj ZPgK7aU/shIZ7TzLdYufEOKVuuKW58KMy/ZpxMtyihWUik3jbQ8vr/4GEtXqCQq9HYWbgNFIBa5A iAkjnES0xNI1dHKkDIB042wWAn7y0MXTca1LOymElfJTDdSbN8RQ33VBpg9yQ8SR7ADUsM8UEkoe nzdOMdJp7qAg2LLAr53MQT1JWPv8msj3uZxuUw8M1KhQmBHbpsfDcvSe/Sv+JUr3+7SaNQUSlsWW uQ5aJ7a5uOem/pRiMM3BCdKBdyyQR9aySR1awlWfs5n5dwB9MwsWubjkNb/e7GwDGxMZyxpejQ6J bN4LQhAhiiFk61Ew3XXO9ae2h1mu7z2y5M5jt9yHZQFgoxhRjdbRc7Y9H02jCwdWCJCFamU0/LoR UIakCHQXFXMaH4/2fyTo3SRZgXWfnOa8FdXxCt5WDoqOb38KWK9//2zAXa4E18tb1CCy8mXmt2Qx UI11sC8QBC107ctqNVSskvcS4VrtwozdDRoBiBXTRbWtUWCgxyDhr2tB8rQKm7sHJ3nJoMPc9HrV RHcciBQ3JlFRWTfUy2VxKMZPBQmzxNqopnOCSpnkaUIhjQSuEFm9M2NF4pUFC+ySFsNw7/w2RGlI XhTaOKL8OjbLj4rayvwIQSj70H1ab1cud90e3cBalOeUnY6ZEJblHpfz9RJHWaz7x2OBJT1GVx95 TVv84YSIE7UqNFrJiVGZMasaWBDSdoIAsJ3gOaM/SmUVS32dVrPnrGsS8mN/64WgOX0aZiyCFmZI D91a7xPZxsSdFFMA3bEzXv1yvNbeTFdwudHZCkvjoNOWxyP+Xf3U8T+EmC2fMxn2mVuPWuxwQCoJ ovotIr9evNzIiYKQXR2NAA0RG7teZAtsYCre/iyHtWToGLjT1O7sDbGx6wdUdBh+xXp0W0xl0FGh OeOiTLl0QLJwkN2S+1QZJzCCsRwRKtouwtx/wE96lvN5uDhtVkoLmwd4oE/lctH68bbgYRUmg0dm hcXeERnq4BXD8PvwfiLrkz96ehdskA01m2NKLvxlBBF4zkxX/4ZKoxoijRkywQkxwjBP/R+PwOyW 68v5STs68bz/TwkTUjxr4sA6weua1BfuN/uZ0bTwWLLCx1sfVESQV6mUs/dnTGq0naeUd+ioL26R FJxK7rdrcMGKvFm/uWWV3QN9qqM6KmViL0MGJWQT2R/V4zsU7BFky+iQo7Lh0zHd3GZn81olPvtn 6Vd8MnAMX7ZpUZ/4Nn+LXIJR7FzXQrcLnGzYwiRkhKK319nTBQKhqqsR0Qjvp3F0Ijiyq1mAxovv VOo7xbarBDXK4OdtAATMpuX9UfF/al1pQ8FVhkqYk3GW+fk8Z2o4K6zsW1Lmp5EFcekPoIxlCl2d nFq33mtX98KC0KzbgCEGYoSijZnoTAIF+qftWjt9/0n/F/MJNrkbRpLlsuiHW6JMmSDA03GDDfs3 zoyWYU98gBdqGeHI6NuzVJTbkQeXAaIWS/HMKQD2b1FGCABYF8Xx5MCyEzUwnaGnYmiJTdRkTtL7 /2S2fFDW8/fuH+9J8n51PxSRagx3bMV0WKxQywVtECEbvQ+1F2yV7Lxu5rGiFkpA7LH85EGPqn6w jVG7wG+4TOWarcxGJBicSafLtsbUZlE7Qi+2PADFRvKnDGZiRbjiEHqj7oa+LLY7RaW9xLGK1PYF GMkeJecQrMuP54pQBC5T9idmWBfpBzc5wmJQX8f3lEfY3Depa1ymVRhQQ01Y1yBVXa39JagerNda 6qVXFfW300GrxlLmsCfPL16ZqOrcdRFbQtYn/LKzq31MSoXK6sNmD8MR0Tbssts312JYv9H98Vgq 2qowWQJ/uTHJ2bSvwlhEU0qU4rFEBUf65E/Lh5jGFv3DRetC2Hf3aWa8pkpzcubfi+39gxcIl7bL 2VPNmCnnAMfbAf4JoIzGJ5oc+OIdqng8Wk90lsR6zPC9U3cme6G0Ru86dyLzL53iESLOKz/Yhv7/ ZucIkJjz1VdXvn8JOeMrdPeh9a+G7vWP6Y2+SnWYNpqC0JXjrjdjzRuFPcYwv3Cz+q9/njxFgUIE Y/uKtvx5Twrm8h53dL9EE1pwM1JWUOmyAsG0GmrdZa/1AQhX0Up+H9Z6IDtFA38tnY4xFKIBgbov WRwfheHtLx1pQQsN/x9YOInawY+t1EjFYtFj5PHd5l5AXYzT95ibXyk3QxSIsj7IYWECCRnVlW5e Av0/YAi2jJjWo5hhozIVN0PBuYlVgkWlFOyrj8F9684qwTaY4tExSdWf/0VfCPJ6l7fcdruB/0gG pydzLw4LrTPlTBuSdZYdThGqIDj8MBItcRU1Yg8MVY3OtR9Av4V83Xp09B9Df5pBDA0HSXgjFxiv xh0HsnXqB+93bV+eS6GmjGEeLdFULDnebiTRLquVidMqClDmyHU5gXF+hb3xiJUqAK1GBEBeNO7R 6lCeHfLEWmyoW4fMxA4cDxk7hfoRwlEiZLOZZAaKQsyzc/eO6kKyBUZLVmfgHz0NBwoWeFHpxPJ8 uM5H1Fvkk27fdkxlWhQmmWvYuK+MqjMWj9TcKiwDqbH0FF+ahJ5fIg0l29u04+im8fffUet/xTVA G95zQohTqa4k1r7We4QDZ819VucNO+9wZIxysuuJ1nC9GRiw+xnNW4jNuuTruCiJxB3ZoJ0kmEut Njnuk3BxgAADnv21Lc6ZfnzJxo4IW6T2gDRHfLTUVt74I8mqg6GvbAbCkqdnWk2K2Fvm493Cs0/0 Vwcpq548HF7ux9f67W3r42B49Ogyd6Vh/jIggD4RV4w/8sPV/uCriQmo6L+3ADNLN+4Q3wlxXD2o cY3BodrH7sO8n/yTUfvsaf/q2fHX6VSJgyEx9CKEqj5tWewQHNXQ0PkgIau5hMG99SXNytl8ZHjT u6JLozFpx1Wf8J+kiNSd5gssu0cTF5iG+JBuuF56U8TJeQcolxYH9fTxRzko9km9kbJmJTWMAVhz zZShFLagtgum3jfDPg6CNNnops3jes+2K8hLkap5OuxELtHQWuKGPQ+U0b5AOQvy14ueXZ60JqxJ Wqrc4JpjOko0e9pYkMYkcw30pRquNztYFHzqAqUC80WaE/Cz/RF04bPRjyx334VAuPheC33gKI6c A0I2YtNiWYWcHYaK5jfs3mUJSmlpPK0v9FeDbRBGj41aOHCc4vMP8IqBD/LuKysuXZ4LE+5s4Ich tk/KiSD4MNwgZHLHa76eJ3PomjgD9FdM4Uo8culWZGNITt3AkJCyUw29tSiVDZPws8Oe+5eMROY4 DUy17F0LRfnX2aSRjNULEf0zyCXIh7oeuGZqpluwwdjCgbXIJraHuSAkUQvEMmG4SiC61uJi1jrz oBjdBeJsw9EDjycllWsFrGXbW7zIoSiCd05SDzogiHa+W9VqOoteboDxAB+XKix9BZWq6DAdOjbx hmTZhKhdDw6IvO5RbMN2mUXiBAJloAGsTLpjvO4mg+SYOhNqwcRXXPmkKxWLfvNM9LrtFp2IP2NR b+riko8bDTAYyCK9fOXS7DHngok1aNm6yRUnv+0bqKPF2CG+qDKoE9QljzSb/5SSsB13Pmnh1VDW RinElGp2lswEjySnbiGVv/TkkR2+PEzi53+sWFcAThOhlvzBiGRN5ZVcpLG/MrJswtpmiCC41Ke8 Fq1HYTLVwpIgeskh7Y2uM49aqfFxAXq00eXedTl9ckeDdwRE3Y66crHGlY55iDJcYJligQUdQxRZ hs2BYpx4/A5gxwFhhzH/o9LipfTNVLBILE251RyOGFfJJpvIPQSlM5qP+aJvOFRbR1G6sOKfSkHF HkWxif6jqPvM23LhU2Pd+UmKUBLGqEDQO0HresHmW2KsLVV/CnpKsoTtmuWWAb+fts1wBk3LJsyp rLr4t1HMitVvFLehASrTsVmm8niucH60cAORR0beuD5FZfPtPLoDGuHSHGrARktHEMTQy3x+9KoL 7giUD4zud57tLFllez3phQ45VCROn9/LgOUsluyJ/S+0CHJ+6+5iTZiGHvsLT9jFAl8Qpi7y+RvT YSFbicKTKUjG37fUSFODo8hVQJA4W75r1TyxrMBIJ0OQuXX+rirkwMHUHP3SwO3q0o9xTijhol/X KqcWeY1VUps9LRJgIHpLFIH5L/G2h8uIzjjd7p5QQkWZ62dxE3tNImyl8dF7LIdQqj9iBcdIdQJx 3qn4WAfcNJbgsZar26YVagvCOpaiGmjGCnUUcI8vHRgoD4r8nWnUkru6b1wmSyWFqfZ8bhqfb12t HJpppbCCqB1tRvPeV2+0HA6a8izESUhtARE92dqJXM9S9iTqxh3g627fHJT3XCRhNt5WVfefaDz7 /DqMdsVHlQaZFMXxsua4OP3jM2szdTIuT3/FbvrZbWQ2TWKW1lLqnkuCUXnYiku4bWb7dFi0b/Uq HCx5eiDqsm+6wqhz3aflw5zMwNsiPG/Kp+08lwnbGxcrqOAx4zZxWXbsWsv05cGxPFOviHKaSlkg +qnz+FkNrmt5XZ95I97DK7p47CKtyusj9OJh09X99hcEWyeZ2CY1UBtKYXL7YCoHcvfeby3DbPfJ tHUUypF1ScM/+/los5rE5YSCqMcDhy15faqm0JPLGlHLRFtM85QvWm68PRKDNR05eIBRkg7nOA/R Fq3si221mSIIBTbNxOBS60TsL+0fwAu71CPWg++fD1D6BBLSoqZlKimbKphM+AiKXW02jMTMqWGC bnbG7T9KYqgPPYV1mvPpa9tra5+PK3gz9L5ZfkqYkMkEvlciJoCFG35siRCk2NkFPX0MbTm3NLBr yndY26GAKPga3MyPrdSrFsEy6ebXztoOW44i7adYKsJIgCmGnjRmyO7BB37JMA2mnWh7DJQTonKA MJ1ep7KXt770qJSdUV3P7hXeT+Dl3lnYc7CRC/7EiGN3JBFmDpoC4AVazd2O8PCLP5oKJDO4iimh /bZZfk/j3jE7i65bEsXMRyj89V3Hkb5Jl0z5bCfJCMu/rbnyEZhLUHTLmojDLbCcn9M4l90pV9UT Qj1I+qqtjmsOchPvxOCqmXj/RZT8Gmr611Z6KxF12XhmzpbUPLFR2LDTPKVsvRYph2utsTQqDJxJ SlFzh7jWH4VCp87xiaoenKz3k7w7EWb0rhchenEja3YIV+7FjIzg4x4nu2Xd2wRcrnx2pR0wAw7v Jlb6Y6FAvOilwfCEIH9a/L4Pch67Etz7t7p6F4l1ZX/jxvy/qGGWojbE7lniW8i9sxM6QVXt3Zdc Xy7IyzLfEq8CELlxDDaL7by11oGSHTLjX11tLVpGo0HW1ViTMRp8EyYJwGc87Lxj3AumWEq/Xg56 1nKqjrK7gXNp6yK1Lfd1M9nbhKuKhZQBEmFpJnI9qPkSwY6PYo8oNnYvby6N9KhisBMEFqINTQ4j awsfuhRxhKqHIzVth5YE2oFWkqvC7tFx6asEmvRp7GKshwrPQWPqf2yvY5mnJ1irAespjgCh4yDa 3azoBlmHTZ8Cw9PckIC7Yve1O9hQA/emfGPYuG4xdA1Nys9ACETPa6el6cmGNtexUSceQy3LOCtG fXxloSjL28nkjbrWoPOJa0YBtNbjeLu424HLEUvTDk35x1x/27K1AqElLT0jhnppnCncwbz5K0Bz Eg7P0zFT8p5ROPPK06oZM3Pofby4MPGwo2bee0rrvKVBKwlPg7oGom2RrUkpiy9FXVD8ImQ890U5 PpkbGgT5N2+lWjY3czRyBoB/7amouu+CbEG6nRIdlgHC2npj4JERfTRjSxUGQ0bOSR7MVYNaZtte MWRm6OUMajwZoZKQ4n4eXT9e8o8hvVwuS/4yAPooE3aniP+ES2MCgbQD8aj8jWP3dQjdH0X9CYrL de2sqiTrOMjaiFpkBw4oQo3kl43bE3apdim9tcZTEFJwle8Mjo00/eFjTdx3W14yra4XTFHVXrG+ fWiC3Nm2JyzMzEPv0uT5VnvJEhZANtyVrJSwluGSFg0Q95q/rmAhb6TQ1hLYD9w0dXgvuERGDxFf vEqKavNmWAN1qVyrkPl3ap+eM6Xs37Hjapb2BBfrsvVy2N2sQcIseSV/3nI6Kpj4WiEKfYb156A4 JJVFkFz+GKn35gpTHVMfWp29rNgtQCHBo/zdE03I0ur8sfH7F2KCDn3wV6DLiV5+61D3ZR6oe3o2 aZmGr1sYlAWP6Px+6w3HUWPEeTxg1ZTR8DIWFN9BzTlQeuU/rSYnGTRIHHtWeYFcj949MqAAUtwc BCn8Ij7ggIABQ94JUaQWTjt5tbB5yci+dXeUVfoXSi0O0UF71UKzODcJ33PTjHaW0C0DAI263QkH Sl8CbSepGfioGlR3PXtzYOqmwgLs9HkCvbhkCb9Esb95c/uEo5jlJt6X9dD2TuLRaR4tFrHEBb+t fALfwWj6yaYmyhoe6bp9XMdoE2a+2R+1BnS1upn5iDfQ3aym6NeVgPTsKRjCWFUOhjYZUyDDLraU toCCtZyJgxNDPp7soXywcCzklwouNhWKBxxmq8mISgdIDjuCDl0XCXjvwe1VKPQ7PL9RQawRnTBr jdYuHX+Kh96cGkhAbCpgQqq97Rh0qg9spbekCIphYw2AhUd7JUwDeA+MLouc82Ycsivm72i8iIJX 5Dtlh7xhsRN/6S/f870x9QRxwJWM89/dEFgDXefGMyRJ4RBonKWFNK06ic9XN8ruSdfKxyjNQAb5 fHD+mbbr3J4OjPde4Gc5iv3zp5EQle57x9J1Y3gpzvsuwNFET9BfUjiiQTjWBTG1r26UQ8Pm9B7z KTbhImSpGZUDwFd8bV2fER4qA0d08aRs/CWcPjZfGrvk8LIffEMU8ZsDZZGZqEkMOb1MDgF0SHVF Imoyw4DK256DeVAC/mZOiNqTKAXGzYYvsjxXR6qqED3JnevJjU5TQ8e3JbQ6z8a7TOX52jPb1Rpk ZvoXnmXAV/4Q0bsPJ01/yZ2MJT6x9fsKUFJx3qM0LTDxDrZ3vOMw5NdjXJCswA6THTa7142T2b/1 21xeuGfMvz5jKaewmHoxlIHDVGX1oGUK9KD2zMpBGImMzLQ1MZQ51uLO7zxl32lBsTegNISsNYLl 417wHZK1VlNyCRkD1fG7LO3vZDmSYr9H/Jtj7hJ1Q4lGMwtzoC9Gylg1ruBizXgVxx+KhoMp0uAL GLP9LTLBPYJD9UjiVt63AzK2gImSCKbxkIHqNrYUtYuJ7jbN1DnspYkEgFiuDY+MTOTEi69G/Pph DpIq9SQOeC8IGm/n7pL7vhZ5ROibrsXvqgNCJNk6X4yI1bPgOuE2IQN5w30gXLlF+hPZ10T9dmwz d3rdP5JTq/6bc+oRXVJ/XmDa0VmJbfPve2AxH2a1wdib6kRPRdi0uCmLLB9gzJX1CUgLlSjB1ucT KWC5I3q0YMNCfmhA1G9nINCfPIicc1BgrzC2959fLNr90y+gxQiPKLCjL4LZv/E99wgcIKIfpp94 TNMwLf7NmAO3ovg2xFGzq4C5ARv52OnBwHMzbxgJw2wRDHZ1584oQavSYNqOChhou528NJPuMycH YMuUCp9qiW514K68Si2m85rzy94H1yEmdMqTGGipPfZyP76yYr+f6c7n5zr4+o4fS+uKJsYtGzwS 0+KiX7B20zHhAYzXF7DAIHN1rxEP3Xc+Gtclx+FG7kp17QySIXMhhvzlcUpm7fotpxnxQCJKnvFf na/ob91yJDe9F2l16W/525bjb47XZ4vVvgdLkKqOGgcaZx9qvSthIfJSxo4g2A9KhT0p3bHAZ4oR 8Ha7hjFUxoU26j7buRWmlRcJNl/pSFvPIwwb+PgSIqC7dMbiB33FL0GQjhJi49674oknT7c3GdDA Plqn+dfeV00xy2vE+8Jl71LvVWPNJDRD8nVG31C/F9dCXUwX2CAt/a3Q4RhgZeHg5G0HauRc7a7g iJxhFmkqt1Z+DJoFxic+nZz3Rt7NzU3YvtRFpzcRcAfVjLNn3YWkFUF3EyiqCYoqn8wzSPztcKFc +QWzd0ruxgJRzNOrTZQpwp6QwRU347voxDmDzcWBpzM5TERSepulnt/7SyWY9uS6+Ju8CpYyEPso 8zRyHcG80NnnwUy/ElgqcabXWwAqj33TlBFqcFj8/wtfa8WAvTtsTQH5W4f06Qt3MAmLYsagYmiG vAgikAZhXC4Ka9QqDTOfkCKBg1VwVmWYFvJp2E0pS15IZX1/Ka5NaUthOBZNTMfelEonQaOXnz4x XTDyEBoqHtH5dtB5u1bkflcqfK1enI2w7WNmGJUpEa7jrYyDpztrvcgMahDKoiKDyCnS9sQ/+PTR hGQQ5ikJBOQUo7YqhS4CP4BdWzFIw8yO4UJ2XEXvJdt1Aza3UJ97E31OxXSDKqZ24Sb+Lr9S8lIr +uXuHFbsjlxim20LRq8otq94mq2Jyp9AlDkLsh9XFa5ON4m3hYHxeJm2U2zNEAcbuz1JvwkiEbNT Vco3nDa2X55/6ZcA/Ektg9YLX+6Bvm8EOiAKUhFO2LQQwmzz80z4t0ge0naALRJIEaSo7fdDexW8 61+LoB+p+t9nsu4UYxpHJdkVjoo2XC3bQeNZcsuOoA4Ki5Z85Lxc6k9bzbZPl2y1fgpyt9/WzuUj 6CNuVboBt3EOyVcCrdzqIm71YjJ8ct5sg0mmyfUi/riTMrN0tZb0sxjw/fttIjhlhCAshY8gfa6C o4HIbHpxTP8rMvyABmEoC0RFU/KT+orS2XT9Xl52Vx1PAo4pog1tdSioKc21hWAlVlZ/M9+iqAlG amIa2uxiSi5XfTrLf39sTVr7gjyYzpso/kTTJiPhYPsB6xabxOQwdIOVUoSr3Z/ZTNPotVJRt3SF sBe4rIY2tE684wkKs/qPa01CTnkXdD/W0lsmw8xpcNqxNRFDMHmgrU2Ln5uDq4HIHtFd05PwKZwg If4VS7nxTH8YhG+F9pEM6uPxJuBdyz6s3/r9xwWBaVNqYk7oNvJuoVXkN65awVxPZYnJCNYyEelD mpCrF8yN8E5xM391o69dtsZkAkdBK2UPVXbHqLU3lgQGEorm/II1OFkeiWBD34yBDb1KIs27goFn bjcL+6AofdO+v2tZ9rtAsMl/xx/s7fWKxfQW5+TduxLTYJLnZHHnbz0g0fUwZLx3dKW6yUo3MzPr 3sSLyJdgbRldEwXHau5vRtKQpIOFhjYR2+yxmyFGb0PMfQ69MDDZGmBun4/v++R70amfieFgaSCE 8L4Y3I2Z+kP2qvNFcyPfSuxrySyuDRyjEp6y1V2MSJAyRSNIEek5dm3iskQRKR8Q26ihKF9d5XCd 6x1aSyJM4mUHyv3GL25//Q0aBg25m6js3ebfex6nE3bZ+au90CjHKMXzffN6BxgBnPXaZqLXn0I5 jaVWHC2UCzc8dJSO92IToQRDTG4g6xCgcts/Matys4hRGrFi7RWop/0tRr+wSbBfsKf0//NZAfZd TnhxwaxHAWaf/+zOWmaylDMg2edZrFKY7A8V3I1r6TOPulLv1Kj4amh4AwVEaFv6sELzQHbHH4fQ fEm39riN0D5GyUE5Pt+/IVpJZZJkEX9ojA5krOdK7glD69yDCOfx3oj9IvKhybca/hTyLD7sVscP KURhPpo8wnS1P6Kf9eYp7fnHU1YTSYdCKu9qdJT1wcCMO2iiC3VbHUSUSbnlsa3cAbu2cmIVTg6q gMFdJXpes7Hfod5BagRaHhg4pZoOVedlObn1bHXiR1f6otL7P69R3jruW/k9rPV1z5rcbHNxbxN9 F74y1k5QuZnMGk2Kp5+aLftTTaAIMzDWUins9CRFLBnj6XVvK3fOOSLLZI9SWlFN5olnLwCwvcVD vKxfyNfU4XBRtnkj5Q+JKUJgFFavJ7JfN/xZhX1RSgMm7Ei4/G31nNUI9/UkGG5NMIJacipwebBF B+M24dYXT4J14AYaBmRs6Oa0kGMv7rgdwpNp1yHJr9A8vlJkFWqwXwI688Oz/93agtWoTbiPyZyw Tq72uP4IfY8XJRXmXhkYzu23GUPlFSJo9pb4RziuzzrLW0xVRxXihvfN3vsNebh1+JUPDdsVmatV Jl0LlL7re6AlMDrYefW9eSO4JXEdDPpc7a/0AdJBSs2forxO4wRg7+cpBplqVgwKcmZXhT9tWn9N hIFm7F4rzit51szz77j9s06Jb87FUkusUJ3Epfp/EC8HZ4XZ78iSb86NPgPoCHv/zPrDBPw96zde bk4me9B4IpideebN1IaR07bW4LhOUi7erppq1lqflSl4jUxl5167jWIuGCiVHO66l4TzBWj5+4u4 5keMf02gBm4hnzSiChoy8opdS7tIQNCATIsluFGZzFQTA9buAFuDrKO/VYgZQxJ2O4K/e+rYmfW8 XODZXejUzz/LuVPVOMNQ9dX53b+mi/FzLU4UwOGDUR6uWeoU+hKlixHD7wp/briA1Bf7zakSfa9M lzR38PCtag72Gp5/c9kEkEVJWfTxmBKO864j0AzNfEUQ3IjZZZHXPsuKDOwQA3wHsjGcXW39pWE4 kkxHdcmUTGoAtnmx2khOGR2AaY1TXny3u9Eper/w2xTLt7jAvfpm5RNUhSz8EGoA5f7dj3icngbq yW5xK1WWLvKOnktX8TZrDh1eVgGhJlwBfGgDRcUFT78uMfhgAe8tIDSaSNIXsEFMLV0K7fBw85/h MJyBL+LgqzuJ3PX1sDzCn0dbxAlKfpen7ys1DgRrp/5KnSwYUdC/smAgZcMtSOzNbkZcFS7+VHEb a8WNJf7xH/ojMcVV0IGp1zs3n3y1gzgQETPvMFAo+faGO6ssBjBg+52n109vYXEmb/6FUqpft/D1 gRzAXtU+oEbU/6EYg3l7B894TWPeSK8gZ41971LEIhm9qXiq2aUs0OOej72pxidrqEHdSN5JaBeu 1eUaI5KU7eSaaaRgcLMa14ghNd+8IZ/o9NDFaYNe9Ijza/8FDi/mBPFhxyIEZL8nKaNvYLJAdc2m 2RtS5zRd7kgJwoxTIgf6Zut+Q4zaECTmu4KOMOLrSUdznptZvnieqwuq0nPfcIT0KpKDn1oOfmgl 6M7vCjFWEQyNCYxldwwR8oGl+bPvvPYi/c8DRLBHFxPm5NJKEx63umdfJ8Iql2iwlMLEqMx6QLeA p6GeUoebay61udvtU8flXKEhrEAbktmxdxJpdI+PqlKAtZr0EPKVy0NVmKDqmzWZopn414LYEKpg O/B6Wo7DFvnOZdG+w/W2Pai/P8jf1XD8D4QObnOy2RKhiVeUs5wHbLgm4hIiaXx5b3Y8LFCk4VAv GO4S4/8f8mlY7QMm9rYpu8BwjiLTU1KhrF7ITLl6clLyDS7RuvvDf/cvWZrrLXuZX0jOPMKDfEWh pusJptRKwbzzxOI43JHLXS3tyJPXVErzvOKoWhEaS7/pRLCrNMozSZ4zQiN3VaL8qe+QhXaaep1W uRVTpbLQ+nBIaHoioqqMtt5YTpuGu3/SS847r0aNGwrhMmTnvcxM8SMSC+TIXdcljGK5Az8tr1IP 1hc1AeOkdWOEicSmuVQ5Nal/2wCW6dFac4kG9zDA6EzlzxVCgdK6KESqUV6kOf9d4solhWXJI8s2 2Jl87VpXXAQyRekb8qIWUlvl63pMvmQTLiZgReSCMicZw3jv3+kKyhJxD9WCZ+QwRNIoaqrtB9Fd iWSuIjhR43KwBYlrnlsFNRlP/uB2/Wh/xHMLYIc0epN43wgGusr9D/En8nmLIclCOu/NNQ6fx+Eh IrfA8XHCWmHgNc6NJPNm+boNRDb2g8T0ByoOKmrATPS7W8/46H2x7vO8c/9tI1Z39yW++fPI18xD TwjI3gqOFYXSs0BaJymwzZskBuWHJiwFaYgoXLq0SU3Kg1uVvDPYNtlT8Yo/ek+cdzRspVVXImXk noqWHdwuAFxCRElBbW+VNwb+8six7HKfiyk+oFgImpKcDJieUaFuNlTWrMqxIYxYPLHh4/2VwXvI q6M33/knDzFp2/9AWBJmgXp8TmO4yDIwbulF56F+Q/ZJ1N7jxa8mDMvHSS5lY9tHIMxo7Xqwzwn7 KP/9dMGZJQ46OhisV2Y/k7cycAwx6aAJCZ4l5B5yMeyC+ZpD+HQVqI7CN56jxP2owW68F54ndi9B XJEtaFFJSkGOFrBQ+Khmc47NFUtr43xRUdRMIFAkiynLdY5mMvQtfe9lVbBn8BcpLwY4/EMQEZk3 Csq3GpS6lOCDt5zf6Cpf2tRHxvM8xStZxCsL3I/rkBi8W3ePaP5n0xQKxBSemt2JO0d3Vq31WwO+ EU7mNph2UL7W6/7mBS1yzbLckasl/bc2hLgHfDLnGeL/dRKgphw1jzXnozuTiG5mLSTW/nke83F1 LD2E62QivokGsE1PU+l4z4ghQKvzMnRpZo5EMUayrlKYhxhSiv5TjljXyUste9h1ZdgQQelPE/pB agM4kpTOkg4VPJ5JUrrb7f08+LXUinWqW+I/aZtg0/CMG40qBsoFtqqTqG3o3xafozjRbLp4+Yhs f+fLqHlUPW+nJVDT0Fmoe5MBbhGA+1USySEVri7sKvMjmOMpEq3ZI+17A02JEdOvqkW6iiiermQa /SkR40gvhmpYLJ/vUg89+xqKN0dU24c3q8QRAKCDvqOh/HduJlhPEPuM/MDB9F7amgzicnU6xAVq Q8Z3w6o8Dyt66lWRcp+9TKRUWBo+QmOb5XUrWQHZSzMQ+a3RJfDcE5dG74Cs1ccUJjjd4Qy4pYvT nZtvhs9LZM+Lbr/r00p9M4Ist01v4jH7oMkLRIccl2HuXaCoGEOfjuF046WIym3x3UOVg574qH5r BOqEGGtEl9bU/9z3EIvgaCvDlCsmAgfHxQ4tgY/fN70E5Ph2335pLJK5hD/XJxQPcaijTX6qY/cm inXgF3WdnuVKyVjpNVSwaijm4ejXQ4DE62zvT7GjHaruWyvF2RcZ+cux8mih+W/oyMC3XbEBS5f5 cXJItu3nkbpMmn4MGUTIAtCZ/U6HrPDU+9WrfCL24y4Znl5FVbbQWnn/s1Bf/iwfq5gUhorUEIdA UOswUmk0U5HNCJn2609XXfucriplIWNot4fyn/W6NbfPP/LAz5gYivAtCuAmjY083T8Kp0F7KGOA mPnUucUPGpMg7kcnSAnDY9tlAYUBo/+4Veamt0EeJ1ypDY2af6rwom72NoppJYNoXj5skvI2bEDd biHoHvdZBU+tcrrJrsj8wjMluwc61gSGLRuLkJclGHAKSeCY1hfWIkX6/mSagUkCQykDTgOuUBiP 12eam5datL+hZEmu2LczswzlxrRKijs9K3uOHYIS5EYtejH5bM9VfnQK48PIEVvbioyxD0IOlv0n vHqfhJEmSCWZIektGRw8SBfno47ufD+yPdNsub1W0vDyGJz/skFK5/WPBFNhIBfmd1szLVNzMR6V TC51BbPuDQtj8kATgO+4duwg3LxhTm9+5kO7D7YkJdbqXLR4H2ABICPfiDCgsrE9z/ekXhVumtov v5qfBwuzFuGEqCONPyjzyd8e7MekuzGVSVHEAx6KklGCAO2AloW/xPlspHF6YoYv1Be/q1uFl/l9 OcPwGmx4VV3VaVUBQ/He9ozTwdBGPlfc4uc8l2JtK4afxSZly4r6F77Yshjebvf2EdwE5t9JuGam /joQFlnHxUkgei9/Uzd+1LNQR0zKxQkwVXdeJNpelj/5jU7+Ij1KEYFJ8mgzGeEqVFKuAbaXc5Cp AnME1YrBIYdkp0/tWQXu6y7BmoN5IYcba1lHKdSdZTWL4RYzPb+KH97tNxEI2Ibxog/OwjzpUpc1 LwpyrxhiUeBl7lGBFO4ck/LVTQAKUZtWljE9oEYSQsdamee2pLPIl9a7Vgw4EkBcujS6Ugl33+7n bnm6qxdMWfzLF5KwVqY2NEBr5eFrweUZGn4cwAoDcXQl/cUEfUys08wJMD7WAPsOEsOTi3514m8K pvsk7C9BWTVXl3g3UiJXMnrxkkzXvUrXZR/jd0SUhQE9Rcz57V7m3b0ti60YA3roEP6/U1CppQY6 5s/ql4ICbIsIzn7OQbdrBit4M0OMdQCJTFt5XL7bRYId0PNMZhk9DirULLLUw/DIon1wJaJSjY9z zc/niNOtdTkDZBhHSooJ4FPgH3NL4KG3AyudmKjROw9VJ/6nifn5ReKTCDXiYZM/o8LAHwP1wutN lZkPvmO5R5FILZ0TPHxcc+X6aZ9abEGy8c0XSV30UxANNLykBudLPxaEuh5RCYlkPcfLnH5EWN4e WpnJPrQy6/AFRBAEVE7RP2Ct6LioSys3KlE5GzQjaWfRqZ70R7ukb5QPUdDZ+15IFVB54TGMocfJ ty06agdTAL+w+P0qZrUEuHe2iRTTNscugVYppueMcgz6hp7oBMrIUjre0OwGcLiZke1rH71WTTJg 0FKXzCuCV9YaYqwj80Rk6vW7nwB6VTEe9JywDX0IUHVp5fekoJdPusqW6WJZIaTgmELeVIBXoh8D jhVMxKD+usSDYtmbSDer4vEaZmYOXg8VAj6XqLLXFEXvn5qnAJEtYRSKgr2mW7BOl4qwsGYZnFw1 hwZ9dB+A299gk4ELeYTdMzNjWpyq2am1PevBHisK1KYKMeG1YuFQ1NOLgvY+wzso2xTMIATeQTKx f5rV9ALIRyMCewfoGmXSWP9OL6ofMYbhpv6dj/qA0Y35yqFu2Tybv5Tf/okkh8jH0Nv3+IwrVFQ7 aCUSK26xiTS0md2ztlXhMvTRuNdkJuHN/q7O7rxfMfi6ZG5ZFCKhP02f0FejLHplOT3IwivcucMa XFdgao3JFP3ZS+JNge5KVfwFTxMyAL/IIKWTldJdxNnk9DrnR7BviVHL4EKGobXIcmQl9JBJmYs3 Ib94WwlfeiMIuGXm6XLCOd7/P2IcIjdcBWKJp3BLvgaiMAwJhoTov2khEfWc9KIzKIy+0zoztvl3 V03Rav7Tgxso2Y0e8YPE0dQG0KLPMX3x1VppRozDyjNadUhoRza+JepyAOW+OrnV3Q+l0RfUlHIY VVktLT33YpaktXh0ekcxpFg8Jtlh0HJ3KWCxDlw3Utzm7lcxbO3VbZU2BrP6kzWIB4MNtiN0ZdjL skcZwAtYYYXlFLIaOxUkXDArMKDWiGUxtAorxPVJ77MXF6HLrbjuivDZRLM1d3TxAqkmYXKc5LXD uWP4yxbxH7G9KkCIZmLHeN0hHgWwp8DJ7NgMch3by4j5IiSyq1lyz2q3KE0zaE8zWXeT61+vYmDm FDav4zv58Pj3uI1JmZnvgDA+9j3rP4Fq+jGl868KgWiAxp9kLbkPV1y4XiVuUeUVfmw9G6v8upw8 UuuFq52C8e++pNKt0LHKBti3ObW3OcUxL+72p1htt4fl652SG70I4vMK9haXcnWmLfVgRPN/BIhW fF/1TDebGN4DP8zpMzwUTQky5KDNDy+QeOE38Dz4sqovQGKhtqGGQGy03HiM7GxzLp4DdbiWY0jg sWuiS7L5b0NaVuolw4LJoO1c3ATFFlwvbRyK0lOPZiMJ0L0YWvkoNLkYXm3Ktc/GZkLlTo5PTnrg h140YpmuLF6eOPpnutroumtI66YUg8rzICDfZejetZiZqREEkq7l6u2DZ1BXeLjurUYJFpBFPFnW EypTwsnrYOu4PQrnYDb4Leo/bLPw9a6dKTxrXosD6ZLi57o/kuvG7IJ6kwa07RlpfXQBIgemPxG0 OOVyOLHOhUj2whPGU/cYLLKavCa763VzUbmlDIFPlQ1Jk7A41IkZ54oQyqxc9NviGa5zCzNoI+k1 55P/2OwKV4ue1PxnUC0u78BS1BzQTxQz4bBWnpymXNc8dUjztH6Sskkrjti7bafbeyk/r3UkwzWH howx9Kwci/XWlujOk9fBVIjrcH6VErkZRg0j989TUdquFHQw/JANavezHaUegCmJ72y3pyclmln9 XDBQJ5FmCXVg9hFOHxWwPmYbuxXWkwj2Zw3NNkDoWKlu1XMLHjVCReUY2XBJRki1oLwEKIMV9b1j AOqRCR3uS1l0iJaOJqRYFOxCGiNKdKFEJ8VKoI/WHT6agmM8OJqPim4VU4cF6AOiQv+6TKuP5c+7 7uEhipRoiZfq7w8iemB1tlhrdCSi/NPK1l7/Bk6n9s0dJOFG1YiDP02kvKZNVZv52PWK8Wog/kL3 V1iRZOvAdjG3cA2FsoULucjIP1aSa2HBIPSnGyPYLAE9y9QchU8UhlaGiy+dlZEFs6Tv/sPjMWkf NUhsOn0bo1N2lcRfHY+s3DdAO9lupPvtgwj3htyUla1yAxDyKtV2KOKoPv56EBIqb/3ZpWDD687n S+24unYEL16PuDrjF/aT6hjYVzMgFYDbGv8XTyKANJx6eRCIRPkie+Va/ZOVQxAhfb8WoHYgayUs X8mg4ldQa0U2llTQ3PnScYjYzI2zRM4E0axu/mDYDQXgI9u2HDR7dktK1pbKs5PHJ4ZOVQiGGl1P lhMT5Rz+dpXlu9F+S0Fhe5SSW7cLJ3F0xVP2gsWlRlBmZkgY/tUz55XxjLFmdJrQvKgugGg1gNIN jhEf4FeuX20cCuEoIbUCFHQTx8nWom15EyopNXl4QvFcDXslCjCCMk06q1CV9on2n2Af69bNNusA aMUNGVCzhKBDkXrs+nAMQQkMU3ZJnH/lBIBF308zeut/bPuLG25U6urxe9P/UdseR6z6kOYrUew1 BbDKzX9dZ/xhkt8DDuBClH0oVYFOxjuiGJ4kEROXXymU8GQjeaZYElHksmt0mT9WJQB7yhFCU3OS stTPNxnV3JQMC+D57gy0jb7QfxDKQTvnKaP2ZOn/0zkLhCaQyfPYLxjjR/xhtoiz/C9T9m6V27A9 j4BMltS+kr2L6jPCL9mSGMRi7xrYC31a3OscESUvqq3y1KdKKPJctfMUnrzDBx6T/JpfJzJEoGUq YKO2SZblx2D1GRaLO46i8JOhiIzPiX3Ljd+aYgPXsnsvkX9cay1TYAMROAexc/zvCBsFXdWGwix7 yik7gwCy718mSLPzQBMQJllHrj6I1Q4gp2P3vwEmJyY9A2RsSylQLEtFVzBHgCvQUjv6300tN4b7 8AxeViyVjNFZ7+14cEo7fbPXFX0ieOaXrR6HFJnrZobOX8+jQqydGnRPJbCqdNhksFWoXW9cR10x OBPxLxmySFlgnva8ACJDfHrwr2iVHncidLCJxcJJMb2KnNDzaO8ugiDbKDnxwRS6xcK2Ho334A54 oGob05xr6hyLoFVqaogBB7W832EJSegjgsTS2QiGf6Kp2eP5rFfOjAn0vVXTezP3MlwwrBqMWo67 NoAxkVI9irbppPf55zqjZu5vlikUUgqVc0TuYgM7YbuXx2nQ/W+vNCY0PjUBFkaWGSAuZwoBKkCE SMkVWhS9GnClzldEIJLWSSA07U+8dSPptEZDEzPYSgQ3iGvWX9rSElAw4x2ExbhzZEdMz5eJQpeA IVjK885JWcAsg24h3CpAU8AUhaR4Y/e73ZcwOFI7SgETt0RTFuPnL+xF3d487JHtz+yIWPZNCSFT 2jdwXaLA9AFYbVd1bMqKWvyOQTFyIL3dAF9sgD4Y9autbNkW1F/4317OO/aH7ceznHFuuNodFZpt QEX1xn+OCpxffWuMd60Z23erIrIi/Sk4gn26b1GmiRO9F1IBCG5fL2A8Gqd2TVYRWJKSHDXL+KBj 3W/mb7uFfk8wtsn/nQZv2V+3pANRRvtQD60vQNZklZDf3lpk2Al5l20TwAcOHCYAtOXPnVH7PP6r 2kW9hXkyvXSJYFi4WC6cPH9l6BV7BvweL07L4NUlJgWBimXk58Az9ZRxXtgO/HGTm7POgT6hdsE9 2Auj5VjW7VVdCtfUfcbO9SBNC6EUhou9VoO03NRN+oNG5/Wf24fZzsHOBrtjSBiEVn08x0N+3cRZ H+1+QjvK2qql8JEIOybcrm4A1gbGo8U3S1Q6X76Ktk0rJw2IdSCoWvvFUlVazzS14PDeIe0am6Jg JGc0eBvM8lSUQctGMrPHoyp3xwwxCAl3N5rGIm1wfBoJvBy3cwn5EHR/06c5TFfHXRhR9L37bHl7 BpI6GAtz42BipqN6VIQGflXdWT4FBIfk8m0EMQYGy6NKcy+RU6h4MIcpSjJCS9oYC1m831cGEro+ VHFutYwHGurkUFQy82SgdvkcUpxrESYiPIto2AZpYYGJzAVif3iriigZYqV8BNr9DKolNcJj/Er5 4jgDpkyQD65uPACC8J/rz9UcLsQt/UfEq4Kk7/6X0/r4aC6ThTQnqJVVKtukEVeN44HYzgYccgBE 80Vb9ehFn0q2F/DvYfkWwJL4WWcMu5THnJ0FiIDs89bfTM8fH9JH10LpEobpVs2YaBXQQABbu9Ud zQowQuc0qs0ZIUoYTo47stwOu5bXjdEb0d4JkiVcE6K0LfhztwqhCIF3KMHMNg5So1avwvKXS2J2 UKBbvoq3Y5IKtfyZ5pNoShric1+vppH3wwHccbKe1itYRD4Fz3dzZKIuvGpPAZQFqXkzeu8LgWxf xxij7c2Mi1yZXz98SQlkVLzzWuCQzCYin5sFM+YF6k4R9CDoLe69NQQGJT9E0XnQYo3v51CU8/Hb KbYYWcLelFIPv7zbi3999ICAG9OW6e+ZTERTDiZpMe9ZLhTU03tTbVq2qldwEHGZaOQ18MTpkaV5 0Rfs4lqWHB6F8VIO8BTRyQ/Cc3rB1GtyGKMKbX63iJNZwpXVNtNpkHnvechrt2SehqGBFbqGSgxN SBYF2mk804wU7qrnjwW0EcRZOEaGAQ1JWJG/ezYxlxk8TTs+wTURJzlOJj/j2iAiC6bS9SCuDjrj A6ye4zk9mfbALdfmkVp66kACe21T3t4KVrBt3jRek1biQ4vKHOFDXk7Rccj2JYpQtKs/7MjJHVm5 V7fSWaRnAhFdV7k352O0FWVJ/nyLdpVo1HRxGo4iQJvFObGQLaeyKY1b1/jybUEW3FtYnRK3XVyA pvBnwf4mZTXOk22wpamej+KSjMoMCwMGodQ6XV1atX/hoTN99mvbcwR8Wedm7edrn2V1h+Y0Q0xB d1oUZq3sgdcVnt7zOaoUi05n4AS21jFtbaNbxpif63kgP8feA7EzO8o71a206r/DVcD3gTCRxsl0 E/NGs1Ot02kokyruF/uCf8/rPYcnAuMDT57UC7DfnVA87JD30sjT0OuU2sTEOMjNuf7gtiHJDrKu rcUDMJ9pa1g6Y1V2RkhJg4wB8Hob3ayN9xa0GzfLwE5ckcrqYR21ewOOMzqMBcDM/8nqmD2AWFof 1DaXrIoTGhNGDhSW0Dg1rQ3zKav+2GCGx3fJWh9Vyz6p12m88hBG5lCIe8sCcNA1RTbHg6kTAvsA 50I1BfDGPgWhYfP3u1r1pieNm+ePBG6ykbDkS+2xPjXqSjQ0L8GDxKzb1bJgEsUsbn8pseI5w0mR x719jgF9vC+J5xG5d/wVjQ6tkPqb1SiYLsq2IujuNVps0bE6oXrWIhoJYxIQykNsnpqS+o65sH9y ST3RlzKB+7vZC7JZCkdXRXDU3D2M2XckvRi9A9YHfnglOSQ+8JuLgjlbHlZue08G4oG+MGW7434s DblnrwKhqAQtG1oa4P0nWLw1hje7OMCu7CPome9MNK4Jy+FMcSO/3cuhV/ww2G5GCgAIG4NZOI6y VYa5n2pfAUYAnmnxFnpFcHtSq/QGddzBbuoEkOrBHfajLEYiFUYNIK1pTweVgO7I1HDFaiP8ECXP jAiEIZ2uUhm/W7LH/wu57DcEjQBdHu3uWBtQ494hPLhnMcNRSocomvR4XRUgii79f9Weunj3DIDV GSKtaCLGAC8aauZxy7EaPsVrqEDFPa5lcgJDzW23Apq0qsONxCz4y6q2mWkZCFqjchyWKvZoRfpm /s5cNY/L2tw7zke/6vp6OIQGgzoDskmBv0WrSmKfKoG9cW3YNFrvbfnZOLiTgbayIaAY23F2wyXq DKWbqyDH6HX/93uJrz0aFYGNs2BzNnXNqc2aRtULsjpx09axTTwLZC90aztTCj9rwRhlXH/EwYQB krfiuLk+m2SdKqLIS+K0dVfQuvHaueOWHlqg4k4k9dGJmVLv6tpTf+2AaRYuqPbwWi4A9b9dk8EI Nk5Ckq+7RsrsyEvfYKabElTt2i8kKPgQzx+5iVh+hJoAf2EC5KXry4dE5ND1oCnHJXrrwQ6XB9zm nnG4BvJlXTQ1Rz7D2oygsA2Op6fsmgGekpClmgBm/L0Q/ZSgCurwMYsmrZ/sRV6msWkrRerm6H9f tbKlCjQSbZPDCCoqxgC6O34Q9fFFQ5Zmp3bKA2tnAdIIqyqXoIS8etpSpElBvyJLkyfA5MKMdgek T7FYrI0P3Qqj1YMzRHVd/4O8N8LZIzC0AlcrOyRSMkWTjarET2qxyw2iUMUvK7jae7dHGp5YLmZk 0LZUBT66zDArgKtMiv6IP0lymOlZD7oMlxUZaUgG9eaC/SrgFMSkohMceD9EjoTnFWFNExWTRCqT U4vS1cT/zsMrVWFJfytat++JI6mGjTzJ2ZA5ekhnfg6DEDdb+wviYqMOb4jU2SRf7xbm4Wr+Lvll KgN8DnopY971ekE3lroY5mVVyn2f+1BZKBnLKmTPltlXrMEtAje8b+eyYNULqQm9Vc+GbqKQ5mSa yo3IoFjWHZ8aGmTqh1UDbivNhifTpf9JY4H3l1osClmpHAQY0+K5kjYfDhV0YTrKWC3GF9x0qhgl JS0cf2BX+9jg/DWqozQcmqKN/R8l9mOPUkJAeDiZPU73ii8X6hV0S/48NDK9YAVQmWGggtu6tUbR aQ6xZrZn9N7dIZZmlrRDFljMvjyWGa5xX/aMMQeZ0CAF7PZCUzjpJKsjgsor2bvs/EWMMQTElDS1 BCElbiYc9/CpfL+TD/dopFxtwh2n1bYSXqTl3eATbbhwaHCNJmFpEMYa8XuWJp/IHPHByhH1r8Ti oT/XQfRGDMPazJn63CtG8W1/lfczPGoIsH6tEfj3bfYdzcrzUbTU5xZheNoQ5opN5DabZyd6bFK4 a+n+92QR4SGeFQZCXG2z3egwyeRKUz1+2lDYBbQhrDX+9ela2ItCPthF5OUtlLy0WmAv3wpnHleS ZDEdLF/LR5JYCxuLcB4/5523+SWr/FAY03Z4TZKzz0yb4IHy6ePvi0lSvZmzHbZpQpn7/61lQuZu QPGID89Lci35exG2GaiV9feKQUTrYl4pDQKial1mUqnzOKzKtU9XDjd2iOjpRXXqa89slB19HUDn AnFV2Dh0PxamINbam2CkSQU/tmUKEx4irpJlDeqds1vyQ9AZVzSx753+vPjDE1RVfVYYeGiLP127 /ZUleu1ePif2iHz93HSwIZVWle+E+wqRPEc/BTG5/GBqtE1vl323x9/YiXanj44GAT3DUIgFk4sa k31J/tPhRPtE3vThvCav66P6/yZW51Ra1dAFEcMgfobfH290PLJstQr+BYIoCFZ7Qy+vWrdud5Vd SHYkgssU5F/71mkb2Yus6hTrhAVn6Tix0zQF+yhliV61dbSrpnOuknVU+uVIT4z0QpsxUSPafjHF kW3bVcwJaToVH2kXSj4jBHYuF0pzmxOmeb6ja/G9Yw+hL23FdFnQlNQK6nG6PR6DyukVt3caEw+D +VhZ11jI0XMNp8NJ2tNMlqSshifmgayptgHMpSQro18Ww2KYrtCtHNUlPvr3AgwyInTHDTWtbu+k r9rN+YWMvuUL84zYOaLLflIgw/x7Zy1SYWpco9RIXAdsaJQ0aXmWvhn6gPNz7jOAdGMTkQim1p1X dabJU617QGJLGDFe84QOg8/o2Dl+1bmnKw8X3kRGMyCtdT8AhcpyC2MHqyxgckg4Aw5cdI0WPWA0 mJS+SEPf36mHyecHK/PEmDQdnCKIClmWVnYNR1KfTBIPnUa4is1eiWRucDQhg32aymCpkltoDZXG yMcmOM18wrOTwyKFoUmTGs4vm54Jz/LUgMx+VGjwaZVsIp8yXHEpn61FhAOqK/h+/39pKQrPRmgJ MpUJbtQyHpdvGwzc4W5+53SFfLIVecFK5m1ORrcblBOzTBFzxrFHJu5K7pxQLSgKn5YCK4jpU3Ai ktoWxj1CBG1Kb4Tmz6STOCPPMsNCbJ36KhB5Z1TqpvDIoTq4bYMpd3TYOKUTPQxrygB/0TshbtZz vrl10LqA1NSe7c1rBRP0P4Fse3g2RLtLGzhgR/alVkIukR+gVnB7GEg+FUaKo51VmfiPrmkhsFBG ZBitwXPWorGWtZBVEQiom1szZW9/zCoBEtj1J0vo3pWbW/R9b0tOZUkT3hA8XwQhGJ3ogJ6pXDtp TjaHowQMhoQUCKU2jimMZsVffcBsI/su5Xi5tfcrz9as/RK8ea/iWfXOEZt5FHeIsDS+Q250cnc0 sCviwPcDQ8Ty4IUcn/YdIc56DJJLwSR8Xjpe3fEuQ2BW8uSHfPU/h3/TgX1ScpEGdT43PHBTnMiB w9yjSpfwYxkaUgsN0AiR/afyrNbmsg6Z3L4WAx7oer3evLGaG9G6XjsD1Qz+WQVhl7hJ9zsLtqo+ e+ZmefG3f11zZ+k/oUVqFgRdb5yGg+pABWYuFHLEslyAudjTm1pGhrEcWvY4EZtNla7yruOkyw8n bRdSs3WhUhW8Jvp3FHQq2wi38IOmAN37gIM9Nchp7MxG2gDN7FrbN6FPDPl6cOXlomjhCsMLZn4U pKuxeRSuS0+pEHk84+ti7x1lbxrjPOyJcloil6e8YicZWNwi0ofywxoWozhQ8bswfQ+kKFZo/EbQ kAvNctiNDkVM0W842EG24Q49/+wo6TdQKR2AKRvrDP17+pA40x1M8D92q7MPB5XRCaNvE3+Ge72j WFhFAb7E9dmksmdj+ZtVAjX+vwK3rts4/pjFqbacIl//4jdzeT2r0dO54L8x1owAQxZJofCjFzY9 P4/r/eSAA3Eiawd6/6ij8BhxLyPP70kB5Ya5XAL4qeMc0Q8U2SnlR72nmtNOqZ0ed9qdqyE00Rkc LObD/xB6sHwT20MOydpSZD98IjKVCXtsmM5qXUWVBrLKOD6j/xxQC8uXPiHOaCBMs83+RPWTHVUp hbn0BrhTv6LZCi+I1sl8zK9n5jjs/ZlwsM67SwkPbgzcfO7si4qEiHE7LuJdiXGRmSndG+YwQK9M kzMUt2vfMJoOytWiOzMYJD5zzArqjluWSKIHXbsCOhmB/Peu8txJO/TgybfTrare7ka/rIlP4f9V eyjck1Nr0vvsbPjbv2X7U1Q2qt/0/QS0n4Ecrg2BOsMArfmxvulECd4XtMCtQvO8mUBo32fl3yRr nwNy70VUFY1jelZIZ+hmXWQVcx3EZO+a19Dl9QbI1sX+D9d2yPDWVOR7gEoiWJbFYuoozEZP+COK E+z8QfiRN/vgiGZXopUPR0tmW4SrRGRNRZVhw0AnptzWHbopAY/3V+lP33dfe5MGGdLACK3otdWn p0d2+TasByJCbMJ8DiM0ci/F/BpBw2FEFDyyBMzH/Xd3MkBRcwtlUGAomlk4fSqiHZkUu2lwW0Af Nc9R8PujO6wVfkg9EzcSAQ1OTGXpWXSvqNirak5WTOo3OFn3QnUAPGNe3obkdmFdPbM4TxN1kI4n x8g5m5cHEzOa+SqPePCMwivxOt2G4yaWH9KBq8IbHXuMPMLXL1KB3SgLQofAao3WK/Fnmoj+OMuj p54JUz7MtSeelOYJIPLMjBqOrX+0NWpgoRm6H5b8uXBf8B+soG50HE0Yw6/gYcMZQsXCBv+BUbwx mibgP6sGNNQwiqcZ9GZ7VMzHYxGQPkyM5f6lf9RNm1gFT6NALL/VugtLSumJwHR31gN+KNEtDvOB +XmoIDz6O0VQJIf863+7et8kHbPcpgAjrzG151scw8ZUgJPiaeLRnktOcxV+9RnkHM/reSYd7a5q AxpHPEP37tgyUSogZe1sBVP6fJXTPU/N6vvRz+ZMQHRiVWgHalneHrfbfN+s+Wqr1tMxwYuc1rzP 0+wgayt4KMpLB5iR7QdzvklumeBOLrGnDjjjUxe+urH/Z3KtGhd242rUolnCbRyAyaE5sIumb6AH 4shCun6CH0dC8eRRsvYz4pZ/G2YbNkL6GvwMndMdGdnBVNEf4EDatWJDsN1ObJOMWtkSUQWErMmW VBJ/W35/crGOQhHw0ibn/ttt7LKZwxOpjC5Wm4hNV4SKHEfUn8xoGAqoXP8Hfbpqz2vOk6aMsqaX oJbdYbgp7L6S0zCoMmCjoQpe/xlbY3vqoa9gqn78tUMBVNYyNmTsqH8cdyJyD4LVH/F1F/9k//Kk ocoktztKsV2Es5ofJOvMM/cxaX3hDHeovKiL2/VPrE3L6O0cLfB18KNjT39wvukuY8rXfjHCKIa2 643ze9pMhBfjdDQCoLp2ZALLf3o7beMb0sfNnNTDbpWHa8XarEMtKxgcPx87GyDU2MIUcMi2tual VPH1s0r4HqmNDF4YCaJa03sq2iAfLX/3cBMBNNr8kJxXeLd7NF3i0W3OtpkACzU58dxqlqpHW9cE pW+OWJMBYYOp8JxkV+9VeSfpMs6meUib5kqa3O2m8mXFG/7z9QJ8GAc2ufrCm8BHDA3sJoIgUu1w bcgvCeRe06lH9iTmLynrvD8TukkKxsvT2Wc9OHPr5jbNosoi6NAY7MMqVx1NhZRxrqjkAsaMg+Yp v9Py3awvuGuP92qeKf4V8b5shw1dM1yoCsryNO9Jyf77XI/4ysjAJV/txbwJHYYiXfH2I/uwecwD kqnEkmL8j7Z54vfKL46YlR14y36iIedsrDXU2WxhWAY2TbZUJrAPi8ohcXJGMe0AcXkmVGL9+AIT g+vNb3NJ7RO3xhboAbyAOrFkeC+x687ryLFXcrmN+kpXVhqSKYPD3Kk2LfRK0mEubyKEgM3rt5/d vF52tKtFRSforRrSYBFsZjffHSZadtp/14Yh73AqKW3XZxsWRywJy2UoqWkHrUkl0ZthjIyGN3Bc En9LtsX90JWfiHzzmhAFqQxgjK0Qa1YK8hu691GI7AixEAltelf7eFauAlMk47vCQTETCOeeG29H 9txuFrd6Qqr1Fr7MspWercqgKWCNEibRok/F+wETRbvtoFnw7lua7Cq9T7GQabu6Fb6c1k7rUrMl 5JRJmp9MbouWTkjPtylJ8vRQ4RTNT7SIIeI8ysUDgQH3/FDhecNjHvYOBwUOnGuRb2it8K+Em9YJ 8AeA8xTsPSTNvavamlz79SAveiG53hKrTHNvqnnninOk8vzEG45608XoJ2U4g8/lUrUrQpJ4tQWM ji0PVJavWO/2j5gWmSZ5BI9PayA62jj9xW0Kf9QwYJ7EAs/MAv45L0QtfJvFSXtggYAJGZxPABD5 mKCqbAS6fSqwAQkwFjgohRNKR8EDg2cV7dNof362KumMtrWbldLA4I9MeftFlXZ5SqYgDuvwUjpB axvqkKYC8lwaiL/q8z7qDLBlwvBOzWW32PKHdijcSztpCpmj1cCzTPLgbdFu2myFpRkf4aTOQkAF ykB8+zxYx0CRwYLjvxXZBNqPtlO1gm6mBNtnMcw6gHdAx5Rv9BautkfzZwVlhZzxp23zghfUu1YE LxkXc7sOHNcn4f2EgVf0IBV6RIZ2awcMFbsZH032MUUo6u3IgUSQeEEgSERPvWwUofc/Bo6Wa6g4 ps6Kp5F1SSjjzKnNiUnwlimR298sh1jPjXt2/rGReRobjbmvPS2+Ke+LC+9L9Ve3k1GPxCiQZZlS lV1XuyhaATpuCRcqaBOfJ0iafPNCfqPOCkmMzgT9JYvsCIcLEGjlyWlwUmVCmYZ4LeX7qGEsAyKY gMBzEjKh4v1i7g63yC+ZH8nalVSxsHm10TE2MGw8ohrZ9rCuTG+Kh3qRe080XO1FuBfui++RHGeO XaaSQYlcBicyxseUInxlmL1VIrK/Sdq8BaCgwe6iNUIaWGZ3nKVBB+MgztAesvIBMKzAyMsIXXVm SYmR8E5fHkOehVtKuiYS8WYBxXW2ToeKcchR6POK0NIukH6sD5LBxSrPatGs96QRzEGUjN+0bq0Z G9CUkp+Jd3Y/i7tL0oVihMxCn2qqW0QKPrQI53gSXslA6PSDyN6lXXvDk9sETtWBxrg+TofacFpd honi+CuGhpqD9pRJiBH0r9pmsd5rccZ2Syc77tIpOXYhFKvmAzZN6y5ZIxcx2r3wM70VlgHTyu+9 y1SC8FN1ESmS9b+iwWtPmM05RtT0fg+OxEUgFr9jetD1NQa15ne5FPzwkGd5w8bZvEdw6GtMuIzg 7hZ5svYzIWK447hWYz1m721C3LASMWVUhEGBv2BdhOnba9a8IANSlCPBUJstyMWn6mNwB7G4EFos 9+vQ7VEDk35ugsvgs+WONNzMleE1h2bxPnOumhGwpSvgpZWsjIzA3qtv//blow2whwpB9OrsKd6L SZfgOM1IKHdFUkfsces8IaIUyBg3pIkrbExUNjToyLDjNOsdPuu4LdUcprkaPNrrUXcWHp4TfmtT fHf9p9JmRiBouGtakM1/K7ssuMg7FZjGflwVErKSa+5a6Iaq9cBdNv+yz8ti3xOWQxdDZ5ebalKk qAmT+oQJQsv07tUtenlZ3qwAulst6Nlg8HMqGiLS8Sm2CyCC8IRtVNq7pKLE0MuFMnrVU6GOBR2U CCHrGN/lp5N+qFAWMZtygLfLZYk/f52qh3KTiQdMzTV1ovlziYGQAQyBnQc+9snAEmEn68B0AvU3 MsMjdCsPIi2SjPno/wO2GtgYQoQfHFnHMLOATw1OrHimLQMj1jK6ocTWt55ZrPBXbDR+fzbuEAeH vUveLI6RWsIQduw16D13dcVsFCzFo6xM11So2muTv7DWiHGMFuQcATag1Pdmp3BGhrUoyB3FzeL0 aJBAjMl97YGGY4NT9AGqsBgnG3zDbUuAKPp5M35XorPF1Rjv3cTov/6Ndfp5MFeMrczi4/AnDmxl haku0sf8LmKNcIyflEhdjVlN2voThlJkxKLCog+fYBHGcL3tPTD9ZJTUlxWkpGfwfFmiyaSbmBS1 yCl+a3/G73Xch58kGcmwMjIMbtIoADgpAJ/5XGZDoaPzVE7sdsOQ3XqDrrnbd1Zmk8J7XxwRS2di vGYYnkoZbOkPdn0UEIOn61Vkq1n8x08cpsSZNh1b1ghE0IAIiQgawZBn1L3dKbvq9nwww9rhWK9A QpgMb8r6de9RhWguOuTQSdxKyQ2kjvWitpnxGIzaGJW6xqijv7ADAHAaKWO23VHA7RkiNOH+Ai8e w5BsCkegalj0FFUMMAK7XXIlgiFGdBPexFSrSC4ryc2eWgl6N6W/nCOged+pbxlAo6+ywoRnyRRn WMRuvVabw7W8SlDoifEzbyJz7A5RiDvvhvMoIxjPxKo1ThhRa3oCrFsxzp9BiGCo6d4z2s5yHsi+ 05K6G5N8OhgvMJQxvv6hr0b/VbC0RjQbgfRUvU3gKRCuVMFHTxmsbvfGkFjmijVtu+3FGq+nW+i7 zKi7g96tSSuu7+h6dZ9y0FPsEQFm7PRf6heNnxVdS8+A2UYzXoZaaoRl02cRSSrKZgqPn9Ci8es/ D7Fci9Wo6o2KElwkxLneq5VLKNeiXTEvJw8vAQbNJ+wqxEIhurRvUcTwcSrZ3DgDYjHpOkeXGewT +Z9MmlTyZdbVhzuKWmbgmKnu12YbcHBCsfDsOqPWckdI18JGmDKHCLZ7D/PNe/Svk5Ki4qoH0kMq QnQb7GuagH0Mx8i4UanvcHWvay1B/Ylz7sVJOwC6wpbzXS8/bgEalk7NGafpAsSI7+kQkkU6oryv 3crCus7Krmrr72NHKK6ao0ipkKsUGzde0z77N+Kv7KW5TbI/oSQQ5K8bC8CNpCFdxtEJd/AUwoVI EpEua6c48KZBXO9nfhj8Kl7TfBD84/4FLk4fMGXN2fikDv2MemUe57KrkTD0Bip5vbpn2YHhPCey YzyiU10H+ZCUgT91tI8/BE9Lyk1wnMfEuMGGS3QGbG5eiHM0f9YUQOeR5lwXFTi6Qg+wjmlywPtT tmNVAROFBpIllra802M5zftIc/pCfcqtddPCBnz6Ccv8ZyKohG3Xd8xYRvT50UQl1dvN9Dt/xnoG JVfOCl5EqdQQYju00oxZ1ADAZB+RiQOMvPQfwJ29Ho4BgUMzG3wJI5aZb3uWFdP0T2UpMlP1IpSz 3aaTqTO9U02rVEs8PgI9NQ5wCgcXOU379oE+NmcmWPSVv/0EqBgQjN7gZBZoh7nmnKxAgZuaGjSB gP+3SgmRlFHrVW874UJCTFcWLvrl6KxUtE62rJkvuaioE1RZ+AY18fimvwU4qMpeGBFdTm2OQqQV jEpdcqGE5MC79JM/3Y6AvYdpeZrvBYZX9Nd12UPPo4WhpX/9WCN7wcex/FCB/wTI8gxVQ6iITIyU 2Q57Lp1Xr3SnQf3ZLEHELKUYOeZn5Cg6mV548x/hHweulT1Wcx0wuWbkEuGcBEpjVEiATHtWPLDU uX/qoURo/WnYsjrvZ7iSHfwBHO5NPa+PQeL3ixiJderPSwtwu5L6QEbQCKNBkEg237y1cYAdDkZ5 tl8tGpzpi8oZqQAWdhYWsQBvKQ7RZZJ6dcdY4ZdHXP6CqwKw1vgW83etidipTAwORxbAxtjjmdNM Y/zyJ5aXGzTEHZaIR0cFn+gNCb+1tYQuWmSfRWokfy7WS7vCuvHVX/CGZjdC5iBbJUEXGo3lwhQz tLL+vaptTlwibez8/Xp+QcYzsk3OahIwCmnmCWSjSF6eejfrapDSUgK666PWko/qirG+ygzcGhbP nOJENzi1kRNcylWyUgQpIdFZOwaw6yUGazVgag1o447kOi3Asb97FMpZgC0Ehr2XBKtpOMOG/iG3 ZVaZeSG1NXZjLcSK92wYWAH//YNKtfzpf678xJrg4n3rdBVF2XSvw+hpEye9P4ffLu698UidMNGZ Em9mqgf6IzY5az9hAChQDITLNVH4+YR/Yag5M4f+mS3fdwfKmp5H88dJtuFJ54PRMf1xFq3HZwx/ ipVUDI1mPpd72ACo7m8sbs9C1Bzv2G4Usjco2GW8KIv1frvO/2G2bzVfStUQZidX/qD4f//RVnOg 1tYlbrPWyg/FAzDWbdrrSoAwjc6ShErk+MKAGkB7ThlSG8d9NRNjcUH7fSmYs0rhRv5wpIGp5TPR Csgj6Kvu6pl8ncu9DdLZvoLfhFZp67CQC/yzZA4dt9y6jlZvCRWgQ5SyYQI+lMFD3f88Y09q+VBu n42L4bGMSJfnCsyMqwtfsilkxUdy8FpSvJAW8iJLLr8cp4Gcu0+bsVOFFIH7BR1QZXKqg8WUwvJN RoDVrkVV96c4N4RNztZ27z9WV7WYtRz6HeiWDF/BtyS8E+qk9+z+788OdmxlfZOQKes7EMoA1wMN 9PJXm6tp3xh2S6FrdrEkblTfkbmF9jjf+2KTtkv3FjFID9CRs3uL8LJl44qU6yhFUL5crReQYFLW T6qj6toMkXZhuqKngOl5vq/og+k3VXcLvIEbkAKxcMdjb6MaviZpJEpWz24PKfv1Bz0tKVIqui30 vBQTydpvynU9V4060ynRUzfKb9um7GhERfsRuc672p4WiAArv9iyHbY+vjTDKZW7s2GdkVHBJCyB dKfO5q1eQzkwQd8DxRaO46el05Ky8Kg2KQe26TdTAKRtH5nALXiLTMmDDXStUdgS4GSr3WqbVOzC kZDq0Y3hJeO1ChfFLYUaxjbmCiffHpFxT5juOrUtJle9oqCzUJopWyzVRFwWyoCdrIJpHjcwjda3 nAOfuMYHdIHvRAUo0dXo0/nyTwRWeH+7D62UOC3fgD0gqpmtesvE0AlhXkezs5z6K9MTsYR+DwXZ J7ysdN91p5y3xOvG6temQ7WD6JPJxaANmmosQyiWD2r5GeyDqRly9rEeNrEzBXVHTMP7ho2VUXzm nS9xdYDT96GfGncKLs0yeZNSG4oCIpddRs2TTU5Lwi4rrUIl17WbMBzKzMvb3aLkMBQC0pJwh3lP VBP5kj3azgarvOc0B1oL0N9WoXfiNX1/tA9oTWXBfD7ANqTij6gPH8m2i/Fy56JDhId2Kp2yiR54 AArGDA+DwF2dar9E5B8Xkj8HfvMN0CcPW442nDLkzriUlbkwIgVP8bVlnmS7goqRMdyR0DTlKocc wGPDSr9rLT++IuIudl2/n8wLv59zk50m10Xg/a527J0eTpwHrN1k2/Fo3Qrcd15jLmTpwMILlw6V UdNQLFXYyJzcjakAXcXmjC8IHPGQwrbYCQ0yQCzXR0wamiZpmgTQ9V8RtzwwwFfxZjzw5TWa2J6b rgL4HTCfVrmsi65TUt280VP6Stp/BuevscCaLYJI6tMbhw1uxEqDP3dZSDyrRB9K2+iVAgepkv6l MqbznpGlNN1u5HAp4Mvw6c2Q8bF5Brg67GX/dDHGsSB4xTbsJx1abKYH+8QDiCwwbu1UtUz3BuAO HaqVPotKVm8tVn8vqzH/c7Eoom11V3jYwx8B/U0sbLuPF36VKcLM8RIY7FcfWe8xIxwJO/yjabhF pGERgtUiFeMldPGe6qTgC18IddvcGqhnNEQoRDAQmxfGFzDAKvHk/n4vahvmS4LkHaYYwGSzlACS 5b6ECJySyrmbwJmgVVI2puPlhDsEWGLjPvSI47jFMu8V5jWTF6bKRzvphp69TZLcR3IZd40/h6cj 5475m6fa/u7tWWD4S0SZ7Z2LHiZCEblSFmFDJE+c8b6nZD+AbBST996MceznrS5qt3Mzmbt2Mq4t bSM4SLca6a/kPUmOyO7esptuNFfx4Wk2GGm3GodPnNAF7sy2+eSc5zT+qBx9+fco7TZMni8J6mUw xyyFEXGdE7uIo+9W26VzqsAwOkCDTZAEdV3kZC8meaKG7o0xVXaoY8KZISl6BEeRYpYIp6OsmGY2 sliUA2/Z5mDiQ+V8gNzWKiFM6Mz9UdXWLcYy9bnDmKO+/KLZ/PvxFBfC2j3qGMW7+VBtjgq5KdTU ncc2Ltcp/Hj5IiAC0/o9VIkl0CaS+KWi1iGA1kixsow3D14kOqYvxF3js6dbv7Uxedj4S3B2Bc5n LySRVRbUK0bWCWk2c0udj8+0KF6zjMIsu0uwnxKxzJh1Wk/vrCgDQiLSGAcIxSt0xyEM+7LTQUhI ijZPqVMTdDa+ucbdfUGyn3B6Dz1ddckeK0AcgIAjf3xhZKMiebeK++o4BcEpHsBYv0EcbE1T5wkJ mIHHUpy01lBAgEnpkblNBTvmRLQFlr8D0h/zU+1VwOv5/DiIc8pzzgRBmxS7fC7yKLVC2P19Qiyr MjBjmmPEv22xq3y/AfEYdU/AVc7BmuLjXL3EZ/VEqyp99uyluq+65hntXMzy/UHkVzsy8A+g8UKN YgJnuGogeSS1Q6/zNYPzJr7kx0drHglbWBJS07Kbb/uqsOSzjEGUnNZgy0dkxFH6NcqACif0QyaL wegmw1rdyjjD3RbDCnVnwrRVzSFu5zFZ6qeuZbutC05wdXqITwyPTUUOWtE4UU5+uO2ZMUDuTn20 /SwPSHExobBJ9m3X3yUBpPshd7AqZyY5WKKdxA/t2el7RjIvvvl5IoZ5nAWX8oxa4kiz7RopUBmB amPovNO7+23eMmmTaU20oa7qwcmB2xYI/XQkllTmAKnWYriuExCr1HLr5HD50fkV+W7sQJMXcFpX AA5OTlAS3dtiKtAHHLv2peQI9ZL9M+5p1anJ65C5PyPc427o5LB3Tfx+5et3GNgXHu4ZD/widYl+ r8brRJsBTz/LzizAQY41+3+zx+Az1U7g5t6CnDj1YYP6d3IfNhUoLmCy9VZPCZTcb9gegu/vOQ49 tGCjqn4gcsXn3+IWvyOQWr88irAVyeZgtmf30im5W7EEaYvUhbUgjj+iiplfQmmAbNahLKcxRXCg UpPpw4xhcEnA2OVClZs895mXSYhdBxDxsDTL6NByGu4tZn1JB/chDkQy3KhHwdjxmSLoxJksoBiH J9lXKH/N6QYLylzV+oxw/Brg2esr1v+sP6iBMXHXScI4zWxxjrXcoCB+xP4KYoQOGPGoS2eRyES6 KIaBInbKhfKTCnBFbnj+U+gKtdREjyfpFVadmlgKhhdvt8ClAPmY82zDd+7t+heclQrxzGNhIV4B eF306SUm5R42LMwW+RbqgBCf0UnyquB9GdYU9XC0bDvLVDnk31g3lndnP1IK8OYKyHh6CxX/mLH8 0TvhwW48JzdetCxLkMPKblYjPrV79UaG4FzGcsbBLDkxJ2CnljudKt3wylYcBrKwvIY1+XROsSiQ M2Dg572i9dtNJ6YtrVpZDvWTu5g4VysF7SsUwEhhxTVf/QCnQ7MG5limucvQqALgEHrlp8CbV5c0 NcrnDbDXGCzDc+a11Zh0GtsxMFpjWELHCF1folyi1dLM+hhrrpPRr9eYKQd3bIbVAYPYN8hboeEP dxM/eT+QYu5cseKE38/L8ONhk/66BHoJFT98XB4w1h8a4hDh9uKHVLt+8QuJM3wK9L0kVSwty91Z atBBrb1P3tpCkikcKjOF7aZ1zrIrniXsA4SWakHIosWb0wwIik3zpXDvUwIVEhHObuBTOJwxT/h4 vVaNY9E6Iwd/OqFy7/bmdU9KULfDBCgK/auWY7gTQvAkv9bAetoEVWeLSoBWhPeF+b2U+nuX//Y1 CMYy7cEDVQad6JJA2Pap4FluWmzLq3z8wS1xAwhQfGNuBL/CQxNGbocKEyNKIUJafrj7WRbAHOri x9SKpE9NAPFlpUwoVIb8WSv2G3aJnvSffAb/JgEKTAOEAgQHCIrqy2v9vcZdK5h6jidcYEyeO6Il HIvmOpZzBhnAIrE3Ga/xlQyXUFCEqF0ZIo2hgCJzH2Wv6A7IapDEWRfQzrXJEE2oi1OWSbv3HMN1 nNntqVoREt/hF9o976Nz0O24xc6hA3MOkMVzLFNyDKt1nnMOLG20kk/pjK4pDz1gZVK21CUTnBFP bbnMRP2fIwQaopLQ6NE6skxaZcT5wij3pSELFsKgNWSNg8jDcWzvoAV/0Yx5uV6T4ZxFit5PitjJ xp1uNXNccBa6AYolx12V8nUrK6SfxpTi6zOLV+jtyafbvQ0Lu/M+zxD4rqNjEOY/GQAyXqKfKImx /REyCaenSKb+IeABZq1ZbMiwBPD6Br03kEMQH/0tlpwy7g3UXpi1U5kija56rXA7PXYmG5dwm9VS DDxul2UDVeeEZr1lBq9MqnHuL1n3+qAIPrrx5va6yZAGavuXlZCAZod9HZmvrrIT5Js/6vuGGZmd drgfqpvDJDkB7Z8kt3ME3Tpbk2Yu2tUTor+9+IRkdQp3r8B+11x8o/FbmcfmWavxFCnbtk6ApniP MBg/q3z3piwbnCKQ6n705ol4zSIN3e4O/hLzQqJnej5yNcMjCJokNwSSB6EKmrLgkGymOGxreHdA S8DGuUrqRmhG7p8OxIWh+XEQUPBJpDa55lYH2AWevZOlyRpEd8LR2UqOoQTUiEsUapQdf3rXR4wH pA1rfp/S7ELSUn5z3uy5C+C9WxheQLn12Y3O64ycvmTCbklJdIVv0I5U7ljURDgsqM9zuNecwiU9 tSpQfIyRh4EDrtAHVhNpTi7akGWIguQsTe6nprPqRr+7fluyegZHSxlwe3Cb2LYjPRcGUWrV6NbK K2uPeXajd+2Zg2QE7lyBlCF+F8TG8Ir1J67RtSFBqLsZl5me2gMMMR5x9tMCnADydmdoVzZCEEEM GHH+7EXZ7l/PLN0CLIbjXKIW3dRLuwlq3xEU6p4nOKMNDvZSndMFPQDH7VEreIij/czdFzoB68dt 7L4nXMMgHZNgTz7vOylI8wi+77LUFXoouX+n+gwt/we2u34C9S/EdvGv9Ch3zKpB/GaW722nGv8P M02AS2Xf/uZ6qRll0AGPD9z0JmTxu7MuoSLpOFs5fgrupZudhpGGFQ21x2MNsIbzvw9rCGVn7wia LbodDITWjmtWwCXHcjiNMGssZ492VH8PqqVfoW8QtfW4vl84Utl/VfFSDq+S7b7qi2SzYJOmHzSN F2h6hoa6/7r0LRTtoBzo8ZqHJaTJpXcuw8izHpu790X5lHPZ+/tWKiJYl/oZOqDzeUuBSDWCzJve 05jsLttWuud+qIw4iqwEabQvTA6oVt8EGTOscKi5M6PWo3FD/vojB5jBb+z+M+BXiioUVLUF1pf1 95f0CONGKCU4NBWAW57N/FPY9E3Ll6+/rZEfUzb231kaHuSNMDVHvozEnUoqX/ZmNZ3/zn6+OeE6 D8U8T363+wurYVQEt1nHZ/gzZQ/EZHl166D2Mfue3CZQpizvbNVSe5YzVQoK7htDTqYBtd8LZmVg mbK2VCfAchJV179O6o8jwsL+4LzMjj3oirqmVuK4PjpN3+fTMU7FFnb461sqoq9/z0xH8bOjs1ls J3h3GfFPcdkEezp77GHEN/UcqC0PBLhrA0TtKfcPFXg6fbvORI2xHres2i4wkwCRphSdldPm9DB4 lzInxTAaIinfflJ67HKSPdox2Ho+GMbA4kiIGOPILeoIjJ2AA9DpvRG6EfQ8BHB1Mqg0gBVO2kgI k0MjeW/l1ZOutv8IZN8sAuWqL/53z9XTqEw8/izNAqxyLBeys8yyCys0WQKlUmY8N6oedRZyB5XF 6u82KlXpvxw3n90uHsH6PUXrd4V04IVtmzsoataIsoPSHbg8zYbHvw6hp9frVCE7iIDO7pTCsxLt 8aldjFcVhf7j7/HfzWjIG1xoKzoJZkzz8LMVAt5ZSkSHrhTg5uiUzdrdtRY7RJOLOgHs6lCZvOYP /d/IRnbxZrwLNTBREHD33ge1poctf608TBTK2hoGrnwEq2usOiIv92/rg++WkCErKmQg16KbuANg mxMHUh+NWr0W61BRSfN2gD4VQejkX953IX8sjWpdXM4ANbE6UQhtapkegCHoGQgRutx7ezdM7sWR WT5aMnDPyCR3PDZ0o4/qfhDFfJkLDrORxXVl/8fPjWYMf9MmB9WrIt9u0keMSc2I0fK0bEsj3NnA kBHnQw/ORY04zZKl6gamSK59fsHorjNVGiEStC1rFvIaN87FmtZqSh/BAuIE/vlCrib6iqWzFurt U45fFqrMtohnKYcO/ANP+XhjZPCwUSBMrkPzU4AV4QeSfcTmOfX2mDNKBhFsPKHOhPpAVc583zku 0gOfk1r2/rOMrpmsvsIC4Orf0PbOvVg3dgk0IPAmm82eEOL6SCx1YuJ1TPfeiQ+raLb6k+b6fw/A 5Y2VccTFn3j4UJgSFHOu/jdspblax48V6UgdnIE1RQZnkF/ItH/kU92DpdQ9z9u+pKec0eOMAeiR K6eznXznxVxoxDfoZ/c3gvkWLwboquRmJ2mvl7nsIZU6iRFzYZeNPQghpKV+i/oFaXdtuAFBMv/3 HrheqS8nJD9N1Sa177haf90p+bJVvmlOmUVHRBm4rGnpdgQbpp/i/5yvqx6ZeLP5DQwtxG7qEMFY KKDurktX3KLtF54JtrHmUzom0AgoGwvKYe1J7FinvmpXQexqEz02GznpL1fPLPkEGqSLHFMf9UBn W+ddTKgl5+9g9EBupQCul5VzYW9FyKum37g5k0DzhF60GEpe/6klJuT+Tp2IYLeFaMFAPSdp4gKp skJW99EtNWLcu0QQS1RH/OW58+INa2vQSQySULDWpnGMynxxYMJwu3WNxn8nCKwikOeGglKfXgx/ 8nIrskWohrcmFS9Sf7sYHm3xemfP673Xb1Ujv/dQrjLUjCO05FcpP/lkAP/uK569Hc+pKBAwFh8F RnF81+mp3tjkiQlIWZB3Q2r6OaInV6oEpKYkRBo3D69EUE4rTIQID1bY2HO4csKp+UtT3NMh4MeF zhOgnYKnpHfvW5X5FR7ErmaV4tErVyfFJvnq1MUHoIHBSbFqoTlRfpj2RI5HYNuX/Fb6irIizMck 7FtwYPP2Y+01z0c6R4UQB2QELLjdYM033n0wLwO3d30Q1lJDLJwRBUoFoFpDALSKgyMBTzPMRixF vhKuqel2aQSJMbZRhgba1ihe1F3wKAxbcTAjCTrclY6MTsKAzvbQcXZ5eX68i9FFcDQbApRnJ0Xx sCKiQXk6NDM5RRPMF4UUuJsfvLHOPRx8yM/AcdVWONcmWhRL1wnaHFOWZJfVSaL+9HLQglptznlG oHlEVa+LsmDXG5U9hCnvVx9BwmjZj38cSiom4quHCqYA+QuSbpWBmfn4RjrRxCzmhY3hJILBm0X+ RVzo79ey/ki6yyzvheJvql8GNexnat/NcCwKYlDVRiFOSL/xcYsEMC+obhJrTF091daiIrkFLXfe T3/O20qBbA8b9Y66qfReOc+6vojMzP2sH8OWMuHowZ+psjA1+voq+8BR6ynu7zRgDN1Mi3aToZzR 73zSSsVW7NNFTmLMKVOONM1tt5yKJvQ8fOBXflZ+SzORdXGkQPyfefHhOvtlmom8+Zh7VdGzX1zB mUqzQmyc6dS8asRr8haFP38LTiKfEeRRWykajOsRVfB2quE+mJ3C/S/6WCvxHS+1J3mgbNypASky +WVOIgBVbopomVDvjygKdeuGB/EIjWg3aCkDxUje/mf23o2hKAgxgYV0yem0Gxurrs1adva2uJmS tXzY90U3CqBFyMLb01c8l2iE4GBN/8UNN6rAdXiNV8opYtd+v8i2WMlTOK3LHJLrlo8SVe7r5aVw 52H2CYMSdvCffCKwa8NuyUGB1lrR3UPpeUHoszaXSVp7H/qVR2aRo7Jvgkl9y909T1Q9TxAPcbzb jEsh/zGHRKudpe+p46ZNDzlxQBgVV1LyIwAf8DxLKfVdDhlxUGUMml/5vLNRTn3zn7H1Dd8lUAV5 /ddDHKP05cPZ16lF7jyGvaUM+So0kJQtxkU5OMhdaak2fYmY/06A39KN/sOo8NfyHZKrJUFeYrcm mn/K8hWBr/PGo2NN9K6+k0Pp92H8789Syuku2u0iHb9nI7wLutOmNftBp1OHFO4rEojJlxJelz6x RLNYXLl+sy4zY2CSUrTYNvZesVLFB+Pae+LNzP0aWN9xy9Zj9S9nLVLEZRJlW62Ygpab9oQFYWsw rLeSByWjG0Xe6k9XaGg/XziOmrFRQmPWTmrN74LGC8a3uu0vD3QHGX21HTqr/r2mnKz4+QVYyTUG kHOCDQk/NeIyZtafOHmwOF0oBV29BjZjQE2hSvuKwpkE2IYB2eijRav+ZPeCFNApIqmBOvwCiUZW gzlhi1upI3v52ZlgucdTTSMlJwi5RjRB1eYhr4KSSf0/t4j+FPeTEL1ZTqHWeUhYB+4CcWMAShm6 QsnXCU6fWWmaeWnbvHAR1oVrsqVopvwS0n52mPfpvUHUhOOcCKKco9dHWJft0/4oaCyU4Z6gB1qZ H1QPFqSpGXL1MP0+5APXk8XQxIqSPjnE3Fs9YWzplY4BCJV5g49AixHMp0SJfnWCbkHgEJC50duY TofumSiaJCoWQIl3NWrc1KRieL02+Ndc/nL+cPR04aKUn+NaNe7wlvpDrYKguLL0/QkFoN6cRwJm kU4t+cpVqgp1qIEQZMCPM0hNZ2Ch4LOeeN18v2sA3ZLWGqPT+54dJtQp77c9el5Fs5qbnYkbsfRb AgXDdBOd310PYzGKJTYyMHr7TRQzddaps8c/ZVNmWVIxszJx/vJ7El6CFc3rRRMVye6xO3HagbgQ 38W7OvR3kaXkwJyZexo1jLBbX/BnFpDp4btK+uwwt/NN9YxqP3xIeuRxJXSbjlk5hQf0cdlewrPs RvHbAS3ee0GMIT1LYfT82FNS36LU9l0pV6AXmNreicuzvXxsVpVCALARMCL0+8sguEyzVuKeXm8R 4F/PMojKoJ4Ctvr3h+zj7k6ajRN7RxS60dNHIneiz8qO1ZXX3KxTi9kwb/LCCHmMGjdf1xW8NJ/u rJ2ymXnIfWqcXxJlQqLN/KQnrdO+1dJoGCAsndEs7hDLHrK7h3o17pwSq5tVJoKgC7Bgl8f7CC50 kE+khy5+c8YEU0IhhxTfgSQP4Q6KxOoBAPkSB0nmvVGl/tF0R5b4wr20RcR+0bjwWIqTh+ZDF/ON onqUZS9gTTxKDbYqsiP4Y4yklYPOmvEVXAohYRWDBw35cKuFuQGDcZuyZrMGlYog1h2CPHIB3bXb QJMJZPMDl5C8ZKU29Ct7SF0AOXSGdBvvRa6hGrSF1R7lMgZ6NBa5gpQGk3cFVzEmHgr/MxMl8uXj o9nXb8xAwO8AWKGzbwz2TUN7mzpfeqQD+dhfg6PANuXAv//ss2jpTAOLgNTTZQ2MgyvPkST0Eaa+ MA/IGbQ838ZcgVAga6+iIpHBICtFxu3gSQiB0g0U/sXcifPj+xwG0OVqd4BpVTC7ebRyNg+BT0K7 A9xkOBd4/mtwSWRyNJAbL3P3Unjh7KCRwOpye65v2+RF51t1WE4nXoWOXnNfiF10URn/jVZKZuXr oTQXdzEH/5sRIxJbcdsgwFcIMEb7R+lBKS95+79F5pegYH4cczN/S/6Ibad8dm91pZbHxLsTQrzM 5q5+8PkvHpKD8x78TvTHyg8Vp+0d81VyZCbXnkXwWRV8sS85AF6ozDN3B0PGr7cVCVS6euPqbHzR Umwfkb88IPlTWwgsNMn9IoQ15FQ9RJqsaq6nexA4Qz1uoALFsffXzQSE/J9EuvM2phlGuEkJ1MN4 h4bTXmczBB7sA/yY+8eAmdrGs1pavbZB8wjkNCgwr71jfO4eb9TgLfQ6KwM3MIUbZo4jZJCMehHv hu0nnbzWgNNuXs9aTD3MLCO4qPkR2OO067kmMERFDMzXJrEhwHlV5G2FGEMAxu08rxZRWdTmWOcI YAVVBnW97Re+TWmCY0+nzGB4TLQnTTynwgA1ufQ3arb21AODy2LNIegxwYsafaYU/MEYu1RYeK/D Vdza1s2wuqOjjXJQyjyxo4JLf0Cqu/UmkKuz5CHZYAYiu2DYV51+3ddqdgXsdGkW5jt401cWfCRE 1oiBqbsPOyBnTi1IBDuF57ncf6l+VFtlZdFYCjr47i+jNWJjvz9pcmgrbT5dHsEJiLdu8YT3/KVZ rBvrG0E8PcY+GvDlwcEhBdwvA5DIBcDmw7A37SIr4x5wRQ8sbVshnh1+7Jz5/OxIg+GDN2YbJM/u AKHw7bYtijCQOpecYvSElYANUJHqPtFkT4iVLfM12DX/PCIwJWU9pgEY52q5ybaLPI+3FXKQTRif fVxlpGcQcMRcWluAQQSU0W6ben82DP/ovCYXXRLxhjPk2rfEtDB3/IXcPCHVUHTphRJ4ltYi4eQU CQoCDbp/X+Bn0bIdwBzkZMOi2IfYPPcMVP4ibCtdK3Loqg96RXcv1XsFne6iZJQzs+oC4MuE05vW 4YwBKDrOhLrn2rjNei1KQtHryJnC+uomN4m+UFHhg40ROKQvGpmwLjy40DoCm1F3BKKX7v1OtFYx pNnNx+yPB7h6GMHqJBgPzcLvtvwPqntAnzs3ta2+G3lkBtvYu72R/QuNjtvRUNLPgJL/HLf1LjK4 jmA0eSMt9giNKDW0NovWdR1CNlol/frO2iCr7KXwjiWiGs0fojCgsDs0fRxR5+YcgXxDpWnXAc0R JW4n1/fjMn74mrirmyRLzUjun2iTIBSwTYQ14uqdYxbgMq/PZ6/ASEQu2bu8+cY2WZp2Qxi7LcCP Ns29dWrcqAjD6rpJnQNwtFNOGWnbImjFgEfYM17uBMTgrVJn5g6UKhRq9a35sDSOPQor7iB57rgi 3lHd18Uevx8yUQABxsCd6HGgRT1BoekOC1vj1XntuJ8y1HcpFy1XnfeouImZv3PYKKEnVDm9LOjm U8kGvWy0YU7LWGpJtKFsXE5Z2Lneh84QZRtNI3vP9nE4F8edal9jJQ2A31+HxjXzNQe3enZwRkZj 19Ijhn0f9uRbw5pmhGRoVLnmdNviAT+SmcVTHAX83WQef1myKev1KhvcW+Q7b1yyg+d57x05RnQT amrO+MtVjIPYve6GlNBKrzwnF1NQJPFi/P75+T/mzgwmtc2LBtA01Kr+nkxqViLwDjjGIFHel+Ej CF+RMogG8m5HgGmljLz2/qipebtqKgxrCks2AOyuQ3pBbceS0yurDE4KkBZAniMRvKpHhUGUuKjs QjKuMvugE8W/rfZ13WWbeliP3Ow6+CM7FfW5ToKmoVd1yzh10kLMEeSWd4GK9SlrJ/LezmhGLNLf ZfAuWMmQ3Kwbji0NBWNcskc4zB0ZRcWg8vwiNFtBwu3cy/2gBJsihbd0gyZT4eq9NN9g6j8kwt2t cUdyf67WRjby0PNjeCTYoN1uWfu5785S89M80ggqdYtnKHmXSdOW2tiuo7HwirDAdNhaZxSksnkU p6vaY3sPNjp3+80mWsnhmNw78bN3cavhUHXRg9HPOqfC16Vk6/v4Mmkp1R0MM4XLZ4jLIiQPjsAC w/ryn1N98cwoVDf2WMZIi2LaoHNM/wPpq4Oo0sfcR2wbRrKa9a+eqCeeorUysSeU8FzzAu6TgLXn fkrYQPVE0fFxpFg/GZ/sHarr7YwUm90Bt7nS4GQQmrR20dxJquc/gGVJ6Jj6n/sK47KTARjB2ppX ARI4mzAy9rrR0u4YDq/XEtva5aw8p+Dcp8i4Pakys5Ij8q/n5rJ6YsE0flC0F1hoXVdbHJDuSF8O YaQyzQraW021HQe1eLRktv46kQ3R2jA1JwHJCPlD/170uSotXs49jXhFTcLkgIPdfGF+wMc/1OkQ /iwQzUeXiaUJqo3nGZddb642aUKuN+gU0rvHwPsYZXM+rvl2hiQSHE2Kec0FKuxjs8mYVB83KFwX UC0c9I5QQKOur3qtgeXEr/M1B5eT1zHn7uwsBTtzMEqVrh75WAhwKY6vKuYVBDJIgFqMPuwqC4TA 9lFr2WLUanDio0vcxz4o/QIT3/ztg0dbsUE3rKVmcl+Hluy83FPc1DWwmYStOqXsthTpod+iX9SO jjENI/pekI6jRn1wexikRzcrUtO/VP6/wE7JccdonEnZ0L6fKT03mcd7jIBqxYTvOm8jXA97x7Ig 9yeeEXwxxWe1mtzPjWfLZ6qzXwhYcAnzfiEA1QWv7xxktOGCkLCGrpEOsP2DA78LLJX5OxxqgDwI roq/YIm9bEV6VUcRz/K6Y+ie+hKT3JzH6fw09do5j33duz2BBpZkfS5WD6bUBEqA+z2vLIht79fw fggJSFytwQEQERde53iu82Q5a8trrhMAR0/6XOjGwlAsvFOb8IrV94OKGAwUdCCYWxOjIvhPXczO zAXv8ui9XUhi84U8xh90T18UWKxfwld1BpLDg8bkJ1EM89GRV3LUzPioeIJAU1x+z4jnHEmwPq8L arfgSaUmiJmkIDEuH90NyIYazGDDIVszdOrqRRYsxzx5D68g6X3wyBFCYxHJnxEPr8dw89lKCezG 9sUhzQuwAJdxgpNlrH16P2ibMmCuMMXE/+zcBiV34AoECYy1id+7fyGtB/+JQ2d8GXoPWUw0RXO9 rUygDtgOqztVwCyO42vSm6+hnxt1wW5Fg4H+bnfQmqsjKvZqZFsccNmtc1+R9Z3MAzEmPVZ3Wu8k ZFjnT7V0DC8PJx2osXDXzJTCgeYzitODTtScHSh7w7PsajLng6FzppeczVMzic1MEPbd9SLfmxSl 2obSK7dEptOjdgaJ0grJcYFmdYcR3GkoHCmepOvjxM1N3LTlVwvYUCUlo+y3EPabHqopJNd/6UBk UvdpmfaKisMecmWfoSIStOwot8lBoP1jic8H0+PaFvde2puu3f3jGO2NxH8Ql+XCBdBNJTG+CO/g mBEjOdxOtXAFOJeAEjvC8EsGQD3HzKKH+j7CJeP8gkkriQQQ1fOHUzEAriXYSetlLcsaq5YV0iWT rZuOo1C9T0Vost3r2R3EjWLfEfyWwi0atrf2pmDbgICuH/HGFl876WIV0yNmSn0tOjV1MgMqGS7H G9ExU6ruw2V3KvpDLazp3GsEriLhJmAJn1VAhOdT9igvcT2u6N0S2zuBFvFiC1EamBScq4OGu24s EnzjItiWFy71OpDzbICmNcLF7wamZR4hzK47iYu1yK+7BV4oL1LfV3D3LK+Xd4ZdKxvkUR1cTm/Q DyKMz70RCAJNxoar5r1zHQ5t9dekBzYBewuJSSal3PPFq1tEKQpHKlH00WGg6QLGwwBkUwCkgG6J rGpuQiBlnV8O7X2VmJECyzXRIIMqElSUc0EYszZ5KPUMGCtLrNvTieXtt/sXyjVtitxlm/Ttcpz0 kFBTrq+AH03Hb3Q2Xhluq7YFo4zXlS7w/wsqISYneRPSRBLVRTdDLwgMYZZ/K1SDqMpzzidkx9+y 2gmhoHFRB4/Iaw0K/CNVjqtq3ILDVxCzAXwvjfTbfQHjarlXqV/GYbEN2Tm9JpxDHfldP+hammmy 5h8ikWOID1HhGcnUJN1MUQZd3CzyoeGdjy4X//6cuIFwKx8IAkAIa0jVBujtERjEPGtKmSOBBCIQ DT+uD/5xfAdufDNnx/h1h5pMhFULSTWubOZ2s7SC4Xh79Yu2m+cdG0qYyOES3thtmzvkqI9FTXxm bcNJ3DZbTf4Q3FyqFX0N/lZRkz9bALt0DnMA5FThztFaUD0vvYS01MBDDz6U5F3S/FJFKs6FVX+V vF5ESHP/NECM32D6Y5T8ITOW0oAFKFRRlgq2S9ZmFgbF `protect end_protected
gpl-2.0
ceb0395af5e660f2cb737df4038c92d9
0.952513
1.81626
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined/DC_CTL.vhd
1
2,521
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:52:59 03/25/2016 -- Design Name: -- Module Name: DC_CTL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity DC_CTL is Port ( CLK : in STD_LOGIC; RA : in STD_LOGIC_VECTOR (3 downto 0); RB : in STD_LOGIC_VECTOR (3 downto 0); RA0 : in STD_LOGIC_VECTOR (3 downto 0); RA1 : in STD_LOGIC_VECTOR (3 downto 0); RA2 : in STD_LOGIC_VECTOR (3 downto 0); -- RB0 : in STD_LOGIC_VECTOR (3 downto 0); -- RB1 : in STD_LOGIC_VECTOR (3 downto 0); -- RB2 : in STD_LOGIC_VECTOR (3 downto 0); OPC : in STD_LOGIC_VECTOR (3 downto 0); OP1_SEL : out STD_LOGIC_VECTOR (1 downto 0); OP2_SEL : out STD_LOGIC_VECTOR (1 downto 0)); end DC_CTL; architecture Combinational of DC_CTL is signal OP1, OP2 : STD_LOGIC_VECTOR (1 downto 0) := (OTHERS => '0'); begin -- if (rising_edge(CLK)) then OP1 <= "01" when RA=RA0 else "10" when RA=RA1 else "11" when RA=RA2 else "00"; -- if (RA = RA0) then -- OP1 <= "01"; ---- OP1_SEL <= OP1; -- elsif (RA = RA1) then -- OP1 <= "10"; ---- OP1_SEL <= OP1; -- elsif (RA = RA2) then -- OP1 <= "11"; ---- OP1_SEL <= OP1; -- else -- OP1 <= "00"; ---- OP1_SEL <= OP1; -- end if; -- OP1_SEL <= OP1; OP2 <= "01" when RB = RA0 else "10" when RB = RA1 else "11" when RB = RA2 else "00"; -- -- if (RB = RA0) then -- OP2 <= "01"; -- elsif (RB = RA1) then -- OP2 <= "10"; -- elsif (RB = RA2) then -- OP2 <= "11"; -- else -- OP2 <= "00"; -- end if; -- end if; -- end process; OP1_SEL <= OP1; with OPC select OP2_SEL <= OP2 when "0000" | "0001" | "0010" | "0011" | "0100", "00" when "0101" | "0110" | "0111" | "1000" | "1001" | "1010", "00" when OTHERS; end Combinational;
gpl-3.0
90fbffe9c1d98ef9abf2645746fb9d23
0.512892
2.823068
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined[old]/ipcore_dir/EX_MEM/simulation/EX_MEM_tb.vhd
1
4,310
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: EX_MEM_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY EX_MEM_tb IS END ENTITY; ARCHITECTURE EX_MEM_tb_ARCH OF EX_MEM_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; EX_MEM_synth_inst:ENTITY work.EX_MEM_synth PORT MAP( CLK_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
gpl-3.0
b09083e8b875e3c19633e48f1fd9dc02
0.618097
4.634409
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r22_delay_mux.vhd
3
15,340
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Z5Wxt2EKcTNugHpEzGaG0Ipyf6+y9lcr8Ve7+/qBbUXztvQkkDKFIf8T7L9J2FE4B+xbdV2U7rGL KoyY6hnn/g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kKrdsAkXVN3ITzDXp5oAyrMsW+trhT4zLE78M2vpes2CU3BfhrP2AZBUnxipyuhlqm1czzSsZa5Z 7e3Pm+8nB45EAMqku4YzlEZBCLLoCxlhSl8kv1Of4p6UK5VspHS0TTj12WK88QqIDph3hYg7t2Y1 DIJWyVXbZnvO2oJiJUs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hDEsS+vxqU5atiUv6tH/VyFCI1cq/adtBYLP5Vl3C09cV9j/Pf9p5IWdP0sa/eS9HjaCTY9zWPvV YsFVyBOiUQo03i/ci7F1t2kJzsUYBph46OG7BXxTbZsA/dVO9K9rKrM9TtbyMUF2xsPaOGclxLj9 pvZDQwdeKmx7K9/T+U5NemtuYTj0qVwdn43pBBLbRIK6qPajp7FUu0CRCc5iDU7i3PHwAXiEIV+s 9wIIs5OadSIUZAKXdezrU2jVhYiUZKAWxmcAOnjOFAAh078RPcqH3BGqF1GBKBXyrCp0Clp0jO+V 7C2K6vvDw2evXPdiJhjFzpeQgqMVmF17lOt76w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 0h6Q82+yrTN8LtLPkDO1IZ6YplPAEsN9GXFO2gpHzA0zpqWp1cYV7/+eXKARpTEMMt1inEAtUtH1 nXktQp4IjaNrdSRbnDfJV+gOfT2tZwwQLkTZ9UsuyYWrJKyIFoARo5V402SrsELIv8UvjcZY2xfw uOWhZ+cROqU8D49gwYY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RdXwqCe6Blw/cAv4ZX5Z7vqmnoJ47gNPhAmf+oZ9zsLLgcSoWHFAYHIPoRCpq8FttU+XY764Dt4U Tu/84iCMmTd3iHGx6hI20IMKjHdLMw5bG86SpunPoPY6tKD/9puco2k6wGbmW+ppOSbxx8I+Kw9G vovOa+/1eEkUQF6jnvjgA7RYQ5R48AtgSvvFuW+Y7WAN7dwIIt0OIcvmGD/O5c0s4XXCWlq2S19p eDF+oeQh1FsLMpJu7F2pPZ93j6LsmYH+PrhISPtC9v05Gei55PgsweYLK7M2yUKZcCKImJrcuKNt LwUkUj/wD7wgBnKZpb60d0Z2jAE8EQp+CC9UNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9616) `protect data_block 5Dt6nhYcS1MIYZhinM6w+pduOapVyPwugHzQvD9V4aL2ZNggVR9nbuyWvEIqLfpYFk8nNOlMV03N 7oiN1EUGtSyP5lQKwHSag26rA7vfUaZW841EQ22Mdbb5CrRvDzjyECUv8I6yp6895ihRBH9WL6/Q qrlv/QrP6curBiCB25hjhQ2FOllnNhuluuJ2dnPlodMdBchc/jckSOR04kYBC3EKL9/8OQuqhgB7 /p8yvLe5GoYLGcroXp2sUzZBaj+Z0sfV0bcW4t0/ern7cCrmXa+BZtFc2kK0DbP/aSIpEnD9sqC4 2xF0MGTc5C2SUOSNmbkjw62kIpqc60Q5pULv/vynRWAp9ttG4iyZ65x+3MRyG+t6QVYMsIKDIGT6 0OUHv0OxsTgBJ4J8pGP6Xf65XGWPvk5Gib8UdALOetnU4JFK5hgc5cXDRywOsWCDYzsXCmJgoP9l nJzFyIHCwjqWdJPCpKqUDytIwcvPllWnzuTltG5D9DehBUcQZodw+Lmb0V3HH716mrj+OsrpXehr AkgtcicfpdU319qCTT9tlN+1v0Q2sDZ3lM9n+TUDlDq/3GXj4gSEhgiiKRo+k03B/TGmk7m2kxHK JrOu1RbE3zMi1EuIjtRobJbLZbPliYu0G8a+v/PUES9paDCa17Q9K7rpUsNOV6ZE9WSlnheDwkrP csafomrguQtkviBPPD74bpQHIrl27qHqzNFCAtUfgW7U9zX1vMGT0YiiAsEDbVCykatZtVmQb1FO DlS8TZdINTafDKoaQOTLfkHfCWzI1cr5XtFGI99pF6S4oSJESa2AylHIEKDP75rIUfHmYmqUmODQ FYNw3H0srNeIgs+00bR8znkQptVidb3L3dQYG0zd/J9gar3L/Ba4Y01cHrLYX7mtbgb48V9xFtv+ vE2b7SNWN7ONL4JIdrlwaBAogMQ9hPD0giwc2Vm76BUA1+wRH+mRmO3pQicwnO/dgFsPWimV6u1E ZpyXYvTTTSmys9xiVWj+UYZ/jCRPj5HyNcWS/oeMheZE02HRDEHdJJwowTDUtofRWHbnaMwbMAlI sZ4y71Uea0ug/PNdYQVYb1CfGMllhJyQTMGgck4b8f18NP5F37F9J7oqNwZlceO2nc73hENe2i/A U1adpYXDGmFvAADk4PpQzmX16ipJgB9iAZF+LrV1gySZNB/SLDBcibosuazFX8+1MAyRyFuSnCc8 J/k3AxoeQiV3YWmBTQ6Cj5HWizovm1LAHOkQR4cBBcKNxiX0Z0n9mZcRTIHEZMW1Saf0eeGP8IJ5 uBACLgSYbxpYn0hKkfweZpuqtqUuBENBB0tfRPUGMsg/utueakF8Y9rLjULIuN5eczm9tiq9aG4V rv7DUjTohBAQtr0dTHBZC3CP3fqSHQgwGUsfnvtkAUYkf4V+XZ+8U91Y6iTZFsYPC5dmuTQYkziM wE1VhGMAYLBll6UErp19pr9dlMLBWgo/oywgzgfS8QPnyZnwMnTrbPe6ua8/uKfnl+f0SJ4VFvch tiuzmMAbr5gyAwP+2Ve1sQE73yD0XPHQSeuAuuP/71whAan9FDe/HC9Yqmx0Z9AVXaaV36n4/9th 6guIBkV+AaSU+mN046d32nySWC9l33I3mBKXvAgs0rKzwvgvcyDgUizypey32kY5rMIJM6FUzrj3 dllZ/Fdu9z8Iuu0M6eWVXHpc36AhJyi6mGZmsZmeAE+H45R3C/SS3PhB7be/XKR7nG2Uqa4jKt2U H+BEwH8Nd5Lup9M8/aVaMJktjZGXxCtRVXG2spivxj3d23wuIaWhd6DqNBlOsb5rO8BFE3RIhj0r j2R9XgnOJtKAQkKAPgCwGqF3YfA+24vr8PWJuFhOSunGWYfo5St1TTcMfJLXhjJ/Jf7RqBLYDF86 g0ZuAmfRQS4js3M7kgtZ7MZxbODkr4kHjXl9XrINfn266wTeVXnEZPGCOPiR4C0VB2O8NlUfQkD1 KkamgtGCec7hSHTOPl1dSNE3Zaf/ZO/UceOjDBtcEIz+S18Yzd4qp6j2g1rtMewB3EtkduWnpqum 3gAqFoyM68OzqimjLDJq6FmgLlrjKWy0XWgH10apAWySK2cPJR9RVZ+m5yRoKu1tqakz0l7fCn9T vPvDNnBf1hS+CQxkWPNttYzf4wuS9ax7cR7gjtg+f7H2GGSBpvrylgPK+TyoK46iiGfcirxMr0MF UNtWVm4TjK10IDHbQRyxuX4Q7FARq42+OqYYc0ybXvAfj6C2S6Q97hAAx60tmJRaD8n+S8HH0eC5 W4x4tu4ULsQgn3mcxSavA0MByrhAEzD8Xl1m2EaVGp25njxjY0GndTZWOeddoMVLhYyeY4uqrlJF GTpGJz9X3gytBYs2Mt1IFo2mQPH5RyEZapV0SqvEWzScBB++X7WUq9L8r2Lahpa4YvEKCfxRPpqg /Z9Fv5WPjinPjM7ag5k3x66PCNR9Dub9T67nAl+hfPC7wY4AMhZ9Kg9CAgDGOLqGAvHIdxaQZm8a B8+/GdZEnJANssSfKDxvFRYAY1cObbS6RsODhLcFuT43FsMz9BPoIjrR5v8xXq1wuijpfl6mZLeY o0U5qUmRWwOE0EKuMymqYc7QwYyqlBGvcQhtukIIHalRzyR8SgmQElIebwcT3gfsKL10NYHh56pv MDLtuZKf+QfuNC5rOrXmkM78G8NQDltmtoPW1nTmVTmkPSf3rYIYeQUNdGdMtCCCphLW7uHWAC/s I6l/PCExLLm7pVVG3cRQkUJc5X1q9Tcd71vl6pA2IOnBwsupb2C8Y4zSFOlgXafPAP3oq5wIodwC eqclPCPqNixI9RDvDEEDrFL75poG81KI9IfLZzXKJtwrIkSG/Aq1k+X04+vO4zMMZNJOwtq1cuvj DnYcLlw5YWJFEb5PWIcXExikpC5/B2TvNJE4zyYyAKg7WVsPdF+v3JaTeOS7rpU+aSCE/KBkdWhz mKaiA7NYMP3Ji+c+JQ3i/wX/TXvBMfaeO6yfOU/k0yglTpK4xGiVRRCycxtro5t0oB0v8I5nGpNM 8yKbIPTLu911tQz3xpx3yNsb3QHsO4Bo7Toj+rLcdOBa4LsUeMCYHUr2yFWVgb6iZ8UWRl8fKb8j /vzb8INMIfwnr4cNuF3JQgn2WqoVgigbD94FelLglSiWIlrZbcvpcXy/poHckLCjParC/08Dod57 ROhVQVyVBptgmgVyDKdOfUDxAO3LY3CndqHa9ku4ZUpNZTjRUuGK2hIBXL3UB4VhqmoaxNBvsKiU jYMGLy3M1ey3WzaU8VJVoZ7Njefzs4nI+XYSEQYzlgyIwiNojjxmegEn5wj97tm3xHHd29kPCUE9 bHkE/ZZ/wHABUC8aH2B+cQGvQEpbBSFBnAqrPM3M1l1hRhDvwWGMFWHLHwcUHKDPOOGGnyrNxLi9 fyXEE3hvZVGbNExYc1s+DhEqH5QwMh6YDVucQtqddf38EF6gBczyCKMV7mNK6Y1TeaR3UOS21Ewa p+eBQGMl4fxdyrr7dPWiSQmpVUB+ZOcfvs4H+oaXjvrfJc72235yRpwh0yfmd0dmmUQlhpL/sz0T hphaW/bDsjPxbTgTtKN8hMk8YEEpzVOhfo0v2f5tWgFvSs9j15xQCetFC8CSC5lGL3I1Bcv9DbBd dxBXQNsHMsoqEKoQ6z87TwIishJF3TmtDz4J1DvwWSk11Urli77Az1SmT8derR5YlT23YYPYHMup PaFqRRRoBPOkiK36aesEPS2n4EHq0WPXsZwoQ7esVCWRzP65125owukWR3sMcrHUIKPBiT8NXPSP 83tn8r20Cpu7PGeY6RizlWS3Qsk3K5GlEdyH65Oedp7WA7e8D8PhUtOngQGtE5n5oOsxeiZOISAQ xbMgcZ7MMvlVoK9CCaatyNPa0HGJhm5jy6ZZGDEfZO/3caHyOCFsXEPGBHRndhJl0XAzI+6rO1el dXBKgjytFnqxYDUfcGlozIl5ip5IwgYo8dKfOdpLW3VhWYrFRFCwcso/daRKQ6ZzRlR7coKnftAn q1+DDOHeirDczwMnTWGRZvFIfKUZGYqDQSLxw1SKLYir+8ZzxMQkwEtchXzMBmq/i1ycpWgvJTTD 3XH7GH1pe8fknuVsHy4RMAdOT6rN3pt1MkFxlTyNytk4AY5SwaYMHMYw0/SpgKNJPuwz0MMhGPgG soD6AAF0nPNUlyifFfSfd9M2dIHVMOG0WzAdoKi8gYI39SBopKlwsCWstNfavMkVPgQ747EbkAOn 30GBjAhyFmH5PBGYCRcrYJHJyVm06E11b6IaJkXsVogSX0HioyrRuSWkLCo2SS8ENNBF6Zj8wZzA ppyhmvx7HmS9eqO5xQKG/APrMXFLdQ92GrMYQWyN80dJFymfqsxOCuuGEL4gt0i5XtYxjt7fL5tC xtrp0xUFnE0RhD/ZOotw9Yf/qSPC48cbEWYvSUz4NR4OyHRrmpd1UwPxVxuoRnf40lcGdothUurl UZcHC4fSKvyJR1tvXM1K7yXuyRZMOJYk07MsVYllu2XvRT+vVAs8EEnQrXGsb6BWRXBlu6zgkRIl XOghFE6L4Je5LSpGyT7wmmtKC5/Gua/DjsAQILlaxf1NHGwdnvi+EGXWkgJesDVDHsd462g48sVY K/b2toEDAD690S/7Jx76/DnKrURsYIdHj5WB+SrQYwSP7/F7W96geG+ne949zGoFMXf+utdzNVEB FZQJWIGuFqSzbnuHTD3/d1dhrJJD5J1VDmiYyOQ/GAWLB1Ms87JkIe9RZ84sn5CavZhT04EpIieT YjfYflH2o1kNmGvizhG3fQxbhxYhuVKiFp9F78GZD3yDdCjBQQi0Qf4PJdlrg3uLjgU9NJyok44Z bMPPoN4Yf51Q+MrTVpcoCEnY8oaSKHLP0fWDk0dbQTMELdgL8vqhleDO2D6Wt/ofdBrf3XIPa97/ CgSqIPWhZ6TCsXW6CRUqDiPnynMmuxEeiC212RYdhdIfz2B2CsPbk1rtp7mcg4HzQ4wS9ylmkcXR LsP5EGLva2lB+aKd2+DyvGcdsalU0z44yzQQexhVnpDbapu9ACoKX9dj1JeS8NKyOYPFeOMqQckx QhdVQX2bzJVA4q4xqw5ZRjwUgOhU+ESCWMnbDbuU65M++c7LCeOUPejGf5dDE/Yf/9Ya/RRLmG/S R9989tmXhfOfo1BKG+3Jgffxg17YgNEviQ/M3qIqK+gI+xBfg0C6pgZG9E4Dh5FPQfjykW9iLloX zAJWHI2TsNhQWfu2hAFCq9uwmMzayW3O8l+6Ee9YV0GFZABvQN2qKN7kjnQm/yeO+BfTl2W9/BTq QWEQkJRPM8d3C+3aHKMU2HyzsHfNJMVAE4Qh+SG96xChVFwYspy8d3yqgOiTwLK/0cPQkA+oi1v8 NXoumtw8WgUmlCHNlfeI+KUlC2DEXJRZaP+/a60smRLjLciNSnhfseLVj471+hEi8H2u7vgKkKxH fZb0YhKYOQuRW8jWAw5m2jERGAPQMAZsx2OuFZdw0JwdU4dqrg2nADYcYs+4QrU9MmbMC8bvCm3Y NsiC3yTFWCNQWTRkslL8ElSMbGp05zsiMx/Jw+FZ4mOne7j4Pn1lk3KMBc5Q6CTxoG76QuQ45Bq+ AJaRqUtUuUsZYmEr/o1xUE0M/DAV/1T57j+iBMfpmIc6oEvFqMKwzDg/tMw+KoeRxOMVB8f9Oq81 tCO3TIEWjUBZCjE0EVCP+ar4XbiQ5oH81g2XBMHTwI9GekpihzOuBoZrEHg32KqtCu9umF3YKNT6 +DAxeyV+wjHgVuliQTAXtHl+iHm2JKsQsYFxl0LC0t4B4iov3MotzqLinK8VOO9ol39Zz2QJVjuG HmTWxbE/lucNQJRw6eZaie3iiAP76sJwgXeIEWrsyCfI4QrGwavUCXWg9rUdemaFQ/k/ZF4IENgi hAUAz5MKgRu4iNSfvlwnj40rdlzIM92qEcO4Ox75kMNAz9EDhHXXPL0IhTGBfORben7+e45+no+3 IIVAYnXS8OqedKVN0h9AIPlEhxwueIvgIXw8R1FMJb5vvX6u1xTyA3uuj9I/UiUkqBk6IweLv5M9 Ua1udfoPF3MmQJWNKRZj4nGIFIxXce4NSs5t1XqWgrxt3EcwA8DATfQ0Yk/8v3uBEEdhODwPxOP+ RuojQY+i1EOxJ2AuoJeMXKAf0o/n4ljOaDnboBk7f6tX7TSAOKJtdaqDfUt+aQ/79TFm+gwbKY4b 1BndOdByjxaCZ9KAp2X6UR+k3j1paD1PGG6orV13uGvuswQr9k4zqvS0tUzKQluICS3g4x2PCQ7g zcU/ZsMD5ZUsxvOWKkfKF4FFbEEQdv2nCwMlDU1mcuZ3r/XMA6VzBoklZNtqjCQgZaPqrtV9Lb5u Mp/3bu776mczzU16qvjIwRqSNQ49WdvKTh6SDWt2sdmv12Ard95sjEdpr/H0ZmGKEDO+jh0rGLWJ bBo9W9yEuCfslHypu6D5N82ziHtb/Z69mmRLO1wdsJ2Sw1OsCNDZAKl36yYObj1vvHcBuQfHHm3c AzijHpbhW3XwTHEYvWTiO5om3T6zhokeIAHs2Zffk8v/5MiJ9k+cCADiktAtc+R5VsfowMzmFefX BUDRnDhEHkX7yBNCCeVWFrekuMk3NIsCbSxlHA3lEN2Ygw5zai1K6Xa2dzcbbgm4VzRekwUkogU2 yAEV9GckaYofKGVgCVdNG74pBzKz/k/yiGxzFxQ7AdL8RBj9aHE2/G8L0C+uBvgXu2VG0jBaar34 Stexf/h8U6Ts0GNp4Tpw1wXBl8D8bTBGlldYsDYl96j1VMuIqoSXPOi+cXMmGNkHYRtth35oasd9 vBedoVP501ACeK6vjnDjE7EJbk15FlZPr0SE7fZOP7WKM0vltNpl4mWmT7fnLEBgu5TJcyC0ts8b uKsZrpg2zSQ4MY2rioQ4M0RubepRN/8vBuYDH76UFeromoylWFcXaOppJk1c33iqoZEtwXL53vcL CsnSTR0mfOwsLdnFDGQx13CxeBPjXehSncaRXmam1ddhmHK0P5H7bkJI4/kQFG2N3iNxEvw+pEpC B/9DL9TZpBT2LNW8SwKvXS/9NxeTYhILEky/kUmf6a7+0wHj69Tr5rMbylQH6KwGcm5ZXUaXQBuK HB4vPO0c2HxgdYPq4Y4iBUXPhCFRiFZBkmPt9O5WuWY4mDfmje/UHEe5JunPwj/H/SVMLz8hNUpY 9ujaUncaEsElQiSpJz8MUFX3eLZnFsE3qGxC0D8c+vqbhJu489AfSUZoedac+496RLteuOJBkQ/t K9OJ4TvE9WtibA+FbYu4fJ7UaN51Habq9SatpkrHQ12RTRL0qt6iH9+7JyIOvxnyOPYoYJDY7Nsf 25f+7aCDHTqAVK4aVT4Gsmx+SZa9w2cm8AFV2DdG0EVFyXSCMCtfBoVgwvvGm6RvX0CfiDiyrfLG 28uDP78YZv6foaYeYXNR5pJjiVIh+QsIpJ9Ve1MuX9z1WOHie41s5vzt9BR5bao2TxsJEHC2CpWk K+zLXBMLS5UjBAYNUij3sQsjl9Q/ewIilAFt+6DZ7171lTRqEyBhfRGS+IdBD6kaI0/O8oLhl0py O4SJWz2TSSHSYU6GCB0GLV7Sfo3ot4pdXl0PxQK/y2jpd9x+g0cKcnIJRGVOyOtNvavp2EhnyI9o pRNoxR/Jz52BAg67vr+dNB4pNl+mHM1bRDDH04Sc0OERg/kDvDi9Va+THLLeC/y6ES1sJCUucrhA plJAZ9otmPKr8wrnIv7ww5xU9eE6iZw1uBf9R77fit29kASn5QALDLoiY24zKxIySVBzg5LS6B7R g/sqynWdpgUNqETeYpxiIem8xBesRGYWBcMbcx3FRAPIP78rkcxe2NNWT1sJnv9BMFXmdEpH0DeS IaJy4UbkL9MVJa7djXvj4PexkWuj+u04cnnIEMNcMLKasE5Uih9dmrzx1AlbGnnoo3eB2L1lBrmz vapQW0nEi05uwi73pNJ9NKdI7D9OUDHinpqFIUa6HStApgCb9jvggvn15ijnE4Akt0tGBHZE5asF +qURiuAqPFKVYA8tZGVcq9K3WSwEzHkzPRYHnOs2wdMLccfwvf7Plt7jcZ+o9wSx0bhHi3xCMBhn 1rMioYQr7HoAX1IpW9FgBEnzbeJ3xmVesQqF2ugnb6NfyuJPZ4CZAuMwdkHDnjOuKbJZW+YojFM2 wXIuEtXSnGunGBJJPPkc6MIV1ZbId9LLi/wzfTLVUPz8O9VKlQtrYJWdNqv5xhxqzH9nmCF+AgRl 6cCfveNBkCPAjfKNcJiS7fDbh5tayUBGSYmtJOvJV4usH0yR2SXOBTbqNCpzaJjSNnFQoRLOVynE xUb4gnx4QzvmNebnGJRqgfcJC9OiZSx1f+mfHOEMZLNOtX0uPeSLd1431JneXPjcqA9kjZa5Cqb3 UYeQApreV7V+oC96mfWs1QucdZucK5kLyrAYdquy2B8RHgi37VwykxUQOnMb5VQEBfKq3P8c36J8 2AKAKI/xaF2dswtZZOf1gYrJd2Zx2i4wwzey5qfWD0gM7ANLpCpwhKmHDirFjkpxGXc1OaXYopaS 8DH04dVnm6PiYXXBMwBsDKpP/ueZJHqACY/NZBpTMQF0glnpC2+xrc3i68NNlgW/JtW3qVpDyq69 ZTPT4XB7rcxFLE19cA9n6EZI0RKv6q171b09kQ5GmhfqpGp++4hIRt23n2CoYSvO9qlBjvs43LoI KEHJlmrXmQfNClsGD77PNp2Z2RNgvzZ9549caoqObuLvVp2BC6zdgQ4gTClozxxGlgzVF9vpvLBL qiMVCJ18pcyrED+5v1vcXBpK4bPLT70MyBzD6d6GdtCM4Es+6lreNcWkL+FjgxgFYu8Kmef1ASKN PbIJhkqtW1szlNDQYnu7uJz+3ScZ9rMlLOaJjpxphD+c7vm6iRwcFvGWgBh99fUVuSYT+qUzCsdK 8pzAdkQQvDbDAnVCbUyvaYCBWCxoDLjmyDOIMjug9oMG08BsuSUjgHjciLW627iwwzmWGpoLifgl 00ZZLBw0NlWK7uoo2x6HzQkJGl3/DNNx03lNIZ+mS9Tw9jgSBeZJsi+HXozwEWwQXWM+T0b62ASX xy4Uy+ccmUairH3uDH1Ji+q91rAsL0aYoDg1HmW4z0azjJDdmqPaK7NQxiLwHegPs1Cz9B/3qtCc V51PCRoNTgf8E1hYGW5FqKiF27xdn3/7LaQEEVi4MnAkQX7P7wOZsXSUrcudSc3UKs3D4cyCQ/qg z+eJaRuQaTOAU/JAYIjvNj7i6M3+arvEr1fP582PD+sr9eeP87vyt/6B5d6xt+UHNtlEW1giWgzI 3FX26fhalzFKUQaYP1r5zUvDzSo419GoakJzf+Mum0RglY2+UcrC4w6VlEgj95I8L/qoht12+FPI gN996UOO4h9iqAnf3bL583ZfVPOxgdcVk5wHvQmkhKYm6rIOubPvY6FkrnWujevM5QS9ZPmaxH+t 6ZNHL9c6CcPyTtL9Ry57cMvV1pVs2nHqXPe7u0eAdMPtHbwiStI8welpZH0Hzo9ZGZBOv839YNsE k0OpcSKl2RsgzlLB7kN07SUEaAwkKV24jKPr5KJqE5+yrDObJtWKMkpl3QPklfWqVk+TXvkouq6V XnFYIzitFXD7CdMUKpqBIVuJgxQi6hdWxA+WxHcdiFv52EGrfSk6Yxe9oPqZOmyMCEJavrGricE7 PBaCUiJwqakF6hYnwaEGOmEpzTBcf1GYSreu56Op2mNaAkJAzcn8614TYgNbYQIEoG4YX1o42fHU 0U7cOuF04LcwnLow5snLM/bxKiclhL0U/WsxzoGbJ30lTn1iNgnhXTgZ2rmVO0u2b5BqZ1YRYsE3 0Ikjmw+wy2snY+SJjwRgvTiR1Wl2tCB9i2xarju2TMIj6OX8l77/3zrcm/GJ8peb5WZ/XCyNBPp+ HEH80HSACx4Zb0fKm54aI0Np5WDpp+LI068iLlmdVw8n34ssuFHd9mIIspASsZpVzlYdsyiIsUZF Xm5n+JHmOw+teIa1rU1iVja+aTt7p5C7uXG2YL5v6RAp22Lz4oJuLIR5Vvl4jEszZs7swP5zF422 wdhn9V5uUOy0O80UK8MaczL0Rc1GVWIgu4KmJEUk++d/nuOtO7AxJITUEs2aR40Ku4bBwy6jgqyz SdmcgBiXLB6GZNAPohiHKvqivnCSqwVX3yJjTU0uPzi3wWrlHy0kC+Egwh+lnqNZsN+thHf2WBC8 SdlvnT0s2IaclWf9pSFzT+gloMp5ITFdA8gzmikAgBSaJvBZZE0RqiQIl1JUvVyniusCrLfdLepY QJZ6xySDMCVnXDyXW9RuCco5z8VBvIs+wOrFHq8I2LOdmutjXxC0xfOb3rltEZANphkNg+C5vjPz sTPQPtLovXVf/50J22z9YDxaY0vQ2TKRj9P/MrEKAPA5xZ8M+kBparMV6CO7I2GQgH7hFmurnhwr y9tqXww+jCdCBhBWgkibHQ/eb1wyQRR1gU/NgMsIJ91R2xfedZfPzrIBrbWpu7BexK9OOEyqgECJ F2KumX95SL/DhJTBGnpX6vVs574Jfamln5KLfpPwUQZ8pdV1vtChvpP+fcfYqx/yYNjG2w7AZ+Zk j1uCEqeRoX1f/eho5zJwDafjWvoUJTRGS9B6nVsBqSsadRlM8xnpi6SdqVE3J2SLzRD3vrzIKlJ6 CAgA/1tEYeAjK5fCnE+pRWWkWgxZ9Xqfd1XLkOGmXiqSw7wYY8brgtMl+VCZ88Z2VSUUwunxccfR SrMR+UsugF1qCE+l6cBlma+iUVkzmsnGCb22oGZgTRXUUdivN990qRm+cuBre8tcQDnhR9MxXbTI oM0gn0pFqX4fH1Emrc3YBtaJLXPOCfBtoAbjXfna4AdoYuy8zaK+B5d2swcMQwdmjB5uZF7EvLhD teTJfXZWC48joHtyNQ6/pyQAXxDOEjixDIPRwK3whCyXA0mhcMDBIvjkk+Ib4TbfjunWZ4F2qoQa DK68RNA1ojaGj5tlYQYObszSKs2mmmuRY81IicJNCZvocb0L+e9lZtSN5b41r+qbcblVYlD/dwZ+ HZ7LhAvWcPy2vlu9wkc21ojuUxj2aIyBA2Oxdo9KTQP8TNKqxG9kg3ESf8uyLLhvqbdmKb/TBLjK fumg27kLuRNbGu3J7wDvAdXqWZN1xTVnOcSLYuOAThUC6wSNQmb2gsans/9Kjz3BXrbwjyTuOLQh RhwTH+DioRrmeNGO/cspJerRILGnjji8BinVIICmAq1ygVUhHyGhRT9l5A2kFMR0Nh3pnoX7/1iw Z36RGD4y9d6dUv9mcuBw618gcmlCYybDYDAjIU2uGfAkQpixLgEr4QqJ8lR+0W0T5ko1fb0bXcHJ Z5/872PqqyPHoMx81xi8j7qONuH6JGaV95AgzC8CLH3khR4hbGPDzFsRWJMxnG7n0IzekMOQ+4U/ hRTcrP9FEYeSU7tgxgnsNBjockj3H5cVqtzjArExP+EsQGKeVaB9hQDoVuFwecHkoCTzRxXSmbjZ 6z0AvbjTH6NLpq5bWSfhbgvpXscSqaox1DrLtmFopak5CwaZAABaYO33AJOcnX7n088KiAJb4MoR MNCQIdaEWWq8dcnMoFjnn9JQY+eVo1I5aUdq7ICF5uVWkRvABu2Q1Zhig53jo0M66U6wJj4HMBR+ Ya2hr3emsDUMi4jiyTHGbtgYDmpGFPr+XXx8cWSN3V1VTP+K+SczaRkR48ozImstSwopnX/MH4Qx AjvQtQo+r16gVeLAmYzh4Yn1wxpL0iR/NWiAVB/ythLGIwT/N0jg7T9PXPwRqsaFzDYJUnXl0EU4 XyEFHyx2i8EiJAyNaHvvJVZs17fa0ZzUzDTG2bWMbBYHBn7B1plNwX1BTqdlEClWrVRU0qb6Qflp wUZ5yJRHptrk7dKVayllfpFodm4bI+goLJ3hQTXab8xA/LjGW8Mc+6qhhXdwmY1LGF2FP/jtZAPR 3NlOId2JDllEedTY0C+vAV4ICPgKmxwr3NfmZxQVCWf3X3+/WK/+BrnIQhkYJKplL7KGHhhZ61zA bU6ozpa1JxKqITLZEl71fV/7WqVnE+3eD7Gw5vbb9SxCTZj0Q1+MPPmcBZ3jxnSXtfaltYWiNuUQ N1rFJ0TCdAIb+tAd0f5fml4GrrFA2NLQTDEPAXjR1eQy4fBmKwHbhCAll5gT2QYluHa9yRLo+JTs HC3/fdHYUm9yxxmCYtXns9QXz10rRbTk7N1BFfrKe56N5wJN1Xe3WUqoKxzxLM+t0urt7+/Gw039 Pv53HPE4jw/A2CQCYjTqg/1fh7wS7BuxJ1Qh0So7DqFpXxt9Iimhg+G5wi2cphDx4Mu+50CRnpFJ zUnOn8mG7V+p5YJWOAOeVQh3JOdvy7NTW+je7Wh0DaDrHNk7xp/Dm69wlrXxXKuQByxvmgy3I+Q4 abKQzOBGYsmvCc4WnW12WQL6V+st+0Zfhd2lL5B7vqGCxXqK7kdDRSFeMhhZURP3bL0J9eg70Yk1 Hg++Qvh68e/RB3u7nG/xcs6JhngtKsASVDwnke1Aa4I7YVKT5mcXkOQ0IcRsJ1RWWIh32g/1iR/D rcEEd2SWNo+MoMbxgOwiU8CDY0nAJqDecOOrQFoiNX58LtrXNjYZtJa7j6x7ms7P4T7rexAlFhFS RwCO1Pn+6q7QzSVt4hzkS5bQ5chomFnrF3lGku0nuSSHCMOkbneItXXI4nwG7V2RLd+QEAoIpj9n RWG+NQe/3dmjt97OD2GpFjQFufh4qI0pxKy6uWNGck/Wd/bXBT1X1A== `protect end_protected
gpl-2.0
e10525f14a67ba206d27924d6558c359
0.936701
1.857818
false
false
false
false
notti/dis_se
vhdl/mp_stage1.vhd
1
2,566
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library work; use work.all; use work.procedures.all; entity mp_stage1 is port( rst : in std_logic; clk : in std_logic; cmd_in : in t_vliw; arg_in : in t_data_array(5 downto 0); val_in : in t_data_array(5 downto 0); arg_out : out t_data_array(5 downto 0); val_out : out t_data_array(5 downto 0); cmd_out : out t_vliw ); end mp_stage1; architecture Structural of mp_stage1 is signal cmd_1 : t_vliw; signal cmd_2 : t_vliw; signal val_1 : t_data_array(5 downto 0); signal val_2 : t_data_array(5 downto 0); signal val : t_data_array(5 downto 0); signal arg_1 : t_data_array(5 downto 0); signal arg_2 : t_data_array(5 downto 0); signal c1 : t_data; signal c2 : t_data; signal a1 : t_data; signal b1 : t_data; signal a2 : t_data; signal b2 : t_data; signal bypass : std_logic; begin a1 <= index2val(val_in, cmd_in.s1_in1a); b1 <= index2val(val_in, cmd_in.s1_in1b); a2 <= index2val(val_in, cmd_in.s1_in2a); b2 <= index2val(val_in, cmd_in.s1_in2b); p: process(clk) begin if rising_edge(clk) then if rst = '1' then cmd_1 <= empty_vliw; cmd_2 <= empty_vliw; else if bypass = '1' then cmd_1 <= empty_vliw; else cmd_1 <= cmd_in; end if; cmd_2 <= cmd_1; end if; val_1 <= val_in; val_2 <= val_1; arg_1 <= arg_in; arg_2 <= arg_1; end if; end process p; complex_alu_1: entity work.complex_alu port map( clk => clk, a => a1, b => b1, op => cmd_in.s1_op1, point => cmd_in.s1_point1, c => c1 ); complex_alu_2: entity work.complex_alu port map( clk => clk, a => a2, b => b2, op => cmd_in.s1_op2, point => cmd_in.s1_point2, c => c2 ); bypass <= '1' when cmd_in.noop = '0' and cmd_in.s1_op1 = CALU_NOOP and cmd_in.s1_op2 = CALU_NOOP and cmd_2.noop = '1' else '0'; vmux: for i in 5 downto 0 generate val(i) <= c1 when to_integer(unsigned(cmd_2.s1_out1)) = i and cmd_2.s1_op1 /= CALU_NOOP else c2 when to_integer(unsigned(cmd_2.s1_out2)) = i and cmd_2.s1_op2 /= CALU_NOOP else val_2(i); end generate vmux; val_out <= val_in when bypass = '1' else val; cmd_out <= cmd_in when bypass = '1' else cmd_2; arg_out <= arg_in when bypass = '1' else arg_2; end Structural;
bsd-2-clause
41b8afb77aaa6b7dce6e9e888ad1d983
0.542089
2.675704
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Poject_Lab01[old]/ProjLab1/DC_CTL.vhd
1
1,788
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:52:59 03/25/2016 -- Design Name: -- Module Name: DC_CTL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity DC_CTL is Port ( CLK : in STD_LOGIC; RA : in STD_LOGIC_VECTOR (3 downto 0); RB : in STD_LOGIC_VECTOR (3 downto 0); OPC : in STD_LOGIC_VECTOR (3 downto 0); RA4 : in STD_LOGIC_VECTOR (3 downto 0); OP1_SEL : out STD_LOGIC; OP2_SEL : out STD_LOGIC); end DC_CTL; architecture Behavioral of DC_CTL is signal OP1, OP2 : STD_LOGIC := '0'; begin OP1_SEL <= OP1; OP2_SEL <= OP2; process(CLK) begin if (CLK'Event and CLK = '1') then -- case RA is -- when RA4 => OP1 <= '1'; -- when others => OP1 <= '0'; -- end case; -- -- case RB is -- when RA4 => OP2 <= '1'; -- when others => OP2 <= '0'; -- end case; if (RA4 = RA) then OP1 <= '1'; OP2 <= '0'; elsif (RA4 = RB) then OP2 <= '1'; OP1 <= '0'; else OP1 <= '0'; OP2 <= '0'; end if; end if; end process; end Behavioral;
gpl-3.0
61379fa1a3adadd7b739d0299dd0e5d8
0.502796
3.187166
false
false
false
false
UVVM/UVVM_All
bitvis_vip_axi/src/axi_read_data_queue_pkg.vhd
1
6,529
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : Package for the read data queue ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library work; use work.vvc_cmd_pkg.all; use work.axi_bfm_pkg.all; package axi_read_data_queue_pkg is type t_axi_read_data_queue is protected impure function exists( constant rid : in std_logic_vector ) return boolean; impure function fetch_from_queue( constant rid : in std_logic_vector ) return t_vvc_result; procedure add_to_queue( constant rid : in std_logic_vector; constant rdata : in std_logic_vector; constant rresp : in t_xresp; constant ruser : in std_logic_vector ); procedure set_scope( constant scope : in string ); end protected t_axi_read_data_queue; end package axi_read_data_queue_pkg; package body axi_read_data_queue_pkg is package axi_read_data_generic_queue_pkg is new uvvm_util.generic_queue_pkg generic map (t_generic_element => t_vvc_result); use axi_read_data_generic_queue_pkg.all; type t_axi_read_data_queue is protected body variable v_queue : axi_read_data_generic_queue_pkg.t_generic_queue; impure function exists( constant rid : in std_logic_vector ) return boolean is variable v_queue_count : natural; variable v_read_data : t_vvc_result; variable v_normalized_rid : std_logic_vector(v_read_data.rid'length-1 downto 0); begin if v_queue.is_empty(VOID) then return false; else v_queue_count := v_queue.get_count(VOID); if rid'length = 0 then -- If the RID length is zero, all read data must arrive in order. All we need to check is that the queue is not empty if v_queue_count > 0 then return true; end if; else v_normalized_rid := normalize_and_check(rid, v_normalized_rid, ALLOW_NARROWER, "rid", "v_normalized_rid", "Normalizing rid"); for i in 1 to v_queue_count loop v_read_data := v_queue.peek(POSITION, i); if v_read_data.rid = v_normalized_rid then return true; end if; end loop; end if; return false; end if; end function exists; impure function fetch_from_queue( constant rid : in std_logic_vector ) return t_vvc_result is variable v_queue_count : natural; variable v_read_data : t_vvc_result; variable v_normalized_rid : std_logic_vector(v_read_data.rid'length-1 downto 0) := (others=>'0'); begin if exists(rid) then if rid'length > 0 then v_normalized_rid := normalize_and_check(rid, v_normalized_rid, ALLOW_NARROWER, "rid", "v_normalized_rid", "Normalizing rid"); end if; v_queue_count := v_queue.get_count(VOID); for i in 1 to v_queue_count loop v_read_data := v_queue.peek(POSITION, i); if rid'length = 0 or v_read_data.rid = v_normalized_rid then v_queue.delete(POSITION, i, SINGLE); return v_read_data; end if; end loop; end if; tb_error("Trying to fetch a non-existing element from queue"); return v_read_data; end function fetch_from_queue; procedure add_to_queue( constant rid : in std_logic_vector; constant rdata : in std_logic_vector; constant rresp : in t_xresp; constant ruser : in std_logic_vector ) is variable v_read_data : t_vvc_result := C_EMPTY_VVC_RESULT; variable v_index : integer; begin if not exists(rid) then v_read_data.len := 0; if rid'length > 0 then v_read_data.rid := normalize_and_check(rid, v_read_data.rid, ALLOW_NARROWER, "rid", "v_read_data.rid", "Normalizing rid"); end if; v_read_data.rdata(0) := normalize_and_check(rdata, v_read_data.rdata(0), ALLOW_NARROWER, "rdata", "v_read_data.rdata(0)", "Normalizing rdata"); v_read_data.rresp(0) := rresp; if ruser'length > 0 then v_read_data.ruser(0) := normalize_and_check(ruser, v_read_data.ruser(0), ALLOW_NARROWER, "ruser", "v_read_data.ruser(0)", "Normalizing ruser"); end if; v_queue.add(v_read_data); else v_read_data := fetch_from_queue(rid); v_index := v_read_data.len + 1; v_read_data.len := v_index; v_read_data.rdata(v_index) := normalize_and_check(rdata, v_read_data.rdata(v_index), ALLOW_NARROWER, "rdata", "v_read_data.rdata(" & to_string(v_index) & ")", "Normalizing rdata"); v_read_data.rresp(v_index) := rresp; if ruser'length > 0 then v_read_data.ruser(v_index) := normalize_and_check(ruser, v_read_data.ruser(v_index), ALLOW_NARROWER, "ruser", "v_read_data.ruser(" & to_string(v_index) & ")", "Normalizing ruser"); end if; v_queue.add(v_read_data); end if; end procedure add_to_queue; procedure set_scope( constant scope : in string ) is begin v_queue.set_scope(scope); end procedure set_scope; end protected body t_axi_read_data_queue; end package body axi_read_data_queue_pkg;
mit
396e624e010ad28d3878f58d32825d06
0.57773
3.818129
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r22_busy.vhd
2
10,951
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block orUPF2TwARQqPZbYSWO8SgZW0Sfd2bC2xDkIpcHtAb3oVf/DoOe+YZTC1X99MMdJfxm9SgFe1C7a yf0aCjToZA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block m+q97LBz5vYb+iZUXk/9Jl9UyEAhWLlOF8ij6GCixpnQJjhGvCEhDZvCNiatZCs13AGhLaMNCesJ lGCG9GP4mUEOl+3O1BIbzKlxg0bE1u4RQGuOUzSCTWvn3g8J6mCAu3X6iSUWnLAYVSvtjjfHV2vj twQvjdIsoIc7QVgIVlo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q684gkyYP7R2T77kFx5Ns1YVnWv6sIMawYnM2yYzwCeyz+wJv9RD5kjSrHf3NwdiwzK4+zR57eTS FLcImHJblAcRage5xMU/QN/Q+h5AblIJ3YypGEOFa7je6W46cw+HPypCGvAQS/y82RBHzdLJsseY oXDMeig1eQ8NjTZGekv5k8TC/KKDuKQPrP5hUrXA4uLdilRX4R6c8vSonfyRgIWKiV+Xs+Nnli+7 24uDKQ6PCZgOH4I8/xxc/VgTzc4k+N2GmB4skIkZ9cBvtw5cl6DTOzD8xP9EdBKXQepGCB0Y/3zV o7d+hobZIHpzJCZgZ84o5zjs5/3e629vAWkLmw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zpGzfRhYvZkTODdGkQ29AY8RxaP47CmvlcOByKgkEywcbghFLeNHKAusx7sBPsvsEiETE7BKbma8 IpsYS6rgUq6eOOPAp/lRBtKeu49TcGMlwI4MjW8ZBdlbpCWKojWugRmIWsQD4vvxFErIggtjUNeP ISedzKQn9V3Y4uEEOYw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aJy0tQkucCRatPUjrcRWwNzr5xI9KOB0v8197rKRPeoL+s86wGnLgsh7/QU+PgLvq0gALTysu2sl zVDvG+bwPcrJYCJRRajXtmW/FldlDs+SwXwNoypI1bkqyYIuIG/W5pHK1W86kpWlyXPFWLWDpXxH cw6kR8tD5F+L1dI3BvfRXBBZ10AJp/50wkw6PoWz49neI9UFWS7ZTAv2Av57+FMdSgG9gX4gmAuC VSYlGPoL+FUB5FWy3U8JmaOSlkhi3BhN+AJwb2ioB2Lj9sYhqpK/TIccoSN4xOcboWiqwMiFSC0w iMZDiyNqGHG4Q3TYFZiNeTHvyc2w7AcJVqWbPQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6368) `protect data_block ZXgae/IM+XDXs9JGQ2O4RvJgNcbaOG6VCi9Y/SyQGR9lpYVy6aaGEmVhnfyeesBtJfUs10yfTH0u 4r9IzykqT2YmbJ0Uwh3CeO6Wsm0IvneGjgNwKrNhlg6vNpdb3aBE4rp1bBzAeiBmx4oYCg3+uQyJ HCBV+I4TJrTPOPq/K/LqsqNPDNj5im8qs3B3kfuU/M/q7htuWbI1aKvjmrOMoulexdWKPdvyLx1V vmyBiTjgBQfbGzsCw1tuKrYGAIFw//fcS+5wh4810/QT+BrrzV+0XxFlK5iCx32/1cQp9a6H2dt8 Xqz13Bf/wmiN0v96QmG2yCiCyVh4DL9GJeu9t98nFt2NR1f6WbbqBNaIRnXQh7RWCR3qqY8Tcux1 Yrjqente/X5+2ElOA09YzjqZYnZ/im4IIwQwVCEJ1jTsPRHI1b2o+YFEv/vCtKpgCxMTVHZsuI9b JP0vxm2WsyZiB6HaKhHIGMk9hQx2Byujyn6U/PYzfGNWv96nasX3Bk95iEJgrPcbgQpZ7kybGYmB ygjO4qIZKTucf+o/pq192a8Ghd3Lr7XO2Sd0lfkfnmeZe8KeVm40U162GfYVKVmLGiuBPSHfdsub nrsVbiwtyvWUJDYZmLLPXglbhTTPXap1LLqOY2RAf8w99MICwlZ2EvKeRNZnKd00+S8LMLslhjzC j3YyEwCuNI6N/s0APJT/6VugQSb7YCZqa+mth8IAcSYG86avv8AQI3GX1/neK0kiFuljs/wvPRoM K0vq38/Ysj6XB6lZIgdSI/kd4f/pQsgmOJw034sc6FTK3z634A14JCTpWHjf/7RqyumMJoCTZi7C pvB43L11lg0kWOJ/rY3JBOeUP4vfE/rgFeq/RKYGe0MS4jY2tpJpkMaEnGzeOB0WqInvZf7xM+Y4 ZfW38JIb70L25Tqn9fT1j3rS/kCTPlYgH5qMgOE2RI0REei/um05AuE5HnwjF7xJS66mvOmjv8ed L8wkFIpEt9AizFnPn8ZKNRf+a/ocw4o408It+sXyNGxSoD6geSLtVFse2Fb+z7MPC+IpkRIPj7OV X4ij8u23NJpFuWPJf+y8LVPu59OkTOLcv/Ur8nSX79HaSa6jgr/hA4DccPE8y78G9anCiHILNj2b LETrNUWb+ooOlFC2dmhIl/OwuSeW6FVlup/NqAMjqYVfUJH35Zb7+A9L+eCSG5V/yHnRyEoRg0f9 m+Y7aiuM3+HJ2Hm+hwQYHZJPc0CpKniOq+QVKIydVKW9sbc32WCflHkmVundDstmUex4j1glz0LB FcG1QTwfychtmBkyiKfcFeCIacgPn8H6vXxtzDn+TZLdsSZUlkmXdP02TfC+vYfdUgrMwY5wNGUd b0Lnk9GV+7W/+oqTgYt5hVoaLfMRpnr3XUdsHXKSw7cS/TJL7EigRvGbUYu4OdMCtZ1SKhoVWKh1 Mz7KCbh/I/Y/Ic2a34eXUiisDVpPTj5WlLdKCcqIqPGKixszjor3bkOSSPMcswuAhqx1f5k8pWEj dJ4eGQnw7xBaNUk6zhPFcHR0OVGPIZknSOb0awXUrv9+M4qlVblUSKm5cgySk/UKNE8zfxEyvhfo uIOWnL9xlTQKDSQByMoyVqx5wAEerXCOZ99KcbWYgLXzD9l8As86J+Cg4WMFHtEsEz9jtaNynD3C OYSXegHOG9aNnO77sgbEr8LA7t0DWEWX8LsAWM3/83vuqnw9PP8bHdImLz/JLPYLqoDC33TO4Tka YHS60LDp66kIyiqovGPSIhlurHT1z/4GVuJ4BybISKp5pn0UKeE9HPX18kQ8S1Whi/vIWL3BsxGj +Yy03x1UNSzf88TAakayHQ5z/ZIEXF8Yv0M4u7CcyiW66OIl3VeBssNr/tRx0ZeI1Jy8B3hHP0XE tFJsG1fez6mrR25g5JB/aLCTGSqDvfdIGxayOPXodLLzzFKRbR0RakqAGWArgb+dOQTgSQuWGkIk l1yFboYRKjhQlZ5ejt11Znqd9TIulysccu7HXWEBJBjuMtspjbP4ycYmpRFogF23wyl181v1Kzgy 7e8gADsxKpui2w3YdMPqPWVQkzfy6Ayz56586ayJjRMqDNNi5JLMZq32CnsPxr2IBNl0sMSDIlBz 51acpWogIuM/kM1IdQd4Vj9PYjs5ZaxMSFUDde0GhE3PnlAAsOxN+XAcLGOzzyhyIHauhQQJufW2 stBfTFsjAb3z0fF47ZlerRLbWZ/Bje2LBdTkurlGh/5kbcpuJcpTO7IDEymIRhfuBbegJNnDxCCL C4xdgYaSY2xZPeGIhwJVlDy5vdseqZtcAskUA43D4gglBaYXcCDnUOqdDnUvCdnI3H1VMW/6Vv04 41IJJK08pmj6Si1mVLVZL+QecYi6N7mR2jccfHiy/X+i7eu0/3raVGJLF4o8VhElgvYAtgNyjV1H hc/LgdbkUWh7BYjR0k0MqmkSzZtNdVeD1w+BvK85dGYCFa54A6MzeD8qCV95PJD5ihssTprE15l4 o7mhl6ex5Orfv+pZ+QvhlVNtv0ps2CiLiudJimKAht6lAvpeMPirfBt5u/aadfwP49VD+F1a+Oco EKVhnN98+e+CFCtPRKua1Zbl3O2dMO0ZmbJcUzNV+Xs0xk0NJLXFSES2T3vqeLaHvX+eJ8LfrRhL X0rGQ6reOHQcJRXtBu8Nkg7raNeWC6MJ203pzKOQR/BbKengvg1mxIo6LusvgWDuXeObZB5NVrrN Od8I+C6+/d+J52TB0dSlXu2yJ5Rrde0C1aImRRJWompRI+PfVezQAzOuUPEg852ocYyBvupsxXYm GVQ7jkeac4HW1OQxPnbojSHveD85bqbW6toof8UwO6iWQj/XO6FapBSqiCKOCZ7O+kCdhhLLmDIW 6A6anE5mrS6X0FbphfNjnbTw6HFiP0H6PQWPXVihOvwdCgPKfJWCXCoguLx7FO2Tfkjx808MGjUx AMyxZ2xeHNMTqYxjlo99i9Ydq+yUclN3mxnDDk37GzPaZE9A83Hp3iem/MqHO42qog+VnD/jARP9 XkYBk1pI15nK1C/huVBckBOBre7QEVvfXy6xcHX4HGMHjZkKdg0mEPTlAwL5z8tfbdElx22FILNL n2CK5QmpbRudnVE2DlJ/RHBYPZaOpKnWQmforT3AC3HxIolX79pe3m/IkEymPX77jvTjCdIEA0Tl IbOM7/7nYNEIMtdbPAYsBD3myaDUP9mfI7oyrnLEMfU0fggUX0ZzX+b5ph76hfZ7VuFGMe5ZkeW2 NY0xvYWaJCYvi4NG9KfPckz4p3uZzDm7mQ4fCDrcB3yrXOBB9KE5WuJlbfQ8Mb1SXElmZZsaxmC9 HDwDBfEVjGJGZwdy35qvqQvd4VCJ8loZ65bYhBkDF+rGe1UD6k/kDAbpV8KDkB/XzSs9n6j3qTi6 TB4k0HOV+mm6Qsk3f8gHwuyFyVPY7hivvNCRsVASeKOg2YMsc7bxR6tPjQyUKbCEYk3jLjaczaf/ ojbUG9L6YMEC152FdL9yB6Ri2Rs4L2nZ+xKMXSo26RCz2lOmaxtiC+i7VcMvlViXDnhN6akxdXlf cS7+xA1sWQ33rTAzChgFq79uK+Gg/u/MhDKzaZB/Ah2PghwAL0D2oAGzsb6FldRamg1jZJ2RhbZx NnnX6etjhcuHQkgQcsSKinRmTShZ9PLYU2OcXQeoCsQFmdqvjZoBbWGTDu5G9IlZrt/hUHx3tjOR 8oO/ZOSddNK5cgrhYWhkyCdWbp1WO6zQ+ZLmrN1b185INyY2toNq6NF9fJQ8yfa3a+4b8Tq9xpP+ dg3Ioa3gWuHz7mZbXaCWp0RjtjK6QoAHPOImcNhZ1iwdyYukDM1eO8fq0tt9q+zgnVzLybhCXXEI ErQVcZZDU9PiZjnujJTL3jzXpstStZTLoN2CbcE1fTz/p6TYBpdNyA9TFDb/w1jNpajpiMAircKw SaTYudxg136nt+8VYwHL48wVyv3OdnFC8o+AgVi519CmEDj3LeZHsawwCh+nrLi6K6UfcrjEIWOz /1GVH/sZSXdVBo4pYZb7FeV7UkpcIx0HTe4fXzo1dg1CWVk66XH307OPVke5ZWe/e27Vtb0nyI+C KRyu57Bb+dXt64irKluNbb5JfLVtP5jNYD9orVe9D8ZiGIZzXhnTNUBQ9uF6cjtxQlYzXgfbojKJ MjMJuEL7O7AIZ+VAYzC17AvgYtiRysjXV4Cv/R3DW+cZFiMqEdEmCLJflcbaxzSLi+GcsAdRXm9e 1FLBHVN9Rlf6BftN3vp4Sf6Pn5u4o0H1gDFSblbcZe2QhBwDx+62TnYd2Nqet4m376mBXIFzM26U QPSgAvSqDgYSOuPHBDCMRYFG/CmcoraCUYDeb/Vks+6SNzkBYmk5BLu/QCu65BsHxn0FJbJZ4l2e NbhToVIh0iA4nr/LJG6c6Gknj1tYhRIe/RbgL/ZP/KsTWc0fiM6O0EEEhnsTXiPQ0S40pl4drGsN s8vi1swimBUnwehZUq8Tx2uClnfLZc4EoWTjnMlouViJwIJROxUN6P3bMWrvmlsiq09aFGGIGXy6 ETi1pOR7brF2IW1fyHqn4FnDnmDF+8v+UlZ1RCaLPvcqjsZvHGpooJHJLQ6BmS3pFLg75YICZaNl OctHy+icbsemoBySSGl/VbJrYUyDW2eaAytfsmtkLby6yRqHIvNebwYzbQDLUxeZZPlk4VkSBa5E a2L4snfrcabvDZEEvzlXAXQzcY0nR6OWcBkbBLJLNCl97vvxMcnBhNvc+bVduMo8FYnb/aTLWtb2 gesDRrmdrQ+sw+KaXMmIladsWnflxaptUzB3RiJC3bYJ4CaC2dFwf4ZtopAPD9qgYDbIqsCcGPXt HrHC/9TibHn22ei97lRLdqHKn/hFDNf243f193N+xnMuunYx+BGpACtt9U19fnFRnA2/6v7Fk3ax ugJxwYploHRRho4ldrw+sqvEv/zYwz5UwzCAGN765XyGdH2iePbekMfFKlL1xpHsnyeueT9Yo84C 8FRlzCO1X4nQSZsH1KDiV1gdanK9UXLFli43tRORXtdJkLvWX0Y8vJMdCayk4QXCjL1PEUWyAY2h RssCB0P7HritN3VXIclsx2WQAuMfnG0UMkqh3DMX7R4S+r0xeVSKEE0rAyQPsF7hYBLbYCFKi3rv 01LHHie3rBIDXvXHKh8gF0fBGPpTUbLUyo+1v898OFEcgfue0fQEOsrIwQd8kTIgReU3GQouX9jS 0OX7nAhBx8I+TKQ0tMbpJH5Pj42tqJfG56lLjvrWfzOLvUWCP9QCO++ziKdxrgZC7s2xlbenVbV2 NYTYT3MFo6TBIv7+1VtYZtdJnfukbAgbJGLkaj6W+jpPBe+I3zdIVlAfJjnk+HFTk8fOuky4pVxQ gGeC5LYjhZZ/TRK+sHxsTVFHBbnYviO9sGEOD3IRtTmOUjrD/TwOGYpRqp5sebvJmnB14Zhxi7hL bDAElHx/PVrGylRY4/2+0le09ACpkUhVxk6yUlyJb+2giUg7uLO4cTF9v7Bja78Tw0R1xpvh/kFq lXCiSs/sSwfEge8MmGraXjLkT4tpCe3c4Wa3duZbQuDc1RQTNuxP1qw40hdZXf4DNf2iB8ARMpMW mLB/S+gU19C7HE7O4k20y38UlRd452sqOUBKfQ226+JSGVcUUQltUu+nZehpnAe4s728nQA9rPYv VJAjCuS4O9bYTbM/VRi+xIAbKvlHJ61kDKtGv5bLBjXJfOhLFdNZHCReK54Z0b5NdsD52+rG4zxD f7zoPeRLU37kMuRXhszM8pq7Nx0f6LrqSttC4OoPyw5eCl4hs5p50DFAEMhj8P3sOhptG7qmeIdC 9NPpTQJCPpVR85QrxwjTgWhWNYTrYruDXYk3Avf2eBKgy9YmjTrxTx9UO8SncacMUYClCzRBlGCX 3bglsaz0f/98antXUUYuvgVk5YlbFkU46oftVe8PjroxhiDN2KcIONRLhy35138OFabzMYG4kIhz x/OssgBr1F3uhohsombB/EUk0SUMlG0DoxH4qAL83dGh+AVVzw4axmac6G4cSDRwvVKg7mwz36ka QLrJhf5YjJnI8qSPX44sEuC5mr8Y09AzFh/U+Awq0TeEUL0ARCvP0/cAwJjfQpd9x8SefOfF/fsQ kwo5UFZeSNnb9R337Bd3hHf5jnYiTq8OdIpnJfPTRtzuZd/R62SprG0PcPu5Oe8IU1ebCI0HNtyQ a8rgELZrWv5Aj/F19K4qbP13na/AJFBro68KdD1NALPr8Lyb4cctWCm3XY18IDHkMCV+J/9Iyn3z 9ITLb5xunGNMTK6XA3pa94NbEumEVwrHwr12kJGaC5dFl3pgNpq1PgPOeK8hIgkZ1h5p42Xp0RZ/ Bo7GSqj7Drebl2bYmIDONBmofCE2lw5s9xDF8JMz/OdO1oiQUj1zLrkGwGGO2EObTWWwEabu6R/L pBZRqGZKs5QXonnwRbQgh8hDUuq8yMJ29IHLABwnuBVD3fYrZq+R8lSfcn767d2VLJewNljqfrTv g+EwvI0ZeHJfy64EgjavQnhMCwho9HKUT6l2tVFAM/uy7HzQzSGv43U0RXq2bMkiLwLCAGTCLSX1 wX2PUhWm0X4pqj1NIo0Jzn2ihDdCT7FgTRcwIHSB84hySd4/lZJODPjgFnv+yBt6zD2k4bN1EQD3 s6XiXm98jpnOMcKGPnJmx6ySfvTQyloLlaKA8xvTwdpwEwCxviAQ6C+UYfW0DjO2U8vS1m5JmXId xNyPxkIHvJIuevux8JVJoSA+opnvsKTubLIf2jI917hPWA1/SSsBuMMhVy+OQht+6BD8x3aEjbbc MKDL1FLuh8JeSk/GLlDyrnziNF0CbUXVyPJZ0DkmhYA91GNwbdZgTEhGCoC38ze0PZCrREjMCuxg qt0lWNVzzgTg6sDxZOC0mFZB6Qo/rTHqwC7pJIpRfpVS+oaqhgl/eZGeMtb3oB6fRrt5L065Xjj3 8qhMWKXy1u5mJQhn7OEvByTJQNGJIS8vbuCWBczlgezrKUY75j1TT66+QRk6kxxGky9Z+wKbBqFq Nfqp1r8hgv6HXUb5y41EQVfzp5ap2vcCoMl3QiSph+Nd4U+Qis5cPdBOFax9dGjPz+DYkWqLtNFc EyJYn9iejnszlJYcpRC9gdMvU9+DVbcrgmSVR0xvo9y24DXNBb5dtTd5VnJjibr4wA6n+ARECzMI t1vK0DjJQifAPe6XVGt0ZFvwmI7ooe11AmVcQqToXiCnsx6B/vNTva7GwZi8w/mMegnrwoINfEqM LEQ2Ri0XViCjaycU0nBgEuRAcQu2WM6KB1aFsB29fY8S1dKgVUcqdby2DJZCEkb3ODn6yw8EW6iB plI2v+zVzSKNpgX0QTo4Qa0beI0NfKZRUDWQra3mtHInLCRzWDk7bmGVqwNfMfiyjopigpEsH8aQ bctnJh/nrYdnGz2QyeQottPxhrmi0lgPn5cwmJx046RuBPIfhvNDSEug3dz7LaLew6p3NReXbPry Nuga590Xcjj7MchhKK/JeK7g/HSLEZ2s+c/iPJOTBbTJiebzdScZGyaIuMupmeaj+DwGQts8a39W oNJHnlc1ID9quw6PmKrf11fp9t66BsRguQ2W6vLCCEBn8Vo+rrwuLalxiqSBK7bLHHuUQTVeaYZA 0UrMt5u/47QScn1KVm2UUdHFJlUMoQ+h8CFHmGtMW+31fnS5IklOAUYfzOTUiJNl+gVy4RsuaVUP kCYd8wFsdTSqkfHb5OwBO+KCdvYjTGKMFwWXrBC40hDOJtjRTDz7L1IdU21XaqPK5o/uWLp4KSLJ xgu0VtHSkeC9LcWHZwnsHyg49suFo/eXj3qKCHyurjIocogIgRyfI3ZXUBbqT8HaQ8Fbie/QvzKu x8bvGQu8UHj4Tlak/k1+O0jhNrJ5+7gSN0/y/ON5nGqvZgsQAsxCXApMqNGMiPrV9vfX1C1UQZv9 QpQs7xMOwE9re1z7YzfBTIr4AbhrKvtO3DD6RlXHKOerdSB6+gTRowrCr9W7y/uhTXIOq1lqEIMm zeiUsEQ7c5N5Pad80Xd+ijFcQdtbhmF+ylfygSQMMGGLg7BXbIsFc/gdiPveWcHgpheGjx2+nSvp AAUjzS1WW06W+5Tj+tbOgEChI0Z/MLtD8DLPk5xnrGAbtZMdd5WS7PbkFM3QVUKTj64DiTqWmj1l c2xEyrsAdA0nAH9939kvb66kr5UFEVJFHwAQSJ5mjIWRTQpW1Z+owwLy9azcztpBavKgzogtWtGu XmMmkm8Y9A8V+YxFIGP9sStqEzkBsutZ8ilB/p5GWLytb77yKwJdqNNkAX7Hf7ekGOIrYJtldZX9 Sw8HnRWNgVoifnxjJLYykzlpAejS+IVuIgfvPWlpEeOGU0UwiiEOpUUw27nJ3sIuBpFzJQAx/MdL 9OEVAmhUUTOxYHT/S6eQj5njxofNUa8ZXF6FAuPpfRbJq8acN1naMRc= `protect end_protected
gpl-2.0
554ab84b0db3f426013976f57609efa8
0.926491
1.902206
false
false
false
false
olgam-/chaoticScan
vhdl/ramImage.vhd
1
924
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ramImage is generic ( N : integer := 14 -- here 14 because 2^14 = 128 * 128 ); port ( clk : in std_logic; rst : in std_logic; data : in std_logic_vector(7 downto 0); write_address : in std_logic_vector(N - 1 downto 0); read_address : in std_logic_vector(N - 1 downto 0); we : in std_logic; q : out std_logic_vector(7 downto 0) ); end ramImage; architecture rtl of ramImage is type RAM is array(0 to (2 ** N - 1)) of std_logic_vector(7 downto 0); signal ram_block : RAM; begin process (clk,rst) begin if (rst = '1') then for i in 0 to (2 ** N - 1) loop ram_block(i) <= (others => '0'); end loop; elsif rising_edge(clk) then if (we = '1') then ram_block(to_integer(unsigned(write_address))) <= data; end if; q <= ram_block(to_integer(unsigned(read_address))); end if; end process; end rtl;
mit
4fe46e504c5b5427d1de046867c526d1
0.630952
2.632479
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/range_r2.vhd
2
23,985
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block KzllpNi5qVg4gPZ8p1jJ+2OesTOc9PIDhVj426L0uOLqAZJMPtn+/D6IcZK0ljASAcb1tFvMvsrZ +hDOmTqOhg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FHaADKcouiYpjvxX6KwTP23rjbZLXnFhk0FyP0R5Gb0bU8tAX/DZGjD+O9BicJMJ6iPopVJqnHSj AOzrXkX2TRvuBaWLcYAK13pZVvdwb5pNdu780YhnnFPgoPN5z7e2bjfwOyJDWh/xKgbrWBkLbYZ6 TAqV/bSel+evxY4ZYdY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block uuKMYS26nkgIp7R6JVmRKz1gdFUVFn6nxA50vkVoPO7GJW7Hbhaucw6KX7SYvqY4k0BjoyHuXO27 SSGY7NoeORAT7+EsTNewgGxoRG7DtZRy/cGhLLo6WrkX8pQ+AE65+w9yTJ+DWw7HGCJZs2CmH6hM +NHQjSUXFobIPLCIoQp+LurID8ZcfZksu6qQzydSsPm9zSB1oAGC2sI8+t9hwHa9kX0EDJ7dheN+ LkQGF543treR8Nbm9XCVCWsGnykEB0P6cFHevNqHhmcNz4IMxQXBlin1lbJq5j2oYt1MEoIRiiit 2enaCMB8Koxc4oTeCiICrWO2dwLlxfBMRSIRug== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block xQagHJ5eOPJ7rB/qBDsu2/B3uIFa8u4b0SkxyjzUz9JLEYBC6N376vR6Pqs6WC3dMNtSHiqa+iXS WMEaefV28hJ93mCH6ylQfEbW68wmmlGnJqCOIAe1jpoSnWsM8wDEyCDEHsR8wW8digJS5woy+94Q KpvYdZ9mWxr3dNBfo14= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block p8CXgDH1ezg32QlH8VRJ2iOtwsbiJAhZWpCYhf5gm161knZO9Byv3RabU1uSBYp7ig717sUDRDeH t7WwCM8lM7EyEFyBXytzYfYZdMmX5NlXJlwslC7Hr1t9V2HagMUGD7rlQE979cOERk8VA76ID4A3 NdnxuQV4m4egL8QG99wqmLl7Vy/y/6XjDYFKnlws5raojPiNUR8I7Igqc+zgM/bYgJ+jzmzY+pKa whuDBfPP9JxEXwDpLTyXcK01ngHArVo6FeMVcG3kBqQ/RR8Ur4mXUC6jeK9neGUPm9Gn9Gsw9p9U w1FCLOcmQNIhCtceaHD3tWm5JcZLFvW/UDJxSg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16016) `protect data_block tl6X0joZgEBW/Z5lE/Z8Z8gtzFM/lxzoMdfzjSIq69IMy2nZAA8XDoWe7DuEyKRhEZoehV9AesAb OnsFomTve5cYxuQ/UUhfFe2IPVEzIU9laLO8xotu9DxPgU7wp721Ufa5ei4Ahndt+/PIl1yImwlY 64E5vPCIXTqD1G5tDoh4BvFs8smC8aIy+YYnky1bBKhdgDupSHF4ARARHaajQQtc9MwIWDca1Z29 eb8wfxNTjSneI7N+JPtrtmubnQO9LWby5FRH6xcMQep8pkqhtAwDntmEI9gSwpj1cQP8uC5zUoIW adTP3JTxqfKH5XJsSvuKFxQmhho9+f3RGU3pGzYILG1ckp+8vMvLtneouDlEPlJ9SWEDT8lFBNlG BS6x436T/ll7FVHqSNCJIL42LHEScphy5om8D53+Gkf9x8Qv0BFpnwel5NGBegFtn7WlVOkdNofs c+XNz21uqCeJ6r8TNoAjt29ioHC0bNnvTcx4bb/ijUqA1yZqxU94N0a6aJO8dsFP41FKNnsj8DmP n3tVk/AFJTa/X3dQE2NVnEh3MlEp3nsITZ9RLBKzjpSytQx2zQMK4k4zRhoqQmK5STuQifJKKFma kCWFcT5xGjrByZOEXSu5JxzaiQ1iSlBMryIV9pwYsiKBH3sSS/Lb44pRvE4gYobmV5xPhet+8Lh1 HE8Abg3wMHWshKAMXOOtMuHnTASKcO7tt0Vl7RVzPgsEuOEWA15akBf+Qr7Rf76lRjm7o1XLB6so WVlnZTn8U9KdE9sLJfgCn4HL+giq5ODXcexNMXNnmIg4VwcB2gbich9R5ybMCbVSUi786xMoaHCT 01+hO/QdRF2reNXPpGB1X4p5PSGSaH+JnKq+mBqpD8OtKFlHI9dMlyMxzLhd4K+oN+V6YrXZCbgS uOD5F2Jq6I8McY5UJnzOpjOpmrtaanhjNeqDqYbvfAehvfK6SmuxWNGCZzYJa3qtaxB4roTJ3iXL TDaouZaN9nmOaE0UepoALNIBPt8r6F7dOW6/I2V/Qi+Abf62Rt0BTAR1kfw1hKnX5QNN2uYLhlOc hv9+DeHp2xSEe5nq6HBJwrzpCvi4pPdHs3vilJRx/oInXED5EZ1fJrWWrFXJ2t8jg28hekc0VyZv s7xZ0z3ww6fvl14eluYwnVitdvXml1xSuXns5iH6xwFjw8wr44Djk+j4R5v13/TQSJB3SJwnqc2C m4Co7iMs1FeC1ZRgmFDLaaptTDPSrPX19I8dV0+0zVdUB/hnv5pGjKFbl0z6eMivB5LUY0O4KOFo cnznf3grpoxPtmw0G4x7sJwxwugW4ngDOGAJArvsuuBffGBBT5yKZasbednWzpv7iS3tzjzXuHKy kDQeRmOcN5kaFAX/HCDFGzrApEkZ6s8IX/suU8dZ2IJUkv4i26YpKlViDJGtSIKsHyX7oMtgpULj KyATrk/n/GsCawUE2blAzFQsppe9T4XBPxPZzZWsrEyXgpVhEPllT22Ai8r9/TsAHLIR6auRJYu9 8f6VO1Q+FHRdVJ8OkxEvvjdhGhn+EkdhFSu0BmzQjGlGImfzUQoYCCGZEg9jzHPMiJ6r80SQlC8b iXnTkElKZL6ZIkNoWuRvmW4alGmYbzCj7FtLKWuhSG6YtBpSNrMoI8nKm7+LsngkHbY/xY7pRmtg NZNzqG4eW7YRs+uGGu1KpkCVX7IbCYwtrjL0f8CjUR4FYeAbe2OGZ5gTRIq8s1xmMrs4KhQs4VJw h42HdXFUeIYzd/1bp91y/GSOP8Efzj9bgU2NvEwvKyXSUMtgO8HAokZKTXQwAQEreyu0BYJ8jj0r c+QjvsFerdZ7wvTELYYR0CMpQFWcZe7gIDlwfAoE/qY9bloFd58KPF3VfuPvtyNQvfGORom3FaKN J9jlNBeaoi4SkCAZoJwZJIAxJBzyB9tSiiqllDNIf66X6pQawL2ZO0xB68963tXCBULcOtI7gsLG eTRs3YinmhW/LbIaPh9HISvWiSYG42T8gOqU5U5Q6Nm3kMSaPKJ9wlgZAf3Gvx7iFdvie8Xg7N+F MdGmVBykbH4porzpAppi1CaYbr/cxjdbusHVeG9wOqKeait94tlWPmti7dY9Xg9Wfu6BI+zavREx Zn4u4Vf4QzKEApyxztR+A6PtXNfEa7gXr2GO28Dux4eGRbj6v4/gFUeudzFC0LmjE1le0hUFmKj6 jJsFvgUrFS6DCwkh91ynC2EAI9yZB/ciwhkdzms34bxoGZDijcpKp30JparEkBIrmAloi2UCyUmQ 9Bm0JzTEHZeEbfLsQyd2Uyj9hI1r8uGDKQy906EtY14/kcHz3GyuJH2T3kE4gIxFxe4wRp2itLxe 8baTc9DJaMkkuDDxINxjYhgy38aGWhgcXiiAYXMb8SLYDU6K/M+njpMSJbZuRi5sgz+qthg/d8pB zMV59/B0xZt4bhOlWNQnnpb3TDJvoPqBwuSrofAICEwJ9UX8FMp/1fdGUQJcbPbOJT6nGXLiyxAN zBqaJn950kx3PxwdaiG87JpdIna0rZL106/XkBDErRsRIXrALHNMv05+licCM1vEHMzNCBBNUZ8j OO8oUrMpVbHeI1NtspRIrDDB66NRYJvVMORXMzA9KmXLAA4UkFD4eTcBUAmSF4+bTywmaEzvQ7zT 1rcjzSIbuq9azrXaRukddaWGWZrGOEVxqqKksboFpUS3Xy/emny21cZHL1yUP5q2fIskBFw/EarY 6Ok8cc1dTlvBRLrrA2+aj3rL+g6+VfxLPT19mQLkUfUHKRKEQCNOejFfmr+V14z7gIvPdpcYBPb8 Q0enxsbBhQkUe+LivuHGQ8dOCHEIkWdGVSe/LOsKXmkPmw5+IgfRmL79dVTCRhnnsLLtHAvoJWyK OApXzUujznCo0qBTkoJs+dSuIojB1UWUF6ho2nbGDhGelnvTRBmys/AYrFp3850ynJ+Rj8hWwNDI zLzJy7mOjHOubgCu1jX5a65rWTNV1MAUnOZhnjLUN4Aa3d3x4LxL7Ty4wxbxDNbJzI+d8Mm4LE+S rTvAawe3bXZvbV0NgfNrzuStTVSSI8QqksSrX5tIuRYaQXClPxhUn8YiY00NdZJb1yYkU1LiRqU5 Z8uwgf+4VHDV3z02D98Cq714KhgIVhvaGVUgyw5AVohyVUKdEq0KN5mq1PO0jBYiwzu8oLzjK+WP d9AEnpDz0PCeY5YVOavf1FB8a/bwXo573C00aY83e447iRAAcwWfjbw9iOYvhn+gsXSVVSZ8Yg/x uo80dkeMuiKp8RdEdwt2dRtbNATZ5m3vuztpTS6I6o9Psir7kIaWQElBc+o2fMVr7bn566i6TDQV VJTF0A9MIfsNTXexlJdYXVljjbYXteA835F5yrQqm/i5M1q2WgEUJLxvtRP5Ckz+HfAMWI/BLkOf RpDg/gBZ0AOSjQl/tZAap8RIpLGK8nGt9elKIVs3GlAKthv/jQE/2Grfa7J9UFOglAwuvrHRn74g z3WcOoN6BXUFetiU7yIbKWDasFeian0BHRtWJ8HESItxsBEnDKo2TXYDY14bfw7336oGY7ghVBjb K6dm9Bw7jUIzwgnLf8dm8x5nUR0suqmvxbo376hOArANdP6UTvZ4LIVYGa+Zrijg/jiwWF4w3O+W D5l59vAbmH0A7e9JyZfK3G+rDEZMyveOZNF4NuJywNAKi/LYvqHxQEQRR9FS73yePfh517OE4X/G bQr10G5rcB6vY6+xeL6vN8ggyKqdMilwGKU3BdHeKbipbsm8SJIeLA3iumCfjLyAd3xcyctfFheO IgIg0eC1qJ9RcyrAMsimWvZrUF8gern5CzvWvPK7qbQHDnw8INRW5iMsnE/YI64bnbEPcCuWui59 Qtw8coqS2zDT6hs0G1bOYl6QOOLEtdZps8xkttUspJ6t1y8dfJkqrgQx+O231HqCXQE27LmT5vdU EykPnftA2ASn7EPEFUbnmqhlLfivDja1u7poUIY1b4Hbpcl/ziSLCq3vfbX8ekPw99kW+UQTeL8h V2z1vbA0YC0mD8sVSMkefheg3HsvDiAMdi9JyLk3wum2qaMpSGssH3lwEtVeCKD0YPfAIzNkyLCh 1LWAJEJ2kmRTI3R1ErBfXtQ+3WnRXaKReQfVMNsmf/1FjJt5XhWULQs++u3/TIMtGAaKmReamtlH zqe8XZ2MOgt2Jau5KYBjYSzzIzkcjOJ+BIOCyNosf9vRbTMpRlXnCt3HdtRoe19YRlNjUHkCtW+E kFmVmISk3EQWVbiSd9AInRQqjP5vEZyK1/YVArFXAbhTGxfYP9ZEvIGmYi5twTtJ1iFaUQoimQpM UMKd0OvPwnp9MQAiuQX6okNtKpygV04J4mSYdWH9nfsUUelrFQhwitxNVWRo+GAcUYHP1gs5m9K8 3wO31CsoBcPa063ztcS5IyjgmPPiALJWWkbwCo99L7LUPA95OtgDfIhUhkZ4rv4+bftDpxdVbskB IEuuF41czSbd7MEfYHEjTdlWEYFu2HZFfzbvyGmyCmra8QgGlzJgBJBZFPcOU8AqfB6XAPy9T37b 8GiV53Zn5HPn3u8ajjiftZNxWzuFR5MBcmLDJyuR8ZOzhKcsJxYPd401Rz4NL6XsPwaFJvi41x+V JoLCpZP8eLUZWCqAWFHE9CceZjdwIknetoCziC4Fe+kfXaBSX43ijuv7OD9/oqPdVBuaTebZThuq 6JIc+ozBf9F+whodNes5M3QEhfej8FEN1lCQhIjP3BV9IRv/aiVlDYgFkvEIX29Z7BUtxO2wT5Qw 2YycKB2INpcRv1cZ7Z85ropzoZ01VtF/zTwR8UhQRaEB8LaTk/Xe7yMfPo4rWFBn3isqvs5g5xix RY+R+qqC3cBxwrx62ESVMc/0x2gozjygyleVUH5XrPc1K4DPGKR9VqygRtSFZhPjQ2oK60ob8Hxa OIKcuOYoQMh6rrePjzfru1q2e17UT3Zzifk1K7HySfna8FOxinv//3VBwB13tZ2Tk+A4Kv2bZ2Xl u51drlTHONO+5l7MKMs07+SpUXHT42hN3t/zLsmYt2NGhcjI/kfB+CrR05zwvFjx0dN45o1dM5+V Ogu/QmcW6bkJGIIgblE9ogA5Wevdk6pk1enG86qzZIrPhIXqvr1ZMGxq7HU4DqozQ5gCTRG4WxVs Tg4xs6atGJlvBcZ6cRdKizYWgAGNTcsuWIw4hc+BR6Ji03QfpguWZrNJmmntxqhbaag3ulsJbu1l 63FowexIWBkUzPMi0/3T1syH5xnaoNSdVFptfe4dKkIpBJpSiZ0KndrQJPOxZhn1EOx9+SZIeiZ+ X7tweJv4m72pCMBfUQR8185D2U50rXIDz85c5ztoNdimTkhRg/7XmcjThw8kjgNuJskvhYuyWD8E ZuQYfj7neagdHRqzwSKLPfkoG3WP1GjAFB0pCDibg6OYiEoHZinyHAqHHZXWo+rQXkn2fQqsLz95 TG0yS+4zJ/aF2eVAIUldlzEDwE3+5kSp+aDreWb8NIauiPfJ0lwsgVCvqKhWUwNZDa8EqqyhowH+ o5J0ulnB/WLzX62sSAq5qQvTvlejkxX7090PacVWZS46rkdBkxoWDbSdnHgH/75p/+ywUhq6KY8k cB2rqDRvrD+og/XeGjY1+/rfEDilHAonOriZEY2ZqOw+Ki4sb/GKOxGMCYbUKvteW+Yw/u8pXu/j IQfoAPs5jahI/JRn0R6o0zD/MJ+aUfhTQ6rZo7oXXWVyacXUGP/VzckZyLKArkrjlbURo7kc8Edr PsD07CsjcX+VKHJUb2OiDGNTzHXuRAztoMHIyGGsGCgPZqVgXfeAOLcf4U2eGRAVhvLOTRvU8zVE yKEyjKlFE9mcDbrl6BNE1DFYDJQINODyJhVONZX4QhrGLeQjNRFw2opD2k5NMF+klRv0vMJaf25w xBleMipfhmqg+qoY8CGYsH5DKJzMXRAjbbadufSdHYYPFnof3j8fK65/Pj452/NR60Mj0gkH/NOP mMYwjnryc3rLruQJyOKbSI4vfXYXxcADgm1DGWnlKkKfK7YprOYaqUsfaybinvjfAPZU5KNF5GOJ HSwHd7pCxQdaxTunzP56DDst4Kft9fYIhtZ2+iqXLFQKiJojoqqRspqf6/83K6JUvo9OOQEkMX1q cAZy7IeX7ywrR/BU02Uwfc7XchP2C+iKVype7RVfTS8LnKHka1Q4N29oPO28zZGFnTS1hK+MbRWY ZOUHfw0A3P+0wuVocsT5yJ4XrGhi/AUqJ5HdKJQaH88oePPz8lSjacFnyvHixN4kFvbqBXNcbfCt 3GS1FfiKeC+KbP801RkjcXs+34r96OwnB/jXujb4p0zGZtzLdScPIM7mZRrgfhZYZE3Z5VWbdD9V G22VK5SKMr4nI7Tvh9G6BIIVzNAltGfKp9jHhVg8df65zHdT9Oya/g829PPopmzfca84sdvRNJ6S 5eVXdDG16TqmR5o+U/QxoQWpCENFJbLNQWDIEcT6x6qrQrDhAfy5sYK9wWsiJVWc8fb180aQggfv vGYO3QbOk0oZmaCCbRBJ6X052/5Pju6fYW3A8OKn87pLZAGEcKhM0KRdDkw1/SyQvRV+o8yTYZp5 v+U53NVjPBgCzzmYvpdCfoDTA+ulN4SIeI8AU8GpvcvGRLCnX7arsr/A8+n1WdKzxcBJ4xvKh3Z5 RpdKixhHM6b3Mb03hQvAOoduNsROv8cS3CGrmLyCk5TDYL/XbS1F4oMNd+mOWiq8e2OlwSz1QNOV 9+jywiD70mZ+RekzeWHdoiJ/iE+MvCHT5yRjuKS7wi7l6PbSZep418yILEdTSK9FapEUVlTXA3zu SYp6ezSZJDMxKByc5C2M0TDzOTu660F0GH2X0d3v3FluWHCSZ7rgcG/wQJKM5Qwd/b87VO+7m4lK 8+uScE2u7jy7WFBWbLJXWYlKKFNZYeGOtK2qniFCXZKSswAkzifBqU7Vr2IrQuRUaRy2+92FuKbF u+fqOhgbQxVM/++JjNIXnJPGn/3aCK8mgPS5jAc5kWNtAMX0gKZAE8LZ5u/rulywlqNJDhMCYVMK RubfxEljeQCVuekQiTexqqbJjP2DRHk3TrNBTUGRg1nEYL7RFYSXprpg1dRK3C3Kj1kw9HIHMGDV FMHBexNesoOBPOq9llir4EAtWjHHuW/YvDQwRbuW/JkAiL0fyCbiqSwerKOBWSeDECuzSedN4M5h zaZldXh6zxilqPXI0Yi7Jumk0dCgfkGw60j0ClWOGen6VOnoD3LdGmnoA+T3OttkB/N4aTdd5qVo IncRJNd8Ld8IUFeXOdnqWvwplS2n+P8oCoobVHhZUvFK8hb/RbSbLawV6zF/UnoCG0sJjXaYTpjL UZQ1YARj617+yD4Fhx67CcKAK5YHVrhjoudZbBxF+l1P7ve2qc+Xwz9XBVp9+FNdzWuFbCnolQ1T 0wlGL8tR5BLvaWkjdlrN5Z4Fg9qYZQ4h1kIYZqXb+B5siaSES6tjocRcMiJ1V2ll5TeDRqquJSBp 8AlXNk0nu/w006xA2XyGzdHk429p3Qa5SuLXYLGHkuDyaESUvfy7+ljmZ9RfaPmbQLXJwSBkI2sc /CnSGLA76qaA6jB7WdZjXSIR8RgzuFAnQRzqIHT5HPm5s7LnDvJb/FXqMGItglMlpnYz7RCQHtxk CEzcnLM8tHL+7Q7SIwxU7quOcETX28hYmmNoIrK7lrcy0WoNVcCgtG/BylYPvlwHa7h1/cNuDnjJ wTYWMuNHhFJ+ejTi1r3fSoZf48JZwedRv0Y9yQMLEclHMyTKEoFmOvBNpwF++Nh6PPQF1paNalp0 FxH2mCcT9p0mTgLJT1OzFskI9CBxWYuPeZVDxtMVzkj9IuPQh6ok4PyTsHmMuMRNI+SXawb5l6+k gz6ScWdlWjfGvIGrYMlNyiApRMMyJ0KxeyjERoe6WrrZ/WJq7/iIT/MUB368SvEsyOY07ecch3bY sVw3iVekh0QjRES4ftDlx7jrAj1ePyjehv4aPssPj1NaX8ZxkBvs1Wl4E0Mw2fkoXyc1IL4jwcdr 4fH3s7tDSGx+uH1AZ6XzLUewLJCG2ATZwHHmb9T9LPi7l5SZUFY8JHOUUFjcO/wjhrTIcGgs/SuH D5fmK6LglAUQfiCWczrkjUN8ct4nlXEs4rKVQH/EyXAHH9babCOueRiczeXsWLcaKY3pxIV5uf9Z 3Xuca5s0cP8eQh6eJppHwbDJp+LEGPduv+Ku+wZGhF0Io5VofIZG+rWfSAEHNhG2ZMGwNQct0Ufh 4S51s74rVk7UVbmb9o56/fyK34ptuaeYG7I9TtUsmYwFKKu6j3tYHrNPjzeKAerVlroQlLirippd xFLT9aUbIuipRZUftCY3oM2IoYBLMGijxnsAWT+ZGlBt432GQvJZhR+4/0vBz3Nn0naQMOzPCF6o ajk617a3vk5/ee7gPy2f9axEFT3F57uSdB9BIu4nS9H2YXuLpYOuhh9D0P8DB52PnCG+7ABnj2iV P7oo5KkTIbQ0dY5LlnWducGhb1Ao01OB4MZK9itmCUPZLnz3x7uMPca6B0L4xQ4OFhBcmo2I6K0D dAVKfhz3xNvvaZxY5cS4nE6Kl243aY3c5+N5OgiDXmQ7zBIFXJE+X5qUS5LeU++k/lzuOWXU0DKF fBOWFCpboTCbi4jh/SFHlEvKryhR0EdahBhkbRkt+0o+2LJr53gOdJBJAWV3V0pDKJRQK81GGU/e OJEtGaVdsilyIz2K7NWg7zXoydV0fMHXXjEonpKoqr44IrCYsqglLneHfe4RtBL6r69YI7gsayEc 8QvX1GWz0zKVIriH5Drj9c4WuQLcLQgvNmgLIrSebkjj+fnv8RM8VkMRK36Um07bATFYhrWpU/sj mkWzHHDNvmtkCCrQEupxKr8q8PQz7L5/tX0xT7Zl21OGLXw6kSI5pxvVEEC83vCO7gJUha3hEzuz D0yfLl3ipQsmFqW/7P7+Yzxfs/phIsONG8OY4ALg73/6DK+ENfUbmkPVKriOuTFhRySF/Yc8lJOt uhvC3OAHnJR7XLpgKdO88Mh1tPCcSvZ3/G8NLjaAH2W0kMPMzCgsVRkp994LIPGP0Eu3wKk+vO9I whuyDnP400K7OH7LzmEOOADZiwID9uDg3TsBdi21baH2b8ewzl0nzIC02abCrHxaCKfaiFUDkvY/ IYwi+fwsciDBT8pGXX4vNeFTOnhqF6sCyCvevRTlC5dvqR8nBFTv2TzSjH/EbeIBEFaELNM+M8Ap sBjTeJWpAlHl/Z+MYWK1eXFGzIylCuuui+9ZkrY+M3KMLfCT5HlXtkwrowwsI4T9OwU35CuQA5G0 iqnDhjwA1zzXgZNPQtoDENBn9ges0OPV/sRrqS/s14xVKyEMr2YM/xGZ83ZjLDJNujIiGpJb62Ql QXfeZPKjZMW95Ns+7EdZohd0J09hBFyH+07adW+euV0R9Lk0jtYY3Lfv/F7DJrG4VdqHI6BGhE+k 6+qQ0H21zaKhn3wZw5GDWLWtVJZYTtjcC0UOlub1SxSSceHtR/HTcyqaBIRE5WrOW9yBRGMnWwVA vAsfXCFRtr1tSQC9NTDRj16j2LTNrLbaaiX3ix5ew268ixKWWL4hFKiYh8Ehb1vCnJryR6Url0Ri H6yqU8LQyrTj7wq7t9HhCMbcU+CWN6MJHlBmkdatGC1/PwIeQkCFFugeQPVtzaGHUFAZWuyiwJGU Nbzc71rOgO85UdyHhKUftw7KhBO6MFfLwyNk14uoBnOJkxx3k4yaBeRBzTGEyvSO4F2cCBtmLz2H Pls4ZHeQn7dzveNi4+cN3FmTp+rRg7bs94jfOYgB95IRjaz0zjmxKPBbXX5j73Qqt7zBFljATFeI Q2V0U3N0TjF2w4MBQRUhfjKx/yIMaZk7hy8C7UXZEbWwoisjNpOP6bLRcO5dUOkOYG5ry2mGukiU iVZ98Glgc5Cd9dTcQztf6ZNiUzaxL9k92Ni5JJIYJ1qrwU4RhWTOKOarX1mzmAAmXTvIP6Uhsgva qlUwWSg23vLk2FehHt2UXfW0tjeYoPPKIK/YId7GVgRQc5bs735ZWo04ifGPx3hc0gHSjTGNIoHK BpVsjuR4Cy5bIbhsHxK/bX6inDZtlwH8gybeLOpykdvEhl5YOvZ4daGQSUV2M3MMRUPGNrUVAqDL fTiydCMLXVkqMo73n9yd+1Y/5FgUAE+JTuqeX0y2Vq5Xnc4MEm83oJj4vdsuilj76SvHB5V0qAWu kydnN2FRCuc4/2A9VszeFLVBqWfenqmYx0cDb0XVw1ixTD3twKFxKVOgY1H2DEqSTO+RfdmSDxdb OM7xMXLB34b4BHdjEYbnMli7Cr7xmg5fJNnsUgfLWYwZsjj8JBJs7A4siVN10teviZIQkMZ4VED+ ENPBtYl1WNyK7llZQkLw5YPwlMNtlp4Tgda1UlbYs400ODITaWKSR4E3wcFsYaTjOHKuhjxQ/vR6 pbb0tl/+/q5pr8jdZTVNMHE3iXqPUo72Gs6TiGjDfiRSp9B0PQmY6zmp8O7ujI6+NWI/RZC2MTtB V8IiLdRjdeKDSZqsOu+PFGhXOkVEzMl/LB1g1CeXygxBYwZ6NJ1hjTIF0forYPdVtthz7RO82bZj 6UwrwfJT0YrMfcOuO/rS1OMd6inXSY9ALNsHYlROsAmXzkok7Hu0mjvoGEi2MNf3nrTTCfIajhra TQ0Jh/RV1hpltxbsiRU7HoFUsAsIKvDe9fiDW57adYzWppVjqwBOvr6MMobM7y+J82aZt4KYgw6k dqr3y7q31gdiKhaccM8SXGAwJS7jd79dl8iZHzkJLWIFsE71aiINfgGVpn3ybhRDSJSrN3O9Ox53 4VPrVhDxSxX+s/7kAKvemfO2X36FdgjXlxRrfby89KRfj5at8/FDWEaiM1/fgnuzaLBNKiCdzTCJ tisogJeXLvgJ2jSGmY17IXGymZRVv8XYI71GnyG0VfUZyQdjvpIbQd6XAEZ9W2BR11UNDggNAhKN XmnxU5J1euzxWSuF+uZvdl3ba72STT09ff/Fb0PBseE2TlFZyJHwFWCL3Pic/V2ZHc73tc0hISaq pLJY4ljkX1AYQpYOrmGVTHiLdhHldnapT+dNKc55Ihc+fwIdgDmvX46+nuJmNURuuA+pefRlhnxz NZsaJ1KdsEXc0Zdp93RP9ybtBVkwJ9HinY66vqDkzXXMVjjo/TMNX4wdrHnXisC0f1+olu1tsTOY lklAo74K40suR6JBLJ/NUKwaVJ9uwRrxLyYKLkQqDSG2QfORrduPGcXwfrj/JZn8gXtAxNZ89IBY DbSXmOjCEtzs5j8NQt0mM5LuWP2yU11GgYledNk4ArNsGYWK+8CmyxAZCIYMjsvE3w1M5jEwl6t0 P19k79oN2o/LVLd6txwfXUqdv6Fhuvzbc+BGuIBK+8k2HgH5LQumJfJnjnqfAdCQF9J26S1Q0D5m +/k0ZVmEfcbnCfZcxBfeiKaLhkfd+pxLuDBKW2kpCvlV1bDwaspW/ieY/BtB0fMVtncUwfVOavSP 2LqSvBL0lvS4mWnUTEadZ0NJw6F8CLdKcsovxz0WS7AjRTVDQQVCqyCK3Kg1om57wBau+eENx9+A 7CenJYrch6AzcD/NSewwJqTTcnWMA4TQFH+zlopl1oVzAqf2CLnRQ1E2Tl/MVvdoSBZVlBc+dJCv 7BIoJftbOGt5pfft/eEZ0RAsk/qhlyUOrnJCi0uKmWyJVSKxSOd9TiqzZbbqH62CduPskS3TsAAk aVTsQZUafHHISOya+m8Wt038ihxV8iViOgWwertj6QotNwIS2rhXop6BnYsTj/qogaopZtjkxkqg gb5+TVh6qf+J5u+M7tvFLMGhTDQwfiBpypxTiivInqd2gyYZvE9PYHuDyhBuRxGbXSE6IgkWvMlI On+COb3nrFNtdrOhoIcUyNotcGR3Ltr7EWW2Hryc9LHvMUoqDB9kq7U0jGZUVcKvwuJEEzYuEDkY tlRsjzwAXJo16o6HFIzNLtDP0GOf+IG2lWi78REQj+sDo8JLM2zJ8dMX8bGGuR3ZqFA+MDiT78u6 VQtSQSs5nS5aOnFcrbtH4lp8nF94iKAfER0937n60PFY2T/kk+ao7QB0tCxFI7D598dg5BHrmmVB VcP3gm3tt7UrPzpiJLI7HILFUL5vkHvuG0M8xcGefIl/w7fHgki4zQEY/oRU2b0eVW4xMi8myAb4 rpE3d1yodOh1IL5WzNITYKtPXJZTbx3NZANvoj2Yo0Rww9kY4MHCd2EDQ3F3siz+Li2qvQSsGhHL EM7YcTQCYKGkosoJahlW0Clk6caijVsRRSKahSqk7YrcUsg8SvlM2RycDks6BvuIpySvxgVg4Mi/ uhBM4oP3SKqfYN4YeH6SRRVVhPM5CMUN8uWKiloD0GyYltuUG7+/lM2uWV7ri+tKoq4X41c4QH4I p+n3DkI+0ayMxzR5Jnnp6yFFrQEqDvwNvBtHXb4mu/tv5nJaSq19y5c5tGAFKNev0c0yybRzwPmJ uswejG7+s+/Lt0Rq+lFpGdHLr0a2D3C6d/KY7uF1idXnWyx2GQqJmbQb7jj7zKhYi8/YhfXmsqz2 CmVY3LTNIXeQe79mA3UGLcMmd4xo0RnYJJyG1jAg64bsii95eOY7yo99k2jk6t0qgTW+3VD1D5kd pLDuHvy5qQTYLXuw36jXXT72FHgR5XrfHfwGOh5OKTE5p/HpKzpuH3KUvfkmiQt5g0Nk0LMLA3qL tgVYvBOueatFJ1k+TM26STSoeoBL6XPYnYBWHnQtty4SjuGwBYkWrXEUTrg4FM8rnrkvhdzf0err alj0+09XuteJlqScYY0M/vHBv8lnox/JEWnwvGPrzdXkvueqFt+EjYBnIO5/LDELQF3gYwfbyAr0 WlOGC/mjB+ys1t3uiKb8OzYy9LW6tUWCK/smS9tYIffdl0oKDArC/Gv0XcygDs/osTffMVe0fjNe wSVLGd1ErBteZVnKloUhjVx661wbkSTDUgTNUmbnqkm9U6xemrtWGEKsisQBcjXGBDunhpfGM2Iu yoy2FETkTXvIxySx5N4iKK3BJNRLnU6HYp5aZ7nQDJgbwjSWEeufmc07R4tJIRZKCeqp/Kx+pnlh yFbGWJnlCPdRwzMr2V5NcrcWEGEH6bFKJp6viv78pR94PclP/XbbZsXp1NmjVtHNZtYeYnNTWWLu F3I65q4JC+FFMwQ18Dkz5nuFOTAnD/LvFwxcOORtO8Hjq0Dk1Phx9TUvyF+SBLFi0zZLlp+ap4Ak HD1b9fVbnVFtIi+yBte1QNdcSWXt7AkSxmoq0isJm2ldOu4G9h+cNHtSptLt0Wgmtfnq0yQwm2IV 5m7tyW8nmFPsQ3rj7UYJpv5IQSIRW5yMo70VE6T0iUQull89y4TqTVexok3RMXPA7bii0rLNQ40g +HGpU8xGwaB/7Drf0t8vktEfxmAYJXfW4uYYQZI+s8KIHhomcAv4LX8iARleB+qF+dxak5P1U12p Bt6TM+g06WsovmzfaCuGTwy/dMsua47+gbC9hZ9GqVVsWTS6DoTMzYypyhSD0GwMG2jDB3tQdFQh 87n9Lpmq/QDiYXNL5JiNRju4bqjyD2qpJOnnsLD9rGW8+l297GhV2iLx45irlpkVyDPaZjnbMyh+ 3loA7GI4uEH84MbReEhwfnqvTtQWoNSp3Nbu4/2SpLW6znGgQlsyo5thaJ/S2Ev9GTnMUmb8aRA4 l0mhKejJ1ZHErHrIVzJBcZRuhsJ0/QpcMRLs4cg7GD32z0p06QprX158dwoUFDOmxmJdfLO6dpom 9ZeqOjfbDsXqu24OSOZvTqExcqJRsx6j43ayDGuo2f+XQNLIs3XKhTMQaa9MWolXWgXk/a4xgFMf gtyK9uDgZoyRsTOPKMALp1cEl2g++GJRUPpJRm9C/mok+yyffMZoKcPbLWo2p3cUWaAN2ePAbOGk GR/ST4+A5OBaOujtZvXsM+DG5VMcLWtXNZ+BJ2irduCgbAGyADjokAL2wXPF9ky8WZfLnu0FeAcT glTDXsvnxasQ7cDn0bu0Lhtf1e4b82B+fcGF1RtUSc3dkxiay9qUMgqE1xw/1r1/mK3zPWU1kG+4 uJ9lBSFex8D2ZME6CorEcA+37d2B192x3VbZLLRY69a02W+MkJGBTzz7/Yq3QXEJA8eSUlWshI5k Gdg3KqFylV3p2Wi7hjCrJlE1Y+TqYEYa47hfLHGZR68D2vT25HzPohoU5U7aatGvnsvXxS9X3/VB 0rdJKyOAlJkpL5twED03xKGOe/onXjgYkn+hLljV2IOk0RjTPqXHsCY+g84I+02/to7LPk08fgrz LCo62IYBBuoOFD54YV9MgUG5wRJJ9ve1lilBjHvaJKl6uQGVfPR4l32FxsnDteNzNpNQSEp1JpGA YmaYoLHl1gQTG/obJEyJ7hV97RmAborN84Z/v3wp1BMkj8yqRgPsRtcuiVU1GXm/UsNUVEsbJ4/t I9h6TKr+fdzi/EyDUwGvF07MjMPHWb/AA02yW9A/iZHDw6xNRAnuLAVAPqNJbFPK5pD3Ve4g6fkF 9scI2lxI5tCG3NP3ANH3bHZGDkSyaCOk9AM4cGirpyfdy/nTRskNGGpylhfkTrPG+wMiSiXuHpLu JV5SuDBrk2U+o1V992l+x3aGnrevuwufcPGeRdmI01VHcUNy48mf4VqkEzYBR6n3483+X5F6WLbB S8wRlYcP0gnpyB6tPcNOhXG6wc3kFjFGlXLSiCxDIPiYWU0lRCMa2hnaZe2GqXLvilkumDM40mA4 j23Es4NWxlm+pd5fKX3Q9BTXWjgdUM2hsLgPaf/cGpL4tR0zY3kTgHZK5P4hsQIlrMXpWYHpqBZ6 W0Sgvg2wokke85JE3/Rbtxdiu4GbcWAlCktrriTAgy8HwwAheYMwl1J7RsQNZQ+5Z2R7bxP/ORzc lNFtzo39UT3PmPdbRClVdHNILoKoqhRd72OVX1W0qAE/HY8/o1gckiNslLuLInLA+Uu9AxC+TwEe I+AOHxzXHWFNpTx2LjVEqIs7zIm7oAMJ88j50HFuZaF4P6Duf97b6wQ7edj6LxmYk6npAERpO61B LA7VJor9GGq2AtkvgLb9USUMt/VfdFIe7uzKfLy4ZP8xrJkvULfnpUCAjhBhCdutJiBTV877meOY /hOyCQ2NUBxIhD2faGRMd5WUHeYdDvujYer6NgVsaJBrxb2//ZGa6QlzIMNSVtX8qrMuMFdskypZ l2UvjCcDGOY/W3o5y4Ri5eW01tRFEv3JYTENdXEs798qCcUjn28ZGDmfL6tAiMSOXVFOsqqjfQBb VUnDODfKPM/lzihuvhIUh/jNKSzOy8uS0iKyMjLyPw5CvAoySsWHWR3xZk4lVMjfYza1ryDTcNRe tqD4KroSdq4iU1nWWR3ev1khDndNtbCFqKXhovBmm7SILnZ5IGtWeDvrJ9f67KGbb1pCO3q3EEnD hNMZxvmCRX2OP4EJCEdPQNPgC+UfX2hR1dB9izYhSiWfFdXVSGj+0zGCg1fbNtOP9IRkajyNE4tu nMBF95KGXovyXHMbepBM7/u1yjMPEIuFMw6i+ma+m+qbn756bQSLZMdFK3Nm63Zn1aiyuPi+5mIt ZlPfhymkyIWx+SVICsokzj8NZl/8DHs9oEpO8f/c4Cnz1wyACjOu2+W9bHUyl2/Da6W+ZIG/xRwx taz3IIJQ98T+2W1hvZyjF3JuS3I3NCU371b6h4fcZ9e3uPDl0HvF2ybwSXQqRUNFGM94yhxcXhXz YjXAyqJNq+IQzby4H3tGMfGKbCQK1N9v+f9INCKmgDbXFjJPTL2JcWxKK1P7tFMrIPPtUgum6HnF HOU++gMfiXMCDaj8giSGq3FPo4XtGViXTkI2/DvctP8xxYTisj3IET081gurnrlkhT+aLH0Moomx 8Ev/Q07AUPUnc3kY+y5fB1Pf+i+3fDTlRmrZbTOc0ykjwTHW2ZRdNDcPKbASy6Kijp8FLN/NDIZv yt+9n5cL3ul2cC8k26lJmGMLAThIOBeCRQH42Blw3jqn14EkQYMslqh2x0iFbbech67oaKb25e51 Pjs4FKJXgJzzzl6CY3stGtlinpmyHzB/cBb/PijRP5I/ypBP5UpuOADV/svzm+oKokHtyubxo7vS +hsSLvpiNrvRKPJLDE6KZj2wqEJH1rxCQRjgJ9k3YO4L29Yf80Nf3RmXQ1vazyvyjqO4H+Dx9Bkw R+NXFICJ/7/d3arOHpytaey6MwL7uM4gom2cAEHBjgs38OckMlJSc2UNmstHv1lK1qRM9Lq9O6Js 4fueG/6RjXecJOPtPCKwuqiriXeUcFwilrORaUMYLmc/jpfyvoWmnRNbcLo3efV1nNHU7oj0bQsy Icsxc+k0NHgoK8oAOrkDQYT8f+t3oUb8kPw0B+swwfbW1iw/UUXIXvNwilVASqEsHf5KDnOLyJUt 9gQQgqv+msYZlAi/vKoE5OEV5h2aF5qlKPD12yf5V7d7fFeW4gKrhIIlrdK7kcfpDzIi4gOzDqXi T96o5j9SSqskXtgFgYvtJPU+Ys/44Sp2eYqGMbBvBn0CE41guF4oVKIE3ad9WEfS4fTtd9ykOt9I cgaAFb1aYOJczerwNdGSOo3KAWQ2xM8+cIXIOd+QNIbtr+hgcFqLB2BDeqhp5YvcNvyce9G2D3b9 RSsq10O2M8ZNx+S3l9bgyn2Y6X6JUBb4o0Xor5gDR06TPMO6Q/A0LjuT7UoCJDoSbZk8+yyxmLtI UR/CW83U7sxA7z0EgEsovesPh7CNQBGlG4ucRlmPqwOgkZ6Cg/mcwJu4Y4iiJfofs58jrvmVrzYY zsfA6XGIn5TS08YViv9zl7GzsrMCRK14148sFLcNqQc0AVSF/2VKbPe89tGbSO3u4pZJ52hzAMvA gU4nFh5OpYXauapf3sdDvYjG90cSaTHPDQqt6Zw24QmqMdtwAYOvUSyZ9sZwkpimxnIeIMOhvpin GCs4aRY8gT/i1QlPcE3NhzBXcREEmDx4o8n7xbKiW2K81DZEUld/Rs2w1x/5YtJu+dT38EEuif10 CdwGeoSHvWIisFgECr6H7MuOCYoq3dlzKvY2ES6obxwL0rVDgZOcG4zW+r8axkt2TzCkmnIzD/Su hvhxKB3n6LQqeC96NBPc8okpw9dnTbZ0JXOSDEWoZvrfaJ15CGb4GqxTrlePObxIi+0w+JKIgykc FDFkdIhOBMz+ETKESSio9APGx4BFXpiUXihxvdud3Iv8I3W7NfJwjeYtrhA/zb/xltJ8Hy1GM3XL nOj3rql2QoJnzYjrb/VOsVnQrFqub5wNbCFixeM1KjzIGWr1RoWh83KKceb4hVQtPhdfP2IPIJmA TZE9quB6p2oK/0WZedUXlb9l6UfkWLGwRQa/+y0f7N0cfENNX8qjrtAJAl69DzNURRpyyiKwxke3 JRPHB+Fl/T9Y+ygFC2H5Xa0gEmsUXY4UgDqE9P2GNkHgAVFUiJi/F56mfQNqVMgCibWkIV/2WVa2 beuMzEfrWOJ3L5g4jHDPvEYDcon5IljcTviG4ULWf1ihGbdog/XqtQOqmc8eoY7JTxjXsv4Cdw0M 7DtAvv9252ai+Fn8i0Hw1pwg/yx+nSLwefiplALudkXcK2RHMfmniBKw1H7OffgifefrHh+sWVVO jYZ9YhcnnjojDnZDwF1n1hSzxzuilGCBHRHomi04mcSn2nOTrGy03lDSA3aO+/FlcF1Kauv23Dld fcvYEsCeO3QeeJZnWIpW55tUBYThQzpWdfG8qP8SHZWp8cRCPTbNs+qR6T0bB7Atc8P7zjGmWUCD YTk9ZCSvdfQVk76CC7ul5LBwEtEBmDzYIvAw97Z5znnlfjmiPDaupB6eKHfNJtQkPjPgUM9hs4jS oRuJBQH51gXXRx6x/yZbFOpltWRu1/vvnEfupU7IdXm2npCaASJ6xBcfMP9ugLuSJIJAeYY8hD9K Kpb+4AV/HpdE0qNZxebbzMlvJ15aniZTF9pl4WIaxI7wISPyXMA90p3raNZV5Hszjyu4Xg61tFR5 iImmevPZLcrEkqftGVl7bTRyr03gS+lMX53ivu+aEyHdw87AIZxHrlDMVpE1StE90XMFmadQWo2k l9EhUO/dfXU6rDMv4sNSgDR/rHsd3KgxuC9NxNGo9+qm3oWXghmmkHWQerng0ko7Mx+IrRsw0rrD kuDejDhH0QlYbuOizZOsrhpWNSH3r6cfUopukUCSnNCq3B2e7ICUhKXFIccyJ1p1PKVBJYv1+LVY 6Y31Zw+ABhKzNgbKFochyJ4FapotgALCUYydW+metOBoWzuDv4W+aMtdj8xmDC/TlNFaW/ao81hO bzU2ia/7/2f9ASxCQazlKxUcYgGwaEqd23Z2hsubs5yrzfcDM9gAqpxhoc9aBlbW8yml0lxh9NK0 Fzw5UjbpxvdhAE5Vk3A91tZGGXLYaZYiqqnkT89v31+OOBZ2ITv/PDy4tGEAKez3HeDKizW9eHfM Ka+9zD/HQ2fKSic8+iqybyUQMpMyPE+daVvFVy2kI+XdfvAtHB93ClJi+gRlUMstkjVqUwlvc11K hWllrU95k1ZnZv6FyY/RHs05yLGnDcCa5OQHygNi0UL9kCsfL6v67DbUAQEtnF36cif8pgULDnQr CvsQ+6j6lGXhauqzN8esH8LjWmS/FGV+bUNeucfuYPhc8SAzhRyx07/0ldem7hb8gTsvnrHsXhOQ 5OfZVFM9KJP/cUVAzfTJDQJGb0TeRoNu/OL4lyT/oJY1bR7/7DJTqqKuj7hOZrDX3R9qJGnPS6RO WRbkWPApLQ0gYp/moNBLBPrCBRpUGQ9KfGjswO2Tdwk/R5fRorg4EcfAYGBvUaWc/uNGMPW/lNG2 2+tCkdqmcsIrenFgusPuv1k+x0DQ7nz3HAmSSaUtU/gIRpf0ED6OQyPzLNTPHtKj/yWiKmhFDLC/ rH3gjzDBp1fFR903Ol4n9G2vRS8U+YFR9wvfyRNulNUbc93g8H77xQlUiauh10XnjJaJs+4wHh6W T+L1m/6RBJBQmIt4iVV4r824TjlEpLoQ+ITahvsbxcmlVbl1maC2o7T7u0/pG4uWt8cURKKWF0YG ub22mlUHcNDNG2YIOSKu4gd1VA3swoOJZRFI+wXwY00i/Dx8qGAWzGPIx7sg5F2DMO/T6KMWNQ7Z NMNTpTc91BdPRQEo7N2Q2uwWrD3zVC7FhIMFjxVJjdcHrANGn+l6+TD947RBCfM9t3HqNgoe8NT3 UayZgb8Nb838qIH+qJUA121wDIsX6qOSrcEUG26VTGYoJTIjkEOO1r6lE1hOsPLCc6cmMMDYR3I3 aQYmm+siBaJpxugeypEd55D7DM2or5k0sWcbvFYZ5LGRNCUEIKnMozPXmn5giG4qzQhZniK3Avpu Xgn02arDk+t5NOPew/Cxm6U5KIOGJldPWqMMESEdexue19VuQpvscZTUotdTxhphtgSgDn7eSZ2B V+JqhTDHOLsPfTcPeNcr/Ucnn8RdjijPBWB9zOKqajQTqM+fvoS3Vbaw538M3oRtFiwUeXgIHU+Y XLHONlaCYBUHqagzPVkCava+a5VYRx4TzVitUbpMP5bvPzNbCLFBwKZUbS9IMjjtG1xTrN8rPNH0 AHHJgsk/CUo4LuIw6hE2C1diRGs181w2kwlqwarLCSzmpweDtR7/IyRAmJFppu/llkiOJO2+n1eK skqgmr3nwCcU21CXYTROX5FjtbmANpaUjQJVVY112RDb6GeVJQ9uDV651hBzkig2lHzbALMilVDm u6zM2UjBFyvB0WY4672Lj1qqWcURkaa/vTC9oW1HFpVwaslQYKkGhuNudxosi8fAA1/raXIMW0An XCt9hKPq1v04f4LsuqqmZGfD6+iWR3YEkpZT458Wzgn/iVvsp9UeIiI7ydDMq5PTLA/ffALLO1/b JO2TY9we5I1CcffezTNcS4mtDBrG6Q62tVSufdW6tgRdk/U+gwBEaGCgVxLGC+aozqjoFArJW0ma FxCniTlVayZs3OVPd+cC2KzEHLyqMULHAC65pI8gLSCvQBJ7m3wDCdgsfmE32BYg3utw7sWAo0p/ A/lbZ1cp6rslFhNt6f+F/i/igOskRCSyyHtmHEOgXBYnDeKgcRWpKtZxTefkQrUyMgDRZFezajMI jNnZCqXBAywzrj5pc7DrVTAtFUYNak37JFz9xKI51xBK+zozANf1mdO0RaEzy9tJvFYlfiuw489Q O5wzDN5LK208DFTngD+uFWrlS93kFmv4l61nbm/4GNtj2tUlKvYnzJotU6CSpHo2iUgA7BGo1y7/ A9aPBr1cEeFXxbg7q/WNTEewWFcaYb+pg0cBSKZAosQ9UayLh11SBV5u8IHaTwsPNPGr+YnobQGe hCQQGvMuDdzd2d4ACr5HFbSaHKvxjAEJ10AIKcwhD0kbaBHQY9sG1djSjjWCbaPB42HY3zpaoev9 J2t2WqlAjK8ANF11nYBC4YFF2JkEOxqCLan5T1sJqtnJVZmbSp5PRTbmYcYwMg55Dq1uhay+mG/i ObU3paOsvfZqBHqkCoelZe+e9xFO6nV7R+bBh+/10p9w5RRM7UbxrT0hlb2ipPNnmHMVuBy3rfJv DZK6txcKAldTY2zbOmIcDJp9Um3My7QIpw9KLr6bsb/ShOpsC3R5AtQvjJFdYN5L9ihJz599vTCB oFYYqAc0UiVjjfHaiqYYwf09ekdYCwZLrpTQsB8lwMZvNlTfhgZ3B5Gp6scBWA0VcYHqRtKdxqS7 X+GV0KFECSVNwd0jOFD9DBMHWGzJ7SrnNG7Id+OgjaIVpimtSGSsJ7ilGtCI6G3aMR7gBo9Hm2YS 21AmoG4l2qFIhFmHGgqGYjqQR3UI+DFwZ+MYLrj6jIUHVWqL9BmuLE+H9pekSeXf+/JK9vgCBjsD B/P3GFdknZi8vyqD5+lTeqp0BAKacVR4AJmMN0fTeb9kup+JIwL8dPJVp7G1pu+nLV3VN8ZLo1AX BHuMpP1K3/WvqPB0JIsyoUNbsKwciMTZuToCmaC+a+wNQAD1zRXlR751+hLacozHaiXquzksdmgY imklGI1/wf4Odu12eNRLatV/gIUBX4jcQsG55WXvnLPa3/Q5e2CQUv4FFobPmWcuRECdDZm12bq+ Tk/5HwJPvtxZQq2XOkS258FfA7BxIPFCVdErfxYGbr8N8KW5YKgpO/t296Le1OmGU/kJ9/LySZeZ 6hrFUKsD+TY6YnsQiKuem/dKt3ebigB09Yseanpj4iFWCFkEhDNtG/iSaEAFaEAc+os2FlTC/5g= `protect end_protected
gpl-2.0
67662004b250b79634aba0e0b8be71c3
0.943256
1.853125
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/norm_and_round_logic.vhd
3
17,955
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iu3+/C9XFn2DzcgNebxbu1rMESdiphYvYrViUXuKf99RbureDRcnEvXlp/c0w61/why9HzjvDtj9 i+J+I2bWfA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iZJ6PcS/rbEHLoVa7dfypE92VEH4VT0HpU1pGzdMR2COPCnTEdPYxisLrH1Im3lMaF7fk5ZyosHD jfOfNndXaHQCKrdVlDk5fiLF1BW3qw2qehoNKuD56U4BEA5IQWFoDrpyXislex/cukh71E/1uelC Krm8cVaK7cdI1/o06ok= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ajt9nMj72Oun9RAn43YtatA8v59S5pMe94jQyebAFq8UVar+hrHcUmn2xBgjxNVJBSG5QQ6pFv6+ z4rYFA2u3Nq3F4LkJtShYtOyXbfZ92+rWupTLXiGl6xvHae/4hhSl0EPp8Nf1SWl7GPKM16POrCD +wkqOAr1HXgwv5hVOv+ArhnvnOc1EgUm3WSv42XXbIRZU031B706dcgfyrO5ImThC4r691/FSD4w oSvuMuLBc/MsDAVvo88/+vderX3tybwHMvlcXc+osfUvRoTH6HWfYSOvAZeRsiQKBWLlrx2kFcjR vPakWCpU2J5mwQvIJgiwdoA/giBDYH4S26SNAg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Iecvoah9HxZqCYIm+DPWv6gJYLYdGKAIbpKC6+g1+tQAzZui8+AaMLuxr6Arq+NOnuslirKLdc6L 3z9AfnHPKdlOwHLUs8XkG2bpQLJKCf2qaqItJDTgRf/xLOcFAh7K0laURp88bcNMxVdfUj5k22Sl xVctAods0oeO1RAR164= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NAz7Saohu/eLeL533aMzaMhAMAq7PDCeAJg5gpxHQyFAUgdH3uJnV0NH/md697hPG1Qg5ndYeHey Mu5GaWxek6F5Cw1ZX6wD+AQco5LqCqAqjP9cnFEiSaoLmIZlOM+J/KiTCb7duwrdIar/0yKiTBUF py3fX0T2JE0qsJxnaGpgXMYqI6goxaDdb2uGkwPS7gXF2jtcfWdQzqfAJck9+Fq76QJg7o7Ugg8t 2xFlrxgyn2ftFbVgR5UVJRbM/E7FNV+Uuilaf0U2ef5qdpW4bOCt+Mu23fPxtAA6bM3PyBf47yYF 7xHBsH36ACBYTkDQh6U8g6e4bgcDdVB9NLQpFQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11552) `protect data_block DLgpWg2hjJ02rUrNzO6olEJ/xSD80ixrx6SArUU+ku3jLi8K0MTTdmLqVWstTZeXGqTIP6QgWrVw ncKdqOr0j/zD+vNltPGbVmi3BgqOgCox+UbBdN62rJ22shD1rQZvX/js9h5JxBNaXnJYoJ9BBVpV kgjuIHe4V2yuvZOnaoTTNOcyjEuUbpee1xmS3pLuBg9CubpQXP00Jzju3Az5U0iYW3xiRCAJf6yc /wLi0i+XSHR8vCoV24yN04JRIBlZ60+YjsA/1bO1JS9ggPrFKnilFIoCKPpf405XDBdHnfb+847x XZlFI1Z4jzjwV+AOjaEEHDtI16jMmQZgDWIPMo/XxHjXkcPVDm8hCm3UjamUrsTmzYquAT9qxeAO OC4gpW2AgX5laA7/eT4xZj9VQRQdcosjBMjYyRg9Qbp5BLEctE+eaQ0gpXtPfF+4wzI7hk6OpoiM XVDTCMPe5C3y2zFNbOpLEN5Dfj4uRk2JpeZ3pXUw+QCO0oH17oPqrQ3AxxOk0PfV8eGS2GLrOTfF ffBrYYjfT+boFFz4W1tjfnKI9pGh0Tx5ohpQC072XapLRi0TIZ4fJErYdgAmDokXvdHulfVQmz/U Hl8IHgoZlE98lmvDesoS4Ehs/6uFX9uL9SrmA+gSXLWfMi7By2NFDw1OHjjnEIMtH5bYrTpTkchx pGyvHvbVD2SEL2otLVnqfGQtVWvBZY68SV9938PmIKoxSC2YuZqruyU43Hn5Wqa/3kQIM4Hpapja K2rY8aV2rf8eXVr/+EtRRlDluKabAmL+l7gbt3T6VnoZPcFWKbGsaOpYbpyIvPp42QYa/e2w4fa7 5nPrnaa+M5JhI2u0weLTWeh8A0indzm1sRsonWSSgfq3bhJ+l0FCG8YZ1lg6fcFgRBM/bRrWRijr uZjReqya5NPylzL4azN+9SZEsRNxjwRwbWnPfSOUzmjeQhfOHGuNNuFUto4qEDrBOkJgEK/l7DpH /aUbEj0y2tYSO+2H+GHmaIhj3OtBsmT6q14NUdWqUjrUCaZ+Gp9wfP+2yQaEuUEQycil2SwSXO0w T1SNddEhDKTy11S460nxEazme/rHRPw4P+c501d0Iq4q8gkeT4YWakbgDMU2JcyMaf8oM0RmAK8b eHnks9Dhx+ySpIPgk7ZIc0zfbUiAbAaSAm8V+rDBgatDUHxZbvGrwKgUJK5GVECnxUIczg3SfffJ nH8zvjQJK6+dy+uctw9KB7g03TswwVVFeGV/rVyFLXKq4AExf5O996qM1ORYFtMejuXDI5bBgUr+ iUB/nTDYW9gPmh0Or4FHT4xLQR1vdW/W9FQIxz5i/Q01Qh0Hywk9If7mbf3mQRg0tzG6GxNxQ3+d pJYtRIM4RlPs0qJmRUSqhSlE42pozhXc5NoHWYScb5QdDlakM3j1BbcRRO3ODcHKIg34cg6DnhS6 AmB2ba0gv1OHTm3/NyxzYlJc/VX1+Pc04MBSVYCgt2huttpvuty6Yl+Gg3nEDxjXeePB8hk97wcw bK0MmZnRr5DlEw8kVsuOGAUk5IXiQZHAanbjmNYwZvLxd93GHJiwvS/yuomqAX9mkBcreAHMJBnQ 1ZQ4YK4nY6zVqZboORajRZjjcP3ZCPF31R9y8UGPXpQup1uGa0DX/1OBmjUINBFrO9eGNhsvm5PX JMuLZLDCRVw3khn6HkGPiomnAx48HOQjCo5afJacH/+wYnN5GwFE36t8qZu4uUL4r7dDds2GnNRs v53eBXGFioLFsP6szTsvOsCs0g0UqejDYivauG7RiMANOZz/pzKMLrxKJ44I7vbYAm7mUq14u54g DRp/JmutCEkcQ4cZ3wwfgaPVe8nXMb60PwzNWQ5VI6TpLGRfu/JTsRK3yyMFhvQYq4opdfuVzzBa +lk/vwjaDnSghBPOgEmYdLAv9jviQfK4NSZYtaN503yvIIJaExW1Cm4kfseDtvn99KRMj7dEIGB2 PXgZT3gzGRh7wIhYmy3WXfmr2QhpDVTkTLerD2QZOmeLkACk1BANwdWL/1+KinBz0VlwHUoEtv8w 6b0bELjzFEVPqO0aKD0OfO2fDu+sK+P7z4KSI5E+YDWVlD6YCizBTPEVG/n5MK+PqdcoO8oognf2 ra++H7nNuKVbpMox8T5yohBkPExajjrmhPl33MT32wbiF6+nc2EA8ATRl3ofyFv4gLpfD3nscGTe tEkbSoFKMZgqr+O2exsRFgrmFQKbsiMmwIYj8SX7pZ3ws8I3DtU+1ko8WDMSJaf4rk/tn/B8X5IT u5gKsHb/flYBe+7Ugl6an9qgfEMk74agtZMPWsbTzgian3LkARdS4LyGlKDRdr8qItGxpbZu+H/z eV8BW2Nyt/n55JCN9rEOAe19cIA7Sp5r/B/ryXfb7/M0Ze/HOv9uJ69vgZooyhjVVVPfHoinvUuY aqy0guqhzMHqtqkgWRkrOIuW2PLkJiI9u7GKTgOIcV/pTydibVjFcGw7c9KY9LNqZAUcIOH0TstA BJ1shGT6b1oaXgh12c8D80i/OuGcoDfZGHPnZb/6H+JjMVIsFdRsMKbT5jR/I5hpttzOgP1tlz1a LArOv8cg6eeC4pWlXROtK5v5zf43m5JFFRs1sUfdRHJ5SeV0XQM6DY4nHPyKDqjDU0x3ghTWtygF xvucghv+Q1AGtQ/P4Wllti8ygtK7WC0Mi4EhrN9OsRTkfro934fOWq5Y618Id7vDpLLn1+0lEw0A JWesdPmCdZTlsTDSLvffFFa78obd7FufwwGS8drPL1VH2yH4Wppczz3SZVqHT4++n4PNhkkd3xns cG9iFi12DYqaSO0y4XRQgO3O+u0yoeT4XxjWD1MbidMbfrZf0htD1UfmfC7QT9lbZSqla6VCDzSO x6AGTMY3y2U/zVaEkUThiQuUbDZ6QQ+jF7iP2nJvfcSWCi+JuQYy7gAKzTPpfA5xLxQDXF2EPWNl ASpycWL5AerLrWSk7fhu47xUQRGnSgi1ZBCOdmmHqY6vLsp5FWIeBlZo5tNYzzQbbH++jpXxad+B 6u9e9zfHUJO7Bfnh+OCpCWuF8Z5mSaC0hHPA8NgF2zcB/2gQWao5a7U82i1nNNnX0tJ3iLeNE9dx e2I9eGTubtLOY5cMVYM514LMCZpcqgCPjfMndhFyZ4gcvqogOaGLMOU+KCEs98+/+K6avCriaLmT BuWSgsmORlWkqKeKfVyMSjhoZqSnfzAVJisbf2AzCqyZU+mVn9Nza0sDi9zI5ZsG2FjV81rNXTw5 0oN+tQ72q3DkCHZjVqbQY8yPJDWUO8Z/PnuzQcXmEG2DVMoT/W6/PF1rxhNuQe98Fc7dsBxcCDwH S8S9CBlq41+zen9MyCDXNYVYdgytnBdvvNYHNkVQcdtf3mk7CSRz9HwUhEuMuC6wi/7iJyp05xi3 cXMhGMwFrPBRVlObv4caaJSCn+fetptPaXI2LX9MkOXkffmiuLQgvRpcCwZmFpPhD+iAXmRgSGwT eeTD3rL98Ao+fY3f8AZsczpSX43uLdvUwCJrR0wpBEu5UjfAwSXr6vEQkEdTLRcdQdUYgKinbMlH XiKNNcwmq0UlVJlMWDNhXyYWnkwkyFCYFOfis6mJZm2LPzeSgIpL3OednCl9LxSG5Svp9y7v26XJ lS7+uvAJFQDse9/KAzlg5YGtX3pDHAG6E625QcUCLXglJ4mnp0sE/eVZUUazvGX2L00IvOQdQBO7 pUoZsN4F7mFVSNggzClAnh/4Wf5P/vx0+useEgLEDX7bwgql6UmFyk9NsrAgmtiO3XrWS6ILhfiz sHxy/tU/i3bTktLLjNTVUIGKLqOrWzyL/q5ElDffj7TSJtl78m8EIudSRn/zp25wQU7+tY4G69ci 77VDCv2bVEyNRfUjygmx7pkmqtzBRzWXcbWRxl+gHDKVdZJ+fQ+6dF1CkknesYKO1PNRfs48Eyls DboPW2oOaCfAHW7hfpNpLXwbAftjmPKSMG7oNFGm+qnXCCEyq61k10CRG6TctxZSirX2bsBMc3Qj kmG5bsmlcj8ntoUb91Y5S8eRPouA7aV2nrteRrQLHM9fx44Hy4VCjaYArCRb70dQcMgkdzsQDj8S nbJTz7OHCRgEMqLDpZiu9zGjMkNxhLCw+VJayKMPk1Q1rPfHHJdlYiU/3wl53KypGy3HHT7gHcur EhkmhmKCt0Meg0VfYfjl5Y3CZF9FFeH9lckeN+2K0r2Avx3D1fkeXO2R0Gk2JSFXCDOaO1sfAfkk V1X0a80WsUXk2/bO+ijqHgbu7lhFQZ50MLucDC55MTITIJS2vLuhrDP8xEdLrJv6RG9+sjw4rb6m 2n5+p4uf6cIOWEnNdcg3whLMudTyv1YjPotupRZT+wTErFIckQSjzpQgQsP06p2hRjPGsjJaZUQw 9WirsrMbt+KDVgnhNhqtxYxGAPBQj/Jz6YShJmOkL5V2UQcTK/WyQVaG9eJaIuaBmYa+9ef8MgNS Znt56iS/6RSX9JXPJig88eebfpYtzNd3bK14TtbKJajqnRF+IW6Wg7TcVFJ1vn9Zv7rWRG2NQbWj yz/qcQmirzt9CODm3nHkzoKCj7H3IrKYBfb4POKnNN2/ebjSSw9b/WlUw6MAIMYft0y3rjwoddNq hxT6/mutBbIHUbiTxLm8+3Y9jDlF6kPJz5UvpsZ/bMldee8kQ4nbRJDC8qyLT19ojcMuRprlbMWu 8c7MMnyj3fQzkHxIiC6RAEdu4z4RUCLw4jwwRkAQ3nSBeElbdSkpdAnf/4mlOWJ0RCMjVSag1c4n HU/AeLj3PcLnRWIpl8x23LcbXJBXSe3YFVyRnFXjxLkvFrJsgsu/svXn8FMKPFNp7uM2tVMF2mur Wl/YFRH5Yl+w1Op28+mc4Cp7mTp+G/pEp3hzgpW0oGbmWZwyi1cIJK1VTg6zHdlUniESbP/6woU4 LEoYNnw9DUIcfSwqCtcuvf88xiWJEpmPNAlRHzDS++qkTi1pr9UhDzyt2ZN9a2bMzpGFlvdVXKEs LJNxTN9ED/2S3eCevPUhzXy8jJG/cSovSNPQAZi6g4PQ3NwnTAXUTCbZw/hksJ8e+oEFv1x9Up2u 2Jo9rc+A8K9W5BRQ4B+Y0s9O9mR19Ku2zDCwZUm0PYqCkcp06SaMhNzVpwbGcSgM5BMF0kZ1c34Q 6SW+c4eBnvzKzwf/jt+nRf9U08J7u5e1B6dpR3VVU6QYoMHa9ddUuc886TzHkvS6jqPEpMRLu7iZ rnfMD0W3DgW/SZ/BGd4u/nRPHF4hkrpLaFrkBKJdtaKOMZezbdbwspp3qy2vgpv9meheuGqsQagF BIjyCXu1dRQmHkjUShsVqpZuB3JlRhuvnWdNq2AhNVmibh3NZfMQuU9u4I6G6kjc8+Xnb3sMOY/V uChC4l05rz7JUiIitHc35O/LCFMeQIc7R6cimcMkkfhh7qhvzE3MO3SEceV1BSp9NtglVfv7ZYy6 rhM1n7OBMk70Ts6sEgemeO+9O++EnBbH91Q9Fl3xMpyR7hfcfIOytgWXWvBgqZN7r8q9BmrgrfRW WMKgV1t33ZB3qO9pEtHeh2hLVBLVjB6pKAVmf33D6uNPNI/jpPv3EHGidBEftzPNT3t/hzRAEWwP Yet7bEvUM3A6Ie/9YADTV6Sb5MTROuztWkYNzNBeNyo5N2jxmQs0cfFdOMkoXBmWWAwXx0jVaxSK 7bgR4kAp2274rtCbG5edflEuiwK+ZhoiGzSUK/aarNHPic6703oeoiUrMPmWg9is3QkCphjnCcJi IN9XLSaTIAVQ8MOGmQcKVyjzXCL0IudHONpQcNL+Fm1qJ8fKucK7i/9uCHcQWgXAcWIbMGxHfWWd Y7iEpx3aRdfU2+BivUjVAxALEQF6EN5xUV7n3BrAAC5qWmodUbQpzZQJeOSdpZNSRc9oQW0EChgW diGuIIAgFI12VBB94wvqVGdwrl7Y0/ofbZAUZ2aEDEuuSyxmkJFweT19yLh/WxV9lZgv5oiqz1ud w8h7p3IFuKYFINWau6+6Hgi/U722WGd8GItteIVq+AG77IG7hSZ0e7Vf/TD3SuN36y78NhKHjmM2 35SNlnZ0JAX2F4MAHltF/qfuTSTZAF0+AD94xKzclCkjbKX4NJmmisJN7hf2/i5M5+PHACqSPWic uT+p6MfVjkMX11je6p7iY66bsS9BZiYjgaGX2xlKkPLSegC0bTaTSSMo8ukGL5iNO5InVHRJ4nFC a9YaggJK8joQAPPqAYmZcRhw7MF+PFReSDO5zOHfKayCN/IqLGckNOfC7CYqCpLtwzzTZY6ET0FA 53t8SPDu7SnHpe7SShMaOnCk5ktvZU0zzlilY7Lc8CyobeTWkPoQ7HAz1oALoDxV30axspMF6Nqw kzvPcFVK4z2flWfFXSPcvjqWTXY8tLQ+EffumbZHgfOdmtO3MF+0aKmkudCYcpokqfbiGwUBouqf 4gfPgBouP2XQ3aRxEvqiiISifr2SHuv5yGiva+xabzVMvsKTzFnEECbSyhAi9TPDOXLEiaxABWAt mJeQLtwespaj9rbxtX8ODBawK4wT8mZx7ZYOX0fMxylRwiBIIdBPe171bIOawGsqFGKfamtKMDjJ nlmuzE2Tib2dXfq6cxI2Bnb0Cosi1XZEJZ+aBSJ0GbxBHQ7cCPzAsCczvpNOXQjGaMTawX5I57ro avW+q2x1eZs96A8JiNTR0LTmT1ypTQ9O2B2cuK0jjLJbeqPkDr5jyW1p25lnRAML+SU0HyR00I7m 80o5luA04LXuawxJazikdHsFyfxUZCvG5UBiK5NkiNlymoAnawzx/N7LOr+I7Jqgg1g3mZmiKiu2 j3Svjn+MvSJafljj021jokOOigWfpbK0kGIiX/WOgpBnbIrKYsrpxSvR8nN/Z/ZB0meOrpxE025J LoqPEiiDolb3t6eMS4jdUiwPGpljPDy4K5Oqt3k5J3hcOtGIuPtptS43szqmkfyEYOMLX+2p4U8N dkbs/Iclln9K7BuX5tUVBU+UOeRCShbjyoWObBefsiYlvOsqDpAq9RWLsRl7qwPS9LIYm8zmnmAy FWeYoh2fKWLx4OG6p/a3y6FybTZt6fO6KHzyWMQrURtU/kqRBBqvtJ6x/k9R04JfWGxlPPwqKf68 Mcn3/93vmLcioCIarKit+e2vSL4pmp68FQA8g2gRp9zyFfA9aXFG5AishhDgBYigXApTl/Yl7Y8d is6wlkfnS8oV8pg+/hhE76JfXQ57fn80ZzRwSu/9rPpgNxEyPUQl1URqEwPvp6KwC9qtZiggA3Mw zqMunh9vTbP8dwa4/oKvDcmGq0vidmOUkm1PRDc1wW0SP0zGAkntnyV9ng+OAqb29YjO8t36O4QG 0/chSFwA8wxqObkn6qUpFciFNymumy1RUwrvxmhDgmg3uCmFhsN37tfqZV7ugM/udUCytG7VbuMj WJqVcyBBZhd4IdK/Fd0xJazwkQ1gWlVI/Ge7kVyTPlJ+fdGqn1o1CdSDO23Jd+cr8jGDRScDclFL Mo2O71gJNKjs4EOM+8xgvXHjriAPVGA58R2udPmbDEt9j5XLq+KLknA0jdiIP+7NDq3PUMOLYPyO RCNTshYchRYDDeDMaYFqxz/TO3G7/PKh2e8aL4lvJUovCsAw2idPUk4ILWB2A/w3/2XtzDZg58Wb rhorDA5IInblSbZZMxl5FWLKKeY5HELkwcUO4QQooxuj//tUqLoH+Cqh1H1GmEipgmHYN7ZvBMn8 EalpY9haTgL31rECHUg3cbK9B3Au/oL5aDoifRYGnsdH68h6hClhCzYFONh8wf5HJ2rQ8zARbgGm GRvfQzr0aQcvga4qJGeRWi6uyBWyDrUxi1cXlenjuqQVeGI2qm5l0IIExWJoJfzRPLxkX0IvCudQ NV11U7ewuG7y4dLPkzKP816mk3JVQyifvywYdo21uugQK4iLRnYrk93xzFAFer9eOSATfDAnYTrs 4KnnG7ZpVEkmbRUTWDJcRfvU7keWjt/igrrquU4NmZWOeplS+C1yOClb5FGjS0jG918RGY2kLL8G S6wULpFsw6EgnPNJzNLBDMx2wfFW7EqN2IL9iqlJlnOckelS8xJYk7Q9AYHGt6pKs4qX4jUbi2Oh rI7WU4nPBAfk7ck68WFQcb5UWjqIPL8GHRvaQgNlQT8Z6MtGRiQOMAe2uv7qikuKH5un5+lKs6xc Ju2qTqkpHHTYeMI9sHTGZ7Hmmf70qnYV8+a8HJ+LUS2paEex6T0dy7kVrpPh4RaNqO86pKqupOeE fTt/kFWoASXYWF+bemjaZFfkmALXzGYNBd8oM8DEyJ8u+D+4uq7X72zC49IwCBSGzeDV9Gf4Zsg0 8oFOCPnHWgt2NV9/PNvg1cPx3t45EQ2MTo546nBnYgIG/eR4p6EsOOiYB8ee/frd2RCgA3CVrprZ B0j+iGfHOOGvvpBazPkRh0attfxCkDr8hmxewzwLZg3aA3znb4rmVam2Xwx8INiW5JRQ6ismFQLI 8xfVnE/6dJINXQDTmIyscHf4k0BgFI9rsT1bXvJ6Gobb/wCSZzVGusyB85YlmYnhqHoSa6xIfXzz rJL+oo7V8yTjEHAPXnZ4TueBu/z6mrkO830/W/yLWdfyWSIePqA/uX6IJ/WX1H/iNyE6aBQLSfK6 eBBNa9KhxToD4LPMFCxwsvW5coNKPKKAoXeQbLMMxVZC+CL1tFUph5Fz2WunZfUKLl5a3jDMqRjR 5AAY9fHqeDPI9VbAyqSnbamYO+lc/BstqHWjtjUeD6csG71gGVnbF84LqZKtey1Yl3qpC7a/JIve lX3EKml+mwjDMndXZEPkGPH0escYbGZaIeRnWN1mXusfOCy2AJQWs3r6W/12/USxD2B5dDMUYXzS +aS8ptvU+W7hTVHYjoEESnsYaPkSndFzKdM/ikwmvtdYPZYT9C0s3Qb3fX2sGAJLNMe8LVl/vU73 J9CMTuoL7PkiVPeGc80rEKPBmix8iLikVHQTvWEMe4/95nej03IXwayphmO1Do0KWPKPVXsPgvxp TMxvZCuIMLDDFMVN/46HPZbT2O+7acZEWHSRP8JpnW7RroGecgdv5ui+Qi31gc+2DM0Y9QYgBNMw q4pBfgI+yEf+8jveTR8u96F8Sokp5kJlt0BCBbve0WiiQIiLy/RU0EpODpKPl0oDVaUZ4882gtTm 22FA2yrDnhm81ojfez3QXt+eqU+/3PCIlGuadMAmX8LyQJmWn3DqN2Xlg/Zv34CAi65zjGYv2VsA 0BFCNWpRIJbi5jRVv01yZ1pZCpdDgD1og3nRKqBswoNuZOLieauwwbejO1jus6jOCwMbO/Z4UJs/ +AjxGldp+NpM2wGzMTkTm/jPuzH9wPd7rl2Q6OxfQo/HoAmhqyJeOgICrjEfc6llTCkafltLyHte MSmXt9JkAt2sgOMyqTjAsrMsRd+NdJXVe1OdVa+I++3J7lwvD70QKJp53jwHFgOKX/jb2LlFMwUz V5POqXf5HOiZg6mERHeZ0QiCTE6P+we3CzTvLROjT3CgrnIrDdXKbng184+JLSOK042scQZ4tDj/ oj8lrq2WGk92LFsDE2ZrSrrYrxlcZVex0rJ8fY89vyJ6y5yOJ/XWOW73FzSXCJpph9kAOTB2CagR j/QRD9yEFRbRi5oEUEUj2b4+UIx/2nBDmgER1HoB6iLtpRVltWV0UbSw+azqLjRObuarw4s3BBNe wWFvEmdI2hB/lJyflCF1zWyv4TzJErwcjMyHiaT7edXR5jbJTXu6tQiUgCq4mlGs4e50uebakjMq lmVrWfkzu6BEwGyyqpoq+XLtA6NJmIo2BFQ+Ttm8Oza9LXouzzorPi9Rm5jQtQf7jW5oiSxb1dNl kUbjxswS6Zgd7yyKFpISwnPf284EaA3hjg5LbdhkNnkqzj5VvvHXrS9udsLiXUDEuqH71iDERpYU Odt3umCwuZOd7eoT4Ja5zpeSsr1lkx2JaNx44cd9lh4BFMJhX3z4Nzrg1ht2Anzmc5cu4hH43KEH skjuo4wNhOBFkJT0vzW3TeuVGXkW3c/Q3oeloQLJGdoC2oTxEv6a3lFtBY7WcJ3YPi/+QNU942KQ jRbr3UGjpYwaobVlLp3eL7cbD5JfuK3w4HyoSGLgzX+qjOxGMENAFdWaetuS9Zda2DB22N+afuhR 45aBlyaHV0//pj4QneWe6zMJHXttLPgL8/JBerSJraQPhD2aS63pju5uNVjABsH6Z/5ch83H623B 4+eFKJoUExEoDx8k+Vv7oZTidR8YjtTdKmtcde3UkE7pnDLnAHrkEhAtoTsLnVOHsXm/hfJV9+Dx x7xoYZ5CN4/WqaRmDvip9TOjW/ueLQh86Z8MCpJeZxbac0oC2Rl19IQn36d5pEj3TUPPgrzVlsz8 znUyWR5+BZdK4rFO+uhMj3nw4mXcOAzD+QyA8O1E5OPfcr+0vPN/AOLtuytDPsASj3wQ04Dc6ze2 chOVt9UzrFRL7atjQilqajXVJmh9Nb5ZIQ4aPmdD8vpHcdB52sg/SjivxKP1REdof2qmVFVy7O0H XJ11V5+q5vsZUBvsrusx3S2/ZBDgxfKoXc0oiSMWbp8lguRIKJRAFmV+CRNmEaKvwQ0ZgLb3DVDH SGgqQqc8adI2mykPqn52b+wDaSjpFhn7vfA6l8skZZXz5uIy5Al7APUF6BAlGguclWNM78QENQ7a IescYad9yKyhu5iA6iL9Gh1YHZ4oB7ePT8/hb5t6cTrWYg4fhtfrRG7AKnpfWJJMRIR9xsurQgtT mYX5pCOZ5ljC4/Hr6E7bM15O0uKNpwAYOolwsS+VMZOnaaDXSIIUpIkmMQPLJ/Ja9PIHjlZRg/s7 uljAFfAqHSqRRKz+m1wWpjUvNHYpy2n7PRUrLaVkT8BgQcV61mshSIMD/gWjHPxcGZB+bPrz3woj 3w/bvetGa9rNn18Ccocw/07Q9rSK901ABQI+GIluI2a89DSsBTtS6Pnov8lkckHg4GZK/gC5lMbT Rz3Tyb54IKVehDTNmplbDRmd4U4FAvqws3MDRzxBLDuYpmnGaosbM8sDx6Fvm7PjQjAitjfi1Spp U9g7f4NqugxJbNYawYBjIf2fv+o9TnV+FsycZxVgIsXJ7ju2G7nkXVkAjz5sz7kNE/pYkQKJOjVW EALhHhiZ1XL6Lt1iBYYLMyWdXAOGR3ixY32M/ymeOG+9uOEtTPlwmyXLpx3xf1MHx3me2WHm0i6Q TcX8ToCJ7/8qjxqxOZ5F8FnOqwDF+2IKShHdM0Xu+4vHf3iYgFJFXcNuwlQQtTHx7idJqqqorEdE XehzxmRpdciTqIButCACf7OspXdNPYSjQ2E7j/kwSF66Zf3i9HrVGexxizxwaoyhTV56GmPsJJb2 8ymsleyDGqZuKAaYWWiFZwwfxkztFx/XphrW9tPcguLUh+0BKEsRHGI+BsZISCAIFz8zGNIIQTRP E05e7GINA36Nx62kIVGXYFPJMH9wjA7ckX1HccaTRjE5xCTvpeceG2nhLWcL9nmOD7u0nWUjEBHv PH9QkdEt61yn/ECT53O+1jCeYNH7v27FkVfR1wt+AiTZoqB+a9TFPC9oDDvFAazfbWtwUaBxlawC a3ye178qp55/41cFoLZoofMmAeck3lBgH1opwmjrbarnlm6Fck//l5Znzvw+QNhDQXFUCHcQplwQ jHvI7nIe9BZOEfbAsNqIALAQYwSjqLP9bweDtTdcc39G+zBtMDJwQw/6QnOwtauSnG4MWOBsdTDQ FEkfpEHwIPnKTwTKxVRK68yYK52kIoyOtwnHbZk+ExE8kvunzB/k4M3FVTEDrDJ2gclyf5gZwexg qXMX3dgbdAL4UBATsnpj3u5+472SZJkqqyotpqYPxn2QBDRwTCao3QfnX49Pb+85BNKl6N7HYQ13 De1whsxseMOFY0j/G6hypmcq+mGZqQX9wOHDA0iYpiacMsu8W3x4mW4UFq1l6/6aPSPfO6tK95A2 ejJnAP/oFskmRQS/86zujqfgWBujyAGQpxRm+IsyUPviltVRkin3ffkTXZN+gIRE5Q0R2OLcVJ8J +N1lsgNM/gnVg/T8Dk9g5aSkqQ/jLNcqW8KFoesB0K3tUt/Xmf54Iw22p8SghX5x9dBuEgSpwFEV 41SPU0eB1zS07+o8VNnQf0wLC1tUqwTP5P7nn+1NxFJzwy6QAAcXhkfC2t2rgYQso301gXSgJRmY pV0Sq3IDUlSRoNUB2teQeVRzaxr6cuUpgUpfm89NKa8Orh+zay/wetRWDU+fEGoATiQuyvJJ9Joj /WjlVmEGpgkv3n7xyw2+CBKhAMKE9gErSdzeQ8It8tHklEOSIHIs+ycaAX2/gtixnXwxGE7iXzdY yf5umQbJz2ToRX8VNtiwyBxaiscDZ+i56Q/DJa3LETPlj8YJwtFYjho0YIV2hl1KbUSjhKu9JUu8 +d4KwTktZCJr31FOcGsBBD0pES2SHE897aaoL6+7mzDvS78z3ufp+p1qxGBXwxP0deGmi92ZDFpT nY9Fhy0tWVohW034f2AZSCyy1WCVLubQrBZMQDt1mGwsZ9OvkHraoLG+SqyCDfkeq7R0JZj2+rGe MDgZIS/VHXEVMTJ3ut6of1k9RCx+szE3bpCrDeDNbxDuXU9YYG7YV8ukQPv9ug8gKLLKlDQ9VuQH hUKNxisSE0XcN101cYvpvJhh0zQNjBiFZBMpmFex/T+dc6YAJgoQjeJtx/qxlHJ6cFmNsjTzGgDu eEK9iVRFlAqrTW5r8kEm1tYrK9KtYA4uXE9v2kP1pkqND4N13/dXIkmcywdFUXJCJdNYEs9Ge3bU 2ShuxYTwrEQ2HmCeM8y0l0Gu2hpLNkk7ABcpHLa+9m/wFDIMynnAm9mxwl0nQ+UPtIPDukIdqcP+ GxBPGP/37GjiEjVlTgnrDF4yP9ruv2HM3yDD4TwVdE4+hEmvuJODLPEc+3LIqSlQwX+4QeSYuCSz JKLokhdPbFUuBgiK95r8xK5rPRS1zj8AbAY8mmr47nC5hb/fNnls733H6tjaTIwBuWMxs5ma5tE+ DSs+0KppJC1Isaw1mYrgmMabqCMXqH1as97t5l/PCj0Du3thQjHUkPcdggYEB4HYQ4921+DjLlj4 oHmealJ+YJ8SjScem0ZnFKcqNhJacHtcnEOvoIXuYC4WyIA6kZuD/sIq76xmeET19x4fe2u+IIQ0 ODv2ykSvyKGVBghGHUstbI+rijVjO7KW9AC5Ko4gjE2PYe891ta8WHHVwZHWN7Tq3GYi2bNN5nO3 wUKIsRR48aorzG0CKTWvG5fUUSEUVvkvmLP5vigRPMujuvWaizB2N9Rabqqu0L7kximS+Rvk0Dok FEHqYx29+zAD2XX8+IEI59AD45iFhM09yp4SANJF/PnOSKmpyrcm8mvkoHrCDwAysJzuTgUrg7QB 7aG6HEIJxRiriEfoRiYsDKtVplzHzPREI0cTJUkgHJ66Z886z2Ky/DLOwBHWAx9j53YmAypSsz9L CODqzRbpFc0BRz8eaT+S3ThDRK0UBbrf9DuAhpm1pAaNiEC8Rrw/M9Vt7IZbZnkVItCb/cqAOBrF O/sCD7kzni6ivQKNy2YnvR/l02uyI7KgDX7QYgYtf7hPstt9qrNIpJ7uTZZJFJLFc6asVzOsHqIn sOMr1fInt4uBa88AhUxX3eeRMiD7agkX4rYO9ejQhIXnihCT/0IeaGvVB5eUlRoS7IOpA0q440BQ ryA5BIQNWU6bVwWp3G0SHR0GPbT1o+a+++UFcEbHm4pIOj+UhYVOyymEG3Hk/SsXm3T1rZ3xnfrd wE5AFwY3rdj/lHi//Gy8aSNKiAogVuPh3oFNOfobEEankjL+g82KU7A3XOFh9NOKB7cZDWDZ9mf5 My8PZAzK4T0NDn52bCCj09mYzSrhFvTHmBVB2z18QHFrVvdgPWOuW6mkIZVdM337eMN0tEXPfqEy tUI0qTKnUmSsB0kgt4Th4peXb64hQdxZ4CUErkFFOoPWwLp5SIQT9RkORb1in/EQ+0JWHlJNlLf4 fi9kjelfNUciwcUnNtOOi/wbJGkHWe79tBObSyIv0egt6kcKfmOv4/DO/3KkMxJlNBMIWTA18PLX RbERukUprVb0DqUs/wjHwH8LGzmCyAW2GmqA77/5jy5/tBGXG0pBhIC5vzJLpu1szW/O8+S8Rfoy DO4xtsWN2T1La9b8mwD0aOWZONjgZvhemQ9xwgdXG6kmBl1jmf2L5lB7lRBKcVf9Tq28FT6ofXLl iTfVuaS9luHf3k9yJ72CX7H8Wy31/vfGFGj8fR4ApCKE5KwPBurOHwppGmVNNI2qEAo8JuGLrxnv t4ADBoMAs05ABaXJeuFRw2ELoCNZNPJ2rrW3gSzG83xeHsGCeXgbea6Gt7IxHPgF1YrxaOne+fFJ 6o4c4myAsdeBBfAU4YS7XZxKjRW1JXeaR0Wlt2GzAJmhbcFJOVQxtJy//Gz4FzWyYpCWZUUGYey7 uxtn7U/6OksXl2JMyzktefEDh0hxMS1AKeeuN+NpwcDTTkgI2QAP9Wh/FGbkbKXuRsQwrulEQR7u AiGVFWRaH0D6q6lJWSlC/4eHTuR0mXF+fvmNvxXjWk4MxiB2WhW066aNbh4Ab7kEmpE/OftXeSh/ 1dYNTrS/OXOOzPVbQ+LgQLJK5oCZVm21zBiBf8Jwtb8uSIPxmM4yWRoq2Um5RbhrQcLZmLrGAqQj hj1fYHwczs0sOe8hSci5t0ccDXhulhdG86TUSBiXFefoTNjZwHBlwuc7+AzGLZbuLJzpmi2KHkjZ 5qCOzltlfvwoXGH2pc5Zfff7VlYGlLeNvAxZwmhS4ejtGKjbcydytYI/sNR3aqg4KKQZy8IXrnZ6 LYpsX7NJiTCmEzFVFJs1xsEgRD8l0253iEnnCrAh5N42wladbIuFJgowWWOl51kqUscNrBEtHiKA ft37ufyAs0ru4Ywyc+7OT4a5+eEtUKxHasCBV9zmhUZ7bHrQFivHD9TeFAokF0SfS0Pi3aMkEs+0 tTD5Cajjjiy0+uZWUT4+RI0FnAKOFYOfuVxJi+HnL/viBIpYLeCtsdP77wp1Xazw+N00KnbUivzx SCEwUP1CuKm6UWCd3rScS4uG81xZvM8UBkN0DxeaWNXF3bvaQXkgnO+tmWEdKQqrzI+wVibHb6wL 5Px545SXIEfANCRYZomm3SNzN6D2RpjNJ52fxhEiVrcQWjGTM8Jwc7x9vdgFjZrMzjvZ1uw1qmI1 M3zP3GPAth0XZ7dTD/QLNYeJEfjhZ/RZkLhAse251H6ZJkHzRq45JGPQ8rRTD2rg1U7tvu7oChYL Z4yVEnvr+gmvL6uFD28nTFRxJE18guSXZhT53VwbekJeGeGfdZ0= `protect end_protected
gpl-2.0
6ef9d2ff1266e888ac8cee4d04be8d12
0.938234
1.861586
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_log/flt_log_rnd.vhd
2
10,757
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GoRWLEBLr9TiqcUWnetwihh+GHwEuIFkzCRFaq6hTQlc76QQpjgME6tkw2VI5EkH+SmIYW4AqvHH pd+Y8uwH2Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ptT+vqjUBg7mV2MJNdoLhkMQwhwuWPmaA1aMcTS31MbEP67gPPL4ZUPC9AWc2zCK8MpwIExu0pi4 MQiOtxd8KiPXFrLqLz85vMy+nQBpwXhV3i/WZj8N6md8gdjPfdSSonfcrLKhl/xu5c1PRAXOwbMq giTx21VIBCu/jELIbiQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Fu/hbSRRzZqTAll2Mi3FsYvxac24jsqYuNyzx9zagHoMxVyJUPj6AOl38GFOARrPPJ31ThnOo45v vIW2edrcZ6JYPgLrZ1zBV7jG/DrOThbwSROoO0cRw1YEmz/rxncw4GXvs7k2p6zF1AMD47Xmwcie 4W6k5XkolFUSgv7CFn0eK13ZrhpWzndqHbkDHneXfrJyrzh+IRWL1+HU8YM6UwO8Czv/UwUKfOed nM8MP4w8N8gdwvzG/E20dHMxgWXLmRi+RCW8+thA8FGa/dsNfQNzgdhlRA3D6jqbmE0omDFi4jSW flYZPxt6jge8OQGLfBGGMhMuWjffNQAUfoPbGw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KP0OW8HlozFQJsrH/z3hLeLmQ1v90smXqApVlR0MWByuBzKdd9qlAW//blAA75eZE76wZVOdI2wE fkm2u4+IQskTwtjGh3kKum97cIDBc3gCiyID8PcgH6zXnnOhfe4uHERvGeETyT+x2adLJyzePTnF eG6rNWx0MvW1ZsPXz4g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block A+IPMyE3uvEPdjx0a/Eeh5tAA1UaFa5upjPDZOw+5y/oE7f8LLgYnVtQ8VKX1d3Blg4wFrlGBpUI r2VWa8JqKBX5AoirnZ8cOs6vQyD+SPFXwICBkvUZE+qyU6Q9MOxiLYckszU+2Dg5Rw1OCMMMT8rF zQdBWxARph+bihhhaJ5ZQibEgUESilF3UNFbSplM7k/CPMMBz99q2pEsMioc32eaNpBpIFgXIP4U ifzZiTj9C6qRx/tE96y+MET2vNJM9+hbIMsiEc0FysE1k6wV2wv9H/KKFpOp5uW7pF6y6KYyOOV0 p1u0f3UwRMIFKcfRIj9MINKRNi7PJixK+QZ/JA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6224) `protect data_block k7K+OZfHnUiFMWedr5x2jTYVYTU2qMXzQ1AXQmc0zLe4Ztd7cgEJhk1tfeu5/Lyftl2I5lpRpTxW jMvJTzVJ/xWVYO4TZERKTfLJA7/6gecbceqcwKqvsojgx6CjAwet70+xQvolBgMNun8V6nwgRZPy b6cxzfPP0HqRuVt1qQ3LnuH5vn9Fyh2fgrIeembntCumAFSbQcPW7vO5pozPtJqg1tYZokuXt/S+ bkDghgw8Oln76YnfBDRNmvvOol6BedbeBFFVha1b3/G4pPdpiqRFufoFVY9pQ9I3pm6vqtFioTBA R2FfI9McpogPYEiz91yno0feQEH5Fa1FzalZiKlFtqKf8WV+1duhXkWOb826ULfGdRPxw76+060E 9gfB6jNEJOfmFiXWsB+mpcKb5sxUCBXnz7l1AtH0HpL2F+uQMqhom4oWMzlOUJB8uxcIhM3t0GoP Q1+Y7I/i6h94l+/OuXgG0k56P4CQZs0vDbS8V0vSL8ttYPo4d6JEx+fhQAd/ty9ev7JWcqSLiFYJ h5cXFhg1IlRuqqjmlXt10MWksY+U2q8F5y1zSe7EI7r3uELTIwLzdxKdYY2lxVc36cHvV5+0ZIW0 2Qo9YPPlivnFlbop2R3DjFdKJucjNsDBGKA5qLhA3FmtpHVtJ/T8vjkPbpiTWoVLqfcVtCHIDBvN JxS23ye4tQBbOOvSg3OSXj0GiwkWiurp4C5X2OcH3SQWTN/tbULCQzetdH5wRvtxVmlSR3qluLF9 AFPjXqNKWeQ0pIOwxGD8iYpEQa0EZNf2AXfCDZr2pTA53u2d1rK5teDydF4gQ6UIyPnY2IgBcpnk iv/BaRy0cmRlD0exvg23KkpHzWo/hhDJIy0u73S+6MKr4VfFbw0JFqqvbuDZbhL9cbr0KTvmGG/T tIg3hB0XRbTc6+3pMkZqNJVHW0P9TVCoLUoJS7x1xMOynzGq6/UVh7uP/4RPCK9rkOkkQLSa2ifP SVYL79tHDeF1clOsyko8+TU3XyaFZBSHwclgaRvIGz0Y5t8DTHMeYVD0Y/U7F/L8aU2hZW/jsMJr jB2to6hQviDsAoINmTiVk/U2jLF7erf+iBQTDI6Hfjsztkmt1M7nvnrPX9ZMy8hMocV63pCmm9e7 lMZAiBn/bpsIe5SnkUYIUakw/+bkjZvPw4ZtcnkickZgSiLVHAPq5OQTcL169Ofqucw6u0JrnZ/i S3LsbB2b0x+Ko/Qdkwr1pYnjkyp0PsbqLUm2u7JXcj++fhiQVZ0joSuZVW0xAesmrxv1/ZZiyphf Hm8x67n0EukHDXfObfBQIAOY+77f7F+RbRgrGZ37+pxs6E4LVXuc7Ea4LpdhRCEmqsvWstItNmS5 ttOAlEJYwyCpUxM+admd6s3xX333a9X1U4AEdkl81ovbNUa+7gj9fpfcilH29kcuOKurjPs/UVCO WpcYNaw7Uht5OwSbizNEbohbMsmDKI2WTVb6A1MyNAOqDIo9nwlihRyp+0Ezaq0B/36hwBNxxb4B 3K5DX+P7FCe/0GLF4/CPaHjkn9fyNvgyaaOzyljspRrKgndw1+dVl4O5aqJlBJWxJXRqalmA1hHT /CAbF3CKfIartuBpSjzwHfbyle+Bb5bUUxbZb8qyqedlfHq6OZ2/+S04x5w9QRXOO6C78EEDnUu4 l05ZoKePxTt1PZ1R0K6/GryNQ8DHG9qA6CJckVRbVG9S+ujpKE7CZKgks/0BX5Mh4hSbdyG+tETg PK/9cJPT6bwmzIB1ify/YljFkffE3i7MQInDpR2iACHFQqnlr/I+MykDW0dwVrZk7h3qySB3WEv7 EyYXHGSo74dm9VD/upolCKuEGnrKeCrB0MdTW9g7NrSIZNrSG6pYrpMRWhTvLzsdCbz2GedYQTx+ yXOLAy1u/TJrqzYrpQJoXXnxhW/6EkLqJhvdZBTPVybyrHa8jDKFvp3fhsLVgPgHFWaoU9SUc+J6 pquNcWNWjosbkZLauavvHIU7Aw6rjGxatiuMFg56vTTqe0AMmDYsByAwIIVmZmxznSd7tV1TP8Sr rDBaR9wK9U6F7w00kX2ZnIP37mPmABADQHSIuHtS7vkavHko+0+DRd/X22zVd5KDjd0Dmu17CCrI HwUwnbDLXq9pB0/WPLEmYy84d1IldYWj6O8nlIFmKcQYZJ5vsNDO2BM+b3KptgBT3zsMjc0m+Vyn trXnV4VUuGpVRHdB2E0QUDr4Q4UdBMQLcRn34jr2rCFJHEEtUjJ2yOAIBNdhT6RJtp/7uR5o12Zy /sfSSeQ/GucjBi1ZNhUPL8NyWX47gkF6V1MVDk03cxuIqKQGfxCuqp6EajOXCGlhB13R7ak+fLTi lBKhtAQAT5XHnCKK90Inh3wJRM4+xmJh1Cah3jVYzGV8N354mxYkzrKP2sl/yRFLOKaFyQI/vwg3 BA4ucAvqaX1DROdFwHjvFA0MOEWTSoK+FrBr5Mq+0fM9kZIHRIp4YPTqbdHS4cY0aWxMsBgrEnIv 7ppXZ4aennkievPzkpO9y8ToZQlk5DpUdDj/98cOOqb0DggIOaSyXnwul7tBDNiuRNm4xWsWnUyE XrmPe+EmyW9armuUsqFSuFTwY46jjtqbul1/KAmk946MyA6hGPIayfkPkVtwTAVzO2Ce6YcU3nd9 sB+5ymCk8jGRNk4uJo91Q0uQhrneyKluiKzZXB3ON2c2Vlz4BcJNNbhybM8lUV0rb+UbjNkmm5F1 GevPDqXJaO/XbU3zqc82S0I6pZL4CGoKegBgeQUThFGl6B1oeJC7x8nel/PxnITVyMR/xwOXstJU qIeU+GaaFM+eDkx/hP5X21jZY6D3yR8HhyCJ2+NkmtiKrCTYHYi9vCo870D+1PEoX3eqIdnFoQ5b Ju5ggZFGiAVCDyKUVJ5mKgTEmowlwV2ltQZ4aZHZ+uTqPPYBcWjOwypwPe8oeCFhisIVkH8X++4J OuhH5N2LMTISyaH1bWeUYJOE2CWnevDggTN1cp9MftL8bRrnU7ziDjt51UH93dktKHR3tnRaK2VT Hbg098O3v0A/EY0PfJDxyTHHpvhdyGCLR1OINS35zAf8zWsCE/2zc3pz0PB0G5G/HevBoKPYD6Cd UwqZFDwrShu5j+kEbf9INpAdQa4EaRzodsfJCazfc/0uYv0W6J/d0ABquhThM7LQ0g5p7r7SqS2e 2XvAn25uxmNg1u8jViXZSbRg3YpVB93yruWSd2OFdYAcnTjta7PPLNpSi8ijCWhyAYKlvjJm6as9 gJ9CwdGPN/l9gNvbnJNp4CQLkjI/wVIs2j4aQI9sPa8NUFTkfhaBu/5YFS3isEP426EXuvYfpCkB 6HjSYQrf1ioINxR+UwUVnxAi3JuOZ48E0K+J6vOim1GkNbwFRhaI4rVk5DB0tUVYUZIIvDvP2OkY dBHR6xQFY2yzmIJV6p9xJqnR3GtK/jhaySDxKp0YM1CORIF+3G9y1c8IJjr8plINxbfwv+4HqfM9 k9WQaLABolyd02pYDqCJgx2er30MWp4BJhu+1OdnFF6X01pWPF/is4Gnr3SDSDUD0uyMW/AoEPwL ChohcdTLjD7FB9VA91sstqQ5YOh2vT/t1Q4sGz9uHMHTksdfg5FBUjA2Ue2L+YoKyeotJsK2qs2D bUYiMoYrcKyfHs1IwKbLmpNRcLtVfOCKzeDNo1mBFVT7nVBG8cVu3niJ6yV7rIGR9IFj46+bWack 5FUZhelY5WvvpApNiXCclHsycoI7jqxcg5VfIPzQ3+J4mcFhLIUjv7QojPjGNFhz0pKV/q6ITs69 4AOreWEM80S5F/TQVnNrMl3qwNeQc+5uyph2xJHx19u4SOYaZkFA4cHIsatRl2MtlibIXWmBm5SR ccIvPZDBIdtyryB7wLWxIzD4QJkjThF6v8EE1HiC+GY/8r1tQaoUP69BbT1XvmIYP6l6aWDtBogB aSz7oW0TGMdHBhw0ZqP20XCLvmh+SaJQZYPLIaU/Ob8sXL0U940/J/bJrMh1lA3JgZEELWh52Orz xjX+RfVcgSPyFrl4MHcCU4FypeXUvGM9QhuOyqCBLzrdHkCb9tzmhLiRm+py8xGACUfoFCm5n42K q18Grdc5e1v4dRkS9CuMn9TH6sPqDrdQ/gSLIgq/IPNFy66i/TyUvttZU1tWvqyiy8aVYTVdv5tM eezY0nkgccmKp3fGKACQnDE04uotEFpcSDjpsU9KO+fj95QE/8uSl4GWhJ4JsxKD8hhrcX8+u53/ OJC5m8nQhcw84ZAtzipnJzWaYW+6z6hNYL9cYiWE6Ci/RaYt7jnOzmPfeMH9gDrHB4F/6lz3i05/ IQrKFjyaF3xEasfBA1ZC1LCD1ja6aKqCFr1DaygavPjXB4BrLtDHkCKUxGVwu+MoCh/96WL3fUEI yplEITaNtl0gPKhi4OIKi9uMxNa3KBKxz6AlgBySImlIXxM5jvDrjvixVkH4YqqR7wIUjYjXxsuL XiHRSL9v/BPDqTbK1+QHGGmNc/ICozZl+PELaKC4XOkCEM+x5OEWMGgOPP+n53vVC60z5ogBh8dn XJagcstnOvA8AmuEf3sbpYuyhnF+10X68FfqjSWxVHqAZ/KM9knBhqirvC8/zK0BLR7x09ZgFrju 7Y6guqLJV2hJT3ylVPxMCZMwKt0JSR4mGcpBkCQKmY12jsKiyUJJemNSAWRv4V+2oe4NMlXKhL7z 3D2PkWJtJXUIMEOBKPHx4UK07OIarEG0s9qmgr3yMQ3t6nyddqqEJr7pLIUBuJ5USE4MTbtw2RIf IQ1hC/TuqTFZ7nbgiNlsW4n1iUa+XnIRrmvv6olJJvyOL5Ey3TPxulaxIV4ZYvTOyLxuwvGaxCom a5UpgysSV0OgJLGiu05Yt0fsUjeHALv3dzTX7zA44ZxshW+KLfc9kEIy7nrfjnLyzBn0LkFCPPtd BlI1gPe0v/55f+s2+ff6L+3apWWmXf1hl1U2B7rpddjLmd48AkvODNzL7dXoNj8hoSPL08Fr2rPD uru02slZc2XAU/CiYwlagscoTxnIq/YtKmYPwIZ9FMgSf5Hs+JdLmrA/tjveVoVTzQ/SUPfOL3Sl 7FLFNwnZGMxoff9X4OkbSjtG/JXyYi+YBnDGmpgEQaKizy5/ixsDfYW7p3pdn62aGlLt3szCOA0/ Gkxkn2NIjUZWSA2a7ExiZxLQjkb3IUMUYnfmzhnVb/8QACqGfL02OpCpi13hfq/ihJeSnF5f1k3M rl2h1NnMvQk4EBV4tyV8Dw/2SzkYkLmV7WklkjXy0jzqhq3iQFXm2QoCZFSJ/RN3HzntHI9Sj0NG LJXpnv8PR4Eb+o7gi7fqJ+uYekIVobXxQU1JCc11WkJzRHZ+gkpGOOrqdwmZMYEThe2sPvK6bngQ gxhEGpod1lrSKDmIzTbM591EmSHUu6DJn+tvPtPMXFCAlUpyWVuKxh0uJH66BLBX28bsm5D1+7Nw If49J/wXq1onOB1eo7AFFhEdPXdagrm3EQ2DhpjAc18fkfSHfwtvx/1VZz5oU22I8ewIMzsLmONS f0MDe/PE0PWzS8EAQmEUIfUmJJsJa+MGsHkmGRP4fe9D3d3JeI/dk4wZOAiV1586Ng/DXFmYWGi1 T3qKiqO4KSPFqN1Sq08HPfT3tV9AzClHiURlaYskChwa3RWlO5Z1bzJllJe8zX7JnwB7i3AjjJmd wa8/j3xf8S0KbLLAeyEhN616SHTerqW67yvSPhOTzy7EYbZqMp29wiJ5t2RfXoAhGIqHWCW/kKVm QaPvl+09c7/JAwt5pgL9T1lfF3z1RXZDvtHsKPnP7IukMTHkDNhri05WSPVHmVjplunporanfq7y WAVLFFdC/p2aeguLJv9zDauLyfbseBRpp03YOLa5wfu3TYLbOmWTmvj7vfVEi49Gyi3cvjg1k0mm NR4eF1FqKpWGctL8EYMAgqHpoN7hdxQtvpYgPQhmSGmCndgabog5mbK8MXBju+auPOFGKfDkzJib 7FHler+jQBYTWh9MdgyECLzM2ybc1ZX8FgBdnvs/J9oMrpO/L9WZ0ySX0CNNV0FihGb14MEIiz+T nkkGd3kNkltRcA396aM0MmVgoFW+c8h39R9j8bn2CHp4uRoTmJ7N5vwgpo2ptqlJiius3PuC8PeZ nR00qmCg2PsHZ6idcvBOALXy/+cUP1TCBgvW9uPdkSwlj1gC/03MGW+azn1AuwJEVewviW1G9zNJ pPwNyIxjBrNxJCCql90ongR7pkX6R7VdoZvCk6JP6cu29kvON5+HOIAdW6Q9HqvOOiJ+Xjq35cjS LrlhNvd1tEbjoKSGDKhaS4dR7FgANgFl2ZqaHkLvqbkVePwp1LAfSbsb4bVmRFdKM698+aFcWOxh 9azoVgdg5PVqdYF3wImGVnGbuREs8/W6J5dkBF09gL/5uW8LQzSQnofLpDkVuWHgSX8OoGiX/tmq sdRbBbIRXmhXNaL6liLKJqwZwrm5N1G1RAHoDYEXTfj/bFDjMf7ZrUgkpq7txRhK8kCtqIKAQVcC 3UXvlB96p6yHFu/yjsRaUIR0BNZGC+TYYMrPtFzAWPbaMX+/4BbKLsUhV5NiLTK0962ZYQngIvXD 6c6Z+LY9R1c4aleOp4XowxeH2DZltqk5RJVHb546sopt/GGSNphI1vDbgIqjp/ccyq6Wtc0ARrJa gTk1CsZ2MzUJtvRsioDum3F4o8Ew9IiGefRUZsfPDlZCNXSqI/NJZfG2QoUgA8XvLBE/iipl1PLy mf57mS2wKyQjKTkwszgdj0UVsuyfE6fw123NNmf/aMqjTxISq1puyI2M/FO0QBPyG4YjSnbRCdym VH5FKauQbtzeh1ClAD1kxxt9QWL6fna7B1x6vAh3HwtoXLnFqnaMgjbXtg2gYNJpWhYGk7eprEXq CYUkhhkhwx0qhrYBCh/pKa6+kxk2XpdZBQntTehAeBa9WcJWZYlLRzzoW6ubunQhlv6F+3njraNL RosXSF8qgdtcsuQPsjxgbkuD88wCAZsJRrwMiFviATbez7NfZ1RWpwyjvxBkND8x6gj50HzlSeSP acVUEvtKveK/oaX1t0MuCIhuWQYSiOC6Q9Ce/1kYgaZxlYv/5thnrBCkeIM6GMj5/RfG6mqRFt5E S3lrxQImI4Hn77iqKYiH4AD0s+dpsopV/btQdVAbmShnTP8Q08RnAMJ+aAcutRXNeGIh7H79hhwD 9xjS3UVC75MmZ+9ffJqOQyo+Pmag7iKeM8C9XtY3amOCxmyj2eKrZpn4uBqZskWTrfXjA4tAtn2F /upIFOZzKlJKxeu1Z0gR4tSRai8tflZd7KXNmXpjdxJeNb/QmLhFrSMD88xhuTTqBeJ3NH8R83HY ZhAHpKDYePUMNgEF0xhOIbncXryHoVlm+w1+fOMWw7IQ69kTWRrusY8jA5qBfYbL/FzkaZHHB+c6 s1YdCcq/QFj4Nedr7MN5LuNpg6M/ss/G1pp/9butOUdTny513R0EBL0XvNMmmVWJGyGXYp6YR9VE RcnJqSEmpCBaC+o87l5pdbquhJJcM7IctGt0+Qj05q63hb59FWX1N+k/FkEl5P4FicGDfIVAlLGg C6WyqL4v4mEof8wR9uXySCniRTjeiVTCWDsHV94d32liih4G0pTu0+69dgXW8xeV6VvrpBESh4+G v5KIn6ZOT3sXU2dEDKFKo9p8MjKUGDU57NV41PEhhXmygqKChwowfJOuEVmm4XBOZEfhAso27/Cv vEDBh+VMKa/nLnM5mTOyr5HWMIX2TZk8pZlYV/tPfC95GjoAE2YJ4NtgvL5ob8c7DA6C0EqqC1VK yAkdIdd2WySxUKxSRukc+9w4ZQhhDrsq5cnXqWl0Tn1dmh3fWraLoVRBvMj7dmHGpzbdgaVY4RmT 21up8xKciM24pPxyj/bngLAzL3egrC/KLyIZcxWpIdntzNq4yAku6pnJBJARsq7C/VtOiFVtI15a 3NY51vHu0n5msYR7gO74Fx9YhD2wd8RhO6XFxOQi6kE45WS2tusN/2OnO6MuuJnGahXJHpT3xGUU CTH8NicMUtUM019xZaRJs096xPVa+Jw8Zmd5L20IbWPjlRp/Ebf2ZJDPRcUZrv5NJaNUsxsndY4z M849CJBGa4zGpYFk3eNwnfhS7hwl/ceb09Xmk1rAqPp44RbKtHjwCU6hn7nOLFMFbWZOHZeRHD/P Rb5Mk/UkyoL5QijoRrv4bcfoh8YBT7MQdhA8QBjnpuJgHygu/se8c9I/yJCCbHDIBdc8KqyCCHYE 8kMsLAgxfANpHoI= `protect end_protected
gpl-2.0
931cba1c5fd298e8f9157d8e10a597e0
0.925816
1.887193
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_synth_comp.vhd
11
18,409
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block B1s3mbO/MjGG8pKFImjEd054kGpu6RMOzIfZCWlOh22dWrdNQvZL3YdTqs2+SlcJCN3T6tsLepum phBwKO7DKg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HCfZfAp4nhmEeoik0mrKMJnndg4CI4HVy+gfeagBhZV3JCotSgs9QDaZo78Mg87b5tlloDsjOHah LHmSpaHLn5JHplK89fJbv4sAQiNdCs7jRJFVS9Zqoxl8fyCLisBSSFK2HErZ/NS0n5Uav8fEPbbJ 71aXWJz5i9f38OggX2Q= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DsZgDclwgmJh9xYyv5I16RbwwNNfnQoHUU58W4MT22vpsHxUxcWRu8jNVKJsgyZ9yyrUVPAbz9mo 7ihn9KAka/UtCcwgAWR/t0XTIEyv2fT13eNL+j8+1CwlKP2Afl4l3k+vQUNBteZL8KHNfdrO8DaV OZrzGvWswDTCnU7eXg8Xv6ElaQQOorVeo0dyrVxnffjc8GESovw+e976D8qFkgLClrU+hNTAdMWl ISLN11PDRgFi//7c1hKOSQcg6Zkg/wLxhMbmlC4SbYr/IX6EetP7JXgRnx907tcdZmQYGo+bJErp +P97Sbjyjews9KuY/vmr1iVMv7wX2x7EZ9sNiQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qxQamstdMFwbx++wvtBzPPHaNCWs7fO52RtqqyG1kwnVWHq1E4TgqxfsUih5e8jsmAEwqn6/faiF bS800SR/dRgir+jvY0AuICEFLbaIH2ldiQQpNXhmGqqLPGltAnb+zF+kj3g68R7KloeiUGBCSJOa jQFt0Ia8jUhuXwEDcf0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block phH0vTdQAvcUl9GmLkoOis+aUM/ycGf2jBlEKLjhD76Xe3APy7ihxHfAWUp9WoOKtGZyhekvci9u AJRGkah+x1z3yPAwZF51SjNRPtmfwSV2T0bBUpDcW7epujoD9qib7Qcsek56SpyXPB5Ljr3KN/xC 7oA2B5mwEB+n74p4qovhOAjWpKMo/b/K/hboTWxaSk8lx7FFWVECa2MN21XmfgYeKu38OQwDM9nc ekNISttvYdUWGwaOPit89xp4XYZBNYYEKvvpm/3VXtrQMSjW404082z7nqKe3Nfjq56qdUKyFE3O kA2cXu/I6a238nmHtuOIm2ELii7slHZ32vJPtg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888) `protect data_block 9l1Z/nTLLxuHKydlVGmmv87RFwSOTrUbu1lqSEZAWb+30PGsv7WdzzwJHsbCMrHJW/DR7MnXkUj2 DuPW320EyyC1sWJkAPGGDePMcRzipOl6Z7EH/pV9rdMCyFm5JBBmS6fZC6dEWFEzArzlL8sr3rK6 wo0qOUWsW+xktoTnqFWAXZtyw2FUOKA5uaGzuqn/e108Mo1Dqi2ItStlM15FDlCABJQlhiz/3GRH YCUCXkhRvaPanxkXL279keXL3PyRY9leDJU9Y/EBTBJnulFj30D8/QHiVrNbdDwLNa34/vDSE+oF m0fAx3/u5Kd7cfsW+t9DRddpXirzb9KDwAE1g/IoH8sQCns4sXhfm4dUTNU1Qn7EH54hWfQVm4X3 7jKXM3oNKEoL4ljNIYi4y07Je+KSm+W0n6qwIlIEDjyqHpHhopsSl4iYHnOBfhmlOUXFHIXSuSju e+ACJZJeVfql6kxvf/s7UuDFnACEdi5q3YNXGaag2ArGf4DLLJBzHbjrixgCt5TPAsN41r0RyFb6 gxoHNLFUHs+KK19Sqdz1FvExWOILAlFv+MC8BgjA0DueOmwGMoLbRqvDBWrEoFDqol6mhq4nufqy tLy44dNgU/88j13GC6YWTy1oztEkDeTWXSHkdYbhaHOuU8xmJSa8xJndNUmB1wuAgfwEckKl6ggE qJFLCF2DAqe3gkylBVew9sw4i4QXadrrdcMKrJFM6CL7oJgbh8wCGAt+V1Ogl8Tq1crcH9HwJEEl qmISeE5LRhIyxxlEtSD8OBV3ll/GLylC6uBdtEl13IW1SvHZYrL13sTSnlaZKRCtxmtqMUswcZYh wXtfzJ94DT7+2haVjm3ui/JqW1Hjwt6BG8UKZ+Zc7ucFNW9K+u1tHEx7KYXFxF2Sc5if48iYTKQ+ KWRZ/U2IiXFhLIcphUf01wmebO3vUOY1cN+VHvFT2mBmTkqfCP0XNXbbp9Kcj59CXhVcG+kiDWtR YuQwV9zdzXvZbvzF3tL8v8YSOhE8UkOavpb9PqX92njB0w9p20LKmtH2sktOn62Ab4Ab2I7N2oBe 04VOiuuda8irro+rpj/BkB0EoBjcMjPRJOcSEPlpRgdFUO2y1lurpocggql7lXJv0BGtDZZEtGV9 vrqB75PepXv+10UkWE2lCEkmFQPashaQLWIPkg/xqF96J7lyaAAzNdgv71oZsevSUVS3u2ItjUDJ 8v2OINDXYYtCekCJczzNZmmhi9wLJDmfuGAR36EO21wHd2upo2rxcqhrEPIzhdwrpDltLGGFoyuw D03yT6yKBrL9nwTJxVWSmHCuJg2sK6rEdXgKKZHnnctLZCo4skc9pzE/iJsSE9hdWTZpb6mI4miq z59GoMrbVsqQleTIgOd4qqlPSJb1OWaHtav1ypno7moys3KrMQYmqWWHiuhaIQ1PcEiyyxj188Zv lBolzd2nzFc4lfO4nmXQoVKk+PixNwLjieWVQHk1zhgp369HGNbo8rhgfl3g+TtZwUMW+4W8pr04 zpV3dZlK6ofCrUYIUTI5SouQj2I1CoJ0LgHRO5JrbEkuGp517CWM8Dxeb5YBuyTand0AHU0P0/MX +4uFIFXces42u5hXy7S+573dzSuIY0OiFUDf5a+f4ZvpsmREZnNKKWXZLI6UYabgdpqsNQ2O3T8V Hs2TXXqO5wkpYYpYYyseqYUAzly9ia1h0Whix2ZfYeUYAmndUc3xxBdD7a0bxELuvbNLhLc+DAfu 2N3KvyuaU5qqvggwikrhfwmuNnk067LHW9EAN8+oPc9Uh6L1w9GN11ERytvH+OifIt4Bk5xXZmG7 a1H7Qp4tmk+79arNeAQD6WM6s/xxNfLt7DzZnsjJFLLoGZ5z/sRI/dz11eTLUetkrKPh04LID7qK 5QHw2VsIw5RSWCW9vJJY97ZFx1xj72+pqAyBkyHYqf5Aub6JmbXJ8CuqRpOKmdE3r2C6voTrY18M mXxEqmP8saNm6f7XzHNccklExMfxUm+a0f6vt+qY9jmzjl33pPCvMkZItu4U1y/6Hw1etAhXf/yM QuV3Ho0n29hzSG8h5h7V3nezE0UsW2jTzWVCTRjJ3xNQQtQCA0M0bei0yllq3K5mnqK8O5o8nWAb wM7TPGUzQfB7kKGOCxfo2cAvX78R5RAq2MOqCxpix2+on1+2cqoneW1X9CsLfXfhOdbC4vyX/6+D XXz5l/EClb7LSPnIun+CCvC+gLKpPWJHfnCpzoZQE/t67GDWe1WIPDELgzBWICoJv9M4SYE4iXep TPwQCxdC/q3mj3LW8R2wIRnLAOZoJlBWrEQKKvsOAnZjTCRhaWwJHI+qgGxGHWdIfqI65fbD/KAF hpRC9UZRxqYnU08lc9HGpS0xil4bRS4znIwn0YlzViW9W1vs6AR3SsMnhXlEUj9Xf/VddtVVIvlv 96HXXqkJ5CxU9DM261LHzxOA/psGx45C8Ia2IDRKQLFB4OLuoK7pkjwSe/N9KuPjzCYYyNLf7uzO 9zpwBMu06ElFeHSppMA4slSmi+p2StIWMeJTdecXYc6B+y9wCBaQ+U1voj/NqMwCe1zeCaRU3OlW A05I9IyzS9vW7yUfgSY+xVN9nASIcZ/lUiGyCam+0TOolCEK4HdsKIxi9lZvTpdmND/MkPmozZPl p9WWV5iozTWNLi5RoFpnPRD3NL8tEiF2v/B9MIAPhJrSXw7K+ZXLtaIrL/BELLB9d1Sw4V8RfP8U FIzf3pLgepb/jwfFNojFO15BL4piL+HIX+fGcdfNh3KjGQWoBI65muyi7hGXA8h/F20l5vkCVmkz /yakCxPpLO+xSgEKhsR40Qxt3Yo8u6nBTtN6qveOVqTHbA2eEhcTKfe9wGUeL5hdmLmbDftDAUw2 DQV1aNzFcFuog+e7JSy+k+ibQb2D1iTR1xqB0QWL1P4LcyKPyeMw0lIB3UFdY35etk8Ghkc+NHYP BD6ePnYryFauJnL6GGkVfB6f9xtkqH3/rF9/x3zIGt1YG1L8dxJF6jN8K3hwZDjizMjxKl/xoolk Ea/e6fZBpqFUtfHdQMT1uY/525MWtXq7s0EXVR+fXlTmV+DYIQvdu+zlilD6fwN+Fyns3riBjgyh AR65wZd2OC9QLXeKMIjqkgSHZfEGAuktslojPGsElkGRJ9v351ZKF/WCIKSqqbMD9bbFQGb1KzVS kuQAFoD0p2v5jHdnVJdm2+XEZiPmHnEpjGRRdAjHzuqP4tfqcW0qzgIwzO+cTNtjiFALkLkFfkzH UbAK71HVVH0MDJ8MzprWzONQzQA/2ChedF2WA+FwMBVfRJGoExoNWOyCQ7KMGFM3/B+/oHEIITjV IC18LFehNuYzjRXiejOfBIuo9rulDdnxvC4EaEmXvjmKT3uWba59th06mIyUBkv8CKbLUo2kY0L/ dPM+h5IGSzbIGlmqhCVoTCHJgJcsVhW8iak5bXZtee+hUYpxrvzd7g85mvfC66zHGVdMPhVyAEKo iv36PO/0FoBtDoSSB9rAGXdScsky3+Lpy2mMsFTXB9JvdMSTJx6yM6p4WubuWzHSWahzhU0OgYCG hKgeORq+gsScaeYuVKuQ1mqeALSFpbtdrk8dC6sdaJUwZYvTN66Z+lDUCwibvrxKChM9vvLstTdD rq4vIzSyK1ulbrgttHtPcHUaoXOdemqGBcYLQF7vx24EkcKw60sZco5PbfsDclLKPCLYVpLzsQ67 /MQv8Wm1ASz6uStnjXRlKB8YLKUR6Pjelp0KHauYRDY+0wVfqS9GqfzeukEIbvxO+eekSuWacTWF RD7bv49hRtxp8J50KK1OWmJ88YGxMDhvHHPDbr5lNa+P1Gib1qLONb7PRVykXGV64RVixejkEapF WJWXICcgfJTxXB6tchVeP1emoDDzwzMltkI4/6LnXEaFEU7T98xmlYC7eVTtvZ+8fxNlF5FvPg1E 78kGBRTLhZLUvzbze8qMQJ7zMZiy73xvt6lfcaw1YafO6L4LvwS+QIigkxX6PCRz1rbe1BB8V5ce Z5n5kZaHfuy1FUcu1SxCtauBSdt7nU13C6cU0BvI9yrsZeehrkGILCjSwVPwnlNVoc6HEQULojZ/ jZoy+AZ/dBLJcsX/OSVm9h+VNxay3C2c3CL+6EOybxv8VSbdiNDJ4GGRD5bhMdGK0d3xuG6xp0iR oJdXLjcqvY5ortMwhpa3axqQgGSjhlaaDxh5kvwXNpfM96c9nR7Kdh8FTxyGIkZmbL1yeRlRIrLa 752ThKwnXkumbG+xqfiakOsJL9ZDJi12AINjkSiYjTE9Kgz5wzdjBVz+jubhsilsHQ9RLZYqwa7K swec4f7hOnVm7JSLCxwO3G9uuMVJfPPdDnTA0rYcUjZHp5fTh4faB+09r4rhvJwln9rQrfPD+kV9 UBGEirGZK8g8R8/ZZRVqzpjnh4RTWWtX3vD9e5cspfzOWnlzq6WMskN7prHCLbVGRZmdMPrisfDv x6jCq3XuEFmutHimoIj5JGaFNZyOpUllofBa8fG3WXNiJMczkOgTsxp8YWUeXWymIdDbiCVxL9ip kHsafuJmaTtPENnRv1puiWfzzMraf92JiA1X9eftPVznqZXsTlZX2ZD3nM+qbzxjxFg3i3Ub8STu pEHBrq9BVbr+L+riDF2w1cyV9v5HZuK6+zMSrRH8lBRXiBjg50Fq2m9DEzPaKEYaLW87v7J8s5dK 43GfOCqeI819CVKQJV8XUkU0/kB2G0NMp/g64rGAkIq5aeoP3PhodeKj6wmo8PSDbJz84yA45cOB UfiueCG2JBNpBwERwKKY+Dc+qrswXQ6z42NJvyuobpTeRvyZJYiPUHD27YefIzZwn1ps4btOG78/ BhK87ZrIDmWuWfjPf6u85csKP/UQ2A2g69ZwbpXuPdGvYWxMub9AX37wS2jQCuJ4dqqQVbcWlY/p J7vQw6ativmIyXl/sA5lBPEo9LuSnWijnWSu0T+3hyd9kKoM1PZNgRiUWM/+5XglbLttLu8+xZLP 6G2pCpeaHrDW9+YFifcy1YrbD6ze7Eug0Y4079XHcnm9MuBE+C0eWAen+v21rTCGhMLmss5XgyIx SFCkj9BlUdBs8i6QrwwVGSKJdMZa5O9Ekz1jzgQ3knOdoN46/qlXkbEK4wnKIkfoaFDESW3KTuYc sxeRBhqdWP+jO44LWEZzaOReJjSIpUPQJrUrgPtsfHa+i4upKn0rhG6Iqjhwo8cE2qXiNYbCeCXa uFrW3WIaWb5QrKDeDBszc8Yywo+3qdYwddd6V7wlqB6VcNfi6JbRbNLocqsdNPaukxVPSOqrQCT6 KCsXadTB+e2a9HXm8ums7cIITbg8c/CLHMbYsDEs5ZCwhmxCinyF7lBsf0dTLUjI3SHZHWQJEJIg BWsk/fIbxbjzMpp9Q1Coi8kwBS14RsNE8w1Muwu2gxlhr2WONpMGYo+KwmJP70FBxcyHHLxzECua FDWLKxhGxIWSDQc1WVy3cn24ITu7gQTRIN0Uxc7D2ojHg2R4s6M3q+KTVFMDFdYETmcOncfdspAn FAiHljBvk1/tvZYqbB4zsATTxu83bVTcsULWoSp5/DQmyGwagUYrm/k7MBP8cfgX1yl54sdxR+4H NdZ4R0Qr7i5ZRW5ryulx7JBd4h7CX/zuy+pwK0gEyd/7vPWpzzhdS4+bZu4E/cTVbGTMGm+bdApH /v0DoF3bBU3ZLBYEqd1mNLSMfEKp9wwI+ID6M+o/5Gm2bYNQ8N5x/KlOyK19KipMhuNjxoxgwo+y zcyW4Z7td+Jg+Pc3rseEnQH4r0to3f9GeOXcDpYMRnEAFj6XEIB6sjY9EA2V/9SKA/GF0CkJC1/z HiZgJDsvUD5UdRdAD64xA9tRwT7/Zv4P1DB7/6gGAx5pGVa7oFPnmXQdJ7Il3kEVle12W+XUpNiN klWJLntg2PGt9yImH/0THZ9/iZjzPEO+HCEHcjM7fcD283goBfYnN7o26zpmMzxNVacK/42igKCF lzP8w8Kkum4owlRcq1vvuntqqCy9dlaMx/cHp13hCYeWZ5BPF+T0swd2Othh+YQDF6EK6rarTwtO hikNk08s7o45VyhB3tYAfp31OUPpoGVVDuwmgEooTcpqWWm0A5/lkmBcUaM6x13qdSuf8/z90nbi rli7da3gVbjPi7WjSm9EiM4I2NRWEbCQHOn4DEXSQLbpwrIV72pDVonSg4IdzRXJJMP8b91+WrJZ +TcyZ/WkDc98kVPUDAnY8rz5tYWxIZRtapdBNW38hvWxWnomTztV2GxITXHQmhe0ibTz0XIFgvBA V7wjjfz2Rz3k8MratiYUzV/ag03jX/n/kOLNkaume5eV9y5kNDBCt51MO47wv4uFprRLvT2kG6Nv texevZBHudxC3P+BzRmTyXvgEN8RbGs4dx/eFUGlIFw7s78LrE80FpUrVXGILXA9gyGIjQ7wnZGv +jgxaJ5GXYU0Z8cpW5bjijQ/za2WmzmvI3/NgaEVHxAnN7ylJ0vKFWQJWP13OHTrdoCb7Xwc8GAc JcyB/hoUf2JDKEkMkfcVMOTetMk8bLEiEFUQOlBiqqkqUFbDvRyxs3uxcGVw5UhT4Rgcr9Yfc2nC FES8mEgu018xSxOq08V34Ee/iq9imK1XXHbgdtl7ViWvMM1UoN8dlvrBHcpljQGcMGeQsH2A3QSu Wi/IG/CdV2bRiB7EywluOSugN4QPFYuKjf1EHIalr4O3km7Vf4WNW1Ng10QLJarKGvSbUYNRrnBv 4N5VY/c6KObwUIG0mGfG2wb7F4Cqc1EHtOMNu82csdgog/EapmgrD0X+xYe0JrT3tlyrGhkAAA00 hrl2sozuDt1w90OytvzC7fssiwBl19c6rHlDlfGIElO97d2LoBzy9RREXT3oamDTKD7kYwD+z/0u +NOqQ9bwYH8kYbQETzs4iXkcqZ78g5IflUj1HhNLA4qUHPQ5eHGqXCpdnMKAdVIcW75ELn5tf2M4 Qg5L9zPsREh/UqM1tp+sfOKYADMoWd8piyVNejU0yIpqV9bkPmlHhF6j2T+z1kWexUrgHJA6j6eT ZMQQIukC/fPjxilBlVV+JCvCuAwG4JLUpAt9Gp24DCNYFd+DC7TGHWCHT4/ZVVwHlaC+RKtw68w9 Dgy0DEeSDia6I1H52BH6DLsKPHk68GGNVv92vV1kmnAhj7RxLmdP5lF2yrl7njJwPmIhx22rpNYa CRDpZFknmLhLihEz/WC1DJrHc9h7SGz4syKVBEin+wVSpS2yPwTaIzFmJBcz43wBPL7be5fJuP0X TUby5CpUA0Q5fSDwCKlHWOQAu+fCp/QQbKz4HzR0QuJbYBXaJwpbCOW7u2D6gsmR2Uxt/EQMAn4I mr2yv5O/dKZY5P132xDabXSJi0TVbsbUqCstKk06C4CVfzZTqy7tgtiNqL+CW3Nnfm8fxiiZgco2 FPsqkf0NiP8LtGDfkczg4ziLe7AcqVGZ+OCbdmA0n/V0VuuHBd07fBDBcWBBANGZHa3GtPRtB8rQ zaQr2yP/d9bxCEoMSMml4U4FlygrAgo5VeAQG3b0jQPru06R3QOR/A52leYDXll/IbpsvefixnCF F79qgIX/8/7UuvK/S676ZzilxCvcPMZGQddlFAT/CLu3ttUgtanhOtd0dJVbqHFdkbA+EBmxAFg9 hU61AJLViN3vReGn0rV2F8BO/wvCdzVVKO3m0NkEUW6A5csAg0NNuzZZpuRndyU6NXaf6FskslUX ZUId/s5bqDltXsr8nb9ZhaF/YX8XzcBB+KGpjrLfbtfm9c5UpHX+XGXfIV/6uXy63oafKJb3qSl5 VJnX+Wv1VLnPZtkZa/w53YI1C+Q1wX+wUTR6iN+9HWN8dIETlA1sEY166Y3ZVsY/BoxRLQFA/9dB 9DMSv8tOcNToe2FfkrbV7xAVgEZi7ItgpxY1fjUR+E1p7nKMz8o4VWKpAmxyXed+loKpYmzxhfP8 i8Sx88SVr7bXI777MyrebUAs2anF9Q2kVRMeOV8L3arMrZNAsNmWVYhq8ZcmvHsc3eset0Q/I5mx HJp6Wetrk5XXdPMr/+xLQqMrSDhW6oHw4WzHAItGRuxUdac4WD0l1v8BZwwY1ePphQMEhAOOlyy7 /RN1DGkjpr5lPRsbEXcbiFLui+d4Oa9Sle19dK5bLNZ721VarHDpsaAEz9WJfd0Bs4hQMzu4/1A/ z1g8ari5we0WtktvtBvsSn5mOazCuiG4Fes7Ul/7QEcLpUflVr4A/qZXJfKIgm92EK/iH1bl6RkA BvbMPpVjEDt5AZS2UzduO63AV3xTshf6Bw+zsOwXSPYY+PumDLLzObdQPSutk/RLrUKtm0LNzjB2 k0LYpVcJ+AZ1Af+tgHQHhDBtV4fn6E+/2oElUV3cjLNGYta0EHButpm2q2glblXEWMi+xK55T3n/ ucpBmNiKo0vK6nrxpVrl4EAHnhKQmp+g0T1zrd15qC/357lxUkMqtrn6zBAEe4VYJ6/DSuSRPDkq 6woKq/mzQ9d9ZtqsecUwJ8QidNsMHR3soQqnxsUVetm7d86+VicHXVEr7ywzVyVT/PZFkEoHSnPv AI/ZT2uhd7orCC8pdmteQ1FVz3zjFjhfdyM12vAbzqoabhSyU//TU8bedVEkuhAB8X5O4Ki6rbdM XfusiQ7R2H1E2PdMmUImPnFKMqR33rZ8IcnoWdWV8aSRDwGbuoyPjVkwliCW13EWCUjGFHSjml3v ZAON4L78I6gi9s14mc5LLon4j+oBqveCo1woqKg350/I/IT7BxSU9e08M3glHNMrnJOue2lkDMNq X9Vs9SK4MJgd0JAjm2ElE3/CbGcOkBx+5xjd56PAwGccAFHOsLj1UrcpsqZq15YkXuOFZ3b4q3MV IuDxjrK9D3tcU0Y8tIMWKaAH2+CFllFukKsppACgAy6NBhj3nGySkgiAT9zRgWWhmSsIp151ocwH DknpErO252nvPUEMp1nQuyo9vCPHgY82O99XrNpOY7azYwTpuPJSOeCE2+rpKvIsTBsOZ+LU0fH4 0VZ/K7xl5Qx3eHRxaku6tNPoNAPxZPSnljgXmeTu+wnuUOPpIYDbBdo15wZESyeAL9SPTBn/uigR fzPVGadOkqjxWvfomwnDdrm50jnVy6z5t8wjQknVC3frZosxPfO+63F0O5vJQrRHb6ThNkyvDybm 7VThgQUeIx5aSO4B7QnXl9uBk24ktNLzr8hdJs9UR+MJKT2yRcMVpa4KEzuPXCd1tWEI9SO7Z603 o3lhre+oW2VzGnS72FncILRy+e8HWiA70vkP4hp49RQixUSJVgqnYKava44+I9EdIDD6jDRISACr AZEAClT7YpucSxAPv8Eo2XdN4BtwUjCJSw/zlruJ5rWkatS6a0WcDmxrUhocDqyv7BLPYSgX2flZ rh8ZdNo0BjHHTBozrMoKAaflwQN7OtlrkAZSXpNGlb6gY0RRh59wGxkqAgvTbYB9wa9VL7/zf0ly 7yEBpdYQZGS3sffYbJxZo2jeJ9oRS/asf9e4epkf4t/IyN619egd4S4CuWanaTVcVFKa4g7QkGMA iHVfEON89hEdLo9n963f9y1aU6vZMbYkfiLmt6EIyorWED4NdZnAA53of9vH9vXk6mLSly+xqSAL tp/RAluURGCf2pEV61caHNb2U7/X3aGlCOZj6VYQmuDr4+r4Wa15Gwrt+nxEiw4oXLhf32Nf8ER3 tYDFh+W8jiVfKnJ8WSFQfotqtaolmKuk1GH8neSjmjr9/c/aFl+ck//T4wfVsCoTQbebUxwxWeVh BLuEmkdTr1eZMBSIIZksXFgu9wjguuxISg49BsH+GIKHNgZeX8xiww4OYWSswtpQ8yh14NMy6ZqX wX84l/YNhlcXJ1glhrU53a2ObUlsU4FMgy0RPsxu41QKAt5nuovPMZbaZH8vYu9jZoamdKH6eadh mUNmpMyuWeYmUhOurCVFIJ0n0MZZyUJMzFxUxqlLqxblAETXO3M68HWpYoLPZiW0xR+Z5gzBfiRI /J88HD6eO9RX8YqEW7zYFLN+BBi+L/91rLvFMPOe7f/M6cc1Fk2p2tlooNX9cRqWjw6YfWJXzZI9 gcAN6Rt4n3TDGOyH7CqlaV4d/JBmZ703n7WLmA1KarS0UrpL4dbJQ37Jqz81lDM4+RhYsYoAPRMx e3nh3DZfKtAoQrsChKweq3ww3T4Lgh1clGnuFsusrA7KfgD5m+kc38CAsZ1j+8dpU5tmt8xJ1p4A EpFgS50oOgy/AYz9iYrl4hEPIz7kniO7+2Qd5jB2Uo9VIWeAQea6as3Q7ng8v4SNaSdEyJWA6Pp6 lPw3TSErecrkAZaA4TplS+07OHNz/Zo4fHydHmEmigMMXbvxhb1zINNPgOI0QJnukSBw4RBhiLNJ Pr0IEFw1QCoEmjXy0XlG1BKSFZbD+5IB8NKWnPJZkFoWoTAvnk0QCj+HP3VA7zR/nC0FeDBBYNUH yCFAigTliIqhDrgdD9LECgXtpBuxKvZPINADmXzFd9g+eiebMXgFRd8rvnEWx16aQ5vMgzAd2dCK 11Mk3h6/9/mSpuCqlkY4BSoEIGf7XgNVGQ/6HTNQo5K/7zUGLg1rAHMhNFj79MDIugSJQMogE1MP c06Lja7YgPa71/tn4THmMVRhej/8jA3P8SZz0pYZ2NSJumseKeKm+7JC+tkpqw3KlUp4SefTjKHD GrDIIEoTWxBc+Sh4+HwW86f41Ffs1beTU3UKtY0SUEhkFLlrYNGaRS8jEnwOq73+u5g1TWYaRxvw s2N0VnoVhk5u5I/fBVcu3DUTAR8uZr1bzLSvGf3N36V3dfYVgBXJ7EZqxrUgnExVtmjtX7nPeXXr VyNJAZQbSLF/ufc7QfvdRgvReez/Avbi7j0UPps4RUnjnV9I3J8Dh5bJwMPqoRZuB/4jXo3S/nlr letFRf98FrIvB0IqgDl4J1QPjl4XgJUGPUlMaBS8QxsGXNXzfhbaZUow++83pfqo/OQnZUKyu4IU LviyyM79cvf6zAXB7hZfqqzkHrCMFonWSeCzbbq5qLdZDwFiCU6Yhxr95yeVrEsKIeqNxS4Daswq VaKopT4s/G8FK7n/clgIL49pjidCX7UFLnvtHX0Vi2Z+X//4nLoidKmC32opyTl8jhrUDF8jikjC TnnnAbr3tKgiq9fnTyWyDhCVgScryDHPdKMJbfg+57xomMkE51MWs83QrGTT7569Q5xHxKH3+G5U TVGMq79egzgoMX8jrQ5cT3NtKRyFYrbQK+wDzClFdkudml67omP0Wh2sh058OxKMiBkWXnsl1Dcj T8oNW2WytD9rmrfkzpyDpRtDcgLK3DcGiosn2tf2SUFGQ+Uby08urUVkI5vrlmqEV2pMA2FnqSGH cIvVDbbHtrT4OYuPYvly/BEo1p5NgYK/piPdHOk/btDis1S94SFz9iOZBCyVRrFWIrB1ywQkisjz Kz9JGjKngRMOzgKyM0sbPAG+gJe9bRWO/KEOwl1Efw3QhlpVNb15D0osi6INohfBz2IKFktm98zA vL9T+z9wK/EfYPh7NJoFaclAmmNvNLMeOwh58eudzV5SvxiaBAwinhE/jQqs6PGoEf6PBq3M5KPE F8DWgD4mBHC6INGw8zt2X+3SenmRFznn7N/ivQnd11pwfVZydnw5SFVkxk82Venx93oxhU+2AB6G L20qcqAOP8afGRcV7OGqsnkDRHtAZEmyJXxMgLZ9mk7DY6ZSpY9cmwTWxPMkrU1SvoAtrrlZJ8pQ NI+LUkEuaezWYnjraMBUfCITKC9LAw2OsumHVgx07igXQW2ywOsk8S1fAHPJ+sznDjIThCvOxzRb qymbb6yvMrzIg4aeEvHpmBV20yO6epPLPONtgpC7fX+F5IAcLhn3yJN/PnCoz4+aQEk4C1wMdChv KgLwQO8Te87WqMgSCQC3m1VvqsGfbOVqPs2/v5Av3LD1LZQX3w8Mpj64k2jQ/EoUsKCXvSosf+FW SOHZZ/aQa0BBhBJqZ0mQ3AOd69FdmX5PGJQ8hFeqRmMF/mC62RM7crpij4cef9nrypx0M4FNgqLF QbMnx+bC+m/omO064Qg41FVHJos6aKcMTM1VoMElFdVB08mjgf56wJRA6zjLvRH9Mu1K6Spr0ZnC jYz2ChrXly1U5Ja1HeGzT4hwLttQP51X4BQkymKCGMrcOFbIiZ9Zqnq/s+XZ7kbRgVTesZFzmzWu D6D84EP/CPryqPI9jlnZDJpaJ5TOiVvuqzJSUi6fWnrLWm7UG99GJRHr0qtTWBxNQnmOe/V52WZ3 nNu246yM3xvLG6HQjsDs3GpVaR7MQbSAmaSyrLB/h3RNHTs2EJlpaaAP+bEqoc1acV4EhgZRtL7T K7SEcdNa8mzaZb61s+gb6n/QVnbN5Ys1xWF/ep69hPCy1DsvHGqh5S4FGBEReVEGtx8ehp9bEz4e jvwc+vaHXTMdyK1YcVMhMNiVXxi+27ebnQp8EyhxcV+stfQ9DPV7hZNvpeQBucjOMmcpye2WJCWF RWPq2M3QrO2M4GTs3iJw6dwXibkGBAEF3QB1beKoVOxx0YoigDNt8glTWTzssc1IUTptDzt56xRt Ni6egSShlhH1A1jjey/6yw/adGt0Z1rfc4RfNitwwUAz1WCmmLUbsLlmFcqI9552zKombmWx4WJc 0nBcHH4HtduBTPk9/sO4NPRtJx0OqAgRPiQZXLljbF1CK6THdQirl8IMLRsixV+gNTwGZVTaj/xD lPEPLSVd3ZtyQeODuA+EsXWIah5jMsLxsqjcEg7pW+ym8pESFEjdaB2LJXK43sRUZaD4o1hNasSV lEUQdifrv4qvB18IWDx+RSCJ6tGMNckb13+JIT9AkjnTWYYQLnqfpwFfC/2bB3fkCjo6O/FHxI7W uQ7VLRfykTUzChMNeydeCZq6LDDAVscdcJFORp9LiZFf/zuOcEFupbLvZ9dXFdxStEeTuC0gtwRh COBZbySLTKevs0pKa3IURMDClEOofVPkRwj85Ew5l2Rr7NUMc6sM4y3GyUzsCtq7qILWrw+Mmdng OYNxwxbaQwTWM1S/B4jXD7W5RIosDkeQMOvyBZXAvOuZ3R1BMy9dr2UfVYVb5bTlbhzhlpLabmNw 32+TTUnd0iMcFaqVJqAfWZB6ILeRQSt/7HUZHXTgm10iNKdQntSszlX8S9Y2197Wnjn0IiBkvho8 ht3If5S2DH68rCPDdIf4/Rqo4y2gOuqNcO+UH3TdiC+oZLw0X4lw2MyvazdIZvOf4qHGrpwckVH7 4d8IaHoW5u3K9qicOXeNnDLSuoIdxduItiDnt9tLwCLqQwNSZrAGIZP0pOf7TwwTY3J6C6G05NqV XUu62nyWD82PFhlNMKEeLKkTmohlS1L8YUoNgT4QAH2MHC9w/bZZB9iqrk1Yx8BLnomVI2xE5ux2 itXsv1HJsJxttq/6wPd8acvYaxAa9BrhM0J4ocDnOPTjLFAB1tldAsachBPaZqmGiu7FV4f707vl TIDOXx8yZbx6MVY4/Le6vnHOs/TqgDnaTYPvVKymr9kvx9MXGxpzNGyLvWo3P9xDEwGepbYnpC/0 BVv2rKoYIL9Kfpjm5UxsjupA6wCDuFqvgNERrVly1tLgZgk4Lgr78gmFOy/1XLRbJuZfFmtWylqj xRNT2vKxNc9/sSk3Tycp3DyqBsj5xWyVjnzICiPFgas++hcsApNlUiquFJ9gZnjgRayn+q61j3H1 b5r4cYT592SdR6YEIMs7FMej8n1/6+9rWExLQm0xUyIBZWb22+IblDLsQyxS+hDYaWYiXamuJZnA 2WnxRpWI+ZKN6YVmDr36GOiI9pHS81NPQKQ4/VLpfHAmg2MrN9ZPHj9Bvjw3UZ4s6VkYF8D3A2Tp no1KAkzORzksPMCMpz9DsMG3bK684tp0CkQF13i3xcpJP0wpKrzqaOKOCr9k2A2JCvoOF/KI3OxU 7vD2STV0yD4UFgs7XhRAVU8y8Z6K5yGsofvC3xHHyTZ0ZhHdzEkwMikk4c0JbLXbIHaMpOQuyHFa faxAKTC1QH1nXFJqm94SsMMLAEsFkvSRreQfJGZSp4sWQEpnewayBK1sTL7s2dJQ4Paem/0Pz+mV TgAVuJhk7/JzBQCwZF+U0C6Q0gOg7ByDkU3jC6K+sa6cyZx0znXIB+BlVaTRChaOt+J9JE6C26n9 E/hsB9sB/yFWIm7GPpuhFtOO6CJcT2tO12ufCmrstIHuuoMTbYoCnnXM4AxYleWu0v/UZzC0/IPd jCPI1nj1jckHPbNCRu0saIP2lbkQ4LwMEOBwx1tJf73oHR97I6Y/cj+rTmR60twAgWXVb5SDYr8w qTetGWwY7OqqViOCQqoNOVeHIAAgDBIWZ2a1+dwfqb5DcvyuZCBD0BvgHVtPHJfLq7G6Fw0bKpLj 8+6O5dVxsDElq34n61Y77W7sI4NSMZRBPJQd/1J97s/Dm8BuWb88uXO2LrPlDhhtlRhISSe384Z9 GnaXdPTQhhryx8t3X+gAxHHeiFrlnNEcoPun+WLiyzHX+gu/f6C7pedqzSsMm/J97YjNuqGPKx7W 0JkohtBPBnmm0TyR/xJA4zAUG/990xdG6NnEX6NlwOWkDF6KLjzZ98LBEPP9dp2SBQr9rsv2ZdnA zNt8xSksgkfBbdy/1q9wiE6LN2HGnwPgq3eHkFrKhIyrlH+13Tw75XIBoAZfNFpLT6aCDo4mviFq //w09qf3SW1e7CKzySzZX79gIFuFjTcsIIMdwriwdK5s9R0/9uT+tgFjb1f7YyPZ5Az+QzlsQjOr fx0TdA9RJJVaxycCIhXSz5Bnizg8shuLsQT5fmdjzbtu3f81LYFfcNw0ooEbdjgTTJWQxH7sA8OQ Fr73syyZzivJiT7XfnbPMRNH7tyCbkxwba2og1LfhPgaEX9gZOyyxEG+0EpzeBaQmXU7WSYemzG3 E3eeh+dwQtjuRirChQ3w2TLdZt2VFljemgQV0Yf6WoZMjVnYJ7uAicasjrEHDREDrsqUTKM/A3Ei incTo3IK6+kw4qp0K4AErj/Kd2vFwyahqeiP0uX6JR9RsV/lqS9WlUJdTleGI2FxQ20xuWZ+Jajk BX3Pxuv2rIB4V5obP1BoKAKx86qtSO8yNvwai/caXRqtaM8u6iHJ2kXvOErofYI5A/4RjjUzQ+9a gJeGrcYHsSHEZTFaH3F86FT4w4N+zrMCYy7kt2P5fojBjc/0qlqSE6m+e7uLDhT7lKKJE+qq4Mme Qiq+FksijnzUqOQbDqjG2ZIZw7Dl0PVItJoRd1s9qGlvYx2D5P/yNdYY0kSOLgTLjCHXD7iQLJ4M jetg3GLo4RYgOFiJS97YXvTS2pK/wp8zIf5K/9LJnRbRgQqBPVI+dNuyoPvwpGl18lCa3Tl9/Ujg nABNES+kkwXnH0fmhISLjO0YLkuzUR0EpQGh9MraCta0WrWzvlBPTAX3Mdr4Ld/Bge4gHLlSPFjx slitPEoSVOi/SEvY7kwkeAGNCLk1zGgXOQyFl+M5upGLVdXpJ1DVEsYCwmtJcws3UEzHuwqs1o1g PqUvSXH1ZJGOGsV3YNbd5WBZcfEHgzQPH3FO4+xIn+tNP3YhwC+lh1i1CvozE/R88x5GkYhkSDvo AkmxKG7fDyHMJ/V65kCqWGZxdznoYtND3xwvFXGPgeE5+fafENuUux+6sEnrMPQyJqqbWtRXIt0O kaXERX8Mh0oYw1I3hYDUgghNlb1T4axdrPldgxau/7JnXa+P357JYZsT71HDj9SsMnQ2mQVqVewJ qiyqrtH8B4r2eaNLuge/pZtjbhaTN0ZRoLm2D9+1bOQ= `protect end_protected
gpl-2.0
70afe5f01c4acaaba61cdb1427c86988
0.938834
1.855372
false
false
false
false
fafaldo/ethernet
ethernet4b/IPv4_source.vhd
1
1,363
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity IPv4_source is port( data_in : in std_logic_vector(7 downto 0); enable : in std_logic; reset : in std_logic; clk : in std_logic; source : out std_logic_vector(31 downto 0) ); end IPv4_source; architecture Behavioral of IPv4_source is signal address_counter : std_logic_vector(10 downto 0) := (others=>'0'); begin process (clk) begin if rising_edge(clk) then if reset = '1' then address_counter <= (others=>'0'); elsif enable = '1' then address_counter <= address_counter+1; end if; end if; end process; process (clk) begin if rising_edge(clk) then if reset = '1' then source <= (others=>'0'); elsif address_counter = 27 then source(31 downto 24) <= data_in; elsif address_counter = 28 then source(23 downto 16) <= data_in; elsif address_counter = 29 then source(15 downto 8) <= data_in; elsif address_counter = 30 then source(7 downto 0) <= data_in; end if; end if; end process; end Behavioral;
apache-2.0
d9306d55c61f4b0b0a78f6b0ef66b324
0.682318
3.207059
false
false
false
false
keith-epidev/VHDL-lib
src/components/multi_mux/multi_mux.vhd
1
1,051
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.VHDL_lib.all; entity multi_mux is generic( size:integer := 4; width:integer := 2 ); port ( s : in std_logic_vector(log2(size)-1 downto 0); input : in std_logic_vector((width*size)-1 downto 0); output : out std_logic_vector(width-1 downto 0) ); end multi_mux; architecture arch of multi_mux is signal z : std_logic_vector( size*width-1 downto 0 ); component mux is generic( size:integer := 4 ); port ( s : in std_logic_vector(log2(size)-1 downto 0); input : in std_logic_vector(size-1 downto 0); output : out std_logic ); end component; begin GEN_SWIZ1: for I in 0 to width-1 generate GEN_SWIZ2: for J in 0 to size-1 generate z( I*size + J ) <= input(J*width + I ); end generate GEN_SWIZ2; end generate GEN_SWIZ1; GEN_MUX: for I in 0 to width-1 generate MUX_X : mux generic map(size=>size) port map ( s, z( I*size +(size-1) downto I*size ) , output(I) ); -- width not correct end generate ; end arch;
gpl-2.0
330c79e7f3b0a8a15418f344cb07bf2e
0.65176
2.68798
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/unbiased_round.vhd
2
15,340
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block m7Cu9vQwEif3mzQeC17C14N8cG29Rejgbfr6JTTBwnnKW+lZH6um3MLSDQzCM0UAz4ZRXKRG0iBc hu6d5NtAcg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BbEKADuFTDFB2YBGqgFfdzsckAymyYdN8lTbiyTc9CeBkpLB1uH1s2aRU45F+lYo3q1CAEd1B0X/ EWNWRXfDAOlLBIuSL/7tsxaZcPeWF6ffjUSWYpqQwgTh2mYpip4VUXDeGGbOu0MgYElzAAzHWUil sL+X3fKUslD9pzASLD0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 3L10XncrwPt7hVaj5VQswuFGzfCfkvWEQmECy4guQp/kPxxHZ/TClkPRXA/4vIRaMh4/Q8+wfuRw Vf0IWtU3Sqo4bRD85F28udYcHa6huO2kpte9MDk50rSPYsO32KaR43hy9mnUcZ7LAR/VnBr6puE2 RbcBr5uUeNRkdmwGq/5/Ql2FmZ93nIsbdHuUBnnXvT06UefmFUvxM25QO2//O7zbXg5ILaXUKr/Z sM1tCGv+UpvoUChQ+q9FFpBhYo9MAIXCTL6Q6oE64cn8pf4bnsEGS0L1tf9RDpJpEPRukqO9Jey7 Ske+d+/ydswn/uE6XjQHmKaW8+h3fTqSPbkK8g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Udxlg9/O1td8exU9tM/1chotKoeHL9kJPojfbhWNdLBcBTAh6+OM8RR8tg3o+nrtGlpFDZumvepQ uy8VvUmOUBRm9JIBlUtMZLRUZNvwbUJvYcxyjADTcVXV2k0j0GM7mC6MtueULjTUDqa1e3NH+Gh8 XjLGIAxr++7Uy0QR/cY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QHMe4rqJutbeL7qOIp5itISUukfSInjH2VZ+yJc5ZMEZVmBvlFIBiUuHDDeWjE+/Zq7ZIPxg/fq3 NftjRu8Ox4LUcjEZA4O4EEPRNyHgc+b5XMDcEt8su4/bHHYseuZY5vtphOeSaOqKc4wtBIb3nRAM gC3/8hAst2k5qQQAqxsnzOPDfGrvldvhxKz2TazE/4ipVEH9Ttv62SJVoaAeTm9nC+FTagc9lTCj 9AnbrSAqBVtqpwrRMK1wRHviWHOhX6cI5S69TNgtTiqT+CatvwuySIkp1eB0Z3fVfEuaepqhhj+V hwQ6BI0zZDhXjF24XX2HEime8gPaElYDvqbHsQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9616) `protect data_block YLNnjDjOByUuTZMApt2tOFbWKPdbJ4/Cv9hs0DwkRwRUB5uU3e7OnJMUFf2S9ZblXP+pSDkZPOpW 5w013qFX42RTl824an8RzGs5fA73IexA8UHmTRPCsVBhqMRqPQKF55rGoOej3RkDNz8d8kjTEoDo uoogsEZgSiSvsY2ZNRYuiub3YhVBBsfyigxmM/U5ietj2Tr/Dlp4Afl+BVC437VO5y58WI4OWj7U YbXqilku/FCPzphRVrWopwvADEqUesKhNr2mNnhUNzv2YvOjrx4Ty8OxG1tHag1wwDBMgCUyJQ4J fPZX6hF56divYiVqaoVtukln3rIWvnUISydOJccP3nbtNW8q7glMxZEqo8fv3icqJ/AgjO1xAoDm l+EGDafLyqZWqOsB7iDuSSZEc/pZNhnpdvdPDZYDyKVUcyKSPvDGELdtZuNU2FBD5HiqBwf69LvL zC0N7J2n4ve8Vd7C7KVHPUP1KwYg1sYvbDN8J/2zarodg/lMm3Y8XhZRqbBPZzHN2nmxsrUub2GW PZeWaswQUDmE3fiA4FP2mpVhIdwbGJjWTw6tRGQsPgmCU45Hhsr46kSfT/Rzv0UNZcsPF1ZmtCeW 5oC8XKwWznlAQsuwi8My1LJAHnuG9OEbjbkud5WNcfqNGunpmA2VjkncdNinZ8CGJZJzHYCgcSp+ 0pQGDbJZpZwxgh1f/3GO5PMbWKHadDGgMa3DGkNYvGzLnQOqo59tF0dLkqssTp53z/xji8foaMK0 0W2BIOFyIRZn+gI3HCKt/XfZw8pW0oM9yff5Yjd6Y205nESfGu1NKlr6DmNm1abZZ4tGQIVAg8AN lAznagvGRdlejYVlq1HesdJoGWGOqJFY6Jkl0ILP0tWOnhT+jv8BUCSJt6FAKHzx2O/qjpf65m+Y uOXWoZTQ91mncYgqj8EA2ZNuWCXvkAfFoQsnWG2igrMAvH9rG7Vi5GasmZHFjD0UupAjbA0sx7+k kiB+ya5v4SVkFy7Bp6BJdCQ1Jv0+75FHEveSs5wxKBSPpk/X70tK5bptY9aUnjS1qFjWCwi9H/JD mx+U8gtg2JQx3SrLJmYXAUblAnD4dFtHooaLHsoG59poN6Iook5Y0cPsLPym9s/956mttR8DK0eT 6maJmelBW1/OwMKvWkvNU+Edhm1/lZHelngyjw9gdboSiqa+9lWx/JDDEjUZZ6PuWLYV1nUzvopO aeIhQ5LWnygMEAGzEovW9yQw5+XlJCigypr+/dlMySxq0ciSGr8PsfqQHDzm840VsakgmL8k3mMb ZF7xtrZFiuDIa9h3FcswmNtLALtlX6zvC8n9jj9amfMmOJvnGk4EeoJ6WZ0MRk676ofzxntliG3/ Ayp4bAxLj9DKtH26uPu1InpfMDx/KYxDKgEzOAuDKErMN1abcO+n0m9+I27Byl4KLeEYSBZ0Lk38 s+ROX1P9IxTzFk6ViAeGDaPrP7kk1RHat3AdX9khXHsJR6nFwlTq2JzkjqNDpTJaa+l9yzUogJ78 HM6mAve6p8oOEL84Iffu3NQyfdSGdFoW92w+og7HS5HY0M776j42MKJ58FUYri4ScysRQXw0fnJa pSuhD41POpyK1/RjyCzND/ylXJBejl5v56KAFdCotxUDZqJa7VBN0fQLbybsw634KjOz2cTrL9h8 mM+CHWp+WFRUpvDYi63/YsZMrT2az+tcsbNT+Xh2pzmpMqBPChw3iWc8osmkRWB1GtUpfZBA/SOz GE4bjOb/KTix97/gztXCW+VrYVPrMOsJaMRDRbrE5ELQa+wutbmgI+q7b35ji9hXMUEd2McS3T6w 8KKyf1KEQgqYgDdmN38bmumBUXazR5uwzotZKAGXwdhbPdX3A2kTChwwRB2t+tdUvVqSb7hOInFE dkJBuH+zTH+xslysFl43Chss/dbCOxkGURh4jd7O2kgEwkqSCcjRu9903W5y1cs7LnRO58nBvAAo 5uBLxEymq5mRK47mbVVS2ZEfsOI/IIehLyPsUkeu0NU99hkb2+QRETi8ANFhc5komRCuHyeU0Dm2 SDTwYP5H1X2JDfkuBjTdgHcqeGjEJSwP0Vpa2ieHQHyXTXokbbF9a0JOtOxEzmmFVpFnukOW+gWy i1IoXc3OuQTGSLhfB16ewN8nCddUbBzbo6IbXPFeYJtYWdD7wFPJDg9FFqlrgdjLQVC57hFnym11 q4bFJV0jJGp5jwkv+G1kngmNtLOM6Bl5IBz8KCBCsJ1kLHbX+uJQLgvUlViqwtMzltG2nYvGWHj8 L/wyGAszPHS7lcGtqlu+fdfY/SyjqH7KUcTVvVYahviJLRJPhpKVsjH8lUr9dn+mVqiIzI5Em546 9LfG4jRHVITzloAlfbGAHuxf/NVGH4k+urEqOUA231JsmpsWbZPyOT6mqwayg9hhtiUw2bzXAIIh OD3RKm+QtdYZA2u3fqSNRfk4FntVjqJQtZWjuJIaM5UiBr5ox91dcjDcmv8+WoYJJLCdqn6MceID Yp+EkiSZ1GTD6uulwczH9+lfXP8tiCWsbiT+tAk4bmQnWQ4mgrRcCSoTM6ADVW3WbEg6fI++F7BS Lo7vX14vI6u3I0rys8t42LwIRXrjOY9u+7ELIWU1tYDyOSYb9O3MhUtRTZiDOnO1qPDkyxVcRgUW tus0e5sqc+6ZCPv9CJ895yNpsvMmCc3ddlqpgmx7QtJmYWqH0Dq/wPq9qjiDDWSlcK5vCWu/f4Vw NCesjIQ3jTPY4pKf1Ccl7poUezkunNWrHvAzB90QD0Zs2sgLaSOmBuEhLc/WNUr0sI6GkGELKc3y egIkScAbvvlEJOR6Oc/g8+9femhS/7kP54NVuSrkf2Djn78LdKzhTmwtrtY3o3iEqhG6Jd7KkH2Q Gxky2C/HKx6Cm1MW7yVhsRgmejsbYsj2d++BPSgp6HkuYJ8ojR8+grJXD7aY9IpXq6yie9rts80x G6a5NaD4rlUqaq44VnE+EdoqV7U9oZ4LGuUkfWSkfVR7/Fw4kyGA/nZDuUP1YQu17xDzSMJS3ZvE KqiduoaYiniybfOXQ+6sbLvVErTmqAacdWa3Uj/aVOxkTFHF1mAA2JtN77JkvRmzqiVtlQHUZb1A w75L/yXqW3UpMQWCW1Ht5mfQ1SVECErqcHJull0rX8lOMgNpV/dw9cf3JYZCh97btm+Bje5bqQ3Y wnRnAY+9BI7R1Mlh9yKbiK9f3cYuglbrwSvfDeu8RnS6lWtgYF5owUixt2mkvtZfTvpfxA1O4Cxn 3vNyCJjdzKxH2jMpfaEObd4h2v3ICAVI18puJSHmuZUnvoFlC8sH1nND+QgKrfXXoJG7SevgPLB0 xMfjlqbIAqvOhjIXcody6/A5fx56ykj+9RX84ZgmmSfmpsjqqN3dRQdnb1ANTB+s2stvkrFUUet6 Lpur0Kr8aX9CWfon4Ic+7ak70QB76cgFcFvz4U34XSSKHPZSgi177VX9aQi6RGw3PgIuiR2SJiwB j90sI2jYQy3+U0rgB30di8kLx0Oxh7rkd+04NrUw4+9MsCAVlZUCq8V+Uzm1jgQCGfSNSPdmj2QK 65AQrK3cGJwQSagAhC/HHqAPGfKHVjPk4QZJa6d3VsmBVmyzfsho9pLILQiOFSUVYXmo7vYidQvs okeJiUqrC4WR1vIg2z5sW+Dshort+Hk/eNuW3OedCpFO51YTz5S2Z3M8ZVM9lEFd/4q21jBW1qLt Llvcsp80/OIEDWHPTDnKDY2e5/pfmS2WbFIdKHCuoDO/cQcS6VHvnOIwFa5Lvb9G98ou3qI2W/P2 mHSSIE+nKzm+1sV7qz3wkp15cPCVsjxhS5pmtkNopGoGbFRaiFWxnlK84SmR6tU2yf01DKQxTR/1 62GVZVPHXr3fO8q1nbOBFTirQJUN8exbnVVBKt11yyMOeuATvvnHT/3RwifEDnP44mtXKnCBuY8X dpFT+O2YYaE0esj0uo9Wao4pIZ0B7pwS7y63gWWotgGaZ0Zp+hHxK2+HaDJPZyacBP9h5AL5pj3A VWx49GXf2ifP5eVetHIrhOTiGz8+z/yCWtqlJeI2a3t+43Rg6t6pllqoYYq8sUbgsCLQxfZ+K01n CwPRXtDfqQ+JyC8ZyJcdmxiLRfwR82Y4PFcFbjyLzA5/eh5hWvWfZPTmk9+tamppdP2mtoR1IetZ r7W4RayoJ0mahl2eJidRSVN+P9ZtHrmRPiMJ6e3nJ2mdsn0jBmzmlNTEFUrW68MHyTw7WwwR+SwN VrMOGcvHV5DoGsJDyjb0d6gJ/G4JhbSO+7IfwUtAy07+0aRJtvGepGdWi/vw/6XropCDMZcXHLAQ ocvtZ7Fi4RycsgephDdW0O1khZj2jjXycmYqargV3kqx4f4Co9z7gCVwHR44kCHOl7BRvKokv/1R ZKclG8cflS6yr072ZxmSSLTHWxkdBYkitCBsT6y113QRQU+Vj0GC1sFTc23Pjq7vMGiUNNXils+X 615Mp6asuqd+kItfTDpDavTsxk98aUCWqC8IARz9rLKh0RFUobNdNGHZix4z+J11iyeii0RwLH7t eIbV4p3I/eTCBv5YwK6mpNazaSXVRO3YDaexH+6Y9oo9VKNYLkHNuizZG0QVT+/sdRQf2E1IH/dx zpARV8gTkWgYscmMSz3tqC+V9dxC9ERxcERjrb7li8feFFvzITffKm8zjKghiWgj25+lnYpqRk80 JE2GBY0X8EMY6T5MOdkU6nvdV4zHURDDhLDqJ8Q6BZvn5Utw7arBAJBm86YsfmyyXVSG6HjmoWMJ 8gAQVnZSrAXDq7o83z2x7iCf7fXAtKUikudOlmK981wEcz2cxbHLKXQUdqpZ+YEb4/pO4NsiDKg0 hk+LkJF1X8rYpAkVqWH/ahG1DcNCTmHOcr5ljBk6GzjXwiVkutQMaw27WonZtpcUDTx+51furIyp 9ugWY0OUfGDklxqbbkt4aHvUq49VulLcI+Hz/hfINz15CFHkX6kBbR5/RwaIYJJZo1Cyn60/u/ME MGdwq5S7VH8snfYCWHH2MSTz68Gz0JReK8Yx4YTjJs4qOy0ay2sjkkpjbYMTTLkE7BbtEroNXyZR UocFQms6wHKPG4DeLtE3dONKrrQLbbHRYT4ow3yWTW6x2BSF5jz9xjwVEhBalcWJ5B5eh+z4i4XJ a3GzMMkLb4HXESIb810hK6HdvJFkD9FLiIkOhzvfxMRB7uBf04n2Mhzke9vhVOD5Dfpsr9YSdVK2 2zW8xkRoIyhZF8LPeQaiSfw/lnFqsGuIA2ozhT3op4IyJRuO7r1pXZfNLfvzIbStM01EfcUfCYHt MlnPUAi5Js5W7IrUS6h6yQPyZhNotwIZfhf8r7bGBNpkZEKOV1SFV3zuewEO+7zLJeEWFhapFJh+ ZsaEa6Nw+h93SkumDVB93V/U//xkmMu6gfoPWvZupH1yJ277/li/iNYFECdeIVB96BiqSw32qBqH y0NcVahksVpX8xOcSmjP+jTS2F6b9swkeLVB8irrtAQyQ6aQU1QIJeisZl1l04qlEwelhuVgWGPh DF/ji03TbvxJOzzJ/PxFs8ghg9JAg9Fasq6k28w4dvAkmGCaM9ypSXAYbunYQJN4ZARFA8u+a12i 7kXNhedNIAOeeiTcdhZP6VbZ475Ushu6rD5DktpaiDZuITa7MmM7ZYnQuIzZoRROcqQjxUhlWhBP rvRSZfLvVkXUAkQX/8qt82RvL9jQWq4TV6hbz7MXX/mTU6Ne0ohji7h4j3fq8PdSvv7xNpiF6sK2 +D/g8w5JjWvWLXJIXWaVNO9pCTj1Dy6Xh8VwjGwNBkdern4spuHRx2pTWlN5gskwjJLDNLfVe+Mi F3/RCRHUV6Xw+TPeI0d226JuomLMoV0kKA3zofIqL8s+aXVoxZCJXEF/rAKUy2OUMP08dzVYLzk4 EvnWJuIAZXyWf3po8aHPKHudvEtp0Co2KnxHODHE9KkL+EtAq9UnREvYwgmoG3JT3PMZPI2sDLfB gXfMOaFMVx9ioGLW52wLXaCR0moB4goWVWFkiDpsjrStmapEyFTa5im5eePYinvRo7ZtmFytY76N fUpbzgZbuJToRCkk2AQr2WqGwiPNzgq5KMhHNZtVhd1CKILLErmecj8sAEzcZEgkk4pGwritIKkV wBfJUM/J5LF7PkJOmMRdC2oReGS8UEx3uep+yxNlN5LzQ6u2f8VUpHV56sYGWLFu+VsWW9JG8QQm pIqiW7htK8gk7/GdRcPj9hXf5n2b0iP7WuJhw8dKWHABU+Nk0pZgEeqETexie8//cxUL+YyBNu86 hcy/EX2JzwI4ULw+9MT0L+an1fIPOxdGgyvlayM8fPW+pnWv7JjCPf5GnN/ZB+PPWpV8eDKYO9ev 7m5jLgE3Uyb4PQjT6WTXcj/M7qjen5PKaA+TdLpYC6jtEL3piu7HshL+lvsuU05sdSSSLbRNIOM2 WwI/Qr+oZnPD6dJqPjm108CMAVY/YR1eaxMeBgg+6DEUIS9oH04jU8g0yfHTiLlBrejimb6Ld/B/ 0XyGoqCLue9R9uiiIqfqxpBCG2ZMqH4j8fxq/4KiiBxJtcb6zd6dwQYTqrg3Ot4LlWUIuahG4Uw/ ypJc2aKmG1Ege7HvygnBbPgjWQzwVD1Ye1mVAyiVHWHqTkrrt2/MIPi98uv7UT52sBQRBXfkFthf jLpV507xUGP2beBgBDPHrccW9/89us1zXJxTCOVTng+ocxgaClUKqrBc7Qd8tuAbkEHle3qJOZor GP6lrk0oSD4jnxxWbVxnBab/vpdc2J7v+j35QKOULi0Y6NkTChF1x8WXpmxxdDqr6BX1kdSKuzX0 Legoje76JJ/qgm3YXodlVG2MnvCxoGyIvIXRVLL+hjaAf1rTGnKpP41jNFXm44BY5LUrAs5EiVIy /C1dScaHF+gEVVEuxlUOJq2C+dMrA51cjMw0spN7ryylU5HmrMXl8u/qYj7wGvF/10bXnjpFJSVc lE9Pko0TcPkxfg9jjhDszWYIeFgbUxLqGfwqZPUqGtofR6DdmaHPDJ4aCmNkatELDUwSo0wtso6Y VkUS+zVyrTFG3iqc010E4V/W0utcbCyviWk+9waqujyt3cgOiWqXmwXjv9dYfH0fpJj5c0edE6xX nZPwGz/HK/Apj7K4oY9xpfCg3CX1Dh+S0k7dRgHHYeJOQ9WB1ZnbRJMfnELLGnKZwIgGNde6qzYq Vz2p5Z9zTgi9qQaeFNWXd0yw/l9MJbKWIzaywdwNJhIGnd0EGFFncIVUrYBOOoNXVNYXO7yQflKV XRKd60tdK+Nv2hKobMEFWBov6s559N46Fuh1YlZH+8e30RMrmXJ5VNvNDQFY445yaz/WPlg0eLpu 318817sQAS/LBBigCqmPK9W5sqLqa4RtbUqmwNrP/DADzvhHbHF3sIMaaBB4+wwk6MdWW8Y4mPhe 9GsK0TS39fqreOxL2QjD1MedMBG++wVNwgBGiBxESYuWa2qtacPJfJwFYJfHQ2sQxsJZKKYRBkxV JSoxLl3QzzLvxnINs7LOissLHG8NvRrzzM2E4QEHrU7LzBKN2fKQfJ55WVSqUzaszklSLUKq8N46 fldxQwcrmEp/KStmdfeoVLyxOZ6fp02NIg/nLLsYkHkI9wiKB2mLXWmQ/phOxQsJx+2aUOvt+XH5 KNlc7tqnaqTbV4E+l7KAW5i4GZmte4SnUP+HQiw/E9Xk5/+aZO/RMLdYKepg5FcamD2i1BqNFVJp IdaCwZOsdK6rJDwsJ0dJSAkaNuQJeR3QODRasS+ZtHoKced6Ui/KwgizNNjvmiZHjrgTZ3r00EGn Hf3unXvAPwalpP410+lAMgnkmYtxGnKCDwtSsVStpk8vMFT9dhsMyL2bxFfvUo+JNMj/ZXXlFjyU dv0aW7HZHt8g3vildVlt64AZvoR5uCqR/j/2wXnghffqfEodW2Z8Q/158i7BJ1/6NIobqtEO34KU qfM7kJi8QkHdexmKOCEC/22SPSZhWYAcVyLoklFD3Yigeg2tAZFHWr8SJbu5tazZzQfzXH1n1aoq TqVFnuXB1kVjxkqXu88opF4GSD5X/VgZUZs4HckqP1fLq0eKsubDNg94AMFi5c9maXY01vcON1ya q+qMYbydbov+5eJDVW6xBTSnSSVANIVhOBqmqXWRfSIJN+X0RxbuaXUjhchdb7TCKdJPxZOEen3k zUqZRPqlZDM8U53vSllS6HsBerBgWkneDbppvjHRLgzG3PfHdEDZayxYmQ05db1geex8JXy9yBY+ G62Zt4o+iNz1io+6c6brd2LpAqmeAorx+RzGHM7OL7jnbNs+hjoGTeX4kzk9XBUJkUaLfrv56goI qowO7X/Qx0yW0yscs9IwqgY9Df0UNtuCmT0VrF24VTvaNzsSvxqJyelSF1m2eIB1Jrff8MAyq++H KfxgJq6/LT54NJbeMULJKqpFMnSSQqgXlD8zXedyaojBT2/qgOkWCPnfkUsErNQs1S+fVxzlIosO yCPO0+fHnW/4+/OJhRqUSpFt/swVRxH7KEwG0aYJUagafALNu2Yd0CHLk4ER5bVCIVblT3i6+Hmv /pZkcAiaSxAow/xLLQ7aCElDG7K6fkugXyPgbhvxrF/0IXwID8A789IRjfAD4wXevSGPRDHdaVRw 0Y7eFR0hNvBo5ESGsEXCRlE/6G10Ogh3QpwOVtZAhsOcPMyDPJyMB9M46JP0MnB2kAKje1MP7LPL e7MPp1k/MEyirp1zHrHP3BIlXyxMDcXcZ9hpg7i4tI+iuNk7YI3d4bmzq9QqdQDcCPvBXCkqRiJt R3m7k/eF9T18ewBhIEfSULKPK4tWu7uUZBn+zx2Y+6YTufi2QbmDrfnSNJC1Lq0i3Jd+o8QOx9T9 u2WqdQaM4i+DvWp/mlYspGR4vqAYoqd+m3rEsNwNxqveXTlMOdZaI6UyvCEsolFadNS+f99ahDTv l+iCXiQPujyWzs78HqkbZY7IF8YP1WJ1iY+Pn/sdv4YhzLwki0XwEU8Pv3vtCwXrpyw+YRzEmuz/ n7LxUnnky79TRoM0GItSy0+h7Qq0EXp6eEHcEUcaX7+iGj4IBaTR4eUvAcqIEXJLP5uOnmhUJruA EmEZGKtoMwV/khgcjsweOejYzKxX7sTkBX8pTpEYSZv3436jfGl4lmZEwnL0gPRknjNv6RYb5uX3 mUkKDtKXP6/M2F4xllyjRUZ+7OuRP19lMTngfRpf4Eax5XL3FsMG7+9UkChFTA5VsUU4YTrpi1dB z8Tcgle+tBStN4sWVzNqfbo+UX2dyZqOVsXbhw9QXzPAWQZMH6XwNpLavMAsRzi6Rz5QfJoq33Cc ibUgc53L4RiIv5hp44CRQyBONzP4FELTeNeP9PWI35r80xlp9pzjnhpYzvV1BjzqISlRNoeEimda Lg5CXFnOFYNx49XkrhX9ZxfXASR+X+F0XUIhJDLkTR1UENpe9PaQ1ucsO4s8IaWLYzYMQB0a7BVH CaV7x2lb29GYyo4JEa+avKLRrUSm5jVpm2iGTxFs9lgy1yHowtlXGNc1DqwgSCP9sprSsnHcBAiV Ot61uuATKHLSLFqh9ZjYcXoGZfWLT7O4LnE8gJjGzFvSEIcDKf9y7occ2nSbYjr7ffGndkB3zyUw qzKp98o/g4VHptwf4w7mAbd562UgxnzsTUIGAAv6/43X9HUnQsl+8hAaWldYXgdJOOZDD/bctguv elqoOjUY99a6qIfRx2pAYkQAgnekeQJ6v1OXlZcXTOrN9Y4VSu8GENtADhEDIYSQGvTazA+V8QPi 0IjzRmlt50lwOQQA2127imq6OWmJIqsIcdDB0PqmUwXpa0c93k8vzOaGSeWPLr7Ods51BHIaNkn3 pzr52EaQRDhgr6IgHbDniS7kNnXc01jZExm1OQdkaiMqtAbaTv8TznOBIRF8HzSkmqmwLIZDGpzc +EayMlbtIrigKW13D9eNidXCHnA4O+CDs8qfyMFzmdewcnf2euvLLIUIxxS/jHhHm3fNdI5EiS53 ocAVWWABVA4yGgzOJb770hSdVWpMPhUiTve9NGtIcKTG/JWDIAWOP0RL3HB84uO8/IEHfU6/kSvF KaSeeoziSEZgpxlOpAaIRbyTyfy2HGDFCTjYash/9kX67Ry+BTRA2icF++J0RICQ0W4U77bAEUng uRTcElD5TxyA1ukTH51kGjheCnL/1L3/ckx/E5y29lXaq0kHdWakeVh0GcAwiKnLgBt3TZXJtdq0 s7uDcRT01C4XnmyCDGjnWp7CnyEiOCTSGqEWZ4vDWtIh7ENoRVzIPeLVM+XKgVr/teJ55Jp8jxti Wl0goRhzeLjT9z9Qyt8Q07EM3UNYfByVGwIEjUm1QzHv9XisDTDUBZpLwO2WUUZM98KTql6CDFJ9 gSlNPUdE6qp4pLimDrs1/coQAs8G+7utaCcKjfjpiFuj+WyDO/nD8bnfz3UVHyCGiCQCz+LJl1JT g5C9wgMe7YKb3N0xB02oRPw5WGx4Im0bkFbT16LryvIfRWjZGdftIn0M7J/MbK0NuLyhkwRD2XmW sKWVS30ZNx/31zlSQZ7oVgoTflS3cuqhKUJPH+7DqvMvSXCZ9j1MwigkYtWK9tXCy9nkg/MSB/5G 0LJRPD0J38hXL63Te+5SYsjeRRhQxq40QZ/prsXmGEDiKXdmobBjmm+3wumkcG8/JzOECNMsUUz/ AV1C7YHC8JYjHWJSWxzg7FOOboQItZXMVCo5CJel2AdbKb63VFBhgwptPnWXU3k4oP7oc/gHD9Dx b+YUhf7IWy7XCNj6zfvcZLoRLdboDwIRnP94pnVAcXGJCJw8+3sOXoP2NmmrEJsQd/iF1/kR7O2O MkGWz1SlaSEYQ/fem+tgGgKZeOiU2fbvUTyATDes0KnDxx4fMy//7pCkgwS6cFHn8luDKOEhwpdK 9e10i6Cn/ldANdwiF+agXOODcjadSfhlJCdXRgMxhmzkxe/1GC289k4G1ru0D5pQgtPh6CAtu/AU 4bnvb+jt8ma0dcYIG8WEfbXrnXKDqn8QoUWv0wuEpVmP8jatmln1VoFQuEludknKzCnvHjUyCjXK g7jyHwtYwqid/vraY7HyuuXusMelh5MZXLnH+3x1wl0auf8vazDiXRYbZec8onZkj7WRXq/0vlRo wnx6sgNoDdfVEvLeduVUrwJG2VMay0CYCtW+I5S90xKZHjcXFDgmPupjuxTNhIhzpkTnyTei+eX0 /MDsF6mVS7D5GLf0Tzky+MKmWMO4fOmSwwsv+zV8767DaVEI84ld9fJjwhXetvL6YzvcOtBSzdwm MGxsVQlPzSBmp+ZCOfClII7/RTUxUeScXUkQRYUjcJHqqEaiCSDnlPAlQ1g3KH2xcpc6bunLntw+ JNxLjlU0/DZmffvqYLY2Elizn8eDVEs8RkzBz+gN9BfgxL5hUFFqvTUeXZtGbYktFVfnR+/Qi6r7 rS6KqAAlft+a9OseK/c41WJ/LzrubFNLKjRqT1/YF3ulhZVYPWsAJjk0vWpAo9x3UTr4xILzw2R4 YH7v7oA7E0MNRN3FSkwp+EppNjopmFhaaFFutyq4gP/ny4FNfx+/8UqS0Ba7n+rhIYhtQCwCSIdV csNHMFElW1mQkW6GpS3MMnCptNSqIabR5s8F/BfG+llUZxZW6cFTQ4S5pOtk+1FCAivJ1Lj4mPvS gd20St5JZP4O6OuOI+eeSeITwxa1ZzLQieBg+bJJtblEt6iDWQ6I8NITkd8crpmhSjODHH6iZAKX b4o7vAOjXIm+534pNP215PHb8sPufwgnf3JBtBAXJw/8tGaHZWOtgWK8KI5iZ0jy3xYal8KqYEZc d5HGJWDQuxSArcXJ0ofq+mmndXHBhRy3MX2ZkmMfBZa31dnhOB64vqnB6IrNOoCu/2l903CCc/ZQ HREASEN8XA8R8Ie7c/zno0Tf6hueeX0OpawrKB8/GRn7oOTdLiFYcqEbx33fh3VfmU/kYFe758Pf j3na9m6Bkp2x6nhmKH+nL//3cbdSgUi9S/A22JvqaeR8H00GYGOVg04qlrlOmQknr8XtxOQmd7zw fySF85n5Sw31sdHLEYIScBQhiB4BGBnozPtYoVvBJFoJW/mAuTo8fRvV++HLR3YLfqEiQUSb3hEk VyJSayBHR5mFww0a7fRXHsaOjOad8Yc0gc6GGgRYqiZz8atwXZKaac3Fc6inuVvj293lwghNrF3T dVsSTYSf9kD19O4RnR6grFr4AKeqwuvuXPwi+skvOuzfBrx1133wnUYKIfBy4MtVIErnmssMABRW pgjIxLyVNMtTymFqwqnJKuazPcbqHVScRZeH49sxiz5FsBdJqA1R/LzyBJ0gqGBPeKk6EEzZgzdr RtDxoq9Jq9Iru3uhg9qYFM5a9hQMel4x3d4SAUy/IBvazqu+4rQvUyUyFTezJZHCZNcqxwEcmeuU Xj8HD3SG5VHu0CV2fE8uaE/JerxhSZt30soSHV57vpmpi+92QxMu3+zlZgLdxpnF8NSgUdwsDoXR KrmlaDOHgCj3tZQaXIFSIu+uC7EXkr0hqpTD982d//1OWrxDttzyVxU4IA2YZUJ7qiuVGjWBMNeH iTFG51mNzqK4SnZU9474iaQfkstq4hez7/k05QOoevpu/apbSWW5ARgTQFHcReL+o8ivl9pBARJe nPkxNrFWfUSkPiBn2K7Gg9qF0Z1ftDHqcRAFxAXRTMBZ3wxxUlHQhjDf0M8811jGFVVTiFp3zBiG 1INE94mZXkC7kUAQe38ALXUhIuO+cDhCpvdkblPoae8d82p2v3T3EA== `protect end_protected
gpl-2.0
a660ebfc6b3af1082d12dbbdac579e78
0.934224
1.869364
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/Dispatch.vhd
9
2,146
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:23:05 03/25/2016 -- Design Name: -- Module Name: Dispatch - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Dispatch is Port ( CLK : in STD_LOGIC; OPC : in STD_LOGIC_VECTOR (3 downto 0); RA : in STD_LOGIC_VECTOR (3 downto 0); RB : in STD_LOGIC_VECTOR (3 downto 0); RA4 : in STD_LOGIC_VECTOR (3 downto 0); IMM_SEL : out STD_LOGIC; DC1 : out STD_LOGIC; DC2 : out STD_LOGIC); end Dispatch; architecture Behavioral of Dispatch is begin process(CLK) begin if(rising_edge(CLK)) then case OPC is when "0000" => IMM_SEL <= '0'; when "0001" => IMM_SEL <= '0'; when "0010" => IMM_SEL <= '0'; when "0011" => IMM_SEL <= '0'; when "0100" => IMM_SEL <= '0'; when "0101" => IMM_SEL <= '1'; when "0110" => IMM_SEL <= '1'; when "0111" => IMM_SEL <= '1'; when "1000" => IMM_SEL <= '1'; when "1001" => IMM_SEL <= '1'; when "1010" => IMM_SEL <= '1'; when others => IMM_SEL <= '0'; end case; -- case RA is -- when RA4 => DC1 <= '1'; -- when others => DC1 <= '0'; -- end case; -- -- case RB is -- when RA4 => DC2 <= '1'; -- when others => DC2 <= '0'; -- end case; if(RA = RA4) then DC1 <= '1'; else DC1 <= '0'; end if; if(RB = RA4) then DC2 <= '1'; else DC2 <= '0'; end if; end if; end process; end Behavioral;
gpl-3.0
669ee3d66d47215a84a45e1331099ad6
0.501864
3.096681
false
false
false
false
UVVM/uvvm_vvc_framework
uvvm_util/src/global_signals_and_shared_variables_pkg.vhd
1
2,861
--======================================================================================================================== -- Copyright (c) 2018 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.types_pkg.all; use work.adaptations_pkg.all; use work.protected_types_pkg.all; package global_signals_and_shared_variables_pkg is -- Shared variables shared variable shared_initialised_util : boolean := false; shared variable shared_msg_id_panel : t_msg_id_panel := C_MSG_ID_PANEL_DEFAULT; shared variable shared_log_file_name_is_set : boolean := false; shared variable shared_alert_file_name_is_set : boolean := false; shared variable shared_warned_time_stamp_trunc : boolean := false; shared variable shared_alert_attention : t_alert_attention:= C_DEFAULT_ALERT_ATTENTION; shared variable shared_stop_limit : t_alert_counters := C_DEFAULT_STOP_LIMIT; shared variable shared_log_hdr_for_waveview : string(1 to C_LOG_HDR_FOR_WAVEVIEW_WIDTH); shared variable shared_current_log_hdr : t_current_log_hdr; shared variable shared_seed1 : positive; shared variable shared_seed2 : positive; shared variable shared_flag_array : t_sync_flag_record_array := (others => C_SYNC_FLAG_DEFAULT); shared variable protected_semaphore : t_protected_semaphore; shared variable protected_broadcast_semaphore : t_protected_semaphore; shared variable protected_response_semaphore : t_protected_semaphore; shared variable shared_uvvm_status : t_uvvm_status := C_UVVM_STATUS_DEFAULT; -- Global signals signal global_trigger : std_logic := 'L'; signal global_barrier : std_logic := 'X'; end package global_signals_and_shared_variables_pkg;
mit
2b45241147a5df60e239325590bb35f2
0.608878
4.519747
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/cmpy_v6_0/hdl/cmpy_4_mult18_lut.vhd
3
45,124
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block j0xukJkuvU9cnh9Ns4q68r1Oh3p6U6rgVqEl9iRd/S7isAB+4Q/Fa3ZNKOMtCjoOAzbaM/ZLF9wh YVnVEGq6ag== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QECHdHpwZJa0hVyLUEa5n9h/FgLrBu3Pp2qgakZcEcza9R9C3Oc/ypC4lDtsCoysiXeMo93zyM4H RMEtKLRQ20EXzZE1uyPn2+JK7B8ghx1u6L9u7jHhjNcZNKdLCNbVVzpTBAhYV248Owbc9LqiuTCC DFErRxtty85893sQ9e4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QVVUrvs1s8HFd+m6qVDZtvz6R0CvjOb5tR4W7X8uJbWjdUVqkjHyGGREl50GErEzeD3lchNT+lJ3 dxz1ing2SlJRZ7CHp295gEwK4bUMlrS5JaVot/nOoqeCYaU/HNaMrLW5He41DLML/cuyC2nfTpAi C7LEy67+x6K3rdx8yAUU7g6ncm8VaMZ73SxKVcMiWe7nWUTEK+2rkuguzvQf+niH4fi/7gCgUHbW +2NEY/OUM9CwSMUSixhwxH80Fl9Lsva+IKOGP6DvDz1TwI5BZrHnWsZau64T68WuyJkVhq94C5kY 4QvV3xUWypIK7wmWgzy0HvVinbrq8HIrtziakw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J0I6R3wjyJWBrr69Zz4LWorTE5+Xdk4rfdRtWPn8GWcUwWr0PGdUBxA7g9Y11/UFCzRfZEL4PBvs cmv7cQMiBiXIpBxruuhUFboGq4cGQA7cqNP0UWp66lgtwCHUTteNkXaO8zqH97vx6anK+1Gljm9X L9v910BLWhSOT4cXQYg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gfXRgsKLKvJiK3aXsGjVN8qTTP52aUMi7SpQAxNwhAGysdnAUfLLDJByJPVP+Duv0Uen069GzRsj p/KKSidodij1axVXD1BQsPY0xx+HIrL6H9AK1id7f/C8/4nT5DbS2pQcsWpQ5+R8ehIAfXfuCuvs tESVGQchtoZdkluNLCQuRlOlQDjthS+im9v3SgDnjBYWQPHwaXTBL5LxRoefqK/HGM7YbZu8wfhU XdDtIQ+eDmPJHRD3hQrtukNp2+7CcCGbgyozvfgujjbguutkzsj2Rc//puXOSqRGLcD9J3N/nC/2 b55mSDUwQQIXoc+TWHxpx9QilT38RZVCrJweoA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31664) `protect data_block vAS9F/WHDZ1uDgGn+s/VZonV2IpZsLGCQE6RafWp7Ee8J5JZERAz+Rkz4beFALpm3mxXlqTouJgL L5vNEjn1bS7jLxmdKsFaA2MRbrDeSnlZtTE2NVzlnWRiKra+bjmsRs30nfPwCHnBc0X5orw8C22q XZssMYl41qlNrgZj0wYtMurrAQDYErOPwRPmdE0UZ9FRmXISWROplkcfZmBAH9CGLzrKWlWzPDTI HvuxI26qkFCT5BjS8QG/iHHXv4aop6pft0BN1uPoCmxEV+BjdF8rPO2NYF7o7KC7ek1g0JHrrbjI Z6ETEs8XQvAmKN31aDucJfg6Neezjt/k/za9lCd5aMKvv2mtV8HprNifOFHFQwJtwpjpinCWBBuX 5xrAIFjwwwW43JdZadaaADkP4kkVFovNUF0CtFxEUYi049ax0Nv0imwDvFwMlN/i66tISLb2EECY rUFn6CNtdqi1hoODFhgykmYROi6OCZ09ccr6gUS8/EtdA9gNqgu2rBDHBpkwkQgr007CzrKDx1N1 gJqVr37I8/7g0K2rciZGdgYZFhAdSKVashZS7aVykDzU20GHdVMZu7hwTvsbZFgdNjHwjUqKYW1K vmFVgKJYsLAzUPZege2U3Wx6xMjEN5u5GDuz1mhKiiKEA61JR2HIlOm6xeFx2oMHIyp6HvV1N+54 CT5aI4jfHdDgnKEni9nCzvF9f7nMknuWaPX9dNE2w4ttDskXMdPaiT5pbZjuN5TGdjC0y25cjV/2 FXjzRB1fTN7kM2cFGJE6btr3c63GxcaB5qYLvkz3F6FQHpbsy9tTBwbidQ/Qfn9s9DmEUufq6oW2 tT85u0UqN/Q+nF7XYZWu45GdIePD1TUr7UGUfmlIPixY8PYy8S7Qx1Txjp5A44L+F2eVGTDBkRa9 +bGyscx4vRsUHZgVw0PtnU48siXv9XWrrbt2Eqw+WlqpXMBPHjFfF8Bj5NgRU8Hh7JAKQ8NwFGET Ex/ZwbMtDZoaR/2NdmLSpaiOA1v9MEKpf+5Zw3BkPI94QKpk/uTON1KNaTuzA1my5XQDRL9ddHGm a21hSMDFGGoSaBS+Qx3/Uy4O7fugvGEFJwvK/xEw2pvzmeGMrmXEb9zXUDOYOKQEhoEzzS2zt150 qsa8f2ReXxDhNfnPkKzqVIscafXh6tA/23fYL6bvB1A6Am6Qp56+KoUTqwcZYZM2/USh3c6gwSJp VNazvsxky4Jbz/0fGa4RtbfhGUqffDLK2XW/t5OL9geXeV3hv6JD+uk7wvzz26gX3ScCkUpc6F7B Vr8DMDd/3xNXttHPbui2Z0z4P5bj8grwgPE0J/p+FP9MiginPupVfWgmRp1Rm5pUZ6hZWASH0cmx 2DXJBRiBtWtpf+zTggr64v6sHe6GZ8E9Oe2wcm/0479v6jJ2rIagNInejmlCsJc2FWvNVh/7AzPq TanKV3Thg16I2aV3A9jEKDophV0Dzt90b/wTbV6t4K6bbGShyQu/Xfn8axQjq3hd+TbFVXjUXf+M zKkH5Bzn79hwPw7eGWigErXeR0bZzjpyjDGFk5PXmpkLMPr7sd+lWZxhvln+QKMtmF6o21J7XXhG tMdn9cZCfaEZtjmp/kaP3f0781gDoty/KA4nCcaXkF3wGDvVc8mNTGDyY28XJoxEaMMWLzrxd/un cNhZ9zmfJOsklKsP2a6QetS6celrU2FGuRaNf17qEjyAMVsLdNndwfMmCFFHNnNZan2apRdxY0IQ 2dxI2iXpYgnA2fk4mNYhIQ+HDbCUnqr+F+MPLpM22TWbw8XIbhMqoGEyH6KpWe9nBEBlz1zq9igY 213eQI5yb+qwSMpOwLcbX2jg6o5wJNjffkmgiIdblb+oaYygePd2KDUf3L150sKJcm4WEBK/KFW2 KKUJL6NEZl0hzr8DQsav//rnBm7QFCwoNitkpSeEkY4SRSaiYpMwOSsl26TJIqEmsDOhPWZjabFc f7HfleM3MpCkPRlhnjkEVHHIi7OfWVZovZ7C71LBb0I5wUm6zwIiTihI3IVwx94fHqY19eEL34TG UJLsT/rz2hbWwtnRDNfIg3cR3srHnOtN03KLmpPQJ0bw3meis6eLJiVbVNir08HtnC7QCiF+XOrE dIuhGnDB9OwJAT31F6LC2nsAFjsYOfTj8w0eDj4dHlkrLL9vDzyQOnrsKG+JLdR0QZrzV40Ynhfm DgUvY6jeGxhgabrpazOkwhL4nUkMbVgp5eGn8DoPJAarR93Htte9Hc6OCQyOU9DS6UXbinoCOkP8 0wQSbVfn/d20Ci+RKsp4kiqjs05FHvmsl4+n+lCp2MRQJAmgrcJgWGvOGMnv404TcAxJ5AWyNIcr LjAXChymMRzBMCs+G1IcJuMqkiweZ9+BzeE7FlvKajJG8j0I+vdVABLrhdMKQ0k88JuBA1pEwgXC +mQ7jX4lAeN+NX6L2aAWKIvHVdOoPoY777lnBdT4U7AVyOJqRKoN9iQXo3cx6fRyd0X35Hd6yEf6 DvTiHmmyZO5FWcUUDe6xLItDK8hoLC/HACAasDjortWg5wYtqKhFbUwAyw46w8DxCvM9B0y1Tqi8 iI5aR2O8v66XwD8QHlEXRvlcBsdNyL96klGFxshEQ4AeuCpoT/5yiFutU5cDc65TtPdVmEbpva2E RrIdgVFG/rbQkmNnwGEBdtKz6fRGus1k30WJrhz4qpTz8YdiudCURRcYbNLl2LeVVrdZyKRFLQ5k vSVDIZJGJVqqWCukKniHrrL+v7iu9vbJpdAshAdLlAXKx4sBk1HkF61HNj7spQjkJ82y99dwRVa2 JzHITxZnNGZK73MRrwD2gM6FKZ4cLl0L04u4y264TBx9kJ+m/qz+jrefUnAbqFXhu/bhxkx9CU2n wxUgzwbE94B071sfd0oCPygFFkg5mddtPGPNzL2NYwtspOk89bzrXwCFxoIjC+QjMYWRXIvTNhRh hNHQd3bTJ+jm8yvazteYRt5x6I+0SisvZg9LI+gWV/ksqcVAdOv45a3Cbdh0RYoGRxJf6AFiMZ15 Cg3MIdehxLOj2qQjJZbXRs4YBYtz+ux0LHj5t+hCdXD+HvwxDjmHldNCeR1jj91yBShAPmlDjrkL 2jEQQFVgc/qkWFbjWFAfJgT7gHWW7b91LrYDAR21siVyYPpJPUIZHV5eB0+gOye3qD1Nod1A7llQ nZYKGlMObTQasVMfzJEiQAfMui+HOb3+z156XaECWIcHaf/wHnIy4OBsiIthAZ9zO9FjIqDNp/U+ O/zTIzg6W3Ulnas1Le59rgQcyUtSsT+oly/yxZJ+zZXstQE9AJhd4+TxAEyOcUPQzVds3jlrTApT LysLO/ql4UjMNLK/e6wGrVEVy7DgW2rfs+I9/wmQQ/RaRrdi5AttmTnOrUcWQVvR3jVreb/fgsMq 8fBWz6JcyR+ljdTsKRzcxh/rP20zvq0Sibw2Itt1swCpwwijJ3+SXb9PDdvsUMsuXEsR1dFF+CRO vV4DKZsJC7zGnDLmQ/BaEQHfM+567IRNqAVWs2+5i1zxkERMjJqpQbuYBE4ja/kZnSq8+n8JRq8V LMO5ohz5joZegKouldVe8UYm+97zX7mdSExDsr7B1gg0Wdcj/NoVeG46uKXOe5u9kgQd4Jl5OGqw W6jTdC+OoTfWXmLagYC0RqjHo1cqaq6SrrVWtIJbfJIZYsV0ABH4Va/ydu8gFUFpzqTbG92lbAN9 i7uf9+5S7FweBiU/faFNsQarGzP/sPeQ2uO0zwpmzgFaPb9dtxm05cDTMIeaU9X0/YgtH8+NayTN PNTX5uxkDTgeDokkeEmF6n2SiRHxqDFXB2va6Q5r0doJon/Qg7RJv5PbqmTTtTY3JAWkAllxVCXY IRSv3zs+Fke2Q0Fgu2N3ZR9YsJuPw05UpxOL0CI2stUonJb9m36XqOOb3ECYajqDbvjkQft7RcEP +oEgU3UrpaULisI26ylawsT97kucvlAWzvwIz6y9REvRA1ODqgXcvWmTUYOenLiAGCbPJQmBViPE zJu+ew/DcafPMLogwK/46BQm3d0NJHbRy3EXrx56xae/BGJK/kss/H6TtxRSIJaHuyYaQCehzmNq B6394vQldt1t9u81cGp+Nwid1D7ryEbpHk4bmbY7Oyihy1JY8HVA66g+ta78uECQQ4dgwuImQDUc MMhKl7dx/aMFPRd3BtuaA3PA9FJmP8XgNwG7bN+1+Z653M1GTfkMulcd1t1Knc82tioDfytOiQlL Uu6zbKVpY88vb29T25rwGBzBjZvSXOpMadMAot80zBKm1bVIHLETLYh+oy1mVsdXP+bxVuhk0IGC z2cJzjag4wn5MIan9yzcW7mqsTn8+XvNLwP2MnbKc7aHlb+edr12O/wkhY3bg3u7jL6Xjb/LysbY TdhBYds7bzqWfpePfXTfo7IzwqYLOrrIeKpYcPn3RO+/RLLVyDi6SSIOCZN96eCGNkg0ujK1t2Q2 bnn4rWtPSRgCJB6SCyEmShmGs+X2M+zfq3UkBprNPqrvt1Q5BBrVN+X+YuEeVIXZ7XjeLC9G32tT JyE+6we10CLB7n2SeKUi8uKN6PFIb5m3/QrDPH+zL/9+qOCUNmT1h3xr/CqLchw7ByqdZqNzP9Gr 6DCC75JYbBNAfn4o6gKht2TVYu6u93MIi57z+HxSHAXX0NGW+K16djvwWSZA7mH0q3HkDIJ74uGX uybhKmJuTreQQSJJLbKm8JycGql3myNRHYxe1ldAZtO/tnN5RDUKEnPT2aBMBe/qTS9mwg9L/889 MEGIdoBBIFOcwqpJpeCRhYr9/I+pHENEA0bSuAzvv33340z/2FNTQmUWlTRrUwwfnfALHlTjxReb RqOv4m+DSfa4WxPzZ/zqkTTrid0CM/yqCmaGNqxj/STq+QDdieaH8MJAZI0bfi2yIWEHO66NxQy+ 86x+aVymnkac4m/Gklohew7Rfmi4xDd+g9QgMhs7rVyvajPEwJE2L5E2MNwvh4SEFLTPFDzFf+Fu n4kDmJFV+ckv20PmvXSbnf9kg3H2pCGQbc+5Tuf7U6p/dwKpa39evdEw3d/GxrpStjRhel0eLnbq NSfDJoK58IcOankYfjFsNALQkSEmvUp451ug7lhKIWuLYdxxL2WuBxzUc8/lege+raF7Oysf+W2c GlluZ/2Xn0owufPv94RLmqoTTkno2LcZ3NhLzUZ0/UC7WqkRmr+EBzRANOaBO3JDSXz0mmqkn1pG Ebu9757ABg2mFx8s9lObMAoxWfEe9NdHZT0KAQS7WGpST/pQ7ScblhlffRrYrm2f+4ZUKPKEzdGI jqSxpj1O5yRDHPhW4o4rL490HEYA0engdRTSZrLs9eNuA1pagEB+Nzokzc4HHtFVO00pXzsmyRlM IwlDQ8XV/T17noZPpNQtL31x415OQhX0vmfffxYqsPz/HGecGf0+9W6PrmHOHY+eUjet8bqX7JbR P73QKEdwmpKPYtXq3wdpfa70/WQcGzQGrHFF+e+orCJg/2qK5RlMBXNHIWFnhiOg11StypmIOrRS JmX1REhGYIpn2s2gufaBRjLotI+pQZq1i1ViOYfnUHZkXwVfq5D0Pg/gLPhkmrSlPlFP29Cfk5h2 FbiPCy73wqkOHQ5h1YoMVAygdwgbXuiAvVNsj6Uz7f6/oZEtOes90/PYTb73dmarQNIoRX9XmbeA vZgZbKyA+Liw6OnJEpnEqrvHesNSCOwxLYCTvzWKVwYkWlIwxXiG4gRCJoCSUpllw3/DK+x5IK4e AtdcxcC+brJ69jLhONRa4vuPWAD9yDk10D2ZKkkC3MvDlwe+Z5k1M6jK1uZsi6Lt30Eht5iSM1im IY9kjXyUI9XUOq3wTPf4Ac4aBMeIoCD6u2NcBjtS4cr/IqA77RyNDT+jvw/wbntJ+mX5vObGtEke LheEXl1VkVpa9/fjY0h3nFDMPONNODL5loBTFEuJcCuJJU2pdFKSFEDnAOgj9OPZGS+5/pML1IrK G74NI8E9+L5qH5AZJYW0q9GIjBSCkDjgCaaKfxwFn4WVZj1F41hkmbU+C3N1m1kmP1z8zSn7L3xZ V/FI1M1JMuQKR7UG3VftKLfUw/f6S+YL8bjWbnRzSQoyi6uaBxofFTKrh5/pR+2FKF/R9beyDWnu kDyTtiTgb8ghz9UplX1zylEJ846iK+ltQ+Q0QBKMA1wbWgTA31fPDXO1q4Mid3e1DNh3tR+/a4P6 XLfTfZ84mzGCxWHMwCcOZ6QzgCtbh1JfzDkh2uSjgORvPwJYD2Hxf/hx2qwBzZwUosvwwami8EpQ Sy+9Z6iDcVdCyssLXd5afhGQwdoi2jBkgzWqsPCUEkyMniDin+TfQ424NABGhERMqMcMtyxkfJyt iBKdGmo0g89XrcMHEjFTQn7Qc8PREcpXAYn3vTzoIyG6gbu8aUxcAMfIQL7lk+Hmff3fpT525elA vMVVoMp0ZPmXZu+l9VlFKbwLqNM0Sn9HFmAe+R43QCWxHe72YSthLdjCdJnxbzr1HXgaphlOyL7g iEyvKjqZwUFeNSGOlpLB5FhjskjLJuqeOiGhDkpDGLor+PPHgx5L28/Aa6uWiGTcmaVHthTSRnyu BluSI99n2d85JcjXsJxCm+UduoSiYMHYflL4Y0HIwMPKe5ZJWo8lGVhqW8mxu6H7qNNMjCULnVIc C3gxfYBavaY+1mU3NYu+AWZIbNDQU1OL992HevCml2IuOplvlWIMbH7GIBBsUuwsjk16GShS1wWb lZZ2GSYQcaM0C2QNLGqlwG725LKLMYAuyeuaaXJadNhO3K4a9jIn6UWvyF8D4WbjEXt3qNgV5fxX H+0P3lK5aaF4WXbCcxUGsiQ0ric8AIiEvcLb9aYWqW9gG0iZRmVnYVWC+82XGyV4yG7Q3YwO6IPj UeSGkZGW1PTL95yh7fFZxUAZobBw97b9aETiEmb1E/gi2DKSlE8IettTao8o/4q2fpbCyUKte1bo doL2vBUqzhxpu4EG9AsdbY2v/4M+SFQ0dfvTCqjETXQKWK9/EmibWivhXYJiCIcftV9NPYdvx55c ZILItkf1L5TNP1mZ+hDMyKyWJ+rfpctOhRLZuydmEjn0wAxReQe7rVm8nqSYMVAJgshEiLJLKeDp 6rUBUU/UrGGbhLoNQfhUx2N8pthEWnzkTulGEcKkX+SAcqoM5pT+vfgMmKp8txZzsCCzuIBZFdgS R6W+bUT50ArFseS9tARyohKKNYsvW0TLlS8vL7KoFYfj88io9ndH509iv4H+p+EY6FPEPrG0UD7e /+ELYpJXSmzD/QVlWnAZOmjzOAAUmYvhNJr8B5y5DFc1zvgzRZJbHkDbH8XA0FfGB0yuvkDm4kgJ l7/km3QVp5/KmcCsLO3iYKfvsc/em2DfH0Q9z7VEc1BsciZdcdnQq8CJCHNOR2jsuItSamwynzyo G0KZN63bVe73yVlYY6zF421ZYLsQbMwS9fUdCL4kXHXyehF/H7U3aCI6PnrQCR49ut7b2u4XTpau r8WHO3uBNK9YFD25VhEr8LGK8wmKSa5RbOc6NpH0bE9t1cqFedtfa0npxRB/R8KPFqiBZsz76EHi sILv4GW4OuzhqTXypZ6RfqtXEouzh6baF1h/b6BSmkj1U4IKoSh8EYKXiha6fkK07182PPu08Ey+ xYI4+OKQQu3kFdw+LivB0kIrBfuEmsowWH+jjwjD4SWNN41eKhA2VIrWhM+4VviCV0pRZ3hODctw /TqfIdwMrhBWymECdK3lrcXma0LY2qRzH1KW9FLE1VMp8nsW8k7TImFFkpKEzhOJcXklKg1yGk6Y kTgGcLwHnOAvuQrUj5os3iasjJTfuD2Bo5yXkgHl8rJuiLp0O/wBaIVV52hUMZbQXz6YrBOFY0Dq Q6c9LzeZMj709ECY4leoQen/AUfROGMj+ZtDg0OGwznE82KJ2uaA/Kc8RptmaviwsqqyHbkypidi cd8jeAqnIcAQTTmhaKLcrEtl5CSd07VyWhRAObJR9KFhJA1Y9iQ0BgzmUio2hrkuOLfKJeTgFPxL wAb4dviXYuxJu2K8UzafQU8o0G7a5JauwNKJcRLNsemZAUuGmyNRvMW1gWwHTonQjcddHhD8hn3P l232SpDH1luIx4hJtmkkvp4PB6ZysRa3p94Xe9hLkLfU28IvSNFhvDS9YLVIFe4zrU3+pVqXNxl2 CbSIs1TU96CXUw6KjH5LJTEQMhVJZ6PcHip3E33Hczl1Q4or6HYRUixWv+Q99bucC1UHFiCek9w+ NWrf5QxKArt/um1fJayeFOaU0KlGwpXdm2nKz9rjr06wXRE0jtXfNaVz5kCn2U8h3g/1nx4J2JxG cvz4Hc0qfmh0kTHNOKhrfa/4kJXT3RLZFH6BHbYU3I7thbq8V2+Dtc3Zs4sFABvr/56D9VGWb32b fn/3Tlk6yLb8blz5qmEMCi1J3UM638LbGbS67CZInU9HApjG1Rbd0ARo+5OS7cGhmWYIsSVYL0K3 hNo97d7ZL6WzbI9FQNVtYKwUE2IGg21BodJJRwN8lWMy7PVpGFtkfd8ocuCi23EaEVAhyaHP6cuo OSdji0kx7nUbBhwS45oau9ho02V9vCJyHkdBMFlvJAE6BrzCchQaiY8CSsllt8bDanbDMs9CLKEX PBQFz1PChFO2HzEU/H5SIJfyN+m/g30uMtub5mohFXNJUkWTyQeXXsEqNJGLQR3q+7a/fPjefLZt wkpuCXPeq47V76G0la5KdcS3LuS9lJc9hJFuGK/P6eeIEEbS3phtL9mstm82ttQK0L3kcdF02HPR TpnqcQTNqcZhbukUWQVF5hi7WQWeF+tl8cRfVUu+H1q3TE3sN9W27gPY2nsg2KzmTK560hO57Z3y H4i0lo4sRc0hW9jRzOKvWG4eWpudiktAsWwQRM8f/G11h/XLs7PoQWTL7Aj4jobtqBqWbVpKzLM1 By85wWhwA+KVZ4v9LHbnG2wU4kzMF65lH0WBpUh8jxrknRCTwby9e47JsMPNcaVxasAw/rh9hL5U YAZYm9eBqtnHEAe5oZlwN+RFSf72vGO3LCoVUIpdpzdJhRITyNf+dn+HeYVllq5ppnsXvEO6vRbl RfU3lRC/2f+H1RKmE7qXtp4oK2VGVCpVoRzbPc/9P1lRtDwm443S80YUyDPeqCuB8junk+rcUpgk XHq9WlWLH8ohP41Im6yoQivRkOOZpn8wL6F0ol7sDUh4kG8qociQc6bLASOrehUaMHkeUbfYIikQ FoofDJPT0YcCBRV28kcgyPxwYHYm/zpOR8NmeK8F/Xt1ONKFXVVlV0gDlwzLlTU27SwEsArU1Tjy zbjCM3vvU9Zz/sRiaKDnQPcsMutpOyYCzi/lwv1WNIbtcI0JJ2UbWIr2Lsfwko8ciYAAarIwSdof M9YOj/lovzgaODMWdyb0IbosXPRWQYt0AcL5CQvgLtJ8O+IiDkyntypjlHNxe2xdFZkzqJhTm8yH /7jvLS5HwY+0CKLiudB/5B7FZGs4LnR80EQVTZJBVY7sK5Jy9ZWfF3PSpbY/6vQOObDywDRX+dap S8zl+nW6BPCLjy5q8iy0r08stTE2sABdeHHxvTMi1NuMBeHg03QHfC8nJ5jJenyQEXPXyvP9iAPb /dh1r/IcELyBwYpF+WADgCdciVlbMuCE+JA2wE332KLISxpojLZAXUlcaUgPkWWe48v7shlLpA84 hA6YPdW6yir9qmFrhSRDOaUC6R6/H5w+RanChIokDPfpKGuWlCYDYdaNjxm0duh0XYeHeMxZGiNS 2XZk2LKcLyMo4b3lLu9PWvOd3K+yB69A6saWd3uMIooCC4TVyx9u0eThVu+Krp4N+E6jI7MBBpgZ tdX5TYfWmWF8IX1U2o9HvsRZEbfpHCAxVMULOKK6ikiCukt94F2effaNlEPRcZ+XNr3EA3gFGTgh Sg/Oaf15PZmomOYHfaSHVm5AR+VN+78dCFWLI77VeUf8f80scUEPic9VjPU5tzbLH39gc/NO8166 EgwR/NnslykSXissq3yqTTDc0kXi6J+NMXrY+XFtsXo+6LKbpZAw28RP3VKTpHSevbSZ/TxSMZgW PXP7jHj/DmwbkeVFQefLeeJP67SlvM1JOhvBjWaA5NFCmoDcymXck46nzZC5/0WsdOd/sAMIVg+3 UJQrN7ScuwiLGudVB01pQprHcyl7oE2/Be9tZ0OjCr2BzHJ89RbOakMaen0FF/2BEyurfFuotu3O +AIhNzwaWgIzQyzzny3FBRO8q+plZd3VxHNdOBeSe4CIf2XEUCNhOQibHN8Y1c37U8hl2SQWzUWX +1cOR61Tb2lpkqjAMPvDQmCceJaG+hnrGkxqvCCxIEJBzsqav5/wEUgJDXbojbjvxugZ7y4HwblZ uu6qzYveK9q8Ct9NCWFobpWpISa4AlnHoQ0cJDY63C7oFi3z6gxC5dVBsMyeWoMrvA8npUx5xgY+ nil7W6dlmOuObkIlRsx6iuE8UeEnzo6QzboJ9IjOUEbPkanz0BKNUNh3Nm+GDkZUWKKxFFZi1JUV PE9Yengt97/ucBC9yJ0vpMu0QJLjRTnJcNZzVqqr+4r8AH7OxA63kna0XgNJgwQK6ZT5VA4PiFHd maK+ujBsHDvr6avSrJZ6SYEY1anRzSQvcv8Gq04LnlRJmc/qiM721Cl8UvIK2ripeHdQk+OzZXti XKGEtY5rW/agv/gql+YDxnPmaHGWKwoE+WdDzuE/xz2ZsLVyvjQoBTlb1hoiJzGGe6ZO/qbsIAfH V2DDeg7StHNg9uA8Amf1UJbf4y6WzwBCs56JMS1xi7/MlhN7GXdiE122FIkyXSYFoH3HMHjVF+qc LzyXMItAAd0DkGsAQy8eGMAHGsO77QUMeEM0Ca8xqlRVNgsk3cuJ9Up91zdGAJvzXD0p7kH5uucD jOOI/IqGnrMw6vOjrEIg2qcBklKL3K1a5m/BbfT+rdeXi44YLAolkzbe32bya9MvujNf6Xz0Ey1r MZ+LuXhQuH+ufqruRfADLxehBUang/RK8DsKbZgAVmhZk+TA2z6Hsx9FonBKNrtRWusgOcwMXtKh /qYC0/YMbk7PBd6s3qRgFE0BudCP9rGP/JuVgiemb5ib6lRaMqRKdxPi3XykBn5olNL7dY4ByAc0 bFnIZ0OnMRsOHu2r9YjPuZE2ZCQLx5P1uAW7fPQIpXcch2xZVFwuERhX26v6FULb9R3iZUHj7OPZ aoHJJ7QHLTVQUSz1Snmq0xJttaIOXwAG8LEuvUgAgdPS+dK4osf2lubBoRBn+xeHGy2TmAefJgDG KcjWJQi0S93MKULmr7P3dBsmhuZvuatbFG9v0RdZn1+Up+307SkpQ2Y/n+FgMD03cwhddc3QoWgp 33WBeyOQBP1r7oOEIUe4Ex9PWWsowrTZlTyXlmVX4FL03vdccI77D4xVyKuwaQWxdTVTPzYWDbfz bhXZFNaGTS2ZJ+RtIPRuMI7pGGyR7J2cyhKo9ZbqohoGBW9mmab36l/3XoH5t9IkjEusMAe1XK0Z gSDY63f4MS7AbI6L9HXKAoc29u1qIVP6V6EhaKANT0VkC7G7SjbZyyulyfF90smzRw16jMwXhHEe AYTSeOnZU/oCWKiZXDp/0jVDyKovdGvrkJssE+0pqDhvB4IdV0B9Yz0JUj14PC1kIAjiLgk1NbLg EeRWXqijxSUx0oVmIv+SC8rNxCUuf9T5rQYGHeCbvjYhBw06Dblh3FlO0O0yGvaLPI2vfMOzfjrg qJCOPqZm7cKj+MAbdVcHCuJuX4QSCylViwHHbH48tcWKuWhRJNejWOqer8MagLRAWknW+Hc2g020 4JbYKa+y0FbOD62oU6N0BanMaG/ohmoB2D7SEQVzFFCryvQLhZr5NEgvhCkEdPbzDvc9b+Fgh/CZ 1XC0ohSK3M3ZkTnpqWo5xaYrldxZo15LRRFhdy5x2Ltr0DqK3BBL22ty0WVtuKgmPgBUoX4lnf4X Um9Fbq9PCT8fLzHkOg5Ks3Xe8VCzP79wawobzqwQAYy8Oy2/piCvmicDJVG/A0a6I9s7Kgt+0zVK nz++ga8WFcdfuz4DS2amBvk41B9pGzhmQKCuAobqll5ElQ/XmWFgoxpFqAq89upyPUPgtrJ55QVK wFuw5Q4cDFYR7kZ1PejUqfkNeoSrjKnvCYvcpGzunFnNoOEyrYHELC+5jcMtXNSWzlDc/wt3LVVi KTWRLEaNNLF1naOYw07diY1TxxAnmT05CeF3gjnlcPYiHvmvsyYA2l19BPmCJyoh3RgBkuPTNDGD w0mlXjJ3W0jK/8OukjQrJRK0INZezodA8Sr/VHoWfjJ8oR66wK3rgqN7k++bvK87U5o3/TJWC5DM onSWBieQU2Ypk6ZNdphiCbCETeGepZliWQiX0YINi5m64XApoaufN001RM9ovMeBdIPTEpAd1xMY r2nvGoMpAZyOpB2XoC59gL344XTzx6G0diGXlwpT43KMBpTkqZt7LWsXAhsyXbO5zdkOFBk0kSuu K3MgKAR8g2pRBcJRW5TpUNGFA0v63Qxk72qLPvp3ySA/VcXX7B4fD68c40gpgOe60ebfFgMTmQx0 GRJQZMYYgS1V1WGW/y70JSEXp03eC7By99ng6vDzjnnhUmvdouImNuB8lxreBZR/qrSAB65aeRaB 3VaITWmhXqeU6KOTGwluaDPOLxbYrkkEAFDfz1PtUAjLcV63JQYA9Ib3obgLJ6NxYMil3tqQcnGo t2DKp0Q1iJ2luAAvWt7Yb84TztSivS2a87UPfPEGfVRwie80gj+0/ZPDNrudX3xNIKbNkBBoNAFO 6dtfWDd5chawMldbOPzFiuyqPRs9+tbneeVjLNix8fwtI87v4yjL32zJRB3HypkNwk8Ph1cG0LaL YMEkcxWINKHoo6ECsiejzw8WuSUs9I4kMCRabKiy4BfBZ9mwoaxZQqDQp7UigvLbrP6/5zR+HD/G 3ptIVGo5dR8Kv9mNK5j9kMmFT/SVSqp5D8k49mDa9AS/Bv27MJRz/dUPkS7r3eo6zqEdWb+UMm2H Y5M0rVdUIvG4vF4Ia//hp8NNaOavT1uGRmLyQ/l3RgrPy9Vqq5qFRRJzx5RyiHA5p08lcBuB3rja ry6e/ticuxYYy+m/BsQzKa4F7U72UTurN7BInCc22G8bdSmmgTryVoFAzqGfQ454L1LUoeGh2+uC GOg/jr8oHxuRCuCqYsAULSqeL1s4K16Xu6xJdGEOeFZdafW/9zF85zoHqkUBjx6C5WisGAKxYjGB oRyQ5z493TylDUZQSM2GXefE8NpO5QzjAAYlJm+dyl6AQEzMp+wviRP4msgTDEIad8jiF3Bd7scT 63LihOCG8T5ihIBu/r62PvUZ1X0aK4dXZJ3KLB9fjhFdTplxNc4yJuXzBO2QAnr1beyfTE1IOeQ7 E2saMZ5aw9D/J7hwhhFezLraw4c+rjTr5qm7GyfXil0/GXr/wwlx38qrBl6qebSJn7HzJOc44VKa +xq5MHK4Wd8Tj+pjeJqp2eN3JrJeEe3Zg3eDMpvWadJW8Cmlyg6a3q+O27d9iWwSFQO6ggL08nHp YMRz8VKF4gTr+xCT5gsqhsSxI/wFz6G2Lih7RsDS/JMxnRMUxF2bKIaXuOw1TEA1QXRZP5zidzt0 IuYbYGZxmGoeB0Cvfz02zhVffDll0ilMh50rXIWkH2bMnnjcfOY6k+NqWMOJUAvvhFmUeMVBDxEb Il1IIuJP+T5SLHbbzl94rE7pA3SPHW4aPCSwwolBrbr5fJEaJE3v1sEWQKvX7LmWBBvBA4mVzKDM nM8FpsVPty0VQKcssNcW8NtazqTWvP61dHeUv9PHRMFJKCtgdsOFfPxljWQv+K3dV9W85o4bq8Ez Es2BW9zxc0LTQ3ntcOi/1Dpsnd3jKggrB/UTXh0xdMwFj5iNQzH8dqycgZMWLAshYd72YLnOW+yJ SzarWP3Y3p65+vE96jHnwbJ6W2bRjoz/mTs2RQdx4/dgdtosIg979pH3g8lgdyyvwuMAqhA0kLqo /7yv1rYafLTg36Xs928wxOdvuKesKFHR3H4ox9JiHaLKMwIs78JCNyxzhHB3p+PunOPHp74m/Ct4 2S/fj63Ga1ux6Fntbybnhek6q6tk/M11Hb6bvo15V+9GXYPBy4eKiZxuroS3+2SGXQ4kwtspybtP 90pzLcqGC8zVgXd5CfEwrdsXbsN1hC9D7/X25InR0NDC6D0U5oVOXvR9IRtxyj4pK5XFnhGSKIeq 9sCJmS7IMI1mZXM5ThNu068FGDUxaeyljMHyhZj3aJIN722aoC+b1B3FQUYmIDBYiBqEkAm7KvBp a1ynpSUvbTrqXpGCcZYafRH9uhCxdcD40IX0PSyD/dxf9WrUQIVyJPvlQcxVaEvKf2cs5iA9Kcs5 pvBFu5B88HAozr7ZB8iPMVhUEk4vbGy4cyqnUGNeD9mtpHSMX1wff1sZqbI7WTgwccMdVq/JOEzW kGbn1r6JaOerY2I/0TgmTn1hexmWGfxUvqCi+iD6QJPW35LlnQMD6i6kip4n+f2z74rWqmIrnama BmHOlm+OzB7cxaiOC0LvPg8wsNASxZmHg/tI6o7HkSO+WwWu2cirzvIc5flDVuUDZYFZD2qplBXF ZO9LW+BFkCHhrepPCHA8g6Tnk7riLuWf2vLV7XUR1Agsj97Us1aJ6fjtm7JUCg6at1SH13CIK43Z j0aMRJpaeCGq2KLgDcdjH3NZOYUO1kxJ26AzIGJbMXy09gpawuTwcj0KFdOTYH56v3Foopp10Ke0 6EhRXd9Ib+Qgp4Y7cS7XOfP0E+/XTx1Y8h6jLVWTiPV+Worf4gqqU8IVleGFHYfapEMFB8Z5SkKW 8Mx3d9Vw7/EoszLMUU6y6R9bLd5Ex9PovVxis7RrXoEx5G1zST7Wj1XOO8rXf2LsLlv0sct+G975 +Xj3AcNI2WRMq2hwcYENPyYtXYrBXVxhZe9U1237P4g7z0X8Kkudpc94cgI0iM3MmMjNj2wCKQYR DhpQpBGOT94QifjX/6eKHDYQ/dHQe8W4MErSF8FEec+UirQzMhaHtX/QJZDyGuEhvNUNm42tHmaj LIhSiGwsIXgfvXtULC9xOP1t2rjtdOJtl1xRuaXvuAHpOwO5SPO3hB5etOCPS3sALtmcFoHxOCmi sli/vOPtkUv/FOQhjkNDN+biGwXSmBMk3PtaSZdz4TC4yUy2kQpPJ2VZH0vCepp+QUHsKTsqi6s6 ber7w2q3k37gqqp/cIbMtE0BwSUR9K7VqA8j1Hn5QLr7j+lAU+RmOErWGo4xB1o7Cf9kCAvG4ev7 kSeeHlHO6HOzwDm5ub39j6MoEAtbhsE1XI1roRshwNam38lHtETR+dKCTEj36akbvg+RGbcEX/4u m69NqTIIF7hQypBplaZxICe3SxXhRA3777RZn+X/6vihEj4No3mOZ3/HSkFk0KMjSYMu5UJwTHrg jplXU8XkUp21SlblvdqU1AxTNes/th5l5XjICXw6qMMgLEfcOyYQloNx81ZO9jfZv2JQGz7r6bEX 9aGXw4w68aHiz3XLTkRKUOkWawh15qliuXpEkg+eMHW481O+xGf0/PGqMw5Sc4fmoSesz52ZYZxx HgTE3kMZxusuYkS3AmE6GWfuKz6HZyEExjIw6m0ddtHz/y9gUhV5e4OcQ4HNJguiGoKkRVzf9QXW FsYbdxHv6ujRSni1cU5Sg6atqE21gzbc7kylsHcy1DNeyxXauH5HaEvYQUGbCmRMBU6bgeRvj57j gLOueR9wjHNhfj54uEU1tRUjXjXDAFqWht7Fqfzx7BuKpmS0QofOdy2xvSdG4TQqhUGqE67buc8C weDKHejBJv7KiOpWJozaOUehWxQyVSn5TNYz/bupLaebl25mEs9hCfOBuarPXkcsRbOHdbfdNtbb G92vZoEpBrNEi1G7x+MtYBmo8028fE3XYX6lKdA+wki0/gnIz8z8oiwb/zSljpdJOZjI4Q2sLlPf +udyqofk9/YhiIttH2YXzdkC9GR+HE8u/dNIxO6vwknnhUvhrakTOqaRkKSlE8wWuFfS/o3INWkZ sveCzy3lqbS0Lm1DSCu96Vvaj1JGqezE6W6nXFlIxFfxu4VD+1srGxnmYpEgxoF+/l2TsQTFwHpx EWkcZPYQbfDTscBdrNDvmw6pWIMf1hBgJ6OkuyLjVPBO/uV0qc0ZGBBcKmF4dN+WGJXsfJ3tVa74 kEoM4hXg1RXukSrEUPLmuoR2UJif+mu0xIn9jCs1Hyu2bP6JYc24eEUI+gBlyMFf4Rg4JNIJlumc 2kCUDcDoa/NqeHUPnhI/UXPIdP2ZlvVMloGs/xg/iBVyOnN55m+sqNCinUlhZuKGAKh9VSz8bCht ZPNy/x+5sFdBoXRQkNMs1UnWbDxhkcm4su7VB3xoOexd+snt/NVZYzAi2JmTH1oEb7QTRl/YDat0 0QJHY/hmU7zMqN9lBqBTz7hMQo0X77gH8tpfkJkVcvda8chKwFqgZ+Z4t/Cxk8c0EXHDTVNdzw5d Rf9A5qVsMB1C3YGEHCivZQOY55mogwO7QsGB3luPfFOSr7Tdw5S6LZboWMeYOLwPd5fCLYDVQ7ZW p7d26YK9bWRMZlCOUoApI+CsjYTOXJEN8S3jp9NuavV3paTCW03CdcdnFohPtGIhgGeg+4hdwn2k TLjgKtf2n1uIcO88THYR4ULrpyEaGfI8Z6gLUU4mMGVvQv4dyEbql/LgRqlOooEzf9jqqevxsOAn 3amDSsfneEfCRPom2pLm1W6S/rjocG87gQ6G2LKD968SDthLaGjOyqcvUJzb9FU1BdcRIX2FNzgb d88a6NVBu2IzNIXZXqNRgVEInp5m3a/Yf13Aw7VoW/AJurLv0MhwWQF5NbjE/LXFjmmCOE7jneai 7YD4Z0S25aHk2Fe59AnbvanOeXG9AVEr82QyPXvs/j/rq9T5E8GCdm0K38mIXEG/fxbNRnc183Jn bfpucTd2fqvdEZ1YSMUdKKk3BZApmAgVNpUGZSjKbuUbG9Yaye4I1+GBBTh1r71jgS1clrOJf/DH 42noWxnmGTSPkSyk1KPhyZJm+lFj+brwlekKfQMotrYq8hhFazcw14e1u0s/MXWgya8jAbe0Emg/ Yhr3VyAnr4lMdbEp7oeG9aD4lmF1REH++E6POhQck5lVK02WAZb9+alT3cctMeHrmDZqQStLWeBA P1Xvb2UTTbZ2k+XWBmLeQkj8AqM6fsEWS+BrNK72Or2/BotiuY7pi2Iqpmyd3+fS5rbuoEg0VZo4 Fv0yBIPqG/M0YywAkR7855WIIUhQpUikPkrTFZced9SLB5VleUQoFmBMNvCHOfmkuwDh663IXPyf Q8OdIhv+H60eDrC5/a3E0ME2XPj0CUbeOaD4dZp8NuC6TiyedXtA6bgEHWmjnfFphHFUQ4Oxz3l2 Am/xBu4kjynH8DS9N1fFnlOfYudh8pnxY99pyW0fwKElNgvVg0kds4CrBmFgmLezonrTHA6NHpuD 66QzHTazhDo5+v959j+7Eo0sN25/A4C4hUTvjOjDADp5HNyus+cpTAnBJhic5N+Sc7nvX4/xvBWY VESoIDBLc2jmawaAP68xUXFVq/5Fae81C5gKqYRv3kXgOCviPJyiWh5xseTK2il48CJvfXlD+I3J nAAlNi2mBcWFmR/Q9Ova9whdpsqCZL25xmLkgMIQqrrTG08K9c3RuEMIoaU1nCugYRaiL3hgTm8J yQsyVEBZ4bXTr2QRBGCGn/4ColBhh/qZVptvwBYZbjsWkFdD2WNWKmUoII+zwqYnwvyAb8SvTaZF Yevl3Sd+znXQv+6FiRmSsC/mB4gNvqnmzAY07K4drJSRbIuiTN/XJGuf73vQr0e758RC6LTG1Ntk W/T949u8teLZSAeFUFPGjUB0Ellh3PURBiG8Hbd5Gbai25rNONcS6QyUs/FblI/viUkAJktkA2Ry zRCNWrBqZmNWPOqxBR4phv6Z9Ostq7k6OjiFj4na3vEcHowUpUcxdClIeFSsm7rChnhCyuZYnChE 0Hg13Xhiz6elKPrF0CT6i6A4E6dGbQjCpLF83Wot6F4vmAgBunAJLtNv30iQKTwHxBFbKyRvVJCa rPKn0Bxz5bml8IE7juH1cLhdWk42mRj2BbejDePAijE/4ro6KJIqwXursrWYvnHnXXHSLwPAN2P0 i5CghyMEi7TsINtUBuw87s8PSMOOKeav10c8ItIoAFnjloTuHPc79RrF8wYQ0naOlJBe13suVClM jMBvBbjXT7yDoBmPx2xbfcYdFTmaJ0VTOLbpSH+rX1UOc2mZAAlq2qJNNijWueeMPOna5PwDg0H+ T/cFbRzAwZQ5l3wlrNP7/iPp4yvvoxTfqDKq5+TsI07iwQKGO4hRRI2ghgFn+7nPQHX73Krvvsu+ 1e+3RMhGHf5hi5baOvUv+54xhfra4UrGeZGM1VLjXnltXQ+jODIQt7L/53cMtWU4pbqO8uNjuRpx GKmstZG8W5DMUHejDXs0zXMLH147D7Y5VbzU7Y1XVOHjZIeKyFdxYaro6ND9YtnsdsmPbC50fl15 9W64Aeyol/gqsA0hCeFdnn0HkCYK4KoztbeewhZySa6EYLOtldFT1qJSUbNtWw3empzsKkM/Y6J6 DxU/89oA7L5yb4DJ6p+HlBTevMKKeoF2lSv3iGQWSJ71VBBazDjmIjaRPbErNhGXUxUUrHNhylZV JCVp6tBaBWFAOAuDeUIvWOIB8edyJbpDSvM9n29Z6ThxZREqF6+0HeDtMjp5CH4azxIHJlt2iweI 4hQGwxGkrhM6JHOw0sQ+QMIBqJ0/9e5JKl1bGDoZcLK0ezy7VCoeNnyls0BydOyb+k7VDnR6DcUw sKl89zRjKExfUtIvWP7pRKexYhMx8NKKufGSR4wX3b6ZYFEPDvnLYt3vdbly/LhS4Jeh7Q5Yit8s w+/GAcPjdU4MHt+Rdyd4vX3nrkciw32pGtt+MZDzrOzSTWHm7Yxd0CZWhv67rpjADz1S6nr/W7Zh 5jYjcgsEPpDm3U85pG6iIVGTc0WNM236tRbmzQpKVub8860sooZaTz38c4w+tjn3PxyFSJJbVddI We2aDdbr8vQWqmVmO4bJNMmpUJ7eDdHFVImTI2umPh69B5zPxDaK6910jmYjEyAhE2xVM8prK8f9 NhNhFGUtog5P65soe0duDX8ngLv5XaYwibaB3sAO1EvpT8q6DPeKjU0UOJmT92opBaeGuIopu2p5 Pddle2jpfvN6C6jzpkzAXStdAvljLknuhxOnmULMThOwFaSo3ZuS874OBbcjz4AFMRRYRzH2Baij YpFpyca6kgicFtM71rcoa1oM88iXD0ksQG91Dp1oO7qm8HPOIbzgIL4NojNwuxLo1rCV0yvYreTF SRC0m71BpCZRt1sTxxm6CDYIJZYAjZHEONIAeBUrTMGWj2Ew/A4c4RVzp41xln3xP6aTcT7nlaKJ 09VjvRl9+R1n00I7a/uJZndloXwCBeUIihJwxXHejTaJerKtE0Yu+l5MKM7Fc4Db4J6nGs4jPkqU 6fOcKVEAbkPG2ztWYgz2pNxGF4BBiw7zHlB2IikZgdYWQIof6kI1hC0Ge1pjTrZ89FHIyzaCTf0Q bgnDrbFjKLQRgh4kPggQzMcVtydd3cTMcZ/dKuEX2M1sSGbqLENqeWLjwcD4w2OnlTD1F2/xlbkA 5qk/2Dl1Fm3lUrjF0EDQGGByisd1aJ+JY4wJ8TnCmzJbzQoiKxeRHfzbThCNtacRvPEhOqnR66hs FjmpvDYPtBwUR22pNq1jNgSn3ee86iIXZk6ElSCZse2l7wArD4OShnAifhnWWhjsG45g77qUzRu3 ulV+HGMaYl7bIsssJKH4N6YKP/KQrIlQnRnCGbhEyviiehxX1QxCdik/rVbgeOjcPK6lEODac3/4 L7EE2XitLA2PfdJqzxmOaEQm1IhYyX6B5aegy2cyE4qPVsFvw9EjB1PgLGPAGndrCtLcObMNk7AW B//zCSnT5lFjATFmI8janpiV3kfDxN5qgZmGSQSa8hYrtZhhZYNk1bhWBmm0S4BA2pf1F6+xpniI 62Z1lFF+ZPkTWbv84CkMel60eAu9CM1phg1yhQLlD3Tdx6iQqN43MaJg2wnjJ8D6EwsNkglE8OC0 1fo2sxGLb2RZmUxTcu2FwTib5mhkCQAUJKvur4EhawauuUuQeJgHvwR9VD08dIiwRGSEyz3nwFGQ QOsPOE6JmXHzOzm9umaVb75xOSKbrQfJVRdiMrB4RcW8qHFBXB6f/1Y0717DCqcU7oKYPNDtmk+B X5bn+AkvLbdN9mZEJaGJQUTG1FWzBMkSoGPZn6DkngHLQVgohUAT79W8nJ5iNCZ68fxFTdBPM149 bspAtWRb7N2TL9My5qPhjOBYS6zZGafGNT8aLRJ0vbXLJaFA382IvCpgvPfUAsez9fsHku1vmxWb Li5QGoVgCg/6C3diyJLTxrqOIYhFHpwT9nRj/kVLqnLv1SrK5fnneGpxkspBpdkeuvH1kGPbOsyH fR64VyKeMmjvlhgBbspikDmYcyBSkjia68yM9v6uJVA81loQVmltXQwxtinNi1xnoxkJ0p4GDAGU j7/dysxiSdRVH3neeWNh3jvB7qJwKMGO31x+9kMWm2hkXBm/oSMesg1A11+n2/jLjjfh3MRAyVlR EDjYkpmvYUGPVw1ld16htbC8wmDvquF7G64Gc1SNbFlZ2GzWJOybWO+Tny+JVmK/PE5wT87M4XoO VRlajl3USXxsXOyOw0JWwNQTruXomuxRQnFROH2rWhmjbALuy1ugidOT/yQRxRbJq6tyvgYqKP2h mfvscSxH6LPN/iGQKnwP0EIbP2WPtSUr31Lc4ZtQMrQlkD9UhJ3Do8GLnsxzi1wa2EiV1NUYz0uR 74x9YRKMI9CJBfnTUPxF6hM4BhGi/kWQn4vyDNcyY9gLYa6SJeaurSQcIjgFXBI5Ld0dxXTAmkU9 l20vnf36srqgn7dhZSQXDaMbRKUp16oLNPX4l0ht1gOp+rsbJanyr3ZjhMcrGI7F/UVri12foK0p 957KFdWygkZLwNYKLNRnDiHPvn86M65PKHHHHObf0lhJlgpQj/qLCvfb6svlnksXR4hGYVNg2Tr4 M6NtYld8WFhfdomdv3A1+3h/gbc5D9EJOmjyr8Ie80a9CniKcpS2On/XTcLpkpjS6KMbjJWYfht1 tRLjeKaM8EWTDBU1B1rOMIZJhB/9vh+EB9o7bsi0JeBeyhK3M75ydPzxxLaK4y4NlfhUL8559OXw Jh6dQTEs5u+zZRlwhhf29uANLwBzw5rRiDggMYIDr+JtJ1jTh7Vf/PNChxQg/S7fafptPDgSgGD7 RxXH6rLuEGwSjmFDMYUTjTx1dio2KwUi1ISL7tE/R3TjImlkiEOUNG2pL42sJRCgPzH94EIX4Rui NEDWegngr0hEvUB+1HwpukWvsHuc967XYK3wWSR3mOwG9RLjZ5GeRETM2/c+3rWjMCWRAnv6CHHN FrF0M0eo0UG+I5+VDJ4Ao1ksYfQYTyhVUT3bFBayB6R4lg0Q3QzTVeZqkZp7TCMK4cExlMjDuMkd tOhEMxHv18muCqIrvACXqX1+vTo5bqMPWFi1SYZhOHyV+ICub0147QEsrf5p7redJNRGZTt5+YEe 3AZvyl96gBpLcCakLMCgFDs6+zNswcD2xoKhI81hktX643E1g3bISOCAGN7jQD9ZUQRFOi0Ch807 rwK07AhoaYM6aJ4uYHs5cjCnDeg26pgHnaCc4Y4q9h2nAuHPG/tGZHhOwJyOo9wQz4S5hFMP1hrn bfgkGIJj0UE2co7evRAyzksDraYaEvcCyDM+FvDwa99AB5xEiJD/bPhxkfEwugs8vzJux+88dtYY +Qg2Z7HWlHjkYuaBh3OlVb/cHl9LFCuQxS1xmsEfy9g6n+GK2+l0uHLVL162m5UcBGuCvDb90uci 2OZzWkPpHHBBXaR81GsiS03kSPInyxEyU2mxlPFNUjW+/XaMO/W7bQnbJLBqk7gaaWFzHE4rqieW mjkhR3si707OGVav6Gixo8wljRrrUpRYFMbSB7Wq77u2kiZYd198cpDeu3CgA4oIBjFOA5qDmZuu VtmWXL0rd81LHaFWV8AZJLJ67RMaxgmOAp77VNHxudlroy7MSmkLE0ns5X/OgB851xcPwFr3fGZZ 78nZ/Pjf2s90+x1DGksgrNUM03fSLSRqodUtQCbrt1YbKE8xth/U3SwBUVRjCZw4kWtCq9brFu8O hjXC3Vd6XbFMDAePapBUOhxeDxCHmarlt0hp27E+5gw69C3tGghHt+9d3FObbDYDOkJ1BpODD5AH alKVT4h0WkYWiwpoQTEpempnXBoDijl76OmE8ehJJlp+/3RUE/EesCgnIXblEzaTbXo8rbnMvw1Q R8o/yj2X94A4Pg5nTRkTxZBD4VAkXzauA+bWHxTLdIziZUug4n6bsOI4498FOf0n1hiWeqe0YHNb HzmT9Bl8zQTq8dda8jqrxOL08EQNcUVVXWjgY35gln3KXZNVpIw42XQsV9EN8Zd/zCcpJaA8klB8 E9Alde4lJNQcr5QI9b9HClEs/XMDuvr7PHKJZIPw73xWjGA+1Cn3NbakUJpkuqYVDONqm6RmBiDa +D7JTE+91lKARDWjpXuBEGbbq02Z/lWAfVzJZpZ8+kZ6+em4RZZ5arpNd4T5wpdTdrvh7wHgzEPm yzW3KIGcx3i30ch5QABCg0QU7PUNLhYDWMIECOh17JIeb+HPsT2rUGJAe/hHxEt4qtXlmHDPJG+A uiacN0vf62N1IXDLm+M5v3J5XVONzVXDFHK5j90dLU5lOw99/7C448iQwEPSQxPAf0fLLRil3bPO tTklQIrW/V+FMdyEXxDzrgd28VtymWo1wXOedE5O9YuVD0jIaKEtu6dBgxMrf1fhfVZ8Lv8xAR7M n00o494xUGgGLk0bfwmZl7NHUp581sAUr742NaylewCEOzYyBaPuB3xCI6r7VTMEzLnTdMXH0JMd tLaExYlXmx+OWSSR3JYRT9n+41j+phuKaK2+5XwfnGdXHOr0FKop6RhGmpeOX8DTKf0WQXnYm1SV EaoVPouqX/mKDKVsM55RJt0K++JGgRCRY5kXsQEyEoEp3YNVRgRogPkVPwZhdLK02f+y5bx0Krzg kH73HOlgqY7kiPuyMy0TkjcALwJ0cfn5qBvygRKWnChV5HocJJDWcNnGwLlYsDdjqNuw6YTwB51X 6KC4nyB6dce/mpUTD25MyYaquigWjGS+pTS6gOad6iokJyIs4pDqNoyUuOXNQoFDyB+iwN83DQQO IL9GNyNXRJX3xFh/W+Im+VsgkXN5tXZPL1G4lxNeBN+v1MmZ5FKlIonL1+hii5wuEJaK7izUjK16 UuMYDlnNRDbyWOl5FpaFgTxkH8xHeerpkjHn6pxLlOWLqZ9DCwOhmc1wMIgwtDeYW11HVnMvp8ai YmeK3hH+AiAqiIpL8eusOm6dkTY+jd2GWn5rlnOqLSuM9rn3PDlMI1CWBudsa69MWPtzokBpmmFn 2TDxwJWEt4apxsgRHwzOVZt1LeOl/hL/21w4A3fOZ3rKuPOP0rX2wgUI1isqV+v+oslxCaf4xYU2 BMjViAFzGpMuMW1nU9ZoBZeYJeeX7gJBgHVwzYKI+FxOJKSvj8smVprRYLlf1pFIITa5LNeTveyS mSLdWfK4GhgiuFX6p9UlgLM38N8OSM8hgHmehyMUl2Ba/4LnIbK5xkuDoboR498wG9CJYWEUYGrC vDV4xJUhaEyfFUIth8JD/YohKlnkOh9rRXNdPqIFjOqWO/C5xwGnEdwyDJCR3PxHxNF87XIqbOGU /BsgQF+wP3CsiIj6gNCebdOaDL7ZnHplDRUViQB93iW9QUuqt77k3rzFedm+7/L92PUg5tGU/SCk uDoxSOD9czEDKOt27QU/kumwNGd9mCdDTWmpXGPyP0EOFN+QI/Nmxi/k03QsYQPAX70bgxro1T4C E1jyvaPlXnkQ9my9w9z5NMVr88EGEU7PpP5VMGwbxjGNNHN9eu2NSCaCiQgoH4p4XkDkXKzzCCxL bEfule5pMbbJHvaiHdfzpDauSS5xXUTwzcAo/wTuqlHHybX0KAsVTVW7onXgeAhyzzKT4PyRQNxw XgF4xDaupWDaGS/6GCghTRPUMdkG7tx5Qrl6afgK0dCEWdCdRGWxgDYiCYPapS6LYkdehBBND+hG WoKDLClfRPpdrKPqA7RHRTL9JxU7XOSfdW69wFijrhsXTRdyC9ZJEQ+wsdTcMX1X1pTSG9q8dnGC pNzo2HRVeMd3g1UDuqAUtrp/ny77LJHvPDsJbTcrpDEFfqOWQvxkr/59wi35P8n856u4LJZqXByI vd6Xvr8v3AhARJfr60c39kezqiyu3qrMpprZFzwh98qwdK0B5pLDGHpSekctmDSWjD4r9mJveD71 WUaxma9Rts9QoTFLE3lLnyeExVWhCkUC2dfVGG2R/yfvgKtGzFecQv4/3jy1asgKkqGYX+jiEQfx VrWpbdgBVZxGqUi2zXz3FT5iXHyOEXdmg5fO9pfFTT4BKClH8Iw3oi4/YVmPGwOyG+oTdsSGiAxy e50dmJOB8BsY7d0rTsINhjZEZv3coJaV6VOxvTdOcjs2s2Cml1AdNRt1yUpVt9Kzo5zZV3W8cgKL En8+PlOfw4ZtTmBKwEAFJ1wpXAuZh5GLFwNAdMzuQ7TplCQ7316h1u/+TCMJ0k6nJ44Ua+yadhcQ 1zOyf9k4mhuiFEEcpkKy6n3S5WMEsctioYEYIEFGcetlZiO8qBdihrfzvYVxFFO3p5fKITu+HU6D zi8eRcVURigXi9CBy7BrYQkZlfAxm7O5tFEapD8VOjQN8uB+rYbKSyqzam9xnQOqIYam7oT5L4Ru 0GZ/JGTalqxJV6Jutk6DX3IEB9Ri+Yqpr5G2yMka1PNckvF3AtJNiKptf4MS2J70yEAKyFPvwbKt WEKdAzRcf+vLOlbhJX8QQOHErO6067kNvXpquBwY86MkJPXa3Hqd5UEBL1MVnGRgdFx228JXzxpY wKlIXD7bcEPJYY5nupPQ3pNRnDC22j7IIhwdSWHi2CydjFFjMcqz9XWDrWgvG94KtNfLQCE7f/zQ hR91WmtvNx7UzFnZ8OZ2Vec6/jvua5DpOTeXdr4Q9t04Rk9RwTMJloqKTXAc8umQYz+q0Jm8pctd kzUKvl1HocAldlzB569mFOtV/BdzLMNcrYeWCMVIaMrD1GpQNuQMNpQNj3/8DpFHrE2pRfU+7gh5 n/QsGyPVD7ZZ+lvgkxuUDeOBJqIrpTqjRaJA8koQADeCI8vj4bz73ZTd6RmbUf/UndeUMfEZ5pf8 n5xqe24JtgFYBjLqd+TOZxUDaHmrgSuHiBrUq9jU3c9uiW8gzfDJAv9qeX1/jk9TZgVXHy6VIl1d a83Tm6b7OMrOjaElnB2wzVw7bfuAgL/dYOFe3LCz8ojv8sBZko6yGghr4pNK7eLv84H7ToQUqj2j m4vrd8mcJbHqNbMgaYAQNxLeSt6z6DP+75v0d/VfNXK7wMAEugDV+aBUr1n7HdpsRV00PkjsscOp pVmT4jZ0kdF/ttj8Oj4zRrQ2hbtosRoxTZW86kim6zHbwab8HXQ6qMo6nit+NJwEqNzi8OqAMuat L0CHNaoShV3mAJwWr9Ki11jUWhJNjLz3Vn7jmJOdOwwmVM8e5YP/rkzswKT6nBYa9djHXsgheHDQ 1oUcB6Xxt5nvqzg4VTYjlYrgPRV7VY5USO9AqqPADc4HvnSkhXquZf4/6cXD/VXuFG5q2ujQP0Zq GIzenyNpQ/IRhDMSLG4gSvIVwjkvdk6sfcODjZ7LKguRIY9F6x6p4sjMEJmBD3KvCPimWBbR/R7R TWNOI3Fi92rAhgWGm8qU9DtLpzM5Lj5EtF8ogk/IK3chfbUbPY4FMQ8TUX2JGR0lCVp0CczXL+8g +3aAUrUjg2dyc867ZyKeJ9Rf9a0EZrAHuzflWGVl7jA/jjD5Y8BIUWCFz7MJY7uNtHyylXW0q6jk 0Wu70FpG5+XDm6xQAGtk3BLyQCiAEHt70HsF0u5Rb9+e/sBMb2H6p3vy0k31by9enwja7SVxaZex HMqri0EzGHmpUZqhSYSe9+GHkr3O6eHdcMqgLH2xI2CzVhG3zqvCxoEnefVgBFPJgLVayiyygKhx s0uw0wISoWXaFC07bWBarz7ENLwwlJZC92MFj6mQWDOGWCDu9i/3y9xVIyt8xOYXxd3i7CsasPn4 if70ON+OHIHD5Ma1qeOCCD9Nex5aosat5briBbKxxUJYf9NhFGo25K1SFSz3wjoCnzOLhaVAUt3o 6uKbwEhOIg2YEIq0WqIktl8Co1Q14vhLWk1ctmihHtV5N/aVF5FM4S6N3cBat3f7RCZ/Ljk0Pqzo PdabCe6Gz15/bYDidKcjg9DXmpCOo64DrmqOIFjo993CzkpWm28w2M+Win0qRvMgAV5iF9lGsGje CQSlW6ZHM0uMapcZJ1Nko2sIEH6TExJnP5wfsPoxtMCTBDGqIoyxaSbUX7RYb4y/NqVqlLgcS/3T nzhYslOM4qE/TVoL5NoTRc607CE1diyGG08rlZh6tHjSr80nsFs48MLqt5DgAdnUK34ig1D/jhF9 lmbPIxoKah9KAfPLmgUUTXs+q56WaG6TNWEGi9py+IQ9rgyEvBkRM2ODyK36i/iCJtaeZ2s3Zrg1 8YuavRKQGTJ2XP5dK5Vq3mSc+xk1KpcjqaHFpwCZAy5PjbUMuILpPpJG8epqVeOFSqB8rMBIrfnV lJ7T4s1Gyfu75IxBweKqq6d95yGJqh/quZWOgjWyy5LKwRHT/n0trSJGCdu5NIgHr8y830vmykpY j64bZZERPW07wP8QO6B6OAD6qf3M7+cfC5SqVfdkKZsfc5KMBj+NCawHU3Y0ibnCmp8gbLONh6/N P6MrmkaxLqkXFG4DetDqac+DrTKU9zFJKYM19MG0Kg9hP7HOy6eii7BPrIHcMThYyhrVjCG2ulrH CLtbPjVxPqWB+A1mmv6OhHzZKue5IaCV+278K5/jud2QN6q3ijuG85jSHyoFAJ22zDAMMPujCh9p f7UAtPU4DtGHGloMZMLeA+U4j2ILZBp9vg0ckKkAdqmut7LSQO64o+9gqpEc2Y3HESkCi/hs/Bnc MNp0/tbgeYwok63y6s/+24IMniiWM4ofErj7D6CuZrlXQdCJzr/sAkos26up9Fw90ZFJq0XvbCME 3hI4vKRHzD8N3zk5d/mG8hlxm2VUy22ds076sFX3D2A9MVcyIwPsiD1DUeKP5IW7EPSvBCwbyKea 52Yv6FiT6qfJFEzRaMO0tj6unRgM92AAI2S4aY64lj723aaKqBOrR4UM+sQTEapF8PoFHYaBUXJX dmYafxVUXuSQ94paUIwhM00aVWNxwn1ZFZ/dytcGQmGQW5tOTxoTu68ET3dOLHJvkFd4p5zzfJ8U /3cb0ThBHGZBGu5yCOpSpUVprAfqLJkkSjncHNQN0Qaa9dPJRk0/RUDnimWVKidSKCWyRDgJ3cTG 5Zm2fTNFVev6CuAXRJW5H2/w9m+ijb3QZxoBprfxegEtICcLi81IFmngTRpBNCaP6q95u2qx6+6R /mVkzg/1Hyfj39QhRePRgG1Dj/q5qh3dmOjJcZF0mmrDpNL4FaVOZshcb/m6Gr4sKQB6sol1rqHf fjD+LrPEM5ar9DADnVdGB7JPgZClpt9WKe7X+K2fwSqqnvDpdyh1Rg7bJqqw86pEfc5JFyhbChtT rD02dAAjFFCohFWS+DWb7N9+WjG/Vf662lbUX8iRSfH6raBZBvtXjsdjw2NS21IsXH5va0X+y66n F7Dk4G6bga8jo5AnKAn3Eua1c+GmycG4ztsazA3Ez1lk1gwtkqLhYuJte1aSnw2Evjh+TkbSx8i6 w8SfCpNtc6H3HWruggGAMbau2B880iHbf7SKjdBbmXTROKiAZLmOXULdwMFD1WBKigkqoCkKzGUd Llrp7o1G9fTmw0gkdLiDYIe3tC+FOaQah4BxcieznH5AS/osoQk+ckAhuCzHjBTD+hTcpeXnEB/2 I/tJuZnhFKlJ74+0zpV/pgInUrMf0yZeBd/ZBEhhrpV/Nx1rXGwRQRGeydCdMn2eIhf+JV1t6d23 npOaFYR1WGMXxqIMks99g84OwVhaDD7amXqhIKl3IODF0bCTZhUH/IRg2yBSMfHPuigCn5qOIE/F Jj30XCbQAR35IjYUJzqJc72kwrSzTJdFEY2tzWq1A8JEejgDVCTmhIKAnjbI22j7m12qE7r1zz20 GpW05s4Ey2Kvmp6DSu1lws8CpTkRadP/X5O8szAYKc8c1aX+kHJmijkbbz9qRfxtIZQ26njGO4+a 9iyNRbdpb0yjrPQKNJsEsEEWiqTJjwHLnYWn6wgItZfkN8EkT8f0Uc/jqGDHdx9dF/o/0+Aqnyjn joJnsl9z7wD5V8uC/xYLxgbr4OMiDdu1agds+pFFCCFv8nmGgsiIW93nBue9NuAAUYei7LPeBjpi xWRtzDrpL5anq3Ywty/scx2MRq0d7FlYDOWZbw97z0K+hvcz+mzY66r8A+jwfEGecFYDmmylemEX db2s/inHVmFT4wVFGRJXVcAHhUuevqsR1w2rsi6TadzaNQIBwNkYaMXjPy6SisviVqr77uZUf/5o q0idiFoGnwjyMy5ASTgdZNFUKVucoPkELs0K4tO54dDr/sg3Ln3LqV7/Sthi45E8gF5vYKjIlvnh /30It8mLdOyM4q4AVo/2Enx0ewmIGz/RkfSWllhStkA7tE5HdyFdmWNjOjlGK8458yeIu3G2o0C9 I/GMmn/e0DgPlmvY88J8WN1yyCavn7j31WcVrTORz9N73oJmryztlcENA+rwB3xb/hgcQCgeToNg vWmN+UNAF7UgqDUfY1hAtRQspjHwWJRnmemfdhTQp8KfOUuEv7H8mrsco9oWxWDCPboyzWifWl2l Mw79dMK3xqw2KXbeVlLkn4rM77b+tMV6ziB1OkmWYNCTW1Eawf3rUDkgz/8Hhn2A5JFXVR1xVM+R iRPy3FUJIeBfl5bhOS/F6xkZY9JkFbeLMuy5NTyz1rd1nHIF9D9LP5uxtcrsknQXQXn5RVToAZCB cYLcrTH6vWsjOcvDJqDkPWn/PIrDMEMllK8ktPHo94eMWxMuQJG/9cuyJQYWhVFBkRKEyxq9h4tk 5+wpNJUa/MbwV15SumcjSpXLoenQUVuHuie7FyZJwM6MKRNJMVNJCKMFQvGkYHYsDJQqNLqCa90L cK+ui4rSO0cujqj0KBl3nVE//BZGl50/apO9u3MSouGSZ0FtgMdgxPSqcqeC8TRDT5jZiJpFM86U pnFVbv4hyRLWzPS3MSpa1jJDD7gVFl7hzdTiu2bbuxReXaaqvhV1KJ8DEOwa0mCEz9i1dBYN0mT5 1tzKfgpPlbxNQP4P1vBSI3qmarWmxPUftIFO90Hc5KjPKgeageKPr7nxOl481Sv1i8KWuz/Ix1ka rJj0L0n5LS3g+ZR1PzPgJeoiEfakMOuniCBFKkF8rKBDSJBclswBkq5xvccLjl/m3XhW0NmcCKME aO3pZ8AcGnvqK5BshvemVRlzcR1ZV5aGQZG1TI+vHTftwx8WPHJhZU6A7TFlbj4GohYaRQGLVpS1 JfbdjDBOUJgShNZp1dcrzjHcHcH/Rro31JSN0fqmj7tv+jbc/mwADYutSFejAL7a54qq9uMjNboD JpvgX07qVbiSgRM+j+wsgPe7uBL9VEUe2hz0PvYRrxr3hbo3v2Yug/VY7E4ZotrAxNRw6XKwXxmG nW8z50CDAeYinyuGefrfd+DVC/2XhEZJtvnpKEZzwpVVlNLmoJge+ZTQNsTz8ZgO36f9cTYMNA9h 1TppXRH7PPxJ9tZOhTv6icF9pJFpyy3YEBzbbWLSkt6+h54YfpYU9RLi4/jL2nJjO5rFlSIS1zSQ HzuNPKcwNWeF2uRz0SkaKId9YXetOIYiB3G5S1GRF3Hv9OS8T3EP7a+z/08vBfaLCs3/VsQwynxK HfSyhFBD9p2LsahjIDODjMvDqqMwGfHThqdLJ1jIi1UdvroEKIuQ7JenIcGPNS9Gi7DdvIiezyEc 0FhYWUqyKrz+mkXcNOD7mvd+oiAvQJnZGUtb+8LBg0rEJ9q9BYAaB4smr3i5PycWU7DuTHUX/Qfw Q+rsulc31fjhx2KjMlZt3WTS9fdDQh9Zs3kRbEzz0BGxaC8ifuxGiI7vqq+1l/fYo1eJXbZauB/y QAwG56FHK2yXxsiRDA7JU6+KSKHqQhyYyUu474Ccq4qwZ4UaqHSoJkhC89OEDhU+xNkz3GsJ1Rqk aYL/rdi+HsakdllkTuQu0tfMol2PUhMl5u+YrLo5tOIzVHAEKYE0F/PUCMlr1y/MkObNTdi8PZLH +tenPiBQPMzjZECsYntcfwdh1fD2uLs9JhFuLYrOZX6BO4EdDJJqm6tC8JoQXUBgPS02siQas1Z3 ChrXmb3fh/mKUHBLDGhP1/6ZjZOM2aRnFLzrvpVlFImlNCUKMMaCr741dWXs5id2cgbohRybVKw+ NJdkMmemHPqilt/LC5e6i814vi0EFCdY9inJoPH5ZNZMx63AzrUy9nRMvzAv06ApAai7urtCbf5S 6z7TTO225sYxBW9rglEclAFl51Ho+02K5CW5j5OudMEU/nJO+i1Wof57h0FQHtEFXobpKPZqhgbV 5Pvg0ZS8ceXmwHRJYyhFihCoOAjgAY9qbw3zHeXD7VFeehB1jWRtVWciSqqEgNZNl5WIdICadFQJ R2svhBWYs8FWDEr8Fq+sA5Tu+rDA4atttKv41KIWefvyQuX0UXv8OKSUn7lRYvKAp2l+B/fm6Qv3 z+pR7412VEX2xV6wLUui6bVM251bYMYvnTck/d3YDiAFVgnESNObhe/yYSL5wd9eveISTltdybm1 e0WMegpzS/qp9Cqb+26LShwKTWzcs+NQTPvRKWJnJGHhQ2JtKIkKfiC5pZBdvzTRQ6IL6o7VrOlS 1k039DmPfvwKaCu2aBqpyT7nvFCnXXUUm+wGuqKdfxkA9XTYkxAhvg2ThFqhFVRdK6f8qV3tNpJo 4/JttnSQTm2Cgs5/TuZmYXiIjLvgMPA7lQFmjSosaTNyqn/oJ7lcRiy/BOA471xe6sNKGVHC/pSv g9oCB2Js+F+W4mw1neuPIsmvsfRK5qawo4o8EiZ102goNWpShXWiECj11dVfNTrxDAvrBuvHbdrG Qx/Wk1lcCSMzuSmVh7HP55+nmfph36Sv/OuSInYYzc361OR/Xu9LPa53RlM8fI7OA/hH2M38QC/d kbH63kQvKx5tS7hoVk9pZ8Brr+XMFgkOF10DvrIunvtVBFBavkcB+0NaVxc8rF9hHoH2J1W30uW7 yapy9uR2H7/MBIgfq55DerdEGPIQRoju/t7o1dfj88lWvJ5Q6BBUHI5tbQRCp32bdvcxyiCELlUt L+YrqDUhBnBEffXor4oHEMT8iHomh+6DlK2xSkYsg+vhL+MrCC+P63D4gqqFNpMLBh2mCn/T4HjB n8/d5DfO1akb1dICn1woahhu6FW/pzZFCiZwP6VaDFs3baxU1D69Tfujax0NogGG9uyQ/z41iISj BBxJwoSQ2hgPCcR9JkLi/CBpRHpsGi/M+fq62+JQbs3g3h0jzWXXbsUuRUmvbHuf2yCZ63FYTvu7 yOPtqVqJzH52Owxz+neKe0sx61Ce0XuLg40U9PxD+l840mocWvD4PkUS3gp7luzmAWxdlZfZFcRa nA2gJT4RWMyyoJvvqDrAjEMp+yGJbEAu4AUBFlBDZqGd/NSMPDGjl+uq8RZw0Y6pH2EYPPXelGRP k2REnMcnuulu+5Mm/tens2jcFVhtGdj02SXSZdck+pivRrnvaf5LuhzECFsD0x6u+Z30zk60xNEc 34A2VQ4agutHpfCMN00RGd7Ua3Sg5F3cekR+w4bTQRtDb6mEefgap/cVthmwADtOkQbq0jdWkiSR nE+NVP+2OE26rMxom8VsIcrjU7dw0cqjUVt1YZnlVl+J9Y06TeBRAy8+pcOK+pC8rOty7XTi1h/v K4p2ITWCwiXCYCdP+YaMxDiI/2kyyoiPJCz9B/k3XrVF5SOiWNctfMJFYoyNXy7Ngd9ZawRuYvAZ /Ew2SMoJJv6uO5g8xLivCYYUxpN6yp74spQYrM6VZAl7fipYaTrkw3PyDyqzsa/85a15Ml0ioHgG v/djraodW2RS2ZuTx3n5Bb1E+Njw05lU7GtG5IcN4t+0Y7ndmRcYSGKp7JfK2AxkqKPRPVUdcsNY DUZPFsyw9q3RYt29JgO7/ErKCOuH2iOVkH3J7tJZcbRryz1aUULLQBMHKq9VZh5yk0+CVonWyWcA xISSh1TrmEFUvJAZVta+Nmudm6Rr/bdtuMal6FYuawgc+MPjgI+G6uO6Qfpyy8ACohij2GRwk2vz cvSM11VqYV8GjuhKEujsoP9WWsksw/zdEDzFdK8cYOYiOccNwSGdty3Teg+FbA/YQvPqMYHYW2mb vQTMd7PiLfcjSYWylszdqnal/ht5zYyKAM0/5r9ON+nkHYfkmj62JnGOL6DfizrIfU+YtU+FEFZi 7RJEmCWKhAJN2YapNDqoxGOshSY59D1tTeMnjuY93BfKAQSfRUhgkrvj1NnR40kIqKlWBB/4uitw YtFTurRiWvPCqRtPiWFyAWIj/S/ui3iuodhhZ+NbdOu5TL13xboLMscNbC6YFa7DUXgfjro+kwo0 VYfXOcRqjPNKpVPlwsJ8+1dlvtXuLi7McG1H3fRqqhT5qT57MzvNhnb8PDP3kIZB/KiZgwu9hlMO m1woKj0Yb+DoNP4IaJhHwCzEN4Uda1S+J2iQrENQAV4ng3kbnzFhmJ823vGeN+PA2gRwrqMRZTCW /7vkVGf+ZlOgq4rBVBGsGEUr13LKK7J7YgPI5VyN+LK1PBbO/nmg1QJ0ooc6x+8gniT6meKziMUx VN7dU2I4OYiNnIrb2vkXl+CSSoBvEOBqmeBDDF0VqkgD4Dc+uxG0WXkSrfQFMLlwW4k9+9K3VgD5 Pcxfx/97jP/DhXuF06HLcoOh1etsrc0bTE/qRTM51Ulwf7YoDVASWKTdPEbbqzsIEu/erWNIQaZO x3hMF/Iszplztj8/8oRVr8jHgP2NJpeJqxMmUwkZACq2Vgx8NW9R8mWiVAErxfftda5tF/yB1RWF gdLabjts7yrmYg4U0OiBgPjRjkhCVmL2GLWwCRHfc2A+vSgkvTR57PHF+Ut9LPLYS/5JkYUdomeD G58LjIF/LuSstFeBzyFFWfvOj7VCIp1S83liH4wj4Z5OGpGcEyxvklhpcVCIaj2uvuQq26nmZA+f N23YN1qb+FYE/zdgH5+T9H1+mrMlBCE442w0WwAK6iULmJ2vz4IR/uaiCU4F+Kv7r876ifMtUzvM rbAFHA/g7t7J3tHIAJDCJy58ClIMiKOLE8GLMc5nmAzSQZarulIIHNAe48ITjFBN6byonH5Z/zNE NN9e8/lKlTExZi6RTh82S2SLUttVcDERBX06H+faMVvkcD5oxTitEedjS9WZ+/VRvRchflAsRDtn auwJbm8SeghhYUQNmrEkZMuaTQIBX7EmxOnpMp73miNTaRK1Uy33wK15cpu1xfsVNrqyjmWugIGw vNg+cdUO38jal7UXSmEU4jQFK4W1lIeXsNqdQt2YMgQNQPlB3TwGoteXArW/NuDl/LapYa65cwIp fuJfoGyKKZ0hXmZ8f/VEUdc91V1kJEwJtHTUtG09GbCbkeM618A7wPLADXvhvpnCB41aBlWeXAN/ tMtzvDRD7rQjpYjEYAUTc2/raG/E6zjkQJsi7LG2N8cPoGZi+PhJUi2qV5vlcleQEeaKjGTQE1jS dZBaVcTi23y7bqsUrHncYi/ybfXANOYEiolHRsKxWyvJ7ly+50tl0lSs82Wiw5QQS7ave3ibQr01 Sh9wJfUr2HJ6aKwbA+4gZ+d0QfIZ/kkWvJUU72XGJgvR7RhWg4XAYtPGvhApVTWHTzkwGwnujlcM 8myUwMrcXLYAj+Lyii0rZqqblgvYHLSlPPjAJiF+HSG9Hn7TfizlVLroKXYX8knIVgVaSOH+VMGV BVPDHsiTGMglc98DYsmoJWv9wlDBEoHS82bwAASswV0Hm/rZHfnjAlV2XLpQjxAJhSzX0aSn3amH Rmvn7sPgANANJ/aemCNmB6aYr0Btk/naqNGAKI46sUl/XMQ2LS4j+h6bIcUY7zBS8A2JyIbMhk4e mWllkvf+WzG6Hf0bFbYz8q0HOh1e6Z2dukCHx5ofGE1xT4doMOym8w0rf5rlyLRxK4wdjawlwYEK 83Q5QWdZygzNFVCslvrNaeOHXEda7PQsJkbJIMtb/R4ZCJuOClqM86HZrOlTjjx1QKDkqpffMZey IPyQOD8i9m3JZOVhZ0sypocTUe48GNUijOYpVxLwLwB1osNVkBTLlg7FowKSFHw2NY1GVKspqNAr KuLrUYfNvMqEzi4xhmW0ygGa2+0PcGu5duPcsbIWYgTJ2Gm3/1uSqLFAk+vdkYF5YDcB8InCnjEj MJYnm7QESNPr0ICjEiVA2mpr8h0UDZbzJ8+vyBSNvgSK31uebwHsqNJG3G5JGxGqpD3qFfnbzSrr w6+iSZGHPqeN72fIIg+5VlOHPUI82OrIAiBFBbismhCNNEIgyDBfP2b6d5jVbPfPTjMTbOj9HGM5 LPc5FgEwNkvA29ikxS4C0tAevwHoBRc0e8fDS/UWOF1VmanB539AkZTkrFVjXig7Ssgw5Jn33Ltq VZFJp9aD76Zy4PjUCC3Nm7/Z3TUOSBuS8Bp9HBZGMWtLYTUt8nD75zCjZ3tZF/Qe4E19wNJblBIj KEVItRjrPQhUExmAdhevxi4Tle8svGfhJ1vFHxPlZzNm8AdP0YB59xgkW9t3Kum4cMpnUVx4jsZh 7s/euDmVfy7y29GbAV3PPMXOjZxkZZ7DyJ4cYscWkmK7epZHKmKN+/Lwbwv65uRIn6BgOasScz3B tudCZYi5PH16bP5sAoSMGPrzNOcDqjbGUl1ZeR3EDcEXZvlInF9i64wDlvkPhMEmnLJaf2x6e9HE lNGGYZ6Lo+W8ig6fVKXnWkFQe3qBLqcWvGcG/48/BSN8p9be3udpkfpORxvLehTndnQQwNs89eGH mJ2A0Bv/jsprSZP35K/nHiF53OFmONGhDEJMDyQwfDcrIowZibq27vZ964WBWk2KrRDUYuZoRHT1 EF97sZLRT41QEQ9QVLQ9AhEdHGcc7hx58917ZACn1vcx1uvpUBT+1Naz3uuAnfpMXmlILKOdc0ty OvrbHjLNfuISRxxY07EnknxtCVgGhCzv7G6Nh21uyRjGaPhb8Ph+9CiHm/XhvssomkBrXUN1B4AG Z38+mgjkB+x4QaVOoiUIE945yNoiFNUT+nTjGTIuE6FUhn2+3RU+Ok2nDz3p+yqojW+COlFDQefc K18zhjYeevmEbuJ8pcGmvY2ouRXBuEq6NGs7rsWttLoUdAkiEo7IX3ECJz8y7z2uYDg9yHIbs6BR bkrXqljvUOJsdPzV1I188POjyxm1aaA2mNn45UkbL6LkUvCvUofyxEtV1aewHCw12K683M7WSXg+ nR73Zzb8gLe1frbgBE1mpad6xVGrand8/GSmdrk1r7wlDXjNqAAST2req6y7iJWhw6Rqaz9wR2mD m+7dlQu+ZDgiDNL4he96ACR7BLF8vT9Awu6OJJWJLS9uueZbBEKfjhwhyP44OpGdUmVAE7NDPNra AmxxWuTqUidPATqpJ/3zjk5FFQwlHgRymJgHu/o+1PaN8f4fwYVuUPHhWlMCemC4QvFUy9DapX6J ou9ukD52Od8gSZm4WfqDrkQZSpnW67E1K9wv6eI9l8WPts4rJcDRk45QRJSLZhmwoXoBZRMv405Q ifzsKi0WRPffVxco8XFVIaCPpbNPgQm9+grHavAy090uV/Fx7MRASmDuJZf89Klai9HHj5OHJ7jc Wg8D1MwCYO3RurE11EwGnuEe1jTPQ7JJkPz2gD1sFGdgiaEsXLVTxQPs3zXU9yPcKPZaQKA1GVYH OrhtyWHUvM+Yxjr2EQZ3s1Z06jI536WjFaBheO0Nax5i7kE6iGs+q9yYbCuPQgrkk9ij1gBEvzhM JwpQbGjNa4TPI6rd+P9nkW6fOoi5+0yleO7feEUlWKQvBAajHfJ1MIPtNbEHbj6X4kPPVSaxS+D8 TKz0/Mbu36UFMNdF4MGwPnpfgBwpkmxFc99VWmLznAlUwSTJrqpJ83JZJI1FNZlKzXS7SejNW8vX gJlMjAJ1avh+qgpiOUTF8Tn3D7J2jcADM1ebv3GuOrgcVENtJWzFhfyTA2ZjPlWjlJw3a+totE5g l0ZvN20myp7lRqM9BHY8l0EojvwVPsHvzgWoN/EBXmEJ4tW26qL8NfA9s6Zwa2mk7J84bVGa9rFG 7+zfpSbHFld5iYGKsykPjOYsvUjTHZoWOH34vMCsdo/QNhdtZ+hIahYxkKZdtAufu3rrYF9vgHl7 T+4FWN5vXFpgeThPvI7uCHxgJhLfM7NOiIca4aYOvLeIA7o4/RsqYdoOXRQ3qvwAaroxnr9o/zR3 UVL+FxKs3RR9cI3NGQ4fVidtn3hy6d3PJFvLzgWObrpvCM6cQriWi8b+2adSTSEAEGFtbzhy9fJJ 7I8qVZ6izVLjwyvdRXz8efAxsP90fn9OrrhY9SP+Mi6kyU2MuM2XPobOFrVnIWnTsiSYpU3Q/h6j nbbvYAGq4IrtFn/sGp9Sha2zp67gcXz79eAdWdYSwH+fbW3+tp1VGzkamcZPaShVoV6IE+1k6Fve W0vXsAsHjLUefxtj+2wosGLN5f6X3bPstqC8OqZM4/j38gzpyCBv1fISq13XJA1yrRdZlIKyMI2o o+Z5uU7+mBFDDxwBmfCyxUhThPmn5oIvf1PamPI3yEs1KGlv/K4xdJ7m1Ope9Oq/i9crutuK6wAO ssnr26OzswIc9aPeQ6L4f9hjZh8i26XRPMUDvZ6Sy3Hb9+pGxbzUY+kGZh/VkVROvYDkCPbgKg5s EvQ+jRw1yFxZE3kZ7n4zBgRySrfVc0vq6qLazAAAHJ83WRdJf5ZZPvcgbIMdoh9h/LSnZrXZ8lr9 SQKz9mRFIbjRBEdDhSsZhV0aene+5gEpw4537u5TBKr1oHAzMix1Mt3KTzRRRIaSi05y2ZfEe1t2 JXR96S8T1HtJAsg67x/eHiNHTSieOJeLRICT4q14/ZC+AuCYJJ+g4nLjqBC7zJqCfbARkA3lGK2V VlJhRu1h2ya+XSXBa/Hv7VqVK/zBKMiAaSUq9DEnYdOy6L0OCrVRJH7ZO/0FzccozLIlBvjy5n/x 2ZMlC/D+FCNsq9/Kp4cOE9EvLQDPFRcZ4Mha1e8grlBk83x2umI966HW8+XtFXCsnHzIVVrQ3/V1 pvDNaAu7VKHzj+XOhiuSW0qaimv+gb9yCSMA6tE2qyMgMfVSC38YNQbOiuVJEpNu6eYfeqjYd/xO jBxZLoS8R1+/QPJH3Ah7+mT+dCJsw6FasamD3n4UAt2Cw+L+q7cDtyAVP/Eh6qxjljJjUtbPIt45 q2D9TA3upJS1PJhZMsnHk4HbglTde99N1pPT1ajTeWLuzi/vYzZVNhjDVzHpLZiAPbKN1QUN/KbV YWsifYYzvrcNebt2xThBmkZ/DfgsHqKsmuWK1EfoyT/0ORnJgmgO5YTTeVSR+92q/JZffh/Tn0AQ MWoCxRcvB9Y3n+QunnhnUpEgnzKlr0iwdCK/dM8yCGAPGRasdIhfWaF/Je25qEaXTCrQEazumk/V 5bnu4HQo4mfzL1OfSgzKhTGqjHbzYTsQl+nP2s27Yi4eqpzNn10Va9ufZWaYnrBbz/7sCSsxrHxp othf7YidYkEbqkrWFZTKijNN9zlMIp5KTjta/5jU/f2dxEuVohR2GhFcIF46/hR8tWsJJlGY/m6f 352A97QOG5YnsFgW+XdMFbu79aQu4N7IuptKB4g3Ea6st0KodpuJfnKtOnAug34NFMZh91QYXQNu v/vG60+FxLyEySNVFYGv/bOcXrOP3qUIS0IUGOJqsvqPLoAbcT9NLgj1C2pw6Lj52rHiOuxpQF1e 2fCW6+rSXruzpgHco2UqAEpjXdoLwugZdkoO/283ejPqPgU5ClVzub4mT8EzKmM3YSU9+xcJfou0 tBcNQuMTXk/KruXiq1P2WiOZbgZIBo9nruoF1wZFU2ZfrwL6mDWAOrVaPGnyLMDr4xbOg0+1Vd6j kyCPuZhSG580LR/YrjbmwADeHFCnlnT2qeQud1To/q4yKPpze+YuCQZoLELKai5VQyjs8wqyJeEq TAOmayJ6aAYgo65thvmHgKdPPU2+QUEogOYU82pjYnfkZ+8k5dJ23pnonJk5wNYcZpErm4vXwSeN G71wcLbGclIYBwQstSZ3ksJEgW1pcTzJu4/TfNXz+lHC97S7GSxhJro4JD2hp4eE1p0DMfSe5N0N ouf6E/PIh1NIEyMGm+dzr8E34jEwZhzCE7b873JyiWX9TyXTfTv+zZFxfjGL89QB43CUBZdHnErZ sQR1RkjajFYT4B8981spCQgQvzVcdtaiFDOVy7sim2IJ+U8fRhN8wmEQ7PqUnVxEhUm3H45A+Aam 0RlAFFKAijX+w9xdsQ6Qqkr0KiWkUuYQ8ecKqrjl2ALh+GFU5r/bYlTDd43UOjH2cWhmQM0s5FF8 sXk74BmkLBJ4gfgEAmmPV/ZetwlStQoJHQ62FKGw2dPsMydF3nw97/Gg48QmMlf9y/Q5BnkrvmUu dFmO69FSZep8h4V5u7yi2GHd2Lqp88c4Qxi2O2wefhNTYPubkVCio4SHp6ehug/a1GRTvw82/fKj cemW89SFZPn3CzPRvFCecHiksbG4T/4J/xo1X/l858qVwyvqy3tnQVGhpO7znIslFKgPr73v4Uz6 sOEiNNV1z/64IdjJfyT0AM2tML21W4Ckds+/ztbhiUd7UTJ/+dWC2qtBUOI5UOqzVeYAxFMQY3EI RYsuHiNtdxjPb5ko9/SZRdxAVSgex6AWWLaROlsczHsezoFTDn3HLGKNgTQpcBA4HQW9FQgxz71u 5l0BwEWnAXwCGmLucQ/o41OE4jARIYVSK0wF/M48NYJbgpJLHqz7jip//uajVbb6PqPzFfIMVGFR UcpRbgbk4hllYM3VIPu2kNS4d5pubbOf27YoELzIdV6HPZQOxe8kPiy+VLcvZUGYV4lvFpS3DrX2 riZx9MvADg3JUxTXsfaWT6AS2t6ouQ344ydYIjZCQxOvLHSJL3QdR8D5opwrhmpXLw8gm3VfUd9Z +sZMp/a6kwUIAKo3/D2bE9KVrHv4wYIkLbms5gB0/xBY7M/x7LsgZLB7vdtUDqLmI3NY+vepkV5f thlfazfgOFMnobRhMrj1Y2oNRdsApOWOWJnhEV7KwVZzFesXqZlv+GvnkRha9HYP5YWHnUSljneQ Hx3b6JJEz583ZBAL9bJEfUxrfiHeNZN7gsYVFuJXMhjJe6zg8x4bogW5lxrVP7QyajMMj3e21MRk XBSii3HtXv1IC0hK1g9WUj77YYtGfCLyZWKYQYeSRuwfgyN9K6BebyOpEBGrNzSg1be9yWdguhiH WVauFG/ZGD/yIVgPEuvJluVoeGkN/kpJmtZG8BwTXXViLdA+aPCrxp2SpYMBapqGV/POVIUp3x6A 2H+5TX3NwbhvkhNRPrWr5U2Dy214WuIN5Z3810CxtG1Bsrun9UikMSpSSMt15fT5cYi9TciM992p bY6J/wHXNC287GGUg69hl6dnNc3dDjORLYuvQwLk/SvcWuiXODD7EMJC1UbQek+hVLGdHZq+/bcw YehzrDC5+RFsICk1S9+mtCXUi45DwqFZt02aTtlFo2QQgJBAyggKeo9vV0dWyl/N/0RP8nbrvXvL kZOn6SuV2V6sKS8+VWknVLMiSNVd1r6l44v1mJlYeL2Dubro+oQmrFSclUiWI1+p5Aoyq2KH6GYB eb0bIp8bRpTlx8LLZsTlqg1Ov/vSoq04oX2cRoGeGsST1i3SBUjaBU6HAFfP1QckrRJJqVxS3fp7 7bTg2MfAhW7XEwLSoIHWO1erxb7CLGvRaQ49MjaJVJwq/KYss+f2tah44esNQ/6HUAKWU/ahqBNl iEbPQ/eFVwC/Ii8QHFJ41bNkNGvWLMDA+HAXCrUxiWw9/i/luRQk0smSCSvM1AezdWFC5Lt2B5ht dM9GZOynBjCQRMz82NjdbYLZKHF/1ZAvlAzQJDlaVBvn/auHw4eC5U4ocMjfTUjfwhNs45Urr/HQ CEj6aYFTuThCNsPp6rfl6gE6ElqjL59phP3She3jbC6AXpnY8XfML1l8Q3qqe1OwzuZpAPV7Fs6V uuf1zm2NPSSDK7YnQ/fVi+nUsd2D9Go6JnOfjDSa0XtHDJHBYwGiet5qpFVzw0CPC232wpWlakD5 IBVCx6DluU7+qLIQqXWEAfCrzcSMC3ecId/rE01WgXgYFI4gATyOp+0iRHbkJ3CrWzUKGqovNmqn grQaNN0PZm1dj3jmhkSNKk0dqWYDEI0R+I3bWu5FHqLsd62EKhU+OJVBacTdlnwuBj9AOfpWIc2H 4cvPPiDPMoEQhO3paq8uKKOBrZp1WdrTdpjCkE1QlMG1xdq3QvPpxFC2Tb713QslGFmyA9oXQFJu uj9Q71na5+GBuvwlGf1fY8e7bL3K5MM4SmgKe/Mp15cpd8CmU4L/ZjJ5rv95LnoRggz7b0sSd2oq GYJ/BNmLOqdX1iccUYt1vZdqRe8wPn7bfwgI+uhffBCeFQiRfrJzZUiWf2FX8HYI00tK5AOr+ZfE pzUX4BTbvJiI/aZwIUheEqWzJuAMYp3LkBXJ0KmSguUOQuLdP9Ktzz4Yeo3EPzY+OEqlTQKpsJpU oUmHyqldfV9ZA1lLCj7EssIiRbPcNlQTwC8+a2We5f72J+Mf0PgSC/lqDZUGjDH3F65z8hNz7wvM /Vx9UFgU0zfQG4wMHajtsaGL8kisP3M3yzdz00zKl2aANRsDq+x/aW386JbLplcAEmK7VaY4Tp6o k85Vuta/NP7478SBBdzwXiUV9jcV6uF9NGA9OWnirIGM1IgGz4Vwrvd/+gdaklItDEn1FqidN3/J T8KO1/kXSv0UMWI2d2m4ybckGnmMsczcUwiVbuz1JxXNNUdgBYNJjYglPuZwR3Z5I/VPKZN+o8gr AmzpI25rJoRMU+NBoxt1OLs2s0+8r77ZNi881xBG8Sx3RvTQYrBkOL2UJw0B9mDVBnyJU/8lCULI poGpOkmqg/uU9WIv6NdR/KXE8Mpn/s6ZY9HeD4sriXSpMydZRIPSTKCopzYbKxPzNto4i0wGCXcq 9Hdhllm1Q6MGQzk8UmHdTuhOCE4v7m/8Wr4Q5WvQoTHJUPo7iwJTTQG6/CHuZAF/GCUR0yfw8p6L TeCQfjXz9XR3aawhZ+/rqrido+2muP4V7pFFIeMDTCbN5PrrLqNjy/opAK1VJSl/6lx91QmFfyCA HehV0W711wZimrRVVI/w4YZTxZ9GgjKD7MTrjcPCUa0rVr7/Kx4eyVofxOJQceWyKej6UDd1CZEz U04jEfwlI7QDf+pFJTD1qr8JNtErvyxG09d7xpkMteHoDTfNrbP4Rr6SLDULNfmPO1R2stNe4kjz 4QTj0pGmzB78QkHkPmFuSaRl3+jyGoEMXh6vpCKakf4X+JX/MQr4TkClk3W97z+K9hqo6FRYZNxS l5pviIbwU14J+RsqEQuht+Kl1dMZk57vGPkmJ/FspI3RgpLbrcjWKclcYES/9N/4r+w8eCIJ0Mm8 tGLs4lQPC36HJIuMPOSFYD4/nqHJKpbn9lzTE/pcwHEHzRYaV3ZtkKMJh4j57P6Iz61iX0WvG/MI SSu15KPO0xoI1fxhF4GC9b6+ST7imZI9SIrN2XxeXTEG3n717s9g7LOzuHLXvrREuUSAp9EU+ufj L1iQAYeOY7/3z9bmvYV7vANfAVbolDKFMiu2SXo= `protect end_protected
gpl-2.0
4db43d340e937d366625b1e6bf73052c
0.949517
1.826883
false
false
false
false
keith-epidev/VHDL-lib
src/components/trigger/trigger.vhd
1
1,530
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 06.03.2014 15:08:57 -- Design Name: -- Module Name: cro - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; use work.VHDL_lib.all; entity trigger is generic( vga_width:integer := 1280; vga_height:integer := 1024 ); Port ( clk : in STD_LOGIC; input: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0); valid: out STD_LOGIC; output: out STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0) ); end trigger; architecture Behavioral of trigger is signal last : std_logic; signal active : std_logic; signal x : std_logic_vector(log2(vga_width)-1 downto 0); alias msb : std_logic is input(log2(vga_height)-1); begin valid <= active; output <= x; process(clk) begin if(clk'event and clk='1')then last <= msb; if(x < vga_width)then x <= x + 1; active <='1'; elsif( last = '1' and msb = '0' )then x <= (others=>'0'); else active <='0'; end if; end if; end process; end Behavioral;
gpl-2.0
55dbbc4666525fab48c5f38477a92bb3
0.507843
3.493151
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/c_mux_bit_v12_0/hdl/c_mux_bit_8to1.vhd
2
22,085
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BhImbHSjGYnpEQsGmXFW2cTPNCKO8MlxlJX8hk4FtFeXHfL2qZS21OjzAlG1ee0XFztGT7eWlnKV FrMsj8mHhA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block I9PlThWgLWOhGJ3w2SO4ex0qWyzyF+TNLWCIrkC8RLsfW9/egMOIN9+Mv+9g9Q/Nlg6E+UadUlDo 3Nn1GaQs3DulG/Aie9Raaic83UZ6J3agaa4Qprd/psj0pRLhkncau+2xbk8TnvzvStMUN0rPQhoi uI7auT/XLIGzhEXqZ08= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block vbp9k71O3LjA/TkmooUxorUxODOsnq5FJmTfqfU0LnsPJuxYtl+eh/H4ev8CHdfN9HW8GdNHYaaA 0UaH7lE+sbZEk9sJ5fhooQ8AIJzFAV0X/RZn6MZ9Bkj7MlMgsCCP+OlGhoGOMSPWiN6y6/ZQvnDA y8kz8RvTBYAWQ2j/RWfuNl4K6gYPvBBOu7jLvcdI3TzrwGiq2WFx3s5D6P6fTufXuqv6WkIH2xsD R24WYBKP7HURbJkWYpvuwZ+Urjnqepb0qCGaGBhbrfWVn9KyxhJUN3xKQ+/snrAICFngkA5koC+9 uhkpfRpUwrzKWWtk8r2A9ljmh2UikhDwMXyeow== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Rj+W8SZxg2k+xBPA9ywDZ+/WZcnmziUnM1+MqACWU+96FYFMT7Y12L4C7gLaMkuP91dyLTe7BJfx SiAzRBHJX5Q649a4YAV35soUjajbimKrLcenRURWLDxoqxnqnILaOXfiOF9ak2Xmfg3NS9Jec5jg mppwqwzZi3x9d4aOlf0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wvkl6VYM6ZgxzChbMt1BL5Af15UVOfUvwE1ihlwFDVgbvJJmNPyiY6r4Wor0jxAxtKEIUcVd8/wW 1XFSw+/OubCcRCba5b4/zqFASvdsVGFSdZ4TXK6xODsxk6bjaRqPcS3y3jEDThfgYU0zqHFkbz4Q B6y8RnvYbHgYnNGnX6fVuJaiXxDNQTfjOhYO+7oedhRO2Sk5fTDse0dXUkudSPNLMBoVw9NoIDhN 9foSianXkfSFSyp9LYw7zATqLowhaQm11CmZAZ59olPIkEu5kJ7INMJEw/wj6DxNAQcqavNM3zks GW1jFQOoTIR489qQXKysgkKDMPneHmd5yoCivQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14608) `protect data_block j3Yd6BCZxKHbe6rBEi62kKVU8zjY5m8bbEmt65hVlakhJVluAdb2aQrlsXrZGeH/n3FvtXXQXQi3 9XDB1QGvWzH8HjtYk2vFdh6Q9+y6BzoTgmk/vXWAPna2pEcSTDpucMiaTc8J4nmGtCpzXgeNuSfH XTiw2x5xYFD0JAz08+cxFjehD/DT5zK4wGl2BrGM05Ww1TqQ+WNzA8S7sEv32D3mf9lbOBoaFuUZ Ff0PPugCprFbpZB2TZtHNkxEmCnbvh//1NIPop3jNHgliqf0l55jnEfQrCvH0c1urkKgoHvoHZNi WL4RcrxFSDg9nwMTjNaIFkfUesgnUwJU45QIVL/P1VvmmWN21FbIRBBRWKREHAgBMd3vnHs1tfGf yuXJdkDo6rQ6rtk09kO7g2bsJfYokh70+8odsbNiakMXVeskAleZ71zu3Rg1JHVs5aai+1y2we2G PWiznPkhcJxHYE7lyt3vfKdakNSkCJ5fyS2DlSfDBThAdxT2pyUu8c0s583oFBcVcIj0Abapa7or uI6RrTsihNlwVH8X5/W0WAqf/dPulgNTKzZqtxbRuTzoKjAaSOFrYO/WdWfocdZUxHkD1q3Km/Cq +T5hEYcEl2WMJubCqt+ZsSfac1N7VsyvJNM8T2W2xGaBfD+WO9NMTiaMLtS0bniXx+IzbPTWIb1q hPM3M1ndDW0rMYheN376vi1g/lAIOzZlvNEU8lPxOr1q6f67q/Lkgvoo/rErsYXSVU4zN18eBmp5 GglnVR0s3dFa1lVkORyrSbDtQlbtGSqnLExUFRr7lw96sF7xvadp2fbRjXgh/cE4dXTR2i3TBBBs fJzp6oYPb6YiYNBOBDyTiwz98WSHQ6fuNdmfFUQ1uLFwQj0z+BzODGG2f7zZJOSxYWzJFHxijmA5 Y4+1j4UZTbw9EGyJkl6XscPBNp6G/3PwhUhGWwS0K0l+3xxvG4Pf3wXxlMY5+IQAqMrbXsdE56+k xRmFYPakPyRMt3w6fSo4NO070AMTZgCh2U6P5SB77GYY6nXXcDcVN3dfOJTcdAKbchkO+tf5RRez pFBdSIiI1JyltKZsMDQlv87c+neSgsQ51NY4Un5b/Ls/KKbCcMR3xB/qLvJBHovfaYMNPBwRs1Be wMd3zbGGc1zkXhdPcTqygps15l0mEniE5AO22pPZ31gcQ/oac04CKiA2LYXQ5LpLZOF5wreKbZLq hgEawNIzdJggOrVhRCkiPwvS2S4SLnmYiUZBL3+H2orVh/KMMIPjcUiqMHA5OJUtB9OPhUE8vZu2 WnXaH8vYc0uJ6ElNy0AuWeeuUsRhMV8GqYWxCfV/dMUQ9+0t7eDQUkialblRey7vfFf0CeH69Kjw wzgTE1dCH3jwmBJthzzqO4hFfGj+nTG25b3wmUGk7ZK3D0Gr7sjOYvCrM/FuGKors/Iq5c2iQbCM BftG+fYFojfn4a7EvTWW4XoY5bvMj3PI6J0kM9mdEWBn/6VESmY1lLQ4jHtCsUIAJeiEtBJBrcv/ x4V+IKMyM5+Q7+WwYD/LfIrVIsf/2BWZzYgUYSSSN8hM3qo+13Xdfu6DSeH7sp1y4LNBDNE55S5c GVvHBQ3Hjj4ge1KOxMKhQYmNnwOTOF5tFFo60IFeu9VsFRKc0NdDysMLHFLbRODY+tM2ftTCmnqq KRylzqk6AJrnBrB2fJapZOu12iEKnt5O9gxqBQFbk+wllkV49q4+05sjsxnee2g1yQr5GkTEyA1m c6qghlVFEdQJnKDgdpZmOwaNoiQMRFQEU9Qu1cNObbk0WWhWC6cELVz4APO6q0k6sLPvheFPq7uQ byRz9gLpAZQvMhpIovVAPv8jEAB7kSUaYlZsK7HBOGdtzFkiUopxM7FPnH5jarkCPBm+xmX484L3 2OG8dBzaBMKM/8X6w8vwiV6WeIi04AKt86aXxyJpmzI99OP0hKWz6iYOLwx0YhsIqEInLFNnHL8i JlCEAvdBfL9R297F9glWzhTmB3TmK+3dXiL0RGOKJAevV/l9tkqNL1YKarBcnGmC8AgANgDM+yZ7 e+STK1+ixAzFkkFIplmUuuZMaUF8SH5a5m293ECyknp2HdoHdpmeEKFdS4wb65z4ezDCOmseaYQu KZDtEBZI/eickyiE172eChgfTdnzv8MwqoXzN8pkwqLERg6/kieVNDxF+h+ed7UwCivEU5RIjANd EPA8lT+nZ4dYGRzPBmmv1suAeezL79UkjPBn9KocO0MME+PQVsZY4ZBL9p2ZCjAjO6qyxdPdsgai thnNT6w2vtd6dGWISYFVBunigy0qhIwRwl9UYxotzC6XrIIsPY065os0IZwqgHxAwCoIQ33WlLYS k7OmhXZlMgJAMl/AIeGtAsdDVzXro5hHONR1TqsFd/nIdro2CSzAZ60QZzHByVxSGj3Rgi5lsWmv DewdbNG9UhTbPNK+QWGiQrrQu3oDoh8MTD1hQv4CH/OkK9tgMeaAh2//VDaOaVwXYulPOWcknAW9 trLBo//Ovh0wUrwf0lWmxEaWBCy9pX8vkx3NUymSlD2OraX4TGdhExugbtBLfNXWOgCV0AmCzNUW DWjNkA2D4HfjzOa3iGnv3qbz60iONe0GjaOhMHQv35Py8yjIE52N3EvFfbWn4YBcbzsezv3vn7jp OARTnqblDywc90LCdT5JphBPvpLVTJrxItrkXjM7XZPKHxFFiS4FEzwT3fDlSuxI21R/EGsxR+HN DU6IdWSzzqhqCTPlhOMAv5HIL8IjR8b9zypyzPLOzerJbzmcIZXsbh6qx5OJVBluUqQglDS5pKJi o3pSCmyKpCS1Ei5uQJOjkhqy/iYCAJBDqHDeGWM7EV9PF9ZryIUdUyigzE+LWDbHdlSrUFi57c9x 1IDzTYo/BzdDJlf9loHnY+Jn24Y9cOzDUjoQnSo+jksr71qzmk/6gLD7LAmK6K6/NXUkbqCGgMzO TThM7xT0DIecetfAZTuhyfTQ5OBKNT+EAiLCkpt4pmyjQB/MEvy2Obm3EMGWJ/aw1o6JTHPk22Z3 QQH8QzRiWWc3BazE7xSGkfbb7Vkefat6ri/QM7t0syW5j3Bm9J2zCIhQxo0OQ4cS7vkeNagmf33k PcL2bLcTRGFZ7lT8KEo4cpfFTOlsNU/TvfmoPX6r5nXeUP0kMr73cJjBDfi3gBeppXVS1qtHIfZr g7kwNMhMIM4XDuQ8Y7Pyv06ybuj3fTDOO5w4W1GGnOE+KtC4platV8CM7WmfQGLAhW2xVfz1KLAy pk6Eop6HAcRiGCozkrb+8WW+sV6Yli3gbz5h4i0vJgt4Khvv5LMHmvISpn+FUuMoyYDNTlZyeRAJ xcOgV5fCXRbBmdMkF+dev/g6roq3RbGR1cIfpbFKR0bNgdQIbWS342yEtBZgCu2wM8Iy05h8aPxj 6HDTi2HRNHMQo/zti1rdj4jFbe4L+eVPLePs/TP+RIsHUIe+b35wgrlJg1O4Zf4JnAwLQq8W+Nbt +xYcY4htpO7weeTYlx0LshzJ/MEVv0/c4ZRGiqxIoJN76T413awbgrysxr0YpWzL+UntoKBOMqG5 t3aGJvvZ0NQUWEJPJelV67GCw+8Y56Mp5PDxAVsvP5cUBYC0n1rUvEgECsWi0cHGrPnDX35K7c6v sDEvMoneZwRsfhHHKitYzK6LVwHbALXXuulD//Cm0+cF9ZAh8IuLZbcWRI++eqMjSMlXpw+PIoQx df7v0XGriphrdsVVEIBZ1B6BNgMNeG7X6uJ7WBgvFb4+1+zU1wGgc05MLUvSjLZlyS/WaA3nFpkP HEe8ZqG1ESz7I4yWZHEtJtPFauJpErlBdR7Z9RIlr67TZhZjmiemaStO8OK/V3N+nFdYTV671Q0f cWFGOeTfSzmblm2rCsUKP2TE7LETPm81L8lsPbdkfAebyro+rj76oykDsUr6AMjSWrOl3HVf7/cH HxPxhc73Zxha4xT6K4+9d/pcD7DTkKz808J+raAuGSIY8TitENdSlTlokFJo3NxQoj9f8717tOA+ a/Vuu3NR6qSZZFfV1Fv9fK56iLTfmIsUu10uLbTBIuBFsoExTek3TCsZLDFh8SGDI/4fsxRnwjcg pf7oF6ho+N40fhpfKSarOmpSmywQz5bjkCpPlT0EfGEJcV77uMgsvI7UIEH4trRmMT+Pj/0notC/ gb/Z4cAEPd9qW7ElRmQQhIIsPLXNVIFBOL65K55RboK5PY7jvzPgouBwsqRXNSxt0Tcbu/bvHN0+ Z07E7YrvBJ5GRkAb5C1huC93slojgJlcN8m7whNwWlcx8chFn+zLURPMUPxoYcoqsUPw0vfD32il wjfI6SGWOazplSt9qGcbReP1Biurkcu52xosvIr173Tx1zAaF69hNX7YZqYXRmiHw7GQyDnUMMTk eW6rNEPtIMVxbUa3OWoNDIrt4Xjn1HRr9Syfx20ol4Kc6cn9AKKg6fXBMY5s8vdCAlUO3797FSLM icBPzXjijj0MSFIWY5g2wOSJmZbFQbsbv4qX+P1669JREjBbNF+CQYhFYkoGBHgRklfRKX8TSDFG +ByxCz3pfvoSLQVsMBN0CIAvB6eoe/vrUT+lmiGpvFeECamhj9TobRc963sXTe2wPbkX0felwuox sm/t1WHot7l/z0LheiLfRpwpccTMCOju6s9UnYoGxNSVcTvIP5ivymu+EwMYL+8OrXl8wQ5Qpp5h AbzXAW1gQFdMAdZhRFCftw6cJU85px+QEOkGWOgGVZGT15Dl1TO/X5a7P9012oyHife7jlVgE4Ye DFZBLkeldv40nQoG1BCumpI/Ei8GT4FLiyyJla893jOE9KMw7fd3FZzW8zuS8/82Kc76wgmduiSR 29z1E/gQ+7LfwQdzPfbGrYZRktgORLSn+SfNcsLyZnG2f/BNj0iu1ZvgTTKpN/yXj1kXqJsd1FOO TCZPlVGfbtez5L88vSpbtbWc+H18tw0GBLJC8oFJCPkwgQ2KKzWdqg2U91RdlJVzOChd8kDn2/Yd fAV/jeWI+q5d9EcCWESx+GMATRoL0ljHihfpxBHNIWSuEMHuUeuQV+cuByC7fWAiaoZwthjjer7t xrNMSSZ/qtC45j7T/a0+a8mZvzqyRHKze+nVrlOeraT5+/rg2vqeKRlFLSTRdEkfIx/rlehlx4Oh 34Bm35MFgyLWYLEObIo/ePTuHhphPtMaEktZSl944y9IYax1UsD1MJpfxou6s32LNLDzpPtqxhxn 6A+RmWv5KC7ydcKh4r/D5qDo0iXeJmq7TZFxuCGtakfdGD3o/VmsiB7/HBMrcbGBYRvk7w26wLvX IT7guOJ/g3iSVXAQZuo4AaeqUqh4YlGPYK0j7AUzk4tTs3twrPNNCIBhVqCqQkvfJ+PtJjI57ST+ WPm8/9CQJiexqlFhLZkMtjhEEQdBRg6ScvO6vvdItSe7neh8j6jo95b5PR+EpktmncKTiUf1Z9Cr sbSsJX/voXJt/AP9u8FmMcKb/REJu+MCuN8zDz3ISbu1LBCv7svQdgFZJ3avLDOzGB6+HFfpJaLm KI45OAFpCRzIZ5L1Zpf6twTFDD/ojhPLdkJfOsX6q+aRbD2naDZI/CQYD7Q4/ROxOdozkq9sMGD0 YDMKRQI5fa3pje9eOC1DWPfT/ZQaQaV6bKLcPZ6MPX09oLJcM13mW0oVLQ77PNynRCwrDmMWTIe3 DuAHXtrCs//JFXZa50iw7Yi/Hw7NKAJJA4QCpDF645gvElw/qiLXIYvPN0Ar2V9LiLAiQCwd+2qI HJHIPWnS7MQkEZqgaGjfWetn2Nu6RQ56sB07lcH+He8Nb7CdKgkMQ1NdqYsE6YkrMpkeIh1PSS4X 58RWyQ0qi50kT0nCjvAKf+PA4w8UxqvnpGFjBgjvrpFM3vSoNGRV32WnjD7MwAzJpYrYAEXjzrJJ ElZDzAYxApzSzY0WvHmUCkslwpNN7Fv995g77vN3lmMeqd5efs0V9zLI5dAuYRVOy718IVeNh9ev F0JxOX1zni8yxa+QwqXhlaMSMKdwRuGTfE+455OH8SKOSJmoW+TCSDr0fZ57VksZnusKXRZWR8R7 ZftmLlTYT9g/BkmmH8rBlEHyLwcTjteS/8RC0OkxUQb8oOEwO53g8HXvr/5OEJWmJGvTZGQCsA/S a/R1uRlA/6yh7nlm3hY4+NMqvkT7a8Gr6CDGNnzuO9J88wuxzAIWWZlk3J58BAJ4wCbZA6iZxU9C gz5KrC5BWaC6mrkVNb+6QNfdy7oLvVDVx4H2M93uJ0OdFCUXM+6M17CSu1cZnmG9AQi+PcmvppXQ FjvlRFO0oiSFuTzAXrm2zv7P3+8e0256jF9sK1bcrZL4koIGryX1QLsb9wiVCYQssUUhUJj3yeVV yM8FeoRSCRPObu9D3rTpxuhLXyckuoPmFEkTQkcbk8UVDNXWAJL/wGUJcpTjs0kw8ym0g5M/bmJO sag0Mj55vXodxD9AohAxp8cKv/s18K+WnyhQzbqGTBPMmUSYJV4EeigEIVKVbexPyzd2ouijIeej 6BunuOm4n5BY8jccoKb0IWBrcP+pzt9dEXi18SxYxC1mHwu8SXUyQSphPQpJ23pYJBNOo/8YGkR5 oh0HowtOKfqzHi8mxAg29HUCQDfVENHNSpd4uWrCQnQsInRLla/J8bRB3SkDCjp9YsNpuejfIUD/ IOBQP5M4NgUDeOU5wvlmcT6r2M20uLpOdFGeJ+kte+/dHoFg8zbuDiHpkW4ysvqMTjHXSN//322h tEi+SWsNACeg0Y8Oijyc3EnpjKQTGNBiK6WMcfLF2Qy8zO3zK3TuBEPSPNAwxZPaAmVMNHSgKcvn Sze1mrBQcJ45/yylUDIu7hev7TXMKMmPJ4d0lHQ/2eOEhKVRI0dHbH9yZ1txYYumJ3ocUVsh+EbS 4dUvXzK+5yeOAZyt3+WFIbiFK+GBsc7ltXTOxfHgZRQWQ4zL8bORPtEPweKDdMpEh/UDGAmz/7/W NgZKVxrgdZAxyB5YAUFEzmevEP7DmdVJcYWJIqyWsqbpiFu9SEM9sEdJzAS5wdvx2wOzT1MUHoOG H2qvdTkjkDp9k6zEOi9KZUM5sejfm8VlwU2TQmWUAF9h4dI5Z3KTiSZ5ORb30le64HOG8xJ+WxjY UElX0ae1Vvr9nS8Q1+utFNcdQCGVlUWUkIMFWexTAUXBQWDOim//UdTOHwYEiRsX3Q+853xJgOeJ UGtN2Lo14q04z2+Jb/Logj9x6xvSZwmFE24x5k2xhPbaBr6m9Tf4xt2TQiofvfg6cfIcsgmjx07q ATXft97plVrWEKudOiM7/xtyU2TTRpko/H7S+sybZQWKmq5EtLa8lk5V7BTPHK+YHvthom3NjmE1 /k2Wp5iy3RR9ZHbq1KDBJ4JFFgb9f6xbTXzb2kAe3JprPTei4OHwpuKCyCwDMLzc3tTlB16VTiHe hEUpeDnLjkgfoPcVhb4zZuYRtOghw/xTi63+QPTSmbPuSWtR9KvEeMNQ3z/hjl8h155yuITUdFzP D9Ng0mQekP5/r0GnegOt56N9ZFuFm1flczR+R6vkEx0HmRJcCV5AZZmv53ogCO0lrxuidqjNzFQX iWRLDgb6AVl1FojwK6OwnXF3E1gsBNLECFJ2wTYcevAWQoI6nJPNVdeZDx2vz5YNWtdgLKxaOYxx Gu36sxp2+sy7r6dNxOY+k/EyzCA9TPRyUgZu0S+gCsOCb040abPdEbFUQmYBdIU0iZ5GM2xQGafo W2chre0Z/o2ZEG9uakux7R8RhejV0wqXZnCHilDDRhCY7v9HUIndcJA/PvNbtNGVFOslys21azRE BwpSgc0Un8Wseqg9Msji5X7Uy/MGrhIQmTBN/LIFeS22qjK0EciHx9bzAux+IaW8/hPWByRb++j2 uBs6kszo7Hk736B20gG83vDBF64QSaB0VnVsH4c1PD/Ld0GqWshZiIxaUrQiCOGJTzD+pIaSbeeK yc0i9yWCHMwRRu8bO6O8yBkg9TJOu8EvH6v9tmmNC4ry12eGfVri1OeQRef7AimjSvqReuNeYlwp OG2qPjf7hpEMq4XMxslWENKb0mtje9Z6hY0ELU0NAbS7xHvCgjf+I0hUbjktTGSJydgqdteV/KEC Gta9h7Uu1Zm+edj/y3XFpRE10ccRgBFjyJAyn95Ysoq35rlMZHhaMcwHb3/i1fQCjLUyWgmnoizD YL+88w3vN0nzL/OgpYiq6Su1d83XlPCyP7cePjw6RhjXBpYxbpCcTG5fqbS5pq3Y2G73VJkWUDyR 8YvXPoTfCdvR5MbfKotrEJ4WUQdWec6CgH/uFL0YhwrT1ID/4OLSZfQTuHXJVU4uMxpBp8C0mM93 /2y5vcKHRwCx5lFKBEfXfVx7jzDgO7d7kwYGxnQMGLecA0RVsS9DOswNK4iGHVaBr1Fdv8eHGCYw tZ26G6MOxRdBFB8EdI9jRrwvPLS7Lm0vkSHPZiMv5knxvOP6zJRJVUYlTua0gZQlKthRKNVFQBjJ dehbcNj8FywoRnjNUK+v28VAZIrtoJuG3aKV3n6gt6EflXXoDreeOMkWrbRO0rdoIG2dy8L/ARFT Xrg6P5ghR2D7+1l1MBWrqExOarqbbTs8gNL1fZ3oncAkRcJ1LtQ9gA6zEwNNZCtSQQCRhYY9oUUq tXWLBQ/mkXLC9GCxzN1bXo4yVrzYyYf44tBLM6DHITvU8uSur6isVGuZ/98HJJnnSuUIte10BjD8 kBxq1wRbKTMZ53HTE2BY6TcN+uxB5uTFxm2SnDBtDmI6lpXlFz6xF3acTJ26lqJPBvocT10vqKsz jfJltUwyT5gsXN9ERyUIEnURDLGUTibheyoN+T+PK1nWLhPWWkvnHNj1TNb7aKmrM/UfUJDzF+E5 zhmXX/W5D2beMRdH7pcdUlQHTfdO0Zv3xMKgZIlT4vFm0Ii7lh++ytT8TiF+e+lJuIxuPqoICyjO /KJ776KjbBjJd7IfAm4TGq8iuTtzwEXwevZlwk66WNgYqp9QkKIrQPu2epgvnj4rldJFwUwtm/+j B6BlCBvutxtKZz2nCyKN8q38EIIRLQGTCTQ9llTk2RHoRJbgIyad9d1+KxuG7LrcmUxBW7UWYRRO 8Fmd/VsUU53xU3Ap7me4gynJPEMxQ4h4p26ins6oYuw3RhwnFGutDqPkdwpm7aA7QzG7NlYXk2bG 9ot7eHSeiq6t5KRUoWNPbSFX3SCS6e2SsZ+w9rgOe1nxhvSEqYKFe62AUc9QiUyQ5WLNjPLFuSL5 qKIhGnAt6Wh2Wx2H8gzFuoun22rz2AyJoCZouVX+6fZZ4vsrBOFPrb5fjTfQkfHozoMwRpDt6BmL 4aCNyW0DPbKHV4SnqC/EZtQbsNgb0yn4ia0yUhVMdm62g/Ur/i/jqX4j91znsMknEmIM2lMs/Olj +QLhxoMcXTjgJ44RLb5vBHBfxo3vKw77x9CRR95wU3wR7URtMuUTdy+zo9TUQYm5uCfL73MNY7wp Stth6kSkaV4WkfginGUm/c81bRaWwgmWShIFfAxxiBaT7Iov7YP/aMMia0z30Id2A0V0xdbkoLuZ LL8ghjtrg3jHYzGF8wTzNeQgmrqbAnTG/CyYblN7BbTNvJIjfbPEi5TxVsQ65dXpPDbuQxNqyPe1 w9zRgMPzrj5TmvFKidkBpduWXU0Gu+HXCdddwJgVj/FUC9lfdcth3gdW9MBtix5hu0O1lvUeGLLL WHYThJQcoa5UleePDd/gFaEXs0P4hHHzx08+Eq88AKA7kP1MGNbFC7ANcVOiXOuWLajboz4cgZGR waJ9+KVcegr80izqZ8mW511iWeSfgjDrVFTs5Vc8EyQGRg/2ViCJxOrn2KkkjpXLSDQApV0kdjwH IxerL+kEM9NsFDJfjSqX3xOhzpCIr5BeU91VzdGvcbcvYQpNhNaclh/kKeE6Az1kKFPMTWYY3rZp 6KwBECXos9W+Z5x8Ag77ESMwXQxNOU3qWWuaulou8K6Egzd1l/hHm041bdifH/F3nq2EPnKCHX8+ PFxyG0XyAnccyPnIx25YjvM/GC/pcluv8LtQsjW45mNgUH5IkzkeVmoy5xw98b8hC8l+YlzVpe+V 8uI+NoSRZHKB2YqOf2vPYwZtRf3a1LsiyzfV4BFKsnMHqPzuIzGyFQTMHx0Ga2+6U5h1Pz2KfyEZ CaXSdM6lMZ9bCcglYa89Rq4qUHbkQuP9OEsV71e8VYZhRHTuWeZo1W2TgTmbSHDtshQtHOO3UbW5 FKaEn0B1FQiz3pnchDCcAoPky6BQzVcCcfdRSiY9ZtSzmQg43Yi5gp7G4FCfC84ORX0LGsrK6Ew1 EmH0WiZ8SEdZFgf1YI2HNt+iG1Xk3o0wQpyCiPY0eRLWmzDgMh+BkHDlmSEzyt8VwdtR0Sr9qEhy yp6xoSlwX8waPCyboJm6UpvCxF8WqYq9Q2jZUHWPOg+NJqNMIk6Vc+AILH53zEmqZLNEVxXruCeV U6UP0mVBG9Z73gMJX79BJOC5pExMJ6u8iqEJgKijkb+1TQntUPrwi49QKYp5EZet1PpMdqF8RumF 3TMk3hCfCx/fEQlcDGZwiF6LhLiMfzI29x+uH8ytcR0mxmey78jCBc2yZjeYiCsmx9YzpryRDhNo fTgAKqe3j1ra4mT4wx6Vm8nbe14SJX5+c/WcrIpFsSiFAze61mqa05biibFL5l1fRoVqn8MIrrUM uXreq/YmWycuAID/NH2ie3ur1QeS7MgUHR4vNblC0xYXTOsdh9PH9BHJrq7PdW/vsk52r3MkAFmd E/XMS+qRRJLEA93iG5UoiNdFHHuKnh0BY6k4COQs4U7BKTJuaIjiWQ6j+TNx4MCgf7JJlMBL6Ij+ aT+G8ajUostgVG1JuNCkxUo/TxbrK79MV4EyiNir7/CJb6LN2AoHqjMyxyY65mhXWp/rAoYYf8t1 PDtQqmiVfl8ETBQ6nCxitDtBtfIBe8Gqjb7PaPWlpsJIwDp6zEuc1QLT5RO3+70bbXUX3UlgXlcu oH6/MllJrKwR8BnThT6wUKbm2WUwz4ubYy61w/yE/OURaklz0o+J0IT7vFiDPgJJ9I30wyrNm6jW YeG5hhQYyiGThXhLRWksxwy4Xz7N0zlk1U0OFnyOgwyNsQaQmpNz2dvn/KolLS9aPiJ7zpKa/IiY q3vI19pBeSRMeQUvqf8Tvj9Sk1IxOgY5EC9refVu/XinKPXqEfUzC+qci3oIylrjjfr9ej1KLF3i cuPtuu80U6hwE1Snwf9hM7z86+Aw3VPecCCkNnc3vw+nh9FQraoB226e3Mb/XVfv/zp8arzB+mfg COfyU6E0N1GrjG27/qqQSsQSvh7svKAVBAkgnCDhqjQX3SgHza2V+EWZ8gOUIc+n5WBtLRqSKoKM Jm918Cvnz32L5JP1M9O448picFXapi0JDIzeCkWJBM0BjEglEUq5QJlOjwAyIqOit/sjxATxxMq8 CqL8DSvPUYpzFu+3Av6602ZYE9g0cvioCeDJJZHijlwwsE+jN31IFr6iPsAX7aZ5cp801c0P8YNJ XqSS+8M2RkYbjkzjNNNTfMoMVvPUppiyRBwbsXU4lHIYRVm4TCrTWV8klXdGDHXgQjzg6AUmsDdm 3kGv8cLGHrFF2IM/+CVDdYuZHvFP1QkPGwLas02Xq2fjPpRpCLdnGau9eUAEO1dhd9pNtUMtgETB CC21M5aPsOz6yBfCwEo9VmIY0JBOOaEhoQvpMPPy3X+TXi8GsQx9qTpu2PUcyJL5ndFM7G71ZvjM TYkbu5umRmhbAD63qEGIRGwD8Y8Asju9h4dy6UNNJEku76UOF5Ew+BTCTNSb3YeQ/geuATwvsDBq rwu1Sfbc+QjU1tDZRvgIw59nUCbJviclQh7EAkQszWgD7XVMgzqqqf3HUeszHU6OIsVlyh7AsRqs jBK8wHgGVf+nAKrdEjXsen6xPNNJhCFexXQz9nOsYF6vAGFlRikKs5VuoKBGW+lLrhoe6WVFUO43 mJyr+BDhnRZzfe8tEREyB8DotdUrd8pJ6rOGaPK8CO8TOq/pWilR0sLBSSD4OyMQPl5IFePi/YMw I/O2cJt1A2/bcTAdpfc6QTiAxoOhhKU1UXwX10NRUlJpUyfTwAyKhQRPAJr0YWS/hzJf6tZ/wmkx 6biY3XMx0Y4XFsgjAiNGIGscId3ZqAmjzf/w5VfB1BhPcfUNXkXR5FstBVG5fm2gUBPjjhEJOKpz DnnERlNHny0lh5GC8o0+QU9Mqt+Z8yk00L7fex+xGK7iNZkdL+z/MPY4eJrzxCVIatFOQ7CObn4g 4L3ss07gsaJdS04QtFE8FyiritmH0SMijiot+1Lx2SJlJ3WjU19eJaqF/a7evf7jLK+n9um7ZbFZ rfqEtk12+zZmKik8XzQl9VH0iTL31yuZ42YlnjQZMHqRbSHzphmzShwlR5IThVUWcCNhW2Mkd5a1 tjUHCT55bIXoYSQm/GPMpk/U//fCfn1larKF3JSYck0wPUCsjDbx9xx44OXu22dTNGJVKW+1Xl9M PXFHv4lgW82+PRahjCrcbSKCJuOsVGW7oeExtn72U93Khe44w9IZK8hRcy3Swa8GmVuoT7Ib27V4 VKUfv0XU/15DJkp/5Y3Dc+WS17o6tMhJeKSGU1z9i0qjPk1wyk8T+kO2umIIgBbCLgmgHNJ9QoO0 VSSPGeQdrJZ3sn7MphG/hlfzRomJhyYjXFqTf9Dd36pIRCGPM3m5aPzjRfVZ6GAAx0oDY6z4wuT0 1n/JQuH+xOcYL7W2Q+BDCRGW3tdS/FraoIGRaQxCsxksznuOAsms9+OEZe5qhURMeN9jK6nNndck tJxb2GocG3JDmPuvTT5c/ciq+mH4ldgUGDDDsn32dnLKZTjj0SNPNaJcu/8xtmYG7lNwXL0qHUln M0pyc+Jyfah3xcsZGhbwQ6GkcN3MQXrVBbc5Mg2mSZ8w8wdBQ3jJ+vhcv9fiCqC7EiZ/lBnd3NTV Fsx4cD8fRy+pOVQ1my3d7y/VkzytmI9pkty/a79vvisFGYMF1hSArnBxQyBSghcFhVsqy+cHwf7c uwrL2gfgBYiipVd761KdPvmcM5BDTumf1lHt2DxKXIIk8LTrn475rZ4Rhig4qhaWxx3jRITY+nxN Mi/FQyv4ecM3zPUrC5iAbLCaoogFHtHN/BSg2985MjhgqLU6NhowvgWMMx+CWIYdE7j3UQrqCC4P dqlIDfLiGNAZMLAu8yiKUX9uy5GEBl+nt/30z/EgL1lnj8sTTUT/vCkotMT0gAHr3ekW0JWeJkOo CcDOsW99CFKSYdUO3rqRbCZq9nWXYWyjc/YD03RRYsTgzWzozLtNQ/xUp49/OmkqDI6+31brBWTF aWJY5P+OcKp/xBMv16s3dVJP23uEN3Ork9Ua0A6m/K9Mh82oif0bXZ2uPfBJxHTAwOFgax6Bn8+L uWawjTJoodkK+D1sV+yNpg1EhG5BmoNYT8vhDzTsvA5myytwIgk+AGSBLjTrujqcJNJKcpLJuRyn vzDJL4aF/5VH3311cBOWFdqylPaelqE2mA4vRE6cUkq9+1FzXppPQ4K3/oIP39AHjc4Qxc+lBEMo YosSuizzsu+NpquYETJ6Z/CK/JDgRL7Py6GlNxfoxBfIiErNcl21UvIzgOCqbqbd9MHAwEJtQAPR CgnS0EIMxNLSHyPB+V+vWilEclWmXw1O54yrShjX2NMTGagCKnhdtYnyrEoJ4mG7PxJZCE9+uWJJ gQuZJURdXWuI75g4CHbeZHUB2aAsJxnGGQGqNY/+rKT/2rBEQYFjiH2J535dzPGtWirDW4FcgLwG aXA90z5N75MRe8CAtnVh4Jyby4qjkh95aS4NlXz8dVAUchSjYPvwVtjHmRZr4/09TkO8HLJYzRkt Zrz1U9mUSP3WIoQ6pLQPBzdWoSrzR2smHJPNNrliwayowd+ZyIj/czIYEKQZxXM49COOLLEwmF+a GIVc68LCNrDkC7z4NaXpfDG/te7SJWpei1qJI/PuCJd2wjq9duQbeSXGRamLf7weKwhP+BIfwszm W9e9QYkngt6dqKtiQDn5/RwZ15dYGuAO4pL8k8roGqAaU+wKo2ZQeM79BtJiqqbmr+TazmBzc+ry PfUE2OFEtULt1uMC5gYmnOsMfn3I8GShN2No86944k+MrQUa+RNFJICa4g5upBkgDDbDqks2KZtL pUb3BB8guqaaPC/4KpgISQeeGID83obetjRyrRRmHaZ/KflUll0RV/3QZuxYqA54+M/xCh6KDW35 Hfv5jJn1EjxdwRLx7sL7da/CkRn37Z3hHDaLLoio/0uoW1Mpoi/RWcymVaunA4hY7Wny1PBnOmA5 oDfiExh9CBzduGM4gZk5vNZbu9Nlr5D7cnZ4kfP5pdFmp2g5Wz3lJOlndfHycnGEtpES3Ie/BGG5 QyEoC4Gh9+Z2OIcx/IsG/t2LYgrh0xkGvxShlfYa7r2+kWHoA1+5yOF2wJGoi5GLkMmzkMQWdIhi 35CwkYujQPjqBf7WPT75Ag1hv8uzk7IieoaCz3SCacmhxCCkER5FdQbH+ZtXkC4idW+U8ucwTk3N mxSgFKE4bhIBQ+NYHYJRVqeDfiiNXqUvoBXfIfWrmPo/mvyOpkThn7ek3XhEcNve0Cvjp+PVZvAa dkej10zPLwct3eFjfqn5uHDpdtcUcaq7/vwb1Da7w6SOgr5tKEHBO1H0ChB5/c6u+B884o4fIolV fIpVSy3XxDHuxlg1nV0hudAxqzX4nyAUMcD2UWlW6eo0sG1Ntxcgf11uBKSXe/5TlFra6XkeZZkC QuXVHIDzaOUGsKNXwper1XfVJJurKUBW08S2E+wDChjjJCo3HtvdCx0CRtocJ/oZtR1Fx9ep/8xV 4i4Ljv8R/qL+twdPaPI1r/pVVK/8ivieQUws669v/Y+pVXpbyoeLjx9mN3U25gI1Yg/TAPw3oKXM hw8zcEI4tSRZ+/ahclPmTYcG/4OCMAa6m65iDz2RG5vjTaR02xL3uXvP/xMqsfCW/Zq3QXFACCQF sBSAbPDQYD1qiaREqYdRFs3/OCxb2s9lGc2zYVYuF2PyathFFDZsv7xB6RhZLgOoEu4jukz2It0P DHfDP4TVSR7go+wrqc6wKj1p9z8o1WdHHIw5vOfPfVt9XAdufDR2quecu7d0Iy/WKvUkcYB8nWUv nUCruLLKxrGiQwC20CUXAduxr9cPAt8ISHGqmMtuVAYKTULz3GVgw8UfRUXGe4bfwNhvQm+uvXck NfRC8zfd9j8O0bybkBjg0TItI0g0lso5cx2QqXPP9zDFtBDMmBDLHUWBUmvKqAXBhdqM/WGp6u3S fF84LmiTYEG3Yv1g0yk4E5AFanuWmXSfIWGTjwbIyihL0tXH/NYwveAvZUQQlsza06WTnJ4RExtR /UQgGtygP13qcSPFGnVW7WHumCxlnhTg66wkVHw8oNfpg48+z4XY1NvWCfFalEKcUBFH0FNVKZy4 ld4trNQOukU+732d4QMCot2S22pWjqz0H3YtFXLzMX+RtZXik2me7KSLXRtU/yn7xNRtHDcziZQk rH/WZ21Hwe0Fzho65zUVsO3R0xKJrQCLWCt4cZSAH8Ja9fJvXRmPawocjlM+LpstWsBGUfA3mhih khfkZuqZwLMt3QQzFaxtlHvD8JRloxL4p5cLIQeA0QHsOj6WNjbCPG8wchXFCNoRN8WodvUwae55 H7npGAab38DVT+TaV4sKJ1BLQ0okrNr3jfceUfoba0FGWlIZeYUu/6wccdoCwyT3UlHZeco6sScn +2Wy492jOtwZnBOkkPSqgUI5MUU/N4h1PnMGWTaGnzqWFQpO6UXndFfX6099nwtJf5k9udEP4O+o xVUrw2ouB887oSxNYxw5WukXCkbdPz7YhnTsvRrw4cTtQlQQN3jHQ7QvY6KAppZmWBIfjKoJ+Alg OnMKlZy+ECf98APuDB15K26a1hYB2nuEVtDh55qAmnne6YPkJBziocO29mmzC7mf3jEbWWN5AbW3 E8DLYzyHsublmlPzoEWqYwyOk+eijF9AMAx1QiDp16FuLFe9mIKQUu6UrZPyvJVhueg3ac9Za53U PeHDdcrEWRFop4ERdv3bdGb0niPr0dUwzTGjkvCtFEWXJhgBl/pzGJkPnAvmXondA9EwY+2ZSNJL s7hMQveOikylGsdxbdtkB2BZNZwhRyHGQfVPJKX97HIbU5TlP33PunwE9G+bguS4phrOvl+TBCdB FqJszRTRgeMijMhS4ETQHMHwSQQljdh0QFVnwOKyumqBBRv/3wKFF80Sz+gsejtqCIpnlsRewVTQ 9QKzz00HPf8wQkbt7/4PxGvmIbvBZ55HoiQZbCyPOvhTvaBzcFBovZ+Ky7V4yBmlSfiGrNRvrZ48 Ld9UxAAVNREQI4Iy2rKwOBwNkRTJE2jEBryE9SVhvyaDJfN9lb28dcL2mwhxaMdCP547OrXLLMar CUIW8SBGQgO4KdOYoYImmVR589JhH/9b9R1CCmA7UnFcgQ9ELHYDcWoJ5g0iEnK+3WTq86Xay4Qo cqWfxvLvi+HxfyN88a9bYCm0H2iWDiQUEYH+i8+MzbaXxqkGKU6gHnt6M9ywqQzOAufLyyr7W/gy 9iYFrCiszNAK6a4hnxbSkYC6Jl18Ub6p8Mt/9Sioz/hWgr8BZ8YK8yBzgKurOO643qgDwcSZCn6N G2QmtTTBEBsM/iTUYuR6qwOPk0m7puJ2GkEb/zVhMVlpFglziCoC5QX471LMcrYQhGuwchL5v4OL 1X+aPwJ+uepCgA07EY2r+Theo73AW7EjessARqK4XTLmAgcUe7QB368lAhiym3dRrQbSXMvGNpdt i1SaNagFleGS5z0GMBwDgYo0OAYsRPzr2Pm0EKczJpG0qJ3XzftseWOA6CeDfezW0KmYwEr9UOYD HLC+9RvIJPWtno/0YaREO5o8K+DtdHBkZuPBiwnOXaph62B98+qTuOBtTfzBiJBWYH0YxKMtAZjg C3NsbvMR+bXeJB3BfEAEgQehxJtctbSurCNeyD0AkUcrhRDea8Ay1G8lKohe4Sj8cUH0Iya15UtA Ql2WYPqoxB/CC7m8s4/W1jm5ToLtLE8walySrhTbQn7/bd/fkkNlZ3/GGbVnBW5E72Jt3rtn2gWI Jm2X/qW97nQkQKApksrDI3BUjMo0B0iPS+Vsty3O5iHplbq3Bry3bLRKSWO+AGHtVJgEoyymMwes HRKUEMLXthRk4KShPKfwN7CLsx/LZof+s+XFM56q4uscKoH0mHCGmC0LZTJ179/PePZXUjhLbsMq AHH4v5wsvh5arrm9PnihROVykajdiqSU25Q832dvJsELGmCF/ieFD3gK/MZMBxi846Nz4BfDHRiQ iq+uw8XJgPl3zsFGX5Zpu2fBlIipVVRq/fHOEB2GlFetEu7IHlWzELGUDkvwGV2ZdCGmjnWo9tKj LesvA4mQgdMvGownzNhig+Ucna8O/1NUEBoHXuOpYIMvH3Ug8L0cJyArQ9YQawtHjjSJ9DpJld+K PWUi0A9iOrIvv4xzuP7TX8gOpFRZ7FZuuQ94/IeSgRu5SaVWwadtITjuhLnPyQpz8LOHy3ms1TPm XclAX6hjHNJwAX/8b0t/fVb5HQtSY2I2NH6NiX/B0237eSzmYK3qGLOrJ+2gAg0U4IQxdc9FKery 06XlIOX8eFKvQjLUocOQbroGZwETe4aGYyLZO0I/nvknSN4ZRvCSJhaudNTU4d4s4ag3V3etaH4s uK/bT3pTF+xfzPNncFn28CXPmuvxiyfoUlR91U8ZmGfDcyN9jTy71Vxa29x1kZICqf+hh/mu4SA3 eYX3IWRqZy/gQrFCMaHUAEEuhXaORvOfDdPnWH3qsrAD+ZpFWwfK8wKvhm3HIk6PYukGGKO7RPnR es2cWeKNYluozXYMlNsLD2vVodYz9j9i3QU35Tw3yKCncfki+V/ZZJOcNYZ92B6pANbXdvzJDLvj 7UXjmdaL9/kOVY9rDg2b3tzehcZaVBhG9FfkW79E6mmJYW60BbCRXa3wJRjJAhltcrZfm/+5FCY8 bKkmhvZy4g3a1DOH5HJ9uGrwV5oXKZKvSF/dSgyTCvCjLmUG3M/6BY52JZbkGGIkJvCRo/049Ld1 esIhsE/B936/4ydpYMMH35XRS2V1l8Y99oRuX208TvEVIsaJHtiPrKyJmEAy8+3Gj7iVEg8zb2lz Okz7pvtpTWg/I3a4CL4yNC7LMxMn0wRiRxiLsiy0lpzWRm1inIEfCa1Lz8K07r/Q0rzi1Z652cIN NMKFjo7EfO30bDOIfjobkMVZmL1yvt/8S7g/fGwAfKX5UhhpvhQ0Bczby/gucKhs1Ub+SzcyQ2Pe G2sqRSOUtQhYCyuxTLFvHILaQiwiY5EkfvTK6+qM/vXHbdaTGvVFx6CrfOTLflRcPuBfPw3LqMMm hCFGuXvPUm/Dg9HGI0q93sUvxyeE6s1NkkLRTR33chZvyli9rfhCd1tgOZs6jkR4iILs/Q7QHtXF +pjR3B4ebGyT34dXz9jKTDpEi75eOIe7tZUTgWmv3n8sgFMQ3No3RcH+1J040iLSi3K17pwbtYGi vnpS/6eU1Ey5WSsz9kKIs74IvkY7NlcS9pw+jj1rk0p5Orakk+w/sXqksJ2xSmOtHprBA8GMRcW8 WDesWKJr6TNb8vgaIQgrU7PolJmX+QOQhS9U1CF8Oeq+bGHwaxn5kjeDQAjwpQx9O9w3psbuDENi 9dEbAOW5gfEiHxZU3/xozks3IhcZm5iJM47FhbqJIoK7WP35FkXBFiSHNfddFtX4rGTkDp6XdilG i8AGi+Q3B5C+RpDjhG5E4mkRKBIsr+PuTKsHrnH+c5Eutv/u7zW4VXiaQWWJvbdyXqbaMKp98c8s y7IVsPc2aNJkVZA2+YNQnu6Rr4qHrftj861gHXUOqs3hL1bRiZCJNBK2yUDPQ1HV3ghyTQmzu+97 YkBFK1swEt1OJ92bL/1bAbVDWJPzP3yrwSQrypgTIdi5qw2N8/UNiEqKzbXdsWOzFP0shzqV9lLf FWFEl+i6fpSpQRhkXlXlRDF80mPD4fdk3IDjKXmZGfFzKpXqpYi0PLGNUydqKtB1vkt1yfQi2MNh cQ/xKkGEfPbTyzYI9dkOAfjcAIAaVVvd5k8KM5Bsnk6sppeGabfQdI3IzSwBddW5lyIZEotfy3Wd xIXkL2q3ZbBpgLnE3pX/wTPgMRelk2c3jvOStVDI5pGDopqrA8qu2qRsUmXOD0WwswzSuQmK/F/M K4ywg88Y+QIwQHwkGkNns+caVNHPAh4N+EIRZ7kDoyAzvgv5suZMgFvwVbH0srw65V8wKyYbwZ3S R1ZGNIedATXENxxD+PeAvHShwAcTN+1cBq5MMyzg4W8C4cZJLvFyi6171o9V14JfgoFDdC2/iOeP u4BAvPsjqxu9nr3H4KnPrg== `protect end_protected
gpl-2.0
cc0e52f26d9bd68e460ed90a2585e3c8
0.941272
1.85448
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r22_tw_gen.vhd
2
15,535
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bhlbLgYcQN9xUktO/YEqVA7DaAH1g4eEM6LtEfQPVLWDAw2ERCL7GuB6cv35GRDb7JX/6YkuOoRn bN9i7ndlSw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QJLhUKvJzszpYEoRnp0Q/epnzTXD2Laq1SU1DdQoPIIQLlicaxBS9UAGM3QLYk+mPrbhbt3/EcPG 0bdHIcEkwKUlAsMRSWa+avXazSKptHEkAjnGMJqijKfjF9RWcONMluKQfvlhKKBlhEwbO5tiB50/ pCO8b6twjtvGvq3zRzk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wsx0Me7KDwZH5KBDXxNN/p7iDFHS88fIiUz9Zom4jk4qnfMQE5CRTz2s7IwdpLOC4Ott2zJpDOu4 K9iH8qRvhWvwwipk2kXQFmn099+LrAm1hECuNntU90ofEfo1waiKVRREmrVGhKbhx8Js7ahsURX1 gzoffXue70VMeu5qJJrs2fLtOfMCI8spGTj4tKNh5XRyo+VN7VS5SBKwPdpA/mexWAO6f/HOvKBh G1GY9eoY7m+rtMwygIYvmEcbntTNjZzPoW1z6HtdiJlN5DdbeZz9N3VtWXXx8Jq7TYh0SXVQtt/k /5rxgIxnqNCO28rZiKSVFVfBEnXXOWB3aVjvpg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UwuEsL95rbnQ5Q5g4QC/0rcYVxHLBgwRJ3JlGnUYZkLYRzbDvt3X6CKtgCf5nEjoESqMy3GaJju1 5lY05YlPRcD3R88XFG36G8aSH3HpO2g9K5Uz/EB6sS4B1m1+01SRVYuEqceKO/FZaVp3WIsu/Apk 7fdC9Uwy1qD2k+uezwY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZSMAbSGsPqJStRr6N3F61twuU7kVz3OglUWjw70Mxq3kex74im4heZthPhlnI0ySlOmXBJsVBILW pfE4FMqSsdTJfVaCLJ+Mi/Uj8NDkWtD+dBZXQA3OI5T50eKXnkjzbMLJs1uS/zLEzj/Td0fiYTnv xXCPJHewtbofyeGCzKpaB555ae6NzEAtkeVrBrlTPEsX5qIp5Gn+WDSk2wpNkwSaqye8PmIvMlza 8ds7em+MKlDSs7AsI6W1aYNKJQ7Rp+fa3aSrbCFFrfru79f/Nrvb7cNx4ZYs39OTdMoJ7HJW3VED JtYQJ6iAEnESMg6hE0qa5FwqVZctB8uWqSl7dA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9760) `protect data_block k8j++ttOaqTqYm9dICGLQIgwwwBnPYtUHsNWBp8dwdGpV/qNgjPJV4f6NpVA6WzdGk8pGQT1qUNe VvuvEdZ/hcROCqhlsCAm8smBt0FaQOSF1RLqvfvCbU24aszkwVFo/9dCNigGlrlRu+DtjtZDSzyX 7QQ4aMkEAv8Z1lFNzcqwGINEyRfuvZfMPbGO4qCwCByziXPhxHSc3UqDTGTF68DfUmgjzIYwMtwh udL0do2HVReWxud4KeKCZ6cu9B9FNjHWqQvnVqgeHEDBNTaIy8/fB9DSuocfHWt/x0hyDNw5h8VC wCQpi1F0VK8PsQCc4vjNXyOZXPgVtU2vjX7QR0fCs/LXDNzABIMbw6RyEna/wYDXiQlYT2Wob7tk fcCFyNT6y7EaTmnKOfn9Grf/QpaccpopoaGWhwlKzrXidbRqH6YouqAiWdLW/7C+MTAIHP/WuLnK W7hnpKe8SCv5X3IJaF8SvUqkgKVoxOeNphpheIPqL232coGBmacEcTkE0Sd3gKK1u8JUS8vSMqRn Ey7Yk1O6sinFyzzjsJiYS/yCDYx6pqYzh+qXoRmWW0rQr2LxKvMn7rIcpUoPQZ12juzt+w56Mti2 r861J0ex33sF39cJ5ncbFAmL5o9jEbtUyvwr4ddDTaVUB/0RQikMPlfqqbU0EPtMbhN2wXsDkjrB CXwtvdoFEVmAhEit5tMpb2/zw3nxQ5jxJ65mxEO4+9787aEkrfNq4QkxnXYsBPbcNu9F/eM83m8e 6fPQuIhwebsXUQqsEVQyZxrLArGHeiKYP2u4XYIlvt3yXJWZBSv4S28RgJlGfTedqev1mh8QfVQl vuGDWZ5kT3U+RwgdrRBPiOdB7Mvw82galt1bqxVma6j7LJXIfTYupZVyXTy/eAhnZriUtg0YN2sV 9FhDkVJfl+nKxU9ClfL8okzykVJAJu1J5Xv5Fth/77Wl72WNLeKQBISAkuGzlRXtJAl0SvcNK4mh OH2V+P8UXYuXT6gj9lr56SsvlAQERB64gX9cyuryy5fqu7C3Pca8rkA1CJHN+9w5ua+21ixet0Bc H5OJhObAYzqIl38dLYmtxmeUiiF3+NEuppyrqSOsOFsqFkJwAT55FrWxGlfuZRjsrFyoBZnwOV1K INj6DAiUUCPcjZKHceoWjfGKvybovvjdOaSWvjjwk2Wvz6G1yk59ZS2REPxzR6i7SUPRO+7/RSsP D0+PzuLYQxpoqHJM7bw0aNQcZ5dOackYmPMmsFrDRR8FwrKNR9U55oeoGmeVi/ldPdp/HYl9JjoE OlAkTFPkFm/2X19Y+/G1gNomSt/hfNGJKcDjmt9Iz6ax0SfjRFVay5tnZhndaYKvJxzemnHGZceQ OM2sYRD81V4ygJIyR9d2B1SJYg9Y+3h2yrxmRodgQTCKNhZEMN8jAvIfS9kNkgtu0PTnnImebEai zq6kkbO8RhQxEGNgsctw01+k6Gd3wphdqTYCc+z9qU+0k4G4GuIUdCIENMd1iWODnsf0feVA1x7Q AROZR0xd63pL2Z86UNWSAFzrCpoD0ne4dCyCtJKeJc8rO3ihvri0hOTzYOoRBWcBDqGwHLj/92lq yAggOjppkJalUEi2gXYsSc5iPZJ6tDojvfqYDhgKGxEm7AA4LGfkSCu5GCyas0Y7wUXRyMZMyylO qAlgoNvwGldLlNF5+OWEbOzA+jnMaFR2bxXZLI+aCOmNl/rkvvY6zh65jqk3Fx+vws7seizZn/TD /QAlep03Jm2MbG2m+px9zoV6rK0id93I1dP4+ta62PiXu8UlYcIuAtPjYgQbvTJVUik6e+flMzha Des1miXS4l/QOMjcs+zO/qZjQmP/T1Mw8PH6QzDD3fKDNI+JLq3Ya8n3RVx3sVheVwBwpnhLE6mU rSXPoq9mcCo3G09zRYKnP+eRbFdUv0CDI0urYRxVe4CvQOA5Voi7nAo+5OeLHIYqd6fEWK/BA1od TjwfuucD7v2X12ok5tZBkIrYgeXjClOHj9U4JI1R+RDoZUz0XuS+PtNLk/sG2sYepnVPjILED5Lo mzDpCZJGUl6z4A70rQsFAID36MC/YMdudQhOmHBOhn84h5lGvdKTbf77hXqBpjKVc7/KXqkuLyYE f4AXCICnUdxBNwgIoJ+OeVuaKEmXO9n61x6MGkKADcXpREB24jXNcd59bvjwdpB5iz0Fa57Zq5p+ xHHJqvjdqRD0G90TvJFss/XFe70mwxXVwi2k/UM2XD38sMDFK+JGIhaTml7rMxxv1cefTFnH3zAh 5/lPw/g64e8DnjUq/eARaysMTyljLlOJggCzt2QT0/e9GOPPiQV8x5K8ayUkGSbuHisdTYUKyKvG NYa7O1auX2LV4AYm4I/1vXgTK1lbovIctpGgIFk+vqQVMnyGaOOWVCxS6PE0pemZ46CytgCnouBX dcnQUA8a66gMET5Fq3/9w27pScGsbIZSqJ3ZhtcVhf4uK5w8wtAdGxyR7tA75MiNrVHp1CA+xJ7y gkqPllVYlzrz9GsHTfR6HhViv+GGfNH/KgFjLj4nv0BTeLs74h8vbkU1HLTZd5QQdc9ONKNLKv4l JFYKqucXftTux88Q/umh4ywtazrlS9jsYdOPTd3zTzu0KZBIjHbNORDQUIw1f6GdJLm0vGolOvhS eHsFP2Zr1cG5ISFS4p9XTsdjg0459IdS0IwD2C1ZR1IIw+S6PxYPllSvzJNIhxwx67R4ycOtMNz6 4qm0nIo0/NZRUOCG2mGCNCbZsHa1wJiJdYeGAoRg/SpNYaYoW39YD5sDbpYYxmZLMin9+yKVmB01 7iPfyLQjNOXA0mUokVYkj1P+A8FsyknW/ufj4gvHjbUo5TeMXAV+WWEyGcQ0ZxBdzyK0jsShvtkD bAGml/zVSv+OuM8FGy4uT5AIvy0syHJk4T/z4rgLCZqby3Tqjy1lxleUCenmKccIF3rjE13OOmX2 Y6qY6wUurgoj43eXN2XpdTtPph2JlhBB5tDeyut9gQkiDqFQlnY5THFZQg+Hz4VPUt397Rxq8QsO ydQIcH2fD4Su9EwFDAa777SCeipmAfU6OFmODSTEjYp0YBaVOcJwHrp0CzNUHz7tku+3xqc9/lgK eIY/gQTXMGZNBsINIbGhPXoM3TfJJYOGj+kq6XCytJBO2P/Z32uLpGf55QFOAlAnToDpLRIh/uNA KkBjuxGoGNZ8qND/ik6DIJvLT3wP+yjeuFi+mg+2ue6AhRQaTHPB/wzfCl6qZFkqj2M5N4t1C2Vy i3BcN23cHmDbozKH5uzzIeuasHI6jM15NuxhBuavNWsMzK8uDH0R7BveRBvWySi2DgDekMGmL0sO yFlq/xF6aNmGJ8U4ryCXI/PSd82J5l5oTgDb+0G5u9+nlSPyr0Zm++uO+q2IvCHD5A9KKC29ODot uKHEQ+Y1N3LXyi83WL4wiGJEl/EV1/gtJ6Pjt3uBOcS2keiRU2rVLSkNLc7E44C/FIDR2npo3QA6 1+2PkFiSdzshXbF69XPHqB4d8BGqhb+61W7/6ECZfYMpZ8tCqrxCFW5zPEShBLsectB0opKMUxCs AEmabBMxBw4ec/41bAPFzR4pDS041WiZ6ZTS+3QVrwweqG3lyG5L3jgK0HNlQv4dDr9nuzH3fBjj J/iIJ3ruMRlBh21vWFoe54jygRGo5ebF0kEe5fNwI3BoBhGODI4DhBGwemRnexAOSqUqcl58Fgr+ uapM+g2SkK+MNVimFNbyZSZHJnXCPVCgsO3B5fnHMPIHfNt/nCoQoQ3krbflPfpgu3aq6SfFeiF2 Rz9vv3OBAzRPjqYYl8795Tqr1v2BhHiv3XOBm5p2H1SyRC2WTrRpzVfEJMT7NmglTAcvRCZ8wrMw bXpTcRL97CPnm8VkI2+Mqs7szRoiAuZH84eYO7Vzwc7ujASY0SXpSDg9/8DS47yWDZv24JF/uYoK ITisC40DFTLNcV/xMuxlRuMfYE3su+yMBhIXsPz7wDsfjVe21sfpY85jmxgssGHElJOWl5WTq8nX qWyjmFKV1kV4ZLxJMepHMOxAPsIY+gtIl+M9VpP+6WIlgc89T9DtbyN4mS6MjoXCDPYvNC376O1W DPFrbt2RPva96PIFcQf40q+Dw1fSD2JrFJLLvmDbPj8jd5uPpzZOmJ+IUq+dzD8ORDGyb2GLOgW0 594eKvT600tTHJ8U2F4pu1aDkq97Ufbjf8tGvU0Inado/jO7zXRlvLrdIfcSnBxqxFlpdzkcVfpi 9uwQEA4AHS9UPSJDEH0m4tsQFc/FxlVneH3kBnfW0SbWKTaR6VuPXmEATmErO13htbkx5pxEd4Ct 6VSJjZ94hsoevnP25ddu1CvT8fD5bMxpOX7+LaIT7UYKxQ2GRJ8q34RhSA6NBCR49mIFIG2H3vTO jeJwmI0dgYuUbNEWEv1OlIHDOB1QKytl7JLVMBhnqdJs4S6kC+q1mKBnODNZToBRAXqhHdlzgUzp tgk6dOlxWFrHkR8GZfnmDXGxefsvswoE8ms6JpL8VnEF300ET2nFdmConJJVgsg/DDmLCOgMNtN2 x9j0N5zlFCjvy/AvtI4X6ytBgiGavdrSqZnI9qUgLU511qDIslNH5+e4F28WyL7yl8/Njc0mYZ+C U0t5yp1YCx3bxIbpW5x/vSWouk+XfpcEe6DifssdrMi/OAe7FF6rqFwp3NZsgwDycgWLc9kihJj3 kM2YrPN2k+SFM5IcpvuBmLM9u/SLr7EX0CweJ/7FkX+YaQASoRtCpK0cfkTdXDf/lNL82gTBPT/A 6l4Srpsq9s7wUh2WqgpMXW59cb3O+rwa+V8zTvfeMqikpUvv9yNXIeFqtkkf3ZMncOaKmfcUTo4f mF3kFpMfUpm0mgmtuNXhKlElfy9th2CDzSybWJDBcaF56q5M+M24sDY0m6kEyxDFAwwDslIq++mv kBUywWmfWZogoZCAuiSzQqE59YTIvRbdNx2M9QenqQnluAExgsgzjXXC9ov6jwmmUQMhbmStmJzT cICWLBek7LnhbQIvH5PSFqpELZ45PS+FvX1O6X7libj5L927itSWc69vYXYO3SPwZH7GGHY1BXFP C2CEyIa3c3bf24Od6cQqb3Pp7t7rcj3lcWix1zFLRFv493ZeeprOyD4x54av9djdq+HsD6sdYNsS TEvJW+tVCXKZz2mATOn9yb/hD6vEwc4382P9T7VUZKLp8NvySBYj4Nh7+KS7gruraWg7A092mMG7 NY3HACQykHJEnidNigha+8wOy9A1mGTeVb2BMqKCh5pbIG1tO+7FV5q4qD2Qjk1Th6Jqcze/3DBE IY6HxuMGj8z/TtHPaVTeyCLjWwIbNepKD2mE8eg6THllMehjxQhBMLG2z/VeslQz8YCz45tYld4B hRg2Fi+uoyTnKMUJYoGvH2gUAziCh6eJK57O/mQ0aRg9Zcwn1Hd/p974HnjgCimo9D+fYiH+unXX rm/VWVsLg5LsFHPrOSDNDUKIbhYNwRRUQiywma8AhR+zLo7zERncjwkLwsS6MXv0PcOpGfzkV4xn ovSvVc/gIN0L9BXRbqlxQu58n+feAT9/s5a2V9oJ85RgF+113s0TKLV/yN8I9T1CEnu1lmxnvS4e OJkt8oY79EyZPXPyNMXPXrMjDrh5a8DHpg2V3ucy0Ll4VsbZ/d7IUMX3udBJBOGUJc6jzyGg7oOh 1ri4beEQBiwlC23P/qgFZTuUorsrq7aPINGg/RJHsx7Y6iVIe65IxWUBO7F4ntcV/M8cX7eLmN5h 1W4SoVViApxobH5JBzoakf8fJZCgmhR8KvxKNZ8oGxxXl9L+4AF9jOuIoUSLPthAhke9frOHpvmL 6XeeBpfPfbJ/XKNbZmKMqkJD7RbFHIp1RuZc3GtAzsWK2ppkBW+St+EPdG9pSmBw54Ga1HqZBUA1 sn+8hlD9NI/PKXyrnPFA8oKAU46hszrMwN/iXTpMQxqxoB8CBrVPQoYOghlsGFUn2912LMIKi1Op Igiyw6shttFPwBrEreoGdU0A5bs410WqqVPZsOi9eTzrYJIbJjeZZjwkooD8XVCZn3BPDMYT/To7 cPFaND5T8aSOEJePsTnXg3rXPM5K2rpteQlNz5pVyqyhotkkXRvabnlvTgYBsSqQU+zsK8jrkrd/ /ZUwsC5iwnjDdUz2cqfD1FxA1BR8P7SqldO8+qIBi3SfIA0hIzhAoEcnSZKp+2eaNAE0UqKgYvyn xn9iYLcs03p9lTSDbUJjvMh6Ab+mVis5c2iKJqsTWM28Wpedh2SIvW33ESS+UdWPgcgHMcjzhR5w 4ETBjfrSizUBWBmn8sw/FPa4ABG6hWZr6rv5RxU2ahPtjQScJfoLxEtqh+krQr7qL8ylD0BPj70D hmPyyMXFwmxPzx/SKiMDTMYl1o0BnMd69ovWRpJTjjdm88KxM0yKOO6Qv+QfW/rYfpmSdrB0O4/h Ls9fgRfi2CvmhahR86a5ZcB9T9haJPPsyE4l6O/YeMKhtcSBr+wQXcZc3MbUbkqWO0LNgt3KL3xH 4zdTfKPtvZ0b1EGRnDHqKEG5+RrCgjSTYPQia/tnwTMEV3HrDLtfih1QGQxmJ4k4OM6NsnPscRLb 2mVKQ661T89pYPudLQyz5WLDSucBCWQO3OnJsFeIu/gPftM+O/oxXQmH4NGSOTnrQDyjVlv+iT1S 7ccigZG0ZoYt5hQyjrIIV/283zWIz2BMcTydJ9LUJYdcVgM75tblUwGsW5eCLX3QRtklzxkPCejo ZLCqGKfF7QSqzPqVy8uZ3+hc2mj0bgSVlNIvD5Up/fsBrS7kMDxg3lbjRB/7k5TjMTLCxECRbnaM OR9k9O2zP/xv4mhhxoi3V8NTMQkP9obrXX6ux1visOrxfNjkn0q22IxjHvl2LfpnmGkT1cB78QDz nnJHyOxPYa/2dnk55ILPK9G5Ja3+CW1qddlg5p09fAGljjWYG31A+faGi8giOdZCY9pfJa6oYFJ3 7cFdnJu6asmvJwHV+IQIJqEFJMA0AL2ALCNVOx2wLwNW3prYGqelW4qiaHmqXCFCV43Ezm33vytU pT0XywD8/sX2ExaoG9/ZJ4a/cdmlEC2QvBTk1Ry42F4+lf9JAcZZLW+fhu4+VHKWAGHUEtbmWI8M 8+MgJaCa5NIu925nbr6vbwYUC1XlqXeAP251KMfTgdsaIN6vfMSKiGMjfcQFe49N/o5SNciyMqIz CNGw7CMMlC48SjxZE8pZGRZlqmvLrLvsx7dBrlVcIqGes5e436j+H5KqvHDwm1luAmZd6ig/mSW7 F62fUx9vjR0zGfXEGyZJcG7tOnNG7LXBD1zDLoO6W4NcSq6x8KToRTcW4D2LCMngqhRfd4GxyQEV nJcyg4DBjQzFE78a4n+pU+jTAjfxHVdts8LqzTbrszcdIQs9lZUM3QOV2jUlABocnk+enGAHE+qM w+06lWMFINdLXYFGVaLXlqotQqIc1tYFWEfVYkEhL9z/Zh/HIncmO32/GXA76RdH8YUdnN3rswBT QmodiSwrIxImm98YDh/RHyqYMS3oqldBU7rXWQJKd1BgwNNB1Ti0Zc+HgDAegeW8sAtbXwyYfTqa 4il43YrJ5Ef8VPGM5gNeINibcwzEJNlAYHtUn8XHNpJKgDLKD8oD+eceiso8fIz8QXoO41vifZfg 7OGMRGmSHbhAG5f7Dw5a5tFlId67eRTW8Q9He3zQ+2Kz4Zb0BC5tDf+ms0arRdtgUxGXW5Zkfbqh EoSTmykgwBYpQ0sZYMv4CUklZsoplcs/JKn7Cq+UdhPyzlqho+8dSMFx29C4zCwVbdhxeWqIm/4Y rwALclxc4DSHLJsroiTDAoO12un+IyeevJAUeKgbluFQrvfbSBrXAHCiygwZDKBGGeqY3hO3gKpz KU89LgJcxdJ7ypx1Vi4ahtigXicuaUhS6MRT/6Tni+BCFa1HMAAi8o+OkozQnS4CirtVa3NbhX0a Tp53ul2P+wxN2U9YOl+lfFkZz6oLWJwniNXG6pv6BzZogSh19hEaLJfs35MuqB+kiBRQpkRRkptm 8VJuDOPsHIob/2Ga50A7QFwZ7EjfkF3E2384GZ4DCUK/1wc+FKkyqTLNnjB+p3Us0ZomBkLbgLGd 5Mngh5k45owJJTQWUVLprDWOyI7eMwT0oDEjEHKt12xR/mmHEU8FfTAH+4wTtcqOOiX0wWjJrl6L SkJCcFQMjULZxnH1q533rtKQe5AxMEL+B7JllafC80BXYH7rDNlYnJiqdlnlao/7HmCoLKXcbE2s 7MrMGZpv3lAolikv8mPLQkcxkgujTLg+PMY+GjWWF154q+mHzz1oub98o8PqqpZ7GTCXV0/jmU/C 9OpcqqYDQ8j+JUARgaLhIulXaFRuRQQ1U5aA2Sg9MLi4px4Dyre1BU4ou1jRLHVRG8B+IZRXU07B 4kXAoqFFPQMJNQOgE2GPper9TpiRVdjTIKEd5Jr4i7CYJ4yp0rRNqXdUlol5xf//M5htTTSo3b1O +owCPDNaaYQ+WyUVMOtHnztpgLjizllluGLIlyhyMUVbEEoF9vWl5j33GVanjiq9V045/v094a9o cSEP/LfowUFtpPsoy2+1YAEJ6+RWm9VEpKXpi8SNpwEMxS0zQplvHMkleNQ6lv/Ex6EaV6g7TwnZ 8Mm1lYTa6UdQqLIG9NgUcIxrtW0sKL2Bxs96vuJd/bZ5RkzxjtxWTletg4yn9q2DwrQ05pZeSlqH HyqXYR0Dx3pJQufpltB1Syay8RcViQ6qZ6pVQzEOUmI/ENQjm7PBezeTYYCdYXuHtWhkBRKirLtS 6eTwwt5p0z+PRHzOPXtTzlCZ8IuAa134GccjbfqfiqKM6rWRrm6cY2+NW5j7OroU6CtvwqOqKg1p WSG6qG5D95nJDchWNK5vbgI1jTBT3O6G91ojw2MPDk0DgRoZ1DLA6r0EGk7wosAFS9liAD8kzD0t ozqGIMhql5i8gL2AGnW5n1kr90UBt2CKY+eaFGdZI1T+QxQ+aoElPaWayxmPfklhSQjqiAEz7dId NlOWIvfv9+bzcDn87HVTGQptB5Iap872UDEK6UgsEoFNQQqcAY28V5A52tUHFmCz9EvEtr9xhU3h TgXj1W/nN/usI2v3oXVbg26lNiCqeAOl2ewuZZfYCwHEdFw9q1o/XY3Ik4BHx8E/GP5qFyO2f7Wa py+/VvtOTwRgJt1UWlsbSruFiVJ9PkejVB0JNYHLzcfNI5vfaGnnRa5XEfgbF2XjV0GtgtzZqC+F QlsrVEkDoMPcLS5LZUGznPgxXSZwadVsNP9fm4h0QcvQ4ND+dXFZ6arR8fvuqzWaY4IOj3UokNSP N8+f1KNgqwYBF0s5wwlbUuhhIeX74dM56PwsKWaQErAV7g8HdgpZaAvS5hBLbg3VWHBzD6MTOiXJ /qASSmbRdyUhy27F9oQ73qG2si7hKvzoySDTKHZKkkosKFTldVMYsYA6692BT44phrBuOWQA1Qj4 akyNcc/ZqOOajABkbxPpXaHn2VwGMWh8nB2QhQrRkezx+N0NnvwQQ01ZWu0gBi+Kj7Tw3lTNcKCZ kA09QkV9xy1IN3oucVUPZa/YKJJ21YemoIcOGRNY6DaRk5Am8CF4OeeGgqL9NbhW13XbyC2JV4Uf zEv8a4JMxtbJ/5Qqgp2WZDKcBuTYJ0J3KHrZP02uj2O1MGwBt5eWZdhzU6RfARjd1PiFd5gYutjv I50hrYdGrUptjWYNwilWAaC79wWtsz4YGjJtV47Z43XOAgtIumR4MoGnEU8AAdwgN6fu5j9dI7Q8 4mS3IFNDzBROjMDod/OQBqMJrZQQ8RQA+BpPKu2QeZAK7dx7c2j3uW+5p6f79nozNLyLS590ibsd uSjdYIs8b5GA1qkZAFdQ0DAqpJFB0WthdFDPrC9rrQs4goSw/8eN5itjqQF9zdrDTKZyG9CgsCJl V2s/l/AUGlbipKducalOq7seu1Kgc2S/YbTscKF8OeUwG+h0QWpkVdPResU7V68IQCg+dQoBxqT3 TTad6qcDXvCisOSiSz4Nll1MAMQ//F0hfT4FbrQwK9nXUiZoraUPG1RtZuNaKr2Tj5IERmPFlzn7 hpzZ4z+sYvhQukxwsmkpu7mupTmrEBps0oXy7dGebzSS6j49bb/6d/d4Dkmij3w/yrm7yaeOAITV WaBkBlFkHuJ9Ga8EnJWs8s4JMFyRwuwIDQkx/IqccaAXP2buvwW2lMKa/782mcJKJTLRESaMwTtb GgWP+ZfeFmGNbvAlamLd9t8IrDFhZmH1Si/oRlYNphDO8IU6LUAF90Hr9Xj616hr2w6esZ1hoJci vEN6KsH5MTR6HfPkKe4Mk7SJ0jLxXdUFALZ7xT9wxOqs5+1C0K0V4tk9zdsChZ+N+DyLQOLOeo9F dKIzGsEvKVOUAzKGXAiaLgn/rGfwNdSkVo8R9KYMzWVSm7fbIDefBG3NcD6AWBaVNO5Z6W9T9vvi Qn0qhrDrqdE+scgXIFDnGi8wPzCYpPPDyO/yDVJZ00+COBBZNe/4c7FvfM6fl0qIES+3WoCKwxrh 9EyZiM7zvcJoX/BTnFFml+b60FlSR18ZXOyUo6k+d21uEmALeDm+evei8ljt6wfNjdhCUO4B8cmQ 2UhVG6kIpa298ehjuTqNMFGJJsfbvFY65VggwqYEO1ZDFHS5B+6Qp5LRcggBgboUd35/XA38tluj PdGkGurXyRWWCvGyx5ULX+9TfAmXcBaGMw9IqM+0p2qg+DZli2m5I5LO6EtuUK057HYzB5ECj8Gn 9QBcO+dUfHFo+YqSP2Khj4rgjtvlBkqf9PAsm8tdbaF3aQxvACvju3+/XZxFUxcBGnmqoQiZNiKf 0gYY8zyqWv69s6RBHGBIA6KTH+SCfKCeuX01TNeH5QJUsrCHEhtFhcRYvVqwjAQj0aAzkB/NmNJu t4VSJbH4fxuPtZY2O6GYEDWfP+UhICl9L1pqISOQWt/RaokVZ7r5Dgt5uJ/w1LN38zcPn7fVefNc a4p+zMmFGvC45TGMZVRPeYPhPwRpm2JjAjGzL0eRaiv/8JNWkmOVtlsA6QUMoxvg6D2PJDxZMY5U aHoWaLnZK5kIGun65Q7IXi57a/GWUL6aqyUziEljh/a0KgbFS2vJIcZhEmTKKCbIrPFInBfyPexY WWPSSn7cjmeOaU98FRKwSVoAG5TKl1iMqAKg09rQ+j50jI/1Vbl0V0V8aCZgFSGozETjy6iAm9uv 0c6fX3+uzFFyUTZF9aRkd/OZWJxesADYUHho5Ww+mOyKxT51USWA2lrQTjpAtkf9+INxH8TGgvz5 uaFGw64E/jNscHBWt3zALxcrGG8zVS9kQw6e6RE7qb2Iy1sooBbv9GhLuMM7txDEQRTyqfMGXp8T UxBBkODo/4rCYo2fRFFWjR97bkQRkGHBmW+ox8H7vBNilLtKtqxowzjkN3i27YU2GCiUWtyv5Sio zprWxbCmbxF7UIpWv9yOGq4r6GTvMr9h39ccYChyJ+q2seBhHUB5z9WqgyJDWsdswkphuMWcSOBq Pbc1A3zV2rPi2hOne9yQ5Iws2XwzeUoIB2IlE2QnHIRusDYLdI0IDQvQjMVP1Ro+eoYDMiQi7oOz NWcn8qLVSiKh/0M6qDnpDiCon+T2ivBGEbm7L5gXd2JDYAWcs7nhGvGcfC0Dwo7au6OkYhBsv5oo NEtDF6lGgR7FwvrSpOS57Z8CkQRxuh/5a5uFQUJ39dLnAeKvEc4NmgQ//5bS/lDfwjXn6GukF+rf 3oLyboaAx2+3vqWF3cI7673FXs0lD2AqJav5TbDK7Cm0LhqTnPdykrSoPvJDmWBqrFI0ieKlL5Ou QqpiYTm6TmsHskYVnzBI4u7zHa0SuJNNI7/wNd9AH5jiRTV8m8CBoOi7YtmG6XlBIR70xnSL/2pX TDNLx08Bdw0b8WKg3MwrVwHBTEnpIA7ktQzZDieCW1smdtu6RycK77FgRiReQiv4Taz+QX8Jzsbi 3rfO7UWVgUdGWZKXWvRLKUYcX6wlSQhesftxfMxOf6c079JqDViVLDLwkbO3ASPwf/BrdTwQc9ll VrtTRzf5jJDzDOCdGtIHkoxkf0fnCpfZwp1JCssP4g5tXLkQtn+ygD9u+87gf/08mRpnDK8PQ/sk dt2IsmAOT/byMvPNzf5pm/L9Nug4nMIjjV78S5StOcnySBUoU6kt/DWHgY3t0MoC+U5VYY42SacL gjUpQ2djMzhaQ6QPRD7+FUYyniUasKoFM4aEA9BdqXrDip/LTvzUHaHBv4jcI/4NVpHCnCYmuiG/ mh1uo/V5Zv0fevFQiT0fws3n46hAfX8TQXYbiZjSgkab6bSWcupuVXFY8RDHdU1soGnNO4PQ0+0U pFTstXYSxQ4oGYZbum3lUBaWYv8RZ0VUbMVnQ9BiRoWSNIu4UK+5YSLw9ysu+vzXDaKsFxkGQyGK t4tTn0P62FGIUfaGx5vxnPm7qVeNgXYNKDHk0D8SwEltZFUpdArZdxqkgZ+tIo2kACxorpZQ9RAN bMZhtM+INkvJFLHBjmxVrOz/fa7ZEkErLoGr0/f1ksZd9IB3VwRzRKiZoxq3WLWnNeFrdbV16LHT 9DxuHaYiZdBnnz+tspbumFd1WTqL8pSoqciyYE6uENi9ViuWWtW+lZtOadJ/Mo0icxb4NOtyXSeK xwEFVdooc9JWwuB39DZfGxgIBROfcd7ZG3XlCJ3bgKZVqiJVh0BkZWfzqx2V408AtXYya1/Z0Pld +THSopJ7bWoWMIZ49bVvhQD5/cADvQ2Q8fjH4p1qrT99rau9Nw5i9CjKrkvwAuf4/sjPZTkiuFi/ I4HT58+pF7SECC6W9J8MOr5avVNi/+6w4nNakIBjpaGAsvlRJ0+suJ+wlQCRAKYcHaTP9U/PJKKR B7Uubu9elGsoek1UPcsFT69yZYPwS0Ov6UyRTlxkLBuDIt3rklXoW3f4t8xo2GVEQizrGR3LiyeR Fohn7PW4uG0SLDVGFQ== `protect end_protected
gpl-2.0
586ed8751d5924371c8551754a0680ab
0.935822
1.865842
false
false
false
false
amerryfellow/dlx
alu/multiplier/testbench.vhd
1
1,213
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use WORK.constants.all; entity MULTIPLIER_tb is end MULTIPLIER_tb; architecture TEST of MULTIPLIER_tb is constant NBIT : integer := 16; -- :=8 --:=16 -- input signal A_mp_i : std_logic_vector(NBIT-1 downto 0) := (others => '0'); signal B_mp_i : std_logic_vector(NBIT-1 downto 0) := (others => '0'); -- output signal Y_mp_i : std_logic_vector(2*NBIT-1 downto 0); -- MUL component declaration -- -- component BOOTHMUL generic(N:integer:=NBIT); port(A:in std_logic_vector(N-1 downto 0); B:in std_logic_vector(N-1 downto 0); P:out std_logic_vector(2*N-1 downto 0) ); end component; begin -- MUL instantiation -- -- UNIT:BOOTHMUL generic map(NBIT) port map(A_mp_i,B_mp_i,Y_mp_i); -- PROCESS FOR TESTING TEST - COMLETE CYCLE --------- test: process begin -- cycle for operand A NumROW : for i in 0 to 2**(NBIT)-1 loop -- cycle for operand B NumCOL : for i in 0 to 2**(NBIT)-1 loop wait for 10 ns; B_mp_i <= B_mp_i + '1'; end loop NumCOL ; A_mp_i <= A_mp_i + '1'; end loop NumROW ; wait; end process test; end TEST;
gpl-3.0
6357786da2d481d962aa36adb3f0b692
0.605936
2.922892
false
true
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_recip/flt_recip_specialcase.vhd
3
12,682
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Omk0YnKmkW5y8gD4iSPoV71rgOmPy7Obke2K2u6r1GkBFRKZw62cxox9pqdV/JnSLiLF1x5IBd8i S/8JqWXfpg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gfaZ4CZI1H6rmo+6tkzLMSxbKLxWdS+eNe4+ZaTRnVHCtfhPxyGjcluZJxxfPwZSgvbJN6D4beym Xxp6gcGcOxN24LpwC0HY83D5hKbAuDq0QKJk2M5Kftlr3daoPPstPewD/L5ewy0hMnBIGGcBAzy/ GhxGfNV3WGdwfM8p/AQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FvXGzhLQWTHzemUJrI6OshqvCXEgiJHIsoxzrimZphEMU11fsK6xvC1qyyGSoGxdWFm4eP4O25XD u3PDcLrRTz3Xax6enXHPgrh3Mc4w2y65QzzNZH8xnwy14HlP2hFLA9yayAGJc7ViRQwG/yqkACpZ n3xPUSi5iuKMVTZTEvBq4OwgyiSkEzCwAm6pmGGDhQFYWxoJfUcDb0JNP50HrinfTIQQKZRtF9WD zHu7yXK006iEumY+74XCCM7erfne5HG+J+K05l/b6JFYzposTlNfYssG+aV1Zp3gmosTHyQ2vb6q gwOhMFptI2ZC89V3wbfAG9IkZpU4Wqubw0Tzaw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Je/KEWq2++FYj3oUN5nHRa0+AvxUjzBuUmbZIfoHZA044Wm991QUADueDDZw2Wk1R9tZeeGbb8J6 AC+hBfAHFaIXaVHH82IFRdCBRhoDnVvHOVg+282MQFOqa8zfWaQklGMsyaj+qxueIAD/dsg83Yg2 o9jUSwu+Eo7psMsANu0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GeWId3nvcr00rid+QIUnaYyV0fTchFbhhklj9bAysJ3VW1jqTs0e+dczMzvJ03j1PP66f+pKMtnq PTKRf3djDYlmWrsXkf21B/t4faY0kRmwh4rvWhBA2AQY93LrOUysS2NITyma13cVxlIkP2mKL7t9 atoYbnh0mFKhXkSdbhh2Jcx6jVelt9fmPG+fyO/J4XUIN9+FRMauub5WwLCNG9r8vwD/uYq0YYXV z8YuzpRYoF5tHoVi4xn6V94SI8ZsB4v/yTI2CsNOvgSrhbFeJ6B1YXcWVSVuGOosh4mRcBZDcL8T MR8LkXCr8s68Qpg/tvBXu/luixPMjGM0DQoN6A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7648) `protect data_block Ac4mN+ji0i7ECq/rrAlRr+N9ZClRhq5wAGhR95IeawkEHIFBFAX9nQLCZ8rfpJGSOqdEstLz73ng stAhCTPSzrdyAMd/TNUOkA7hZPtn9p4n2VMgGwphpTHgSIuoGoIctMXITY12KsTqvf3oRDcCPCcm nzR0ISBdujtEpAUw00wLgzG6ni4yCCHor1CwD7pc3qNUKIkAD7gNZ/bXhUcZl7g6esvuFKuX3JJ0 wadmvxjpXXFuPFMxLJpCc2fNb9YLyaqIbF02PTSgxrIUwXBphXqA7f5nM4zeqSp4aHdDTeKHPcVL joG8jtzJSk+ORMeqmTaQhall4sg2yPNWjbG8cvP8ZOlq9Nq3bpCM0DI5F36OhMZzOEXifVtzsMj2 RrHTeO2fjbZ/g5tErXcnEu2L+Y0tSBhYcHQM236P9Xyt7aoUd1FnBkpcdOtipPPf9AclvCYkscug luHW+9q7cQVWOYRbq6vWISVLq9rNd58SXJFnyfbnuCPl4sFs0ICfEqtizqKHugARHhivdU36My9t ea+Tbu9D4pruFHnMmBSsMvWZk81AjGw/Uh6C+wcdyg5QlFYi/F/+tKbs67XjbOwKmnvr4FtvaHW3 Zpt615sgh6JS3oYCeHuSq0PufM3KQMsziByhQzata4sdPnHExPZxHY4tn9NA20SS1N4ziQwJ0xtU POnllwfySyo0i83F4rJfnec8TXGmsxYxibzml2JE5ojH5ksHv4BgMSTRP3pElQN8dSRuj4y8wUJO w0emAi++1EigOVgmZd0gyzCVEHtRlc9QnCs/6dR7SDy4PVGzhUFklCsgFortqciKlnPDr/JnKGAG 358I42tiybjyCrglDUHDn20lewnvQmXKsG0NWqK50oee+UkHOJpqfa+SVxijz3Os+NWEqjKEzqnY 2X0NjDcPmIoXAQZbZHAdmnz+tkS9+64kYh13Fwjby9jtbHg5uh1XTnOiJ8yKn22vvTy983kBbNDZ eko55Xn9e2YdBa73AqiWM8sQLRe4ICxTIeKSxjNxOg4laETiFxICB9BAtHhylthc9VUgJKxpq4Pf sN0rVIQPldeNiTtWyxOs5VdGXHL9kVGSUGBhstMnU4doEQzj53O849dskjG8B2ymyfQwmzduLJK9 Df+2hL9uiM4FpBZaIVIuscPK7WC7AzSYN/20tdKFzkKH1vRlphpMdIUfOhAIKHclPOo+tyBFD/CE Jjdc7NhNjI5TUxdT6mv2kziEgHk29BCuwFrBY6eko6KRG2fbVE/wTDjc/FXY1dgifrUU87T79Aie FJOgGvmf17JmdjmH5BwfvZiG7eWEDu3D/woA0sRnmE3WkIKcN/YUGRP7PAYXVJSILW8jUN61MrJZ 2ogLX9z2y67zPVieYYWp0BmMdyw+kH8MX3V4AwudzXfC5G0bakvJjndAhgg2IBMlQdsS2O8zbWYT 3Hct/mEkGsACDHwAj8syy2DFroFk+ANS1ZW59lCa6U75mRyY+cOPZBLJSjS7kPMLVSNaTvvnUWn2 YA00teHirH/ATJ4hG68qTlnIywXOktbB0erRH63yzVsPMWKHcCLbTOybEialdBo9waFJNOaJaOxK jm1BpifguPhM1u0FkkZzdt7kVDh6eEg+CSPczkylHNEESzsCrXS7bDJHRJ4JtdJO4N1YX8x22P5r d+iAbdWcymtAut/snj6aH+vTTkAwJJsbRhTZu6ezco2nWvAfTzOmWzO8F9U73LjHPQ65M/Nny/b2 kwo8Qf3SObfiOVSDOux9YGSAYi40yQQdd3JRs5qns9+PuBuhMH+AEmknu7aOuP5pQ4yq3x4VDNff Kvvq1uq/jxc15lfEIIm1/K3b5fVHqdCMVOXGxc5OK0naulq7FJA7RnEcgAWJrwgfC9PDli55856p 9lpPQ6hVlCU3ndVhdvESB8/y6NXiDPxlP3Q9q4TfR01/FGReip6wixU4tTShDHGBrXodaBrxRUrg vZTlVJQsZL0TUWcC2AhDmV4K3rJHRPUjZtz/zU2FYY5z4EGbuZIMuFcU+XbEFp2ZNR1YrpzxxGbP 2oM/hy3w6e8KnEl8AQ9INnlNnI0NYUt98oc8cbEXauGK87uL1beLDYbRYvlQnrMWGQ8KoxpViigS H5RUs4kWAf/708tt6pKDzqQAtxlQw3C3v3IGwNmDwm71lkLR47Xm2WqYNp4gfZoNFualkNKZhtDW HEgIVQ++S3nU/Hnwe9QnUKVL2TPCQJLC4ELZnbXMw3fBngpEqq7rWJt4ya4S72XLe2N/oUo27bDk OYaKg7lYfCz4jFdhq+p1OSEWKuqk0gMMJkZ+OI2JEBEx5UI3HuY8gV3wlW/6XciKG5Utj+v+CO+p v+B7ACW+opbSm4/ypItmFx7HzNH0pCmAlWVz1BSHyZF6DQQdtEGBmtIX/nXK3i89EhxOR5dgAs9y RNf/f52SNk7pz+/JgnaTDjVx4xFux+ZwyeczPfSTdjUemOJYwqFuF5SVMelzjcQtkj1iZrbyNEC5 b/97J2g79YdArM0e7RM+HpJbi27ccc0JPeEDcYlj6haWnEyXNTfShDAsgOgEl8X25UDV34zbiDNy I9QsDuPwptDltWBGTq5okXALb8w6NUAA/zdeXDYWAv7NcW8VsMHBptjfewuvO+pgdz4j34mBf9fp 1pZapMpe6ajaNE1lAhFL0xNzoyeJdgFbwB4UctLKjtQzMaSdVnSCMeBd1qbk9vs8hGp/W3n2u3ze +U5iZ1tDUYVgFjInz+ohid95uYU8j8k9hhDyLw5vOtMfV+7yo6oWZfiVmvEvlCwS5I3x7R5of1BI ZHI4lYnzrRHxb5eqRfc/y/SOWmDfDRetdWJUp5Z6W4Nnx2lXuVqOKaAxpc4ADfzKAKpJrXjaqw06 bs1EtqPL03Ce6c9dpQglYoCyya62bSD16SW3+xdTzfpGVmHQ6eSMcAyPV+XusB1VTHK35Q84IW1C XmwqBsqF17OW5+lEJ4GgWukjbi+VTWSB8hmlfCoI/E8Opb5qwVIcJUwDnG1NSLiCUBlrt9QRIXXX NijaEpIALXnC4phQVleEFHq5XcDQra2wUdsVOXh1DXapFcou9EqaGF1qvkWaJAmGX/rmU3OUQMsT MPcRZNUVlPKVjFG7vv991K0KhCRtE/+nmg0wcaM0E2wtjRClZD8Gb3ldfLPCzMlKvwT/FOXMm15E 1N3VPkSTIpTJhuVBIpjSHnxWbBCeSM0dRuZ8CBbEZTa7CzHkXWFlgRtHo/iIwvvCuXImB8Fv14lp +xGxt+MP5p0OhZM9r1KhmWogNYw2Xu2bg2k5bk1DX/BQroHTHsrkV6eXP3+jj2YcmhVVCPT22OyQ rCcoc2lkVKV6iYiz6Qob6UVqx0bmKm/pUlegfvvOIlfxltZd0kAlAy6S45jcH4AUeZf1pTjG2yrh xFkhIZvnPuCHPjETILahnjfP1JzvE4KwJ2Ue7T4p1vAnedx0VSQ1UwzjM/ElVhah1ZglJb8IbL23 cIoLn3ygzv7ZNL234p4Nr44Hst0GL3Gmk8G2PA2osR3KUqfope7FXoKjNgGexCJeHPqhkWq9jPl9 rUugVLH8NqLAEirjHfMFsAU9ZKe7cgxsk3jgLG/s3vfFc9/X14jcFSu2qSdiCEi7SOyEEv3ePS+s rJ+2nlO0sQv92DP1iuMOzmpBrRC2OYLlnoTFLJ/nrc9IqMtHt5f2UD9Xb9qooOsyak3cXlyCg4ud 6SFzMx6IQhtzlPyiCZf0sKUFkypgh3KogOYg/UlWlem2K8RQmgRBHZAQHjuVFa0tpE4rp+oro4n1 GDrnHCPDQ5Fp4GeTpH33b6ZAwJUNL30tqjyMo9z5BK2up6waweYPNWYAbWep4NEJy5XDAMK7K855 fFCx3Mnes94o133wVN+56Hm8Mai+uBDh2652XHpx1O4fmpQdIBXDxdyYT2GReKVROUQ8aDpv9uxq B2m5HSvn3tEcfg6Xtim/418KKs7aLzLFTWUNXE50TBcNNawtCu1aUXS65q4POo7Hh25B5bi9SDk5 NZkYpk6klJ3BhAT9sRWX/Fq5GyWMfM1XW40GiwOOwVhnr9qPk9All61vewTwHiPxRtFKyrDl5j8E Avn15H219QfyPUBa6nfsDGMgtaHT7CxwjpY/uGCKFALv8CErmZOSu5FSG+Ew/U3RuSV25cDDScKp kLmeeCckBaQhDj5Vue2lkbmxFTG2/EspAslTwqtSPYhpNY3LwlTtR4tQeQkuw/ppfstAGP9hwewT 2DpJwlYhozPnZy1rkLP4IqbuXE/3uMkMfc50sAnqLpr5tmPJP4mKwsxpW/hWUsSD+UN4WFouw7Xs uiSIZt/dGFj15Mtx6kVY4XtPj0RcgE1VqZ9ApCbVf8sCI+weslpQ8xtgl8wTt0N478mYL133tDfa XG9OC/cLghZsu4vIbSvB0lHKZV7v5TVUIx81XtL7MyeX7pRS8AKjxp1q6EAe6BFFx5KbHYNhpah3 MH+3vo/gnOxhChvgLOaVzOMKHENX11ITcXTHWLJG07XFu3tYxS8rq/146DmMx64X07PoYlH9Flcx r3mMLKWqEkqI59N7HjdRnns4QWbhSwrMzk2IE+DdtuO+0SCDP6kosTaqTyLhKR5fgFnnKKxXNsX1 E3GHwdj8pagG4xdmMQ+tiVbODhj5XWEz2mY9lxAmei21o0t2JQ3HZEAIf4JSNTI+ETh90YRwYOOX sNQf54wu5CyIBwardnCOLpegtsISzKjYu7m6uGBJa6726Npyb4VyB0blems3Knd87+efRi6T414R 2zF0pztzS/Q0IT2wqnd8bLKrSO9C35kpfXjlR2H/dX3bqWWOyOHXzGVUy8gNV1umhiXlnUALXltv Cjpn5/zzjy5uoOp7jZcgxDh1Vs6uOXa4xU7S4A2hFuIv/XRScZyuZLDUzWRZ/g/QQoywF8Y+pkP/ xRD/oysLVyLmdXoJQ+Sl547n6UiTn48jLQOQQRWq06PXkaz1zqKXI8kVoZPWbJ5bucYZVCqEkFHl FtYn4W9a84I2OB2jm2pS34x+V2zmODifCKdU1o5P4cxi5pmRso/aXJdMYt4j+NHzs5diygtK+9/3 jIt5HXdsfi30XyD5J/0dfKbPBZdvK5rsqmJTaInuRZHmIFBkseVfklObnx8crKwmoMiCUPRqjPT1 Wtbvd3F4SADqt2slnA8OBEE3YzK6IbGdYcpC9DZF2X3iB1CxWqRBRDgjVJ6j54T+6PxsXOqGXFVj e1VVPe2nGrsf4vU2723zMccOdBpYuKBBP3Hjny55x4ApFFl4ut45TXQm70xQa/K10bj0O3EDGOo+ 73SH3XX/gzd7T3EH+I1eyVvzNR8PymlR/HK5SfarPrI8Pt51+GB38Wii/vW0KJXARwVsDRWxRuf5 2E5zp5SPtKxGh9J8Acl9D0hULRPgtgwd8XpXgiGVcmRNV7sZwuIMNgGG8kvvnmBCLTjJAE+ynBjT 8sxkgaS8w3IsThIZZyZP8LDe0pm3SGBm8jwwoYoByeQ3zN1mSFoZOpPhx8ZCzDHqizaSOCDMj2Jn IXboyx+xcIvHf28iO0L/yoNvDHsPJfZjwYbTE3+JrA1JJdI2mVrRrlSDRblBGs6mW20hIiWfmBE2 3Jak4xtaegzz77lNk5ve0aYPKScxrGdRPOJirCi/zmIw7yji1tvu6OVme/ZevR6DA87lixMXq4bi U1KpayC2bD4refF6SPlxejnckCQQ9RB3SDLaHu5XXIcANiDrq8QxFcZXUu5cjvvbwgTmTsn2adYN 1f5moQDgAxrj6Q3DygQN6XlAQwWLTKYmnnqDMDn25cSukJhOIkYjIh0PmNpkXoHxPyisXtaAJ0Qk SoTu8ITLO224sIZYq+1WTIawFirLLz0PMm7ATc6fG/qHraXEkUsos/Lu0QD0HiBeOivG87/YSRdK xNRAJbVh0ytdSBxYVcnxLeS5Es7M3/nvxFtFQR6iHr4nmFvoIllzM2A3Na/jlNqhLNfyvpM/GYEE NiOSiFLBRlTLMcTzgtpcGB/PoyVE9djLeFWb+NytWDnioW8YbN/0GhAL9GFeI9ybPJY7JUsA0G19 A/Woy3bTZCyBZsPWOXW1URoxRQSKxw0Wc5VHiABl6lm+wFzy0R2rhcnljfdA0Dx3/v3RrQfUvayE 9vVkfQttpMcBzOssJBsFdgZUg1GOdSHUlG5jToAVLIKWQdpEsEIpvWKGaJfTf+ArQt9vfUGil6UF 5I24lZfJXRExK7Ox6J4ikYhriIHU1R0grTWjRQXA5GeTHJgLZRHrw7Dv30vjfY70+VNQ3xuNfRq9 Drx4nvt47e1vktZZuh1+e1ZjM++62VNJ1LZdScqaaMXDQOR6ytSx2XTUs04Zngu+qFrrMe7Bxf1V aOI6dB4/0WxqUy9LOTlkwNJRBEdm4B77O4cPH8wvGxVR9PqtfWtNg/KlmRmtfevZipeVQh/Yjq5b sXoPRgyCbiFgMcX32ye/VREFWQIiW4iWNiJi13Y48E+E11m3sQiP0hbtKURENZ5lTbjROz4+wiwQ rcnLhasvcOwrXz1A+6YsYM9LnYCm/KsV1T20f20RLCEJyOQ3lE0RROkMyCXl1DANFVxlQvhVe/ha nNviGWCRq4LJdPe4hGahzP6y5/KUXPcV/A7dNRYdkIcxIl40l1Snkx5BEFTHiD73pOrY8brhoWwD 2d+bGZbLvqV8prNyNwDIcX6Ljyp0WBMPUwqV00QbsNwM+IdW3jvzY3AKVcxWlYlzpQo2WfRJD6Ox FcMj3buUS2rsOOg3FrasI8/JgkSdhiijklBtsDyIhlYnilHpZL3yxCLx8v4+tVo7qZo2YhR43dqt Y4Wbl5VM2rb0e9ypQGRy6KiYSnirBu20cMZh74R0jfkTyQYZFeOpMqVKlZfCX+PXpESMrm/OHk2e 7tH70FlaV9HsxlRGbvhvpyKCcnctGdsvTKN+/C/Knb34qNwPHC07McAhdxEQxtSr9li51InA9L7O i4l0y3ElxJ6eIpkubhtVDoXZA2anHRHbFFtWdlDCM8fUbifpvMQYSuGGyFJUKwL3VZJeOG6cyNqv 2a0AbXoK8hn5aRDoZ2xL3pyuyNyjR7wJ95TXf7Pu+ctVrDTZEi0zrY6JcugDdBzr/xmqn7UQqw6H c/4Jot90Zk6hNei16FqhH0d/lCeuQtWBXDaAjvTJPQtNWlrv5EGAzOjD0yWesO/Em3ikktUSwuDY gjesAN0z/pJxL5/Gl+0VouRU1CsVFIpNak9FskyD6Q9qlMyEsSun8t/vjE8s56Oqlxw5jjUtWlXq r2PIvoDg8QbjKxnyCH+eC/SCL2IS9hdYi2aiQfbnDf7upeJZfhKP/iTadVblTmTYySU5+3SnQGkd ghZ7oV8pSH77bVykVCGwv64slRZsG/a6QG2UqQtTMayiGlmAN6IYGNXC0Z7FiXCixK3zmPqBQWxY B5eWf5UCinFfpJY+STGm1v/zsZQskmwCzeLgw+N08veK2aS8goCjxpn5CI4R50OwOEHg8hgdEGdG ObgHmyTQZ0IIaJeKJcP1P58p7LxyX2i0/2Nmsh9RvVnyBFzPPTq7aQtRKW/la/HU6/5oqjzPJxz5 /MBZfljps/+B5o3oL1XLHSnLNDysX6l5DciL0/RTr6jOgkJBiTrlOOevnibqm65zSaffdVBFse5O 6PizS+2+iZZFdVUE3AoPOBzcNN8cpmT+4+HCVFz0EKmD9EZCAWbqp15P8iJ1txqlBDk9A2cMzSF3 euOn5kcwjcZRWtiRRoi9GqYo8CUSZ8mR9fhc9PHhi+u3l6CRu/2QUQQf0qnS3toFApDpma3XdX8a qY65PKoOTIYrwPc96Z08gvONwqXcAO737YHJFuknrKVZWh4ZnqbrLepPplLkMkmlusmHgSNmwDMM E+HCE3zQy7XDO7v6LRLAkDFJM6tOvPZi/6chd2D9XtcH82fYQgu89iBpTHjGrzE9AKAG6WLHtIwz bOhDgTpj0r1mcdEXJUy2j+cH2SesQhP94nLYD1W/KkZBl4kfP4kG81JLLk8NPpRla6CVskq/2xH9 lzgxeVoV8sSx+qjqPvJnp45nILccyoPldZkfQhSahdnhpgsHc2AgFy1o1vAHjxlktWHgkQMpQyvZ DjRDPu46p3VCbTHTwWjEbkiwQnAZ4P0qyzvYbuhwYQAH1DL7rIzh8Vk79QUHThCwRN6f0T9SRYlR fPUJAg7EP3DcUcK0nT6H8ZETJss6in9AGQahPFWL7g82h4dNPyAfK4oAGwz6nQg+vTR0QiPWLRLh PMjIqSgBRQojpQwLfF5t8US1wyqH5tGXIqkIkv75Gbp7x8C6OgBUvMzCWV+A8nAKZosigwUjBhNr FTc7E3wdnvpV91yGJC/8BFluMsPkFq9sFm8AeKU2pXzb3Cw+1bfPr5RonRlRl5+4+SBindHG9zeX t2xnijkgJk9vhGiW7b2Ex+b2l5qYgSGUOPdjk5iZE2Vbld1mIMBDmSEAOR5Nz1MKKuXISiGomTbS quCcpFAWz+Cf4jyNjRbS2ZxobfDERxHDcRhCJ/OcW5VPLH71WkPFBTrosXoEPa3D04A+Eg4IoeRB vwpvKlAWtrjNFlTuDx54KNPZX+ZeRUHIAkZlBpK4D/zpoHUtsGJS6+ygWCTEJ5LBevHf2lP+CebE yxQ9x3CEjHBCl1RwVVZi88+R6NtaWqD27pE6qzCwzoxfBrk3JKqgGFa05L8lreoh6UCG/zvItBZu Rh83u5T6eH8Y1sq+qtfh+9hRJWeO41iPi+C9zqk/DyaU+CX7beHlQNypEh9+2agHHXDrtyBFTJvI 1GTN8Md5Z30njclHyjDCw7pKbwCPSypsIAqMHBskPvjxg98ZxIcdg0CInnIPkatmGHoHUBU+4WxV 4PRg/9yc8cf33z4P/j8YgPIqLEpb1d0n7+rKBdg/dvDz3mGDyonRFlWWvcHCv71deXg5gU4KYZ6L NYU7aNvJBoWOtqZeMDu9J+L3CYniNLpNl/x7OXLJ7/uR+C/DV7pQVZiZtzBt8koLMNxbF1HJOiC5 LulGsSrXJm/OFMtMxcEhW2o2pgT1/KrwbtMhiM7fKFf8dscmaJSC8VXdta32g6XDmy6d/iVcLMPz cY8KwHsVfaAf1HdHzF+sSGNinMToXYU0hTI3b+TAozjR5BfNff3xDI8dVPVada4ch8JK4LK7jUjw sLBw9vWSHFJihQb41AY43vHkmE/nH59YlqP17Y8YPwkjOSk5kAv2NDAXrBPiW40Ajx2tygr3RwSN /AYmTVRRX3eEOck3F0+VM1wKgu9F0oYe3bTV5Wv+Tj9iToie871/hzd/gjDTCnRLuswD1M+0PIrl Thyah+4sbGB28lVQGe9re+YueYtYqOF7iZURHFvLlybHWUjfvZRjq3JFwIHRNg3P36EM6Nxed74q 7rPFkH14wBguEBMnk5Ws8nIPOSp5UI7JSzLFKPmP5sOGWCX7idUM2neZp/QzIOC2aNv7MHQBz0nh rTn6NsPssLMpZj7dabupkM+SUpu5JNJ3Egwz0/zdJWF+LxHK8CGMav0JajZLftbrwyPHpglFmnCE QGshZbUo16Y/7oGK0T9+z8J7l1ukQS2p2YvQKP34V3Z9nxWXXVzP0Edn2FU5W81rdsQY4m5P3HZQ V0tQ3yeHoGCvMk2rUCBv9N3fja+XMlGHigr4w908X6nNyVKVz8P6YbySevGOF6PZ+8kebr7/oCnM 9e7PxiHRnroydxzXZF+QTmfZDaO/bdMQWzbI5ccQNE8lgEhJ9cIZlMbYruxP/ZsY9eMHYGcjjr8V cNf5s9xg/dWGFDYmMXwTf6njSZ9C0CREcHOEXbYozcl0r0f5WhtUCxCE7u/ONlxq3i6b0zs26x7l WLiW7U4NJjxxdKtYmHyxnEl+henDrfc0v7LXQT2gwpVrNrU0EirSs934vF5pqJKB7ngY6hO8mhnk AS/R/wxtNbob+uxBLNqDjK0N0Ni6EbHMF9Y3A9gfRk0l9+BCYf6Xhizy0uQSiQdx9lALK0IpRz2X oY5vYracYkV0kCnNQ0H7OfzOJkz3l+yFoYHxgWlmhnRm46LPawC6VtwTMhsu2+ga26O6t0/VBs59 8/36lGlaFt8mbZTWXeSBNcneCNq4txEa/vmj/i/QWEj0NKHgl5VegpntZ5gmNcMvAPojTtLMO8tL 7rmijqcyK5uM8g== `protect end_protected
gpl-2.0
7827dba4b6befc2396777e0b6e708efd
0.932345
1.882999
false
false
false
false
UVVM/uvvm_vvc_framework
bitvis_vip_axistream/src/vvc_methods_pkg.vhd
1
40,319
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; use work.axistream_bfm_pkg.all; use work.vvc_cmd_pkg.all; use work.td_target_support_pkg.all; --======================================================================================================================== --======================================================================================================================== package vvc_methods_pkg is --======================================================================================================================== -- Types and constants for the AXISTREAM VVC --======================================================================================================================== constant C_VVC_NAME : string := "AXISTREAM_VVC"; signal AXISTREAM_VVCT : t_vvc_target_record := set_vvc_target_defaults(C_VVC_NAME); alias THIS_VVCT : t_vvc_target_record is AXISTREAM_VVCT; alias t_bfm_config is t_axistream_bfm_config; -- Type found in UVVM-Util types_pkg constant C_AXISTREAM_INTER_BFM_DELAY_DEFAULT : t_inter_bfm_delay := ( delay_type => NO_DELAY, delay_in_time => 0 ns, inter_bfm_delay_violation_severity => warning ); type t_vvc_config is record inter_bfm_delay : t_inter_bfm_delay; -- Minimum delay between BFM accesses from the VVC. If parameter delay_type is set to NO_DELAY, BFM accesses will be back to back, i.e. no delay. cmd_queue_count_max : natural; -- Maximum pending number in command queue before queue is full. Adding additional commands will result in an ERROR. cmd_queue_count_threshold : natural; -- An alert with severity 'cmd_queue_count_threshold_severity' will be issued if command queue exceeds this count. Used for early warning if command queue is almost full. Will be ignored if set to 0. cmd_queue_count_threshold_severity : t_alert_level; -- Severity of alert to be initiated if exceeding cmd_queue_count_threshold result_queue_count_max : natural; -- Maximum number of unfetched results before result_queue is full. result_queue_count_threshold_severity : t_alert_level; -- An alert with severity 'result_queue_count_threshold_severity' will be issued if command queue exceeds this count. Used for early warning if result queue is almost full. Will be ignored if set to 0. result_queue_count_threshold : natural; -- Severity of alert to be initiated if exceeding result_queue_count_threshold bfm_config : t_axistream_bfm_config; -- Configuration for the BFM. See BFM quick reference msg_id_panel : t_msg_id_panel; -- VVC dedicated message ID panel end record; type t_vvc_config_array is array (natural range <>) of t_vvc_config; constant C_AXISTREAM_VVC_CONFIG_DEFAULT : t_vvc_config := ( inter_bfm_delay => C_AXISTREAM_INTER_BFM_DELAY_DEFAULT, cmd_queue_count_max => C_CMD_QUEUE_COUNT_MAX, cmd_queue_count_threshold => C_CMD_QUEUE_COUNT_THRESHOLD, cmd_queue_count_threshold_severity => C_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY, result_queue_count_max => C_RESULT_QUEUE_COUNT_MAX, result_queue_count_threshold_severity => C_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY, result_queue_count_threshold => C_RESULT_QUEUE_COUNT_THRESHOLD, bfm_config => C_AXISTREAM_BFM_CONFIG_DEFAULT, msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT ); type t_vvc_status is record current_cmd_idx : natural; previous_cmd_idx : natural; pending_cmd_cnt : natural; end record; type t_vvc_status_array is array (natural range <>) of t_vvc_status; constant C_VVC_STATUS_DEFAULT : t_vvc_status := ( current_cmd_idx => 0, previous_cmd_idx => 0, pending_cmd_cnt => 0 ); type t_transaction_info is record operation : t_operation; numPacketsSent : natural; msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); end record; type t_transaction_info_array is array (natural range <>) of t_transaction_info; constant C_TRANSACTION_INFO_DEFAULT : t_transaction_info := ( operation => NO_OPERATION, numPacketsSent => 0, msg => (others => ' ') ); shared variable shared_axistream_vvc_config : t_vvc_config_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_AXISTREAM_VVC_CONFIG_DEFAULT); shared variable shared_axistream_vvc_status : t_vvc_status_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_VVC_STATUS_DEFAULT); shared variable shared_axistream_transaction_info : t_transaction_info_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_TRANSACTION_INFO_DEFAULT); --======================================================================================================================== -- Methods dedicated to this VVC -- - These procedures are called from the testbench in order to queue BFM calls -- in the VVC command queue. The VVC will store and forward these calls to the -- AXISTREAM BFM when the command is at the from of the VVC command queue. --======================================================================================================================== -------------------------------------------------------- -- -- AXIStream Transmit -- -------------------------------------------------------- -- DEPRECATE: procedure with data_array as t_byte_array will be removed in next major release procedure axistream_transmit_bytes( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_byte_array; constant user_array : in t_user_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_user_array constant strb_array : in t_strb_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_strb_array constant id_array : in t_id_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_id_array constant dest_array : in t_dest_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_dest_array constant msg : in string ); procedure axistream_transmit( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_slv_array; constant user_array : in t_user_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_user_array constant strb_array : in t_strb_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_strb_array constant id_array : in t_id_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_id_array constant dest_array : in t_dest_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_dest_array constant msg : in string ); procedure axistream_transmit( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in std_logic_vector; constant user_array : in t_user_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_user_array constant strb_array : in t_strb_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_strb_array constant id_array : in t_id_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_id_array constant dest_array : in t_dest_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_dest_array constant msg : in string ); -- DEPRECATE: procedure with data_array as t_byte_array will be removed in next major release procedure axistream_transmit_bytes( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_byte_array; constant user_array : in t_user_array; -- If you need support for more bits per data byte, replace this with a wider type: constant msg : in string ); procedure axistream_transmit( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_slv_array; constant user_array : in t_user_array; -- If you need support for more bits per data byte, replace this with a wider type: constant msg : in string ); procedure axistream_transmit( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in std_logic_vector; constant user_array : in t_user_array; -- If you need support for more bits per data byte, replace this with a wider type: constant msg : in string ); -- DEPRECATE: procedure with data_array as t_byte_array will be removed in next major release procedure axistream_transmit_bytes( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_byte_array; constant msg : in string ); procedure axistream_transmit( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_slv_array; constant msg : in string ); procedure axistream_transmit( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in std_logic_vector; constant msg : in string ); -------------------------------------------------------- -- -- AXIStream Receive -- -------------------------------------------------------- procedure axistream_receive_bytes( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string ); procedure axistream_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string ); -------------------------------------------------------- -- -- AXIStream Expect -- -------------------------------------------------------- -- DEPRECATE: procedure with data_array as t_byte_array will be removed in next major release procedure axistream_expect_bytes( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_byte_array; constant user_array : in t_user_array; constant strb_array : in t_strb_array; constant id_array : in t_id_array; constant dest_array : in t_dest_array; constant msg : in string; constant alert_level : in t_alert_level := error ); procedure axistream_expect( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_slv_array; constant user_array : in t_user_array; constant strb_array : in t_strb_array; constant id_array : in t_id_array; constant dest_array : in t_dest_array; constant msg : in string; constant alert_level : in t_alert_level := error ); procedure axistream_expect( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in std_logic_vector; constant user_array : in t_user_array; constant strb_array : in t_strb_array; constant id_array : in t_id_array; constant dest_array : in t_dest_array; constant msg : in string; constant alert_level : in t_alert_level := error ); -- DEPRECATE: procedure with data_array as t_byte_array will be removed in next major release procedure axistream_expect_bytes( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_byte_array; constant user_array : in t_user_array; constant msg : in string; constant alert_level : in t_alert_level := error ); procedure axistream_expect( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_slv_array; constant user_array : in t_user_array; constant msg : in string; constant alert_level : in t_alert_level := error ); procedure axistream_expect( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in std_logic_vector; constant user_array : in t_user_array; constant msg : in string; constant alert_level : in t_alert_level := error ); -- DEPRECATE: procedure with data_array as t_byte_array will be removed in next major release procedure axistream_expect_bytes( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_byte_array; constant msg : in string; constant alert_level : in t_alert_level := error ); procedure axistream_expect( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error ); procedure axistream_expect( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error ); end package vvc_methods_pkg; package body vvc_methods_pkg is --======================================================================================================================== -- Methods dedicated to this VVC --======================================================================================================================== -------------------------------------------------------- -- -- AXIStream Transmit -- -------------------------------------------------------- -- These procedures will be used to forward commands to the VVC executor, which will -- call the corresponding BFM procedures. -- DEPRECATE: procedure with data_array as t_byte_array will be removed in next major release procedure axistream_transmit_bytes( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_byte_array; constant user_array : in t_user_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_user_array constant strb_array : in t_strb_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_strb_array constant id_array : in t_id_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_id_array constant dest_array : in t_dest_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_dest_array constant msg : in string ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ", " & to_string(data_array'length, 5) & " bytes)"; begin -- DEPRECATE: data_array as t_byte_array will be removed in next major release deprecate(proc_name, "data_array as t_byte_array has been deprecated. Use data_array as t_slv_array."); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, TRANSMIT); -- Sanity check to avoid confusing fatal error check_value(data_array'length > 0, TB_ERROR, proc_call & "data_array length must be > 0", "VVC"); -- Generate cmd record shared_vvc_cmd.data_array(0 to data_array'high) := data_array; shared_vvc_cmd.user_array(0 to user_array'high) := user_array; shared_vvc_cmd.strb_array(0 to strb_array'high) := strb_array; shared_vvc_cmd.id_array(0 to id_array'high) := id_array; shared_vvc_cmd.dest_array(0 to dest_array'high) := dest_array; shared_vvc_cmd.data_array_length := data_array'length; shared_vvc_cmd.user_array_length := user_array'length; shared_vvc_cmd.strb_array_length := strb_array'length; shared_vvc_cmd.id_array_length := id_array'length; shared_vvc_cmd.dest_array_length := dest_array'length; -- Send command record send_command_to_vvc(VVCT); end procedure; -- t_slv_array overload procedure axistream_transmit( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_slv_array; constant user_array : in t_user_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_user_array constant strb_array : in t_strb_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_strb_array constant id_array : in t_id_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_id_array constant dest_array : in t_dest_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_dest_array constant msg : in string ) is -- helper variables variable v_bytes_in_word : integer := (data_array(0)'length/8); variable v_num_bytes : integer := (data_array'length) * v_bytes_in_word; variable v_data_array : t_byte_array(0 to v_num_bytes-1); variable v_data_array_idx : integer := 0; variable v_check_ok : boolean := false; variable v_byte_endianness : t_byte_endianness := shared_axistream_vvc_config(vvc_instance_idx).bfm_config.byte_endianness; begin -- t_slv_array sanity check v_check_ok := check_value(data_array(0)'length mod 8 = 0, TB_ERROR, "Sanity check: Check that data_array word is N*byte"); if v_check_ok then -- copy byte(s) from t_slv_array to t_byte_array v_data_array := convert_slv_array_to_byte_array(data_array, true, v_byte_endianness); -- data_array is ascending, data_array(0 to N)() -- call t_byte_array overloaded procedure axistream_transmit_bytes(VVCT, vvc_instance_idx, v_data_array, user_array, strb_array, id_array, dest_array, msg); end if; end procedure; -- std_logic_vector overload procedure axistream_transmit( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in std_logic_vector; constant user_array : in t_user_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_user_array constant strb_array : in t_strb_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_strb_array constant id_array : in t_id_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_id_array constant dest_array : in t_dest_array; -- If you need support for more bits per data byte, edit axistream_bfm_pkg.t_dest_array constant msg : in string ) is -- helper variables variable v_check_ok : boolean := false; variable v_data_array : t_slv_array(0 to 0)(data_array'length-1 downto 0); begin -- std_logic_vector sanity check v_check_ok := check_value(data_array'length mod 8 = 0, TB_ERROR, "Sanity check: Check that data_array word is N*byte"); if v_check_ok then v_data_array(0) := data_array; axistream_transmit(VVCT, vvc_instance_idx, v_data_array, user_array, strb_array, id_array, dest_array, msg); end if; end procedure; -- Overload, without the strb_array, id_array, dest_array arguments -- DEPRECATE: procedure with data_array as t_byte_array will be removed in next major release procedure axistream_transmit_bytes( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_byte_array; constant user_array : in t_user_array; constant msg : in string ) is -- Default user data : We don't know c_user_array length (how many words to send), so assume worst case: tdata = 8 bits (one data_array byte per word) constant c_strb_array : t_strb_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1) := (others => (others => '0')); constant c_id_array : t_id_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1) := (others => (others => '0')); constant c_dest_array : t_dest_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1) := (others => (others => '0')); begin axistream_transmit_bytes(VVCT, vvc_instance_idx, data_array, user_array, c_strb_array, c_id_array, c_dest_array, msg); end procedure; -- t_slv_array overload procedure axistream_transmit( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_slv_array; constant user_array : in t_user_array; constant msg : in string ) is -- Default user data : We don't know c_user_array length (how many words to send), so assume worst case: tdata = 8 bits (one data_array byte per word) constant c_strb_array : t_strb_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1) := (others => (others => '0')); constant c_id_array : t_id_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1) := (others => (others => '0')); constant c_dest_array : t_dest_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1) := (others => (others => '0')); begin axistream_transmit(VVCT, vvc_instance_idx, data_array, user_array, c_strb_array, c_id_array, c_dest_array, msg); end procedure; -- std_logic_vector overload procedure axistream_transmit( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in std_logic_vector; constant user_array : in t_user_array; constant msg : in string ) is -- Default user data : We don't know c_user_array length (how many words to send), so assume worst case: tdata = 8 bits (one data_array byte per word) constant c_strb_array : t_strb_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1) := (others => (others => '0')); constant c_id_array : t_id_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1) := (others => (others => '0')); constant c_dest_array : t_dest_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1) := (others => (others => '0')); begin axistream_transmit(VVCT, vvc_instance_idx, data_array, user_array, c_strb_array, c_id_array, c_dest_array, msg); end procedure; -- Overload, without the user_array, strb_array, id_array, dest_array arguments -- DEPRECATE: procedure with data_array as t_byte_array will be removed in next major release procedure axistream_transmit_bytes( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_byte_array; constant msg : in string ) is -- Default user data : We don't know c_user_array length (how many words to send), so assume tdata = 8 bits (one data_array byte per word) constant c_user_array : t_user_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1) := (others => (others => '0')); begin -- Use another overload to fill in the rest axistream_transmit_bytes(VVCT, vvc_instance_idx, data_array, c_user_array, msg); end procedure; -- t_slv_array overload procedure axistream_transmit( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_slv_array; constant msg : in string ) is -- Default user data : We don't know c_user_array length (how many words to send), so assume tdata = 8 bits (one data_array byte per word) constant c_user_array : t_user_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1) := (others => (others => '0')); begin -- Use another overload to fill in the rest axistream_transmit(VVCT, vvc_instance_idx, data_array, c_user_array, msg); end procedure; -- std_logic_vector overload procedure axistream_transmit( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in std_logic_vector; constant msg : in string ) is -- Default user data : We don't know c_user_array length (how many words to send), so assume tdata = 8 bits (one data_array byte per word) constant c_user_array : t_user_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1) := (others => (others => '0')); begin -- Use another overload to fill in the rest axistream_transmit(VVCT, vvc_instance_idx, data_array, c_user_array, msg); end procedure; -------------------------------------------------------- -- -- AXIStream Receive -- -------------------------------------------------------- procedure axistream_receive_bytes( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "()"; begin -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, RECEIVE); send_command_to_vvc(VVCT); end procedure axistream_receive_bytes; -- Overloading procedure procedure axistream_receive( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant msg : in string ) is begin -- Call overloaded procedure axistream_receive_bytes(VVCT, vvc_instance_idx, msg); end procedure axistream_receive; -------------------------------------------------------- -- -- AXIStream Expect -- -------------------------------------------------------- -- Expect, receive and compare to specified data_array, user_array, strb_array, id_array, dest_array -- DEPRECATE: procedure with data_array as t_byte_array will be removed in next major release procedure axistream_expect_bytes( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_byte_array; constant user_array : in t_user_array; constant strb_array : in t_strb_array; constant id_array : in t_id_array; constant dest_array : in t_dest_array; constant msg : in string; constant alert_level : in t_alert_level := error ) is constant proc_name : string := get_procedure_name_from_instance_name(vvc_instance_idx'instance_name); constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all & ", " & to_string(data_array'length) & "B)"; begin -- DEPRECATE: data_array as t_byte_array will be removed in next major release deprecate(proc_name, "data_array as t_byte_array has been deprecated. Use data_array as t_slv_array."); -- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record -- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd -- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, EXPECT); -- Generate cmd record shared_vvc_cmd.data_array(0 to data_array'high) := data_array; shared_vvc_cmd.user_array(0 to user_array'high) := user_array; -- user_array Length = data_array_length shared_vvc_cmd.strb_array(0 to strb_array'high) := strb_array; shared_vvc_cmd.id_array(0 to id_array'high) := id_array; shared_vvc_cmd.dest_array(0 to dest_array'high) := dest_array; shared_vvc_cmd.data_array_length := data_array'length; shared_vvc_cmd.user_array_length := user_array'length; shared_vvc_cmd.strb_array_length := strb_array'length; shared_vvc_cmd.id_array_length := id_array'length; shared_vvc_cmd.dest_array_length := dest_array'length; shared_vvc_cmd.alert_level := alert_level; send_command_to_vvc(VVCT); end procedure; -- t_slv_array overload procedure axistream_expect( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_slv_array; constant user_array : in t_user_array; constant strb_array : in t_strb_array; constant id_array : in t_id_array; constant dest_array : in t_dest_array; constant msg : in string; constant alert_level : in t_alert_level := error ) is -- helper variables variable v_bytes_in_word : integer := (data_array(0)'length/8); variable v_num_bytes : integer := (data_array'length) * v_bytes_in_word; variable v_data_array : t_byte_array(0 to v_num_bytes-1); variable v_data_array_idx : integer := 0; variable v_check_ok : boolean := false; variable v_byte_endianness : t_byte_endianness := shared_axistream_vvc_config(vvc_instance_idx).bfm_config.byte_endianness; begin -- t_slv_array sanity check v_check_ok := check_value(data_array(0)'length mod 8 = 0, TB_ERROR, "Sanity check: Check that data_array word is N*byte"); if v_check_ok then -- copy byte(s) from t_slv_array to t_byte_array v_data_array := convert_slv_array_to_byte_array(data_array, true, v_byte_endianness); -- data_array is ascending, data_array(0 to N)() -- call t_byte_array overloaded procedure axistream_expect_bytes(VVCT, vvc_instance_idx, v_data_array, user_array, strb_array, id_array, dest_array, msg, alert_level); end if; end procedure; -- std_logic_vector overload procedure axistream_expect( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in std_logic_vector; constant user_array : in t_user_array; constant strb_array : in t_strb_array; constant id_array : in t_id_array; constant dest_array : in t_dest_array; constant msg : in string; constant alert_level : in t_alert_level := error ) is -- helper variables variable v_data_array : t_slv_array(0 to 0)(data_array'length-1 downto 0); variable v_check_ok : boolean := false; begin -- std_logic_vector sanity check v_check_ok := check_value(data_array'length mod 8 = 0, TB_ERROR, "Sanity check: Check that data_array word is N*byte"); if v_check_ok then v_data_array(0) := data_array; axistream_expect(VVCT, vvc_instance_idx, v_data_array, user_array, strb_array, id_array, dest_array, msg, alert_level); end if; end procedure; -- Overload for calling axiStreamExpect() without a value for strb_array, id_array, dest_array -- (will be set to don't care) -- DEPRECATE: procedure with data_array as t_byte_array will be removed in next major release procedure axistream_expect_bytes( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_byte_array; constant user_array : in t_user_array; constant msg : in string; constant alert_level : in t_alert_level := error ) is -- Default expected strb, id, dest -- Don't know #bytes in AXIStream tdata, so *_array length is unknown. -- Make the array as short as possible for best simulation time during the check performed in the BFM. constant c_strb_array : t_strb_array(0 downto 0) := (others => (others => '-')); constant c_id_array : t_id_array(0 downto 0) := (others => (others => '-')); constant c_dest_array : t_dest_array(0 downto 0) := (others => (others => '-')); begin axistream_expect_bytes(VVCT, vvc_instance_idx, data_array, user_array, c_strb_array, c_id_array, c_dest_array, msg, alert_level); end procedure; -- t_slv_array overload procedure axistream_expect( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_slv_array; constant user_array : in t_user_array; constant msg : in string; constant alert_level : in t_alert_level := error ) is -- Default expected strb, id, dest -- Don't know #bytes in AXIStream tdata, so *_array length is unknown. -- Make the array as short as possible for best simulation time during the check performed in the BFM. constant c_strb_array : t_strb_array(0 downto 0) := (others => (others => '-')); constant c_id_array : t_id_array(0 downto 0) := (others => (others => '-')); constant c_dest_array : t_dest_array(0 downto 0) := (others => (others => '-')); begin axistream_expect(VVCT, vvc_instance_idx, data_array, user_array, c_strb_array, c_id_array, c_dest_array, msg, alert_level); end procedure; -- std_logic_vector overload procedure axistream_expect( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in std_logic_vector; constant user_array : in t_user_array; constant msg : in string; constant alert_level : in t_alert_level := error ) is -- Default expected strb, id, dest -- Don't know #bytes in AXIStream tdata, so *_array length is unknown. -- Make the array as short as possible for best simulation time during the check performed in the BFM. constant c_strb_array : t_strb_array(0 downto 0) := (others => (others => '-')); constant c_id_array : t_id_array(0 downto 0) := (others => (others => '-')); constant c_dest_array : t_dest_array(0 downto 0) := (others => (others => '-')); begin axistream_expect(VVCT, vvc_instance_idx, data_array, user_array, c_strb_array, c_id_array, c_dest_array, msg, alert_level); end procedure; -- Overload, without the user_array, strb_array, id_array, dest_array arguments -- DEPRECATE: procedure with data_array as t_byte_array will be removed in next major release procedure axistream_expect_bytes( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_byte_array; constant msg : in string; constant alert_level : in t_alert_level := error ) is -- Default user data -- Don't know #bytes in AXIStream tdata, so user_array length is unknown. -- Make the array as short as possible for best simulation time during the check performed in the BFM. constant c_user_array : t_user_array(0 downto 0) := (others => (others => '-')); begin -- Use another overload to fill in the rest: strb_array, id_array, dest_array axistream_expect_bytes(VVCT, vvc_instance_idx, data_array, c_user_array, msg, alert_level); end procedure; -- t_slv_array overload procedure axistream_expect( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in t_slv_array; constant msg : in string; constant alert_level : in t_alert_level := error ) is -- Default user data -- Don't know #bytes in AXIStream tdata, so user_array length is unknown. -- Make the array as short as possible for best simulation time during the check performed in the BFM. constant c_user_array : t_user_array(0 downto 0) := (others => (others => '-')); begin -- Use another overload to fill in the rest: strb_array, id_array, dest_array axistream_expect(VVCT, vvc_instance_idx, data_array, c_user_array, msg, alert_level); end procedure; -- std_logic_vector overload procedure axistream_expect( signal VVCT : inout t_vvc_target_record; constant vvc_instance_idx : in integer; constant data_array : in std_logic_vector; constant msg : in string; constant alert_level : in t_alert_level := error ) is -- Default user data -- Don't know #bytes in AXIStream tdata, so user_array length is unknown. -- Make the array as short as possible for best simulation time during the check performed in the BFM. constant c_user_array : t_user_array(0 downto 0) := (others => (others => '-')); begin -- Use another overload to fill in the rest: strb_array, id_array, dest_array axistream_expect(VVCT, vvc_instance_idx, data_array, c_user_array, msg, alert_level); end procedure; end package body vvc_methods_pkg;
mit
5abf2c345b918018419534ca78bd9771
0.605422
3.877573
false
false
false
false
UVVM/UVVM_All
uvvm_util/src/methods_pkg.vhd
1
419,365
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.math_real.all; use ieee.numeric_std.all; use std.textio.all; use work.types_pkg.all; use work.string_methods_pkg.all; use work.adaptations_pkg.all; use work.license_pkg.all; use work.global_signals_and_shared_variables_pkg.all; use work.alert_hierarchy_pkg.all; use work.protected_types_pkg.all; use std.env.all; package methods_pkg is constant C_UVVM_VERSION : string := "v2 2021.10.22"; -- -- ============================================================================ -- -- Initialisation and license -- -- ============================================================================ -- procedure initialise_util( -- constant dummy : in t_void -- ); -- -- ============================================================================ -- File handling (that needs to use other utility methods) -- ============================================================================ procedure check_file_open_status( constant status : in file_open_status; constant file_name : in string; constant scope : in string := C_SCOPE ); procedure set_alert_file_name( constant file_name : string := C_ALERT_FILE_NAME ); -- msg_id is unused. This is a deprecated overload procedure set_alert_file_name( constant file_name : string := C_ALERT_FILE_NAME; constant msg_id : t_msg_id ); procedure set_log_file_name( constant file_name : string := C_LOG_FILE_NAME ); -- msg_id is unused. This is a deprecated overload procedure set_log_file_name( constant file_name : string := C_LOG_FILE_NAME; constant msg_id : t_msg_id ); -- ============================================================================ -- Log-related -- ============================================================================ procedure log( msg_id : t_msg_id; msg : string; scope : string := C_TB_SCOPE_DEFAULT; msg_id_panel : t_msg_id_panel := shared_msg_id_panel; log_destination : t_log_destination := shared_default_log_destination; log_file_name : string := C_LOG_FILE_NAME; open_mode : file_open_kind := append_mode ); procedure log( msg : string; scope : string := C_TB_SCOPE_DEFAULT; msg_id_panel : t_msg_id_panel := shared_msg_id_panel; log_destination : t_log_destination := shared_default_log_destination; log_file_name : string := C_LOG_FILE_NAME; open_mode : file_open_kind := append_mode ); procedure log_text_block( msg_id : t_msg_id; variable text_block : inout line; formatting : t_log_format; -- FORMATTED or UNFORMATTED msg_header : string := ""; scope : string := C_TB_SCOPE_DEFAULT; msg_id_panel : t_msg_id_panel := shared_msg_id_panel; log_if_block_empty : t_log_if_block_empty := WRITE_HDR_IF_BLOCK_EMPTY; log_destination : t_log_destination := shared_default_log_destination; log_file_name : string := C_LOG_FILE_NAME; open_mode : file_open_kind := append_mode ); procedure write_to_file ( file_name : string; open_mode : file_open_kind; variable my_line : inout line ); procedure write_line_to_log_destination( variable log_line : inout line; constant log_destination : in t_log_destination := shared_default_log_destination; constant log_file_name : in string := C_LOG_FILE_NAME; constant open_mode : in file_open_kind := append_mode ); procedure enable_log_msg( constant msg_id : t_msg_id; variable msg_id_panel : inout t_msg_id_panel; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT; constant quietness : t_quietness := NON_QUIET ); procedure enable_log_msg( msg_id : t_msg_id; msg : string; quietness : t_quietness := NON_QUIET; scope : string := C_TB_SCOPE_DEFAULT ); procedure enable_log_msg( msg_id : t_msg_id; quietness : t_quietness := NON_QUIET; scope : string := C_TB_SCOPE_DEFAULT ); procedure disable_log_msg( constant msg_id : t_msg_id; variable msg_id_panel : inout t_msg_id_panel; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT; constant quietness : t_quietness := NON_QUIET ); procedure disable_log_msg( msg_id : t_msg_id; msg : string; quietness : t_quietness := NON_QUIET; scope : string := C_TB_SCOPE_DEFAULT ); procedure disable_log_msg( msg_id : t_msg_id; quietness : t_quietness := NON_QUIET; scope : string := C_TB_SCOPE_DEFAULT ); impure function is_log_msg_enabled( msg_id : t_msg_id; msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) return boolean; procedure set_log_destination( constant log_destination : t_log_destination; constant quietness : t_quietness := NON_QUIET ); -- ============================================================================ -- Alert-related -- ============================================================================ procedure alert( constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); -- Dedicated alert-procedures all alert levels (less verbose - as 2 rather than 3 parameters...) procedure note( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure tb_note( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure warning( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure tb_warning( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure manual_check( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure error( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure tb_error( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure failure( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure tb_failure( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure increment_expected_alerts( constant alert_level : t_alert_level; constant number : natural := 1; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure report_alert_counters( constant order : in t_order ); procedure report_alert_counters( constant dummy : in t_void ); procedure report_global_ctrl( constant dummy : in t_void ); procedure report_msg_id_panel( constant dummy : in t_void ); procedure set_alert_attention( alert_level : t_alert_level; attention : t_attention; msg : string := "" ); impure function get_alert_attention( alert_level : t_alert_level ) return t_attention; procedure set_alert_stop_limit( alert_level : t_alert_level; value : natural ); impure function get_alert_stop_limit( alert_level : t_alert_level ) return natural; impure function get_alert_counter( alert_level : t_alert_level; attention : t_attention := REGARD ) return natural; procedure increment_alert_counter( alert_level : t_alert_level; attention : t_attention := REGARD; -- regard, expect, ignore number : natural := 1 ); procedure increment_expected_alerts_and_stop_limit( constant alert_level : t_alert_level; constant number : natural := 1; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure report_check_counters( constant dummy : in t_void ); procedure report_check_counters( constant order : in t_order ); -- ============================================================================ -- Deprecate message -- ============================================================================ procedure deprecate( caller_name : string; constant msg : string := "" ); -- ============================================================================ -- Non time consuming checks -- ============================================================================ -- Matching if same width or only zeros in "extended width" function matching_widths( value1 : std_logic_vector; value2 : std_logic_vector ) return boolean; function matching_widths( value1 : unsigned; value2 : unsigned ) return boolean; function matching_widths( value1 : signed; value2 : signed ) return boolean; -- function version of check_value (with return value) impure function check_value( constant value : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : boolean; constant exp : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : std_logic; constant exp : std_logic; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) return boolean; impure function check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) return boolean; impure function check_value( constant value : unsigned; constant exp : unsigned; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) return boolean; impure function check_value( constant value : unsigned; constant exp : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) return boolean; impure function check_value( constant value : signed; constant exp : signed; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) return boolean; impure function check_value( constant value : signed; constant exp : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) return boolean; impure function check_value( constant value : integer; constant exp : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : real; constant exp : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : time; constant exp : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : string; constant exp : string; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : t_slv_array; constant exp : t_slv_array; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) return boolean; impure function check_value( constant value : t_slv_array; constant exp : t_slv_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) return boolean; impure function check_value( constant value : t_signed_array; constant exp : t_signed_array; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) return boolean; impure function check_value( constant value : t_signed_array; constant exp : t_signed_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) return boolean; impure function check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) return boolean; impure function check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) return boolean; -- overloads for function versions of check_value (alert level optional) impure function check_value( constant value : boolean; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : boolean; constant exp : boolean; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : std_logic; constant exp : std_logic; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) return boolean; impure function check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) return boolean; impure function check_value( constant value : unsigned; constant exp : unsigned; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) return boolean; impure function check_value( constant value : unsigned; constant exp : unsigned; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) return boolean; impure function check_value( constant value : signed; constant exp : signed; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) return boolean; impure function check_value( constant value : signed; constant exp : signed; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) return boolean; impure function check_value( constant value : integer; constant exp : integer; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : real; constant exp : real; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : time; constant exp : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : string; constant exp : string; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean; impure function check_value( constant value : t_slv_array; constant exp : t_slv_array; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) return boolean; impure function check_value( constant value : t_slv_array; constant exp : t_slv_array; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) return boolean; impure function check_value( constant value : t_signed_array; constant exp : t_signed_array; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) return boolean; impure function check_value( constant value : t_signed_array; constant exp : t_signed_array; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) return boolean; impure function check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) return boolean; impure function check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) return boolean; -- overloads for procedure version of check_value (no return value) procedure check_value( constant value : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : boolean; constant exp : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ); procedure check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ); procedure check_value( constant value : unsigned; constant exp : unsigned; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ); procedure check_value( constant value : unsigned; constant exp : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ); procedure check_value( constant value : signed; constant exp : signed; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ); procedure check_value( constant value : signed; constant exp : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ); procedure check_value( constant value : std_logic; constant exp : std_logic; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : integer; constant exp : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : real; constant exp : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : time; constant exp : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : string; constant exp : string; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : t_slv_array; constant exp : t_slv_array; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ); procedure check_value( constant value : t_slv_array; constant exp : t_slv_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ); procedure check_value( constant value : t_signed_array; constant exp : t_signed_array; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ); procedure check_value( constant value : t_signed_array; constant exp : t_signed_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ); procedure check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ); procedure check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ); -- Procedure overloads for check_value without mandatory alert_level procedure check_value( constant value : boolean; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : boolean; constant exp : boolean; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ); procedure check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ); procedure check_value( constant value : unsigned; constant exp : unsigned; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ); procedure check_value( constant value : unsigned; constant exp : unsigned; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ); procedure check_value( constant value : signed; constant exp : signed; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ); procedure check_value( constant value : signed; constant exp : signed; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ); procedure check_value( constant value : std_logic; constant exp : std_logic; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : integer; constant exp : integer; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : real; constant exp : real; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : time; constant exp : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : string; constant exp : string; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ); procedure check_value( constant value : t_slv_array; constant exp : t_slv_array; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ); procedure check_value( constant value : t_slv_array; constant exp : t_slv_array; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ); procedure check_value( constant value : t_signed_array; constant exp : t_signed_array; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ); procedure check_value( constant value : t_signed_array; constant exp : t_signed_array; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ); procedure check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ); procedure check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ); -- -- Check_value_in_range impure function check_value_in_range ( constant value : integer; constant min_value : integer; constant max_value : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "integer" ) return boolean; impure function check_value_in_range ( constant value : unsigned; constant min_value : unsigned; constant max_value : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "unsigned" ) return boolean; impure function check_value_in_range ( constant value : signed; constant min_value : signed; constant max_value : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "signed" ) return boolean; impure function check_value_in_range ( constant value : time; constant min_value : time; constant max_value : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) return boolean; impure function check_value_in_range ( constant value : real; constant min_value : real; constant max_value : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) return boolean; -- Function overloads for check_value_in_range without mandatory alert_level impure function check_value_in_range ( constant value : integer; constant min_value : integer; constant max_value : integer; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "integer" ) return boolean; impure function check_value_in_range ( constant value : unsigned; constant min_value : unsigned; constant max_value : unsigned; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "unsigned" ) return boolean; impure function check_value_in_range ( constant value : signed; constant min_value : signed; constant max_value : signed; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "signed" ) return boolean; impure function check_value_in_range ( constant value : time; constant min_value : time; constant max_value : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) return boolean; impure function check_value_in_range ( constant value : real; constant min_value : real; constant max_value : real; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) return boolean; -- Procedure overloads for check_value_in_range procedure check_value_in_range ( constant value : integer; constant min_value : integer; constant max_value : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); procedure check_value_in_range ( constant value : unsigned; constant min_value : unsigned; constant max_value : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); procedure check_value_in_range ( constant value : signed; constant min_value : signed; constant max_value : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); procedure check_value_in_range ( constant value : time; constant min_value : time; constant max_value : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); procedure check_value_in_range ( constant value : real; constant min_value : real; constant max_value : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); -- Procedure overloads for check_value_in_range without mandatory alert_level procedure check_value_in_range ( constant value : integer; constant min_value : integer; constant max_value : integer; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); procedure check_value_in_range ( constant value : unsigned; constant min_value : unsigned; constant max_value : unsigned; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); procedure check_value_in_range ( constant value : signed; constant min_value : signed; constant max_value : signed; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); procedure check_value_in_range ( constant value : time; constant min_value : time; constant max_value : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); procedure check_value_in_range ( constant value : real; constant min_value : real; constant max_value : real; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ); -- Check_stable procedure check_stable( signal target : boolean; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "boolean" ); procedure check_stable( signal target : in std_logic_vector; constant stable_req : in time; constant alert_level : in t_alert_level; variable success : out boolean; constant msg : in string; constant scope : in string := C_TB_SCOPE_DEFAULT; constant msg_id : in t_msg_id := ID_POS_ACK; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant caller_name : in string := "check_stable()"; constant value_type : in string := "slv" ); procedure check_stable( signal target : std_logic_vector; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "slv" ); procedure check_stable( signal target : unsigned; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "unsigned" ); procedure check_stable( signal target : signed; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "signed" ); procedure check_stable( signal target : std_logic; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "std_logic" ); procedure check_stable( signal target : integer; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "integer" ); procedure check_stable( signal target : real; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "real" ); -- Procedure overloads for check_stable without mandatory alert_level procedure check_stable( signal target : boolean; constant stable_req : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "boolean" ); procedure check_stable( signal target : std_logic_vector; constant stable_req : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "slv" ); procedure check_stable( signal target : unsigned; constant stable_req : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "unsigned" ); procedure check_stable( signal target : signed; constant stable_req : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "signed" ); procedure check_stable( signal target : std_logic; constant stable_req : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "std_logic" ); procedure check_stable( signal target : integer; constant stable_req : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "integer" ); procedure check_stable( signal target : real; constant stable_req : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "real" ); impure function random ( constant length : integer ) return std_logic_vector; impure function random ( constant VOID : t_void ) return std_logic; impure function random ( constant min_value : integer; constant max_value : integer ) return integer; impure function random ( constant min_value : real; constant max_value : real ) return real; impure function random ( constant min_value : time; constant max_value : time ) return time; procedure random ( variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout std_logic_vector ); procedure random ( variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout std_logic ); procedure random ( constant min_value : integer; constant max_value : integer; variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout integer ); procedure random ( constant min_value : real; constant max_value : real; variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout real ); procedure random ( constant min_value : time; constant max_value : time; variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout time ); procedure randomize ( constant seed1 : positive; constant seed2 : positive; constant msg : string := "randomizing seeds"; constant scope : string := C_TB_SCOPE_DEFAULT ); procedure randomise ( constant seed1 : positive; constant seed2 : positive; constant msg : string := "randomising seeds"; constant scope : string := C_TB_SCOPE_DEFAULT ); function convert_byte_array_to_slv( constant byte_array : t_byte_array; constant byte_endianness : t_byte_endianness ) return std_logic_vector; function convert_slv_to_byte_array( constant slv : std_logic_vector; constant byte_endianness : t_byte_endianness ) return t_byte_array; function convert_byte_array_to_slv_array( constant byte_array : t_byte_array; constant bytes_in_word : natural; constant byte_endianness : t_byte_endianness := LOWER_BYTE_LEFT ) return t_slv_array; function convert_slv_array_to_byte_array( constant slv_array : t_slv_array; constant byte_endianness : t_byte_endianness := LOWER_BYTE_LEFT ) return t_byte_array; function convert_slv_array_to_byte_array( constant slv_array : t_slv_array; constant ascending : boolean := false; constant byte_endianness : t_byte_endianness := FIRST_BYTE_LEFT ) return t_byte_array; function reverse_vector( constant value : std_logic_vector ) return std_logic_vector; impure function reverse_vectors_in_array( constant value : t_slv_array ) return t_slv_array; function log2( constant num : positive ) return natural; -- Warning! This function should NOT be used outside the UVVM library. -- Function is only included to support internal functionality. -- The function can be removed without notification. function matching_values( constant value1 : in std_logic_vector; constant value2 : in std_logic_vector; constant match_strictness : in t_match_strictness := MATCH_STD ) return boolean; -- ============================================================================ -- Time consuming checks -- ============================================================================ procedure await_change( signal target : boolean; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "boolean" ); procedure await_change( signal target : std_logic; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "std_logic" ); procedure await_change( signal target : std_logic_vector; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "slv" ); procedure await_change( signal target : unsigned; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "unsigned" ); procedure await_change( signal target : signed; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "signed" ); procedure await_change( signal target : integer; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "integer" ); procedure await_change( signal target : real; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "real" ); -- Procedure overloads for await_change without mandatory alert_level procedure await_change( signal target : boolean; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "boolean" ); procedure await_change( signal target : std_logic; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "std_logic" ); procedure await_change( signal target : std_logic_vector; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "slv" ); procedure await_change( signal target : unsigned; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "unsigned" ); procedure await_change( signal target : signed; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "signed" ); procedure await_change( signal target : integer; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "integer" ); procedure await_change( signal target : real; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "real" ); -- Await Value procedures procedure await_value ( signal target : boolean; constant exp : boolean; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : std_logic; constant exp : std_logic; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : in std_logic_vector; constant exp : in std_logic_vector; constant match_strictness : in t_match_strictness; constant min_time : in time; constant max_time : in time; constant alert_level : in t_alert_level; variable success : out boolean; constant msg : in string; constant scope : in string := C_TB_SCOPE_DEFAULT; constant radix : in t_radix := HEX_BIN_IF_INVALID; constant format : in t_format_zeros := SKIP_LEADING_0; constant msg_id : in t_msg_id := ID_POS_ACK; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant caller_name : in string := "" ); procedure await_value ( signal target : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : std_logic_vector; constant exp : std_logic_vector; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : unsigned; constant exp : unsigned; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : signed; constant exp : signed; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : integer; constant exp : integer; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : real; constant exp : real; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); -- Await Value Overloads without Mandatory Alert_Level procedure await_value ( signal target : boolean; constant exp : boolean; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : std_logic; constant exp : std_logic; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : std_logic_vector; constant exp : std_logic_vector; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : unsigned; constant exp : unsigned; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : signed; constant exp : signed; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : integer; constant exp : integer; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_value ( signal target : real; constant exp : real; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); -- Await Stable Procedures procedure await_stable ( signal target : boolean; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : std_logic; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : in std_logic_vector; constant stable_req : in time; -- Minimum stable requirement constant stable_req_from : in t_from_point_in_time; -- Which point in time stable_req starts constant timeout : in time; -- Timeout if stable_req not achieved constant timeout_from : in t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : in t_alert_level; variable success : out boolean; constant msg : in string; constant scope : in string := C_TB_SCOPE_DEFAULT; constant msg_id : in t_msg_id := ID_POS_ACK; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant caller_name : in string := "" ); procedure await_stable ( signal target : std_logic_vector; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : unsigned; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : signed; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : integer; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : real; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); -- Await Stable Procedures without Mandatory Alert_Level -- Await Stable Procedures procedure await_stable ( signal target : boolean; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : std_logic; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : std_logic_vector; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : unsigned; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : signed; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : integer; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure await_stable ( signal target : real; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); ----------------------------------------------------- -- Pulse Generation Procedures ----------------------------------------------------- procedure gen_pulse( signal target : inout std_logic; constant pulse_value : std_logic; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic; constant pulse_value : std_logic; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic; constant pulse_value : std_logic; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout boolean; constant pulse_value : boolean; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout boolean; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout boolean; constant pulse_value : boolean; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout boolean; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout boolean; constant pulse_value : boolean; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout boolean; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_value : std_logic_vector; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_value : std_logic_vector; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_value : std_logic_vector; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); procedure gen_pulse( signal target : inout std_logic_vector; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ); ----------------------------------------------------- -- Clock Generator Procedures ----------------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; constant clock_period : in time; constant clock_high_percentage : in natural range 1 to 99 := 50 ); -- Overloaded version with duty cycle in time procedure clock_generator( signal clock_signal : inout std_logic; constant clock_period : in time; constant clock_high_time : in time ); -- Overloaded version with clock count procedure clock_generator( signal clock_signal : inout std_logic; signal clock_count : inout natural; constant clock_period : in time; constant clock_high_percentage : in natural range 1 to 99 := 50 ); -- Overloaded version with clock count and duty cycle in time procedure clock_generator( signal clock_signal : inout std_logic; signal clock_count : inout natural; constant clock_period : in time; constant clock_high_time : in time ); -- Overloaded version with clock enable and clock name procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; constant clock_name : in string; constant clock_high_percentage : in natural range 1 to 99 := 50 ); -- Overloaded version with clock enable, clock name -- and duty cycle in time. procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; constant clock_name : in string; constant clock_high_time : in time ); -- Overloaded version with clock enable, clock name -- and clock count procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; signal clock_count : out natural; constant clock_period : in time; constant clock_name : in string; constant clock_high_percentage : in natural range 1 to 99 := 50 ); -- Overloaded version with clock enable, clock name, -- clock count and duty cycle in time. procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; signal clock_count : out natural; constant clock_period : in time; constant clock_name : in string; constant clock_high_time : in time ); ----------------------------------------------------- -- Adjustable Clock Generator Procedures ----------------------------------------------------- procedure adjustable_clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; signal clock_high_percentage : in natural range 0 to 100 ); procedure adjustable_clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; constant clock_name : in string; signal clock_high_percentage : in natural range 0 to 100 ); -- Overloaded version with clock enable, clock name -- and clock count procedure adjustable_clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; signal clock_count : out natural; constant clock_period : in time; constant clock_name : in string; signal clock_high_percentage : in natural range 0 to 100 ); procedure deallocate_line_if_exists( variable line_to_be_deallocated : inout line ); -- ============================================================================ -- Synchronization methods -- ============================================================================ -- method to block a global flag with the name flag_name procedure block_flag( constant flag_name : in string; constant msg : in string; constant already_blocked_severity : in t_alert_level := warning; constant scope : in string := C_TB_SCOPE_DEFAULT ); -- method to unblock a global flag with the name flag_name procedure unblock_flag( constant flag_name : in string; constant msg : in string; signal trigger : inout std_logic; -- Parameter must be global_trigger as method await_unblock_flag() uses that global signal to detect unblocking. constant scope : in string := C_TB_SCOPE_DEFAULT ); -- method to wait for the global flag with the name flag_name procedure await_unblock_flag( constant flag_name : in string; constant timeout : in time; constant msg : in string; constant flag_returning : in t_flag_returning := KEEP_UNBLOCKED; constant timeout_severity : in t_alert_level := error; constant scope : in string := C_TB_SCOPE_DEFAULT ); procedure await_barrier( signal barrier_signal : inout std_logic; constant timeout : in time; constant msg : in string; constant timeout_severity : in t_alert_level := error; constant scope : in string := C_TB_SCOPE_DEFAULT ); ------------------------------------------- -- await_semaphore_in_delta_cycles ------------------------------------------- -- tries to lock the semaphore for C_NUM_SEMAPHORE_LOCK_TRIES in adaptations_pkg procedure await_semaphore_in_delta_cycles( variable semaphore : inout t_protected_semaphore ); ------------------------------------------- -- release_semaphore ------------------------------------------- -- releases the semaphore procedure release_semaphore( variable semaphore : inout t_protected_semaphore ); -- ============================================================================ -- Watchdog-related -- ============================================================================ procedure watchdog_timer( signal watchdog_ctrl : in t_watchdog_ctrl; constant timeout : time; constant alert_level : t_alert_level := error; constant msg : string := "" ); procedure extend_watchdog( signal watchdog_ctrl : inout t_watchdog_ctrl; constant time_extend : time := 0 ns ); procedure reinitialize_watchdog( signal watchdog_ctrl : inout t_watchdog_ctrl; constant timeout : time ); procedure terminate_watchdog( signal watchdog_ctrl : inout t_watchdog_ctrl ); -- ============================================================================ -- generate_crc -- ============================================================================ -- -- This function generate the CRC based on the input values. CRC is generated -- MSb first. -- -- Input criteria: -- - Inputs have to be decending (CRC generated from high to low) -- - crc_in must be one bit shorter than polynomial -- -- Return vector is one bit shorter than polynomial -- --------------------------------------------------------------------------------- impure function generate_crc( constant data : in std_logic_vector; constant crc_in : in std_logic_vector; constant polynomial : in std_logic_vector ) return std_logic_vector; -- slv array have to be acending impure function generate_crc( constant data : in t_slv_array; constant crc_in : in std_logic_vector; constant polynomial : in std_logic_vector ) return std_logic_vector; end package methods_pkg; --================================================================================================= --================================================================================================= --================================================================================================= package body methods_pkg is constant C_BURIED_SCOPE : string := "(Util buried)"; -- The following constants are not used. Report statements in the given functions allow elaboration time messages constant C_BITVIS_LICENSE_INITIALISED : boolean := show_license(VOID); constant C_BITVIS_LIBRARY_INFO_SHOWN : boolean := show_uvvm_utility_library_info(VOID); constant C_BITVIS_LIBRARY_RELEASE_INFO_SHOWN : boolean := show_uvvm_utility_library_release_info(VOID); -- ============================================================================ -- Initialisation and license -- ============================================================================ -- -- Executed a single time ONLY -- procedure pot_show_license( -- constant dummy : in t_void -- ) is -- begin -- if not shared_license_shown then -- show_license(v_trial_license); -- shared_license_shown := true; -- end if; -- end; -- -- Executed a single time ONLY -- procedure initialise_util( -- constant dummy : in t_void -- ) is -- begin -- set_log_file_name(C_LOG_FILE_NAME); -- set_alert_file_name(C_ALERT_FILE_NAME); -- shared_license_shown.set(1); -- shared_initialised_util.set(true); -- end; procedure pot_initialise_util( constant dummy : in t_void ) is variable v_minimum_log_line_width : natural := 0; begin if not shared_initialised_util then shared_initialised_util := true; if not shared_log_file_name_is_set then set_log_file_name(C_LOG_FILE_NAME); end if; if not shared_alert_file_name_is_set then set_alert_file_name(C_ALERT_FILE_NAME); end if; if C_ENABLE_HIERARCHICAL_ALERTS then initialize_hierarchy; end if; -- Check that all log widths are valid v_minimum_log_line_width := v_minimum_log_line_width + C_LOG_PREFIX_WIDTH + C_LOG_TIME_WIDTH + 5; -- Add 5 for spaces if not (C_SHOW_LOG_ID or C_SHOW_LOG_SCOPE) then v_minimum_log_line_width := v_minimum_log_line_width + 10; -- Minimum length in order to wrap lines properly else if C_SHOW_LOG_ID then v_minimum_log_line_width := v_minimum_log_line_width + C_LOG_MSG_ID_WIDTH; end if; if C_SHOW_LOG_SCOPE then v_minimum_log_line_width := v_minimum_log_line_width + C_LOG_SCOPE_WIDTH; end if; end if; bitvis_assert(C_LOG_LINE_WIDTH >= v_minimum_log_line_width, failure, "C_LOG_LINE_WIDTH is too low. Needs to higher than " & to_string(v_minimum_log_line_width) & ". ", C_SCOPE); --show_license(VOID); -- if C_SHOW_uvvm_utilITY_LIBRARY_INFO then -- show_uvvm_utility_library_info(VOID); -- end if; -- if C_SHOW_uvvm_utilITY_LIBRARY_RELEASE_INFO then -- show_uvvm_utility_library_release_info(VOID); -- end if; end if; end; procedure deallocate_line_if_exists( variable line_to_be_deallocated : inout line ) is begin if line_to_be_deallocated /= null then deallocate(line_to_be_deallocated); end if; end procedure deallocate_line_if_exists; -- ============================================================================ -- File handling (that needs to use other utility methods) -- ============================================================================ procedure check_file_open_status( constant status : in file_open_status; constant file_name : in string; constant scope : in string := C_SCOPE ) is begin case status is when open_ok => null; --**** logmsg (if log is open for write) when status_error => alert(tb_warning, "File: " & file_name & " is already open", scope); when name_error => alert(tb_error, "Cannot open file: " & file_name, scope); when mode_error => alert(tb_error, "File: " & file_name & " exists, but cannot be opened in write mode", scope); end case; end; procedure set_alert_file_name( constant file_name : string := C_ALERT_FILE_NAME ) is variable v_file_open_status : file_open_status; begin if C_WARNING_ON_LOG_ALERT_FILE_RUNTIME_RENAME and shared_alert_file_name_is_set then warning("alert file name already set. Setting new alert file " & file_name); end if; shared_alert_file_name_is_set := true; file_close(ALERT_FILE); file_open(v_file_open_status, ALERT_FILE, file_name, write_mode); check_file_open_status(v_file_open_status, file_name); if now > 0 ns then -- Do not show note if set at the very start. -- NOTE: We should usually use log() instead of report. However, -- in this case, there is an issue with log() initialising -- the log file and therefore blocking subsequent set_log_file_name(). report "alert file name set: " & file_name; end if; end; procedure set_alert_file_name( constant file_name : string := C_ALERT_FILE_NAME; constant msg_id : t_msg_id ) is variable v_file_open_status : file_open_status; begin deprecate(get_procedure_name_from_instance_name(file_name'instance_name), "msg_id parameter is no longer in use. Please call this procedure without the msg_id parameter."); set_alert_file_name(file_name); end; procedure set_log_file_name( constant file_name : string := C_LOG_FILE_NAME ) is variable v_file_open_status : file_open_status; begin if C_WARNING_ON_LOG_ALERT_FILE_RUNTIME_RENAME and shared_log_file_name_is_set then warning("log file name already set. Setting new log file " & file_name); end if; shared_log_file_name_is_set := true; file_close(LOG_FILE); file_open(v_file_open_status, LOG_FILE, file_name, write_mode); check_file_open_status(v_file_open_status, file_name); if now > 0 ns then -- Do not show note if set at the very start. -- NOTE: We should usually use log() instead of report. However, -- in this case, there is an issue with log() initialising -- the alert file and therefore blocking subsequent set_alert_file_name(). report "log file name set: " & file_name; end if; end; procedure set_log_file_name( constant file_name : string := C_LOG_FILE_NAME; constant msg_id : t_msg_id ) is begin -- msg_id is no longer in use. However, can not call deprecate() since Util may not -- have opened a log file yet. Attempting to call deprecate() when there is no open -- log file will cause a fatal error. Leaving this alone with no message. set_log_file_name(file_name); end; -- ============================================================================ -- Log-related -- ============================================================================ impure function align_log_time( value : time ) return string is variable v_line : line; variable v_value_width : natural; variable v_result : string(1 to 50); -- sufficient for any relevant time value variable v_result_width : natural; variable v_delimeter_pos : natural; variable v_time_number_width : natural; variable v_time_width : natural; variable v_num_initial_blanks : integer; variable v_found_decimal_point : boolean; begin -- 1. Store normal write (to string) and note width write(v_line, value, left, 0, C_LOG_TIME_BASE); -- required as width is unknown v_value_width := v_line'length; v_result(1 to v_value_width) := v_line.all; deallocate(v_line); -- 2. Search for decimal point or space between number and unit v_found_decimal_point := true; -- default v_delimeter_pos := pos_of_leftmost('.', v_result(1 to v_value_width), 0); if v_delimeter_pos = 0 then -- No decimal point found v_found_decimal_point := false; v_delimeter_pos := pos_of_leftmost(' ', v_result(1 to v_value_width), 0); end if; -- Potentially alert if time stamp is truncated. if C_LOG_TIME_TRUNC_WARNING then if not shared_warned_time_stamp_trunc then if (C_LOG_TIME_DECIMALS < (v_value_width - 3 - v_delimeter_pos)) then alert(TB_WARNING, "Time stamp has been truncated to " & to_string(C_LOG_TIME_DECIMALS) & " decimal(s) in the next log message - settable in adaptations_pkg." & " (Actual time stamp has more decimals than displayed) " & "\nThis alert is shown once only.", C_BURIED_SCOPE); shared_warned_time_stamp_trunc := true; end if; end if; end if; -- 3. Derive Time number (integer or real) if C_LOG_TIME_DECIMALS = 0 then v_time_number_width := v_delimeter_pos - 1; -- v_result as is else -- i.e. a decimal value is required if v_found_decimal_point then v_result(v_value_width - 2 to v_result'right) := (others => '0'); -- Zero extend else -- Shift right after integer part and add point v_result(v_delimeter_pos + 1 to v_result'right) := v_result(v_delimeter_pos to v_result'right - 1); v_result(v_delimeter_pos) := '.'; v_result(v_value_width - 1 to v_result'right) := (others => '0'); -- Zero extend end if; v_time_number_width := v_delimeter_pos + C_LOG_TIME_DECIMALS; end if; -- 4. Add time unit for full time specification v_time_width := v_time_number_width + 3; if C_LOG_TIME_BASE = ns then v_result(v_time_number_width + 1 to v_time_width) := " ns"; else v_result(v_time_number_width + 1 to v_time_width) := " ps"; end if; -- 5. Prefix v_num_initial_blanks := maximum(0, (C_LOG_TIME_WIDTH - v_time_width)); if v_num_initial_blanks > 0 then v_result(v_num_initial_blanks + 1 to v_result'right) := v_result(1 to v_result'right - v_num_initial_blanks); v_result(1 to v_num_initial_blanks) := fill_string(' ', v_num_initial_blanks); v_result_width := C_LOG_TIME_WIDTH; else -- v_result as is v_result_width := v_time_width; end if; return v_result(1 to v_result_width); end function align_log_time; -- Writes Line to a file without modifying the contents of the line -- Not yet available in VHDL procedure tee ( file file_handle : text; variable my_line : inout line ) is variable v_line : line; begin write (v_line, my_line.all); writeline(file_handle, v_line); deallocate(v_line); end procedure tee; -- Open, append/write to and close file. Also deallocates contents of the line procedure write_to_file ( file_name : string; open_mode : file_open_kind; variable my_line : inout line ) is file v_specified_file_pointer : text; begin file_open(v_specified_file_pointer, file_name, open_mode); writeline(v_specified_file_pointer, my_line); file_close(v_specified_file_pointer); end procedure write_to_file; procedure write_line_to_log_destination( variable log_line : inout line; constant log_destination : in t_log_destination := shared_default_log_destination; constant log_file_name : in string := C_LOG_FILE_NAME; constant open_mode : in file_open_kind := append_mode) is begin -- Write the info string to the target file if log_file_name = "" and (log_destination = LOG_ONLY or log_destination = CONSOLE_AND_LOG) then -- Output file specified, but file name was invalid. alert(TB_ERROR, "log called with log_destination " & to_upper(to_string(log_destination)) & ", but log file name was empty."); else case log_destination is when CONSOLE_AND_LOG => tee(OUTPUT, log_line); -- write to transcript, while keeping the line contents -- write to file if log_file_name = C_LOG_FILE_NAME then -- If the log file is the default file, it is not necessary to open and close it again writeline(LOG_FILE, log_line); else -- If the log file is a custom file name, the file will have to be opened. write_to_file(log_file_name, open_mode, log_line); end if; when CONSOLE_ONLY => writeline(OUTPUT, log_line); -- Write to console and deallocate line when LOG_ONLY => if log_file_name = C_LOG_FILE_NAME then -- If the log file is the default file, it is not necessary to open and close it again writeline(LOG_FILE, log_line); else -- If the log file is a custom file name, the file will have to be opened. write_to_file(log_file_name, open_mode, log_line); end if; end case; end if; end procedure; procedure log( msg_id : t_msg_id; msg : string; scope : string := C_TB_SCOPE_DEFAULT; msg_id_panel : t_msg_id_panel := shared_msg_id_panel; -- compatible with old code log_destination : t_log_destination := shared_default_log_destination; log_file_name : string := C_LOG_FILE_NAME; open_mode : file_open_kind := append_mode ) is variable v_msg : line; variable v_msg_indent : line; variable v_msg_indent_width : natural; variable v_info : line; variable v_info_final : line; variable v_log_msg_id : string(1 to C_LOG_MSG_ID_WIDTH); variable v_log_scope : string(1 to C_LOG_SCOPE_WIDTH); variable v_log_pre_msg_width : natural; variable v_idx : natural := 1; begin -- Check if message ID is enabled if (msg_id_panel(msg_id) = ENABLED) then pot_initialise_util(VOID); -- Only executed the first time called -- Prepare strings for msg_id and scope v_log_msg_id := to_upper(justify(to_string(msg_id), left, C_LOG_MSG_ID_WIDTH, KEEP_LEADING_SPACE, ALLOW_TRUNCATE)); if (scope = "") then v_log_scope := justify("(non scoped)", left, C_LOG_SCOPE_WIDTH, KEEP_LEADING_SPACE, ALLOW_TRUNCATE); else v_log_scope := justify(to_string(scope), left, C_LOG_SCOPE_WIDTH, KEEP_LEADING_SPACE, ALLOW_TRUNCATE); end if; -- Handle actual log info line -- First write all fields preceeding the actual message - in order to measure their width -- (Prefix is taken care of later) write(v_info, return_string_if_true(v_log_msg_id, C_SHOW_LOG_ID) & -- Optional " " & align_log_time(now) & " " & return_string_if_true(v_log_scope, C_SHOW_LOG_SCOPE) & " "); -- Optional v_log_pre_msg_width := v_info'length; -- Width of string preceeding the actual message -- Handle \r as potential initial open line if msg'length > 1 then if C_USE_BACKSLASH_R_AS_LF then loop if (msg(v_idx to v_idx+1) = "\r") then write(v_info_final, LF); -- Start transcript with an empty line v_idx := v_idx + 2; else write(v_msg, remove_initial_chars(msg, v_idx-1)); exit; end if; end loop; else write(v_msg, msg); end if; end if; -- Handle dedicated ID indentation. write(v_msg_indent, to_string(C_MSG_ID_INDENT(msg_id))); v_msg_indent_width := v_msg_indent'length; write(v_info, v_msg_indent.all); deallocate_line_if_exists(v_msg_indent); -- Then add the message it self (after replacing \n with LF if msg'length > 1 then write(v_info, to_string(replace_backslash_n_with_lf(v_msg.all))); end if; deallocate_line_if_exists(v_msg); if not C_SINGLE_LINE_LOG then -- Modify and align info-string if additional lines are required (after wrapping lines) wrap_lines(v_info, 1, v_log_pre_msg_width + v_msg_indent_width + 1, C_LOG_LINE_WIDTH-C_LOG_PREFIX_WIDTH); else -- Remove line feed character if -- single line log/alert enabled replace(v_info, LF, ' '); end if; -- Handle potential log header by including info-lines inside the log header format and update of waveview header. if (msg_id = ID_LOG_HDR) then write(v_info_final, LF & LF); -- also update the Log header string shared_current_log_hdr.normal := justify(msg, left, C_LOG_HDR_FOR_WAVEVIEW_WIDTH, KEEP_LEADING_SPACE, ALLOW_TRUNCATE); shared_log_hdr_for_waveview := justify(msg, left, C_LOG_HDR_FOR_WAVEVIEW_WIDTH, KEEP_LEADING_SPACE, ALLOW_TRUNCATE); elsif (msg_id = ID_LOG_HDR_LARGE) then write(v_info_final, LF & LF); shared_current_log_hdr.large := justify(msg, left, C_LOG_HDR_FOR_WAVEVIEW_WIDTH, KEEP_LEADING_SPACE, ALLOW_TRUNCATE); write(v_info_final, fill_string('=', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH)) & LF); elsif (msg_id = ID_LOG_HDR_XL) then write(v_info_final, LF & LF); shared_current_log_hdr.xl := justify(msg, left, C_LOG_HDR_FOR_WAVEVIEW_WIDTH, KEEP_LEADING_SPACE, ALLOW_TRUNCATE); write(v_info_final, LF & fill_string('#', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH))& LF & LF); end if; write(v_info_final, v_info.all); -- include actual info deallocate_line_if_exists(v_info); -- Handle rest of potential log header if (msg_id = ID_LOG_HDR) then write(v_info_final, LF & fill_string('-', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH))); elsif (msg_id = ID_LOG_HDR_LARGE) then write(v_info_final, LF & fill_string('=', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH))); elsif (msg_id = ID_LOG_HDR_XL) then write(v_info_final, LF & LF & fill_string('#', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH)) & LF & LF); end if; -- Add prefix to all lines prefix_lines(v_info_final); -- Write the info string to the target file if log_file_name = "" and (log_destination = LOG_ONLY or log_destination = CONSOLE_AND_LOG) then -- Output file specified, but file name was invalid. alert(TB_ERROR, "log called with log_destination " & to_upper(to_string(log_destination)) & ", but log file name was empty."); else case log_destination is when CONSOLE_AND_LOG => tee(OUTPUT, v_info_final); -- write to transcript, while keeping the line contents -- write to file if log_file_name = C_LOG_FILE_NAME then -- If the log file is the default file, it is not necessary to open and close it again writeline(LOG_FILE, v_info_final); else -- If the log file is a custom file name, the file will have to be opened. write_to_file(log_file_name, open_mode, v_info_final); end if; when CONSOLE_ONLY => writeline(OUTPUT, v_info_final); -- Write to console and deallocate line when LOG_ONLY => if log_file_name = C_LOG_FILE_NAME then -- If the log file is the default file, it is not necessary to open and close it again writeline(LOG_FILE, v_info_final); else -- If the log file is a custom file name, the file will have to be opened. write_to_file(log_file_name, open_mode, v_info_final); end if; end case; deallocate_line_if_exists(v_info_final); end if; end if; end; -- Calls overloaded log procedure with default msg_id procedure log( msg : string; scope : string := C_TB_SCOPE_DEFAULT; msg_id_panel : t_msg_id_panel := shared_msg_id_panel; -- compatible with old code log_destination : t_log_destination := shared_default_log_destination; log_file_name : string := C_LOG_FILE_NAME; open_mode : file_open_kind := append_mode ) is begin log(C_TB_MSG_ID_DEFAULT, msg, scope, msg_id_panel, log_destination, log_file_name, open_mode); end procedure log; -- Logging for multi line text. Also deallocates the text_block, for consistency. procedure log_text_block( msg_id : t_msg_id; variable text_block : inout line; formatting : t_log_format; -- FORMATTED or UNFORMATTED msg_header : string := ""; scope : string := C_TB_SCOPE_DEFAULT; msg_id_panel : t_msg_id_panel := shared_msg_id_panel; log_if_block_empty : t_log_if_block_empty := WRITE_HDR_IF_BLOCK_EMPTY; log_destination : t_log_destination := shared_default_log_destination; log_file_name : string := C_LOG_FILE_NAME; open_mode : file_open_kind := append_mode ) is variable v_text_block_empty_note : string(1 to 26) := "Note: Text block was empty"; variable v_header_line : line; variable v_log_body : line; variable v_text_block_is_empty : boolean; begin if ((log_file_name = "") and ((log_destination = CONSOLE_AND_LOG) or (log_destination = LOG_ONLY))) then alert(TB_ERROR, "log_text_block called with log_destination " & to_upper(to_string(log_destination)) & ", but log file name was empty."); -- Check if message ID is enabled elsif (msg_id_panel(msg_id) = ENABLED) then pot_initialise_util(VOID); -- Only executed the first time called v_text_block_is_empty := (text_block = null); if(formatting = UNFORMATTED) then if(not v_text_block_is_empty) then -- Write the info string to the target file without any header, footer or indentation case log_destination is when CONSOLE_AND_LOG => tee(OUTPUT, text_block); -- Write to console, but keep text_block -- Write to log and deallocate text_block. Open specified file if not open. if log_file_name = C_LOG_FILE_NAME then writeline(LOG_FILE, text_block); else write_to_file(log_file_name, open_mode, text_block); end if; when CONSOLE_ONLY => writeline(OUTPUT, text_block); -- Write to console and deallocate text_block when LOG_ONLY => -- Write to log and deallocate text_block. Open specified file if not open. if log_file_name = C_LOG_FILE_NAME then writeline(LOG_FILE, text_block); else write_to_file(log_file_name, open_mode, text_block); end if; end case; end if; elsif not (v_text_block_is_empty and (log_if_block_empty = SKIP_LOG_IF_BLOCK_EMPTY)) then -- Add and print header write(v_header_line, LF & LF & fill_string('*', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH))); prefix_lines(v_header_line); -- Add header underline, body and footer write(v_log_body, fill_string('-', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH)) & LF); if v_text_block_is_empty then if log_if_block_empty = NOTIFY_IF_BLOCK_EMPTY then write(v_log_body, v_text_block_empty_note); -- Notify that the text block was empty end if; else write(v_log_body, text_block.all); -- include input text end if; write(v_log_body, LF & fill_string('*', (C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH)) & LF); prefix_lines(v_log_body); case log_destination is when CONSOLE_AND_LOG => -- Write header to console tee(OUTPUT, v_header_line); -- Write header to file, and open/close if not default log file if log_file_name = C_LOG_FILE_NAME then writeline(LOG_FILE, v_header_line); else write_to_file(log_file_name, open_mode, v_header_line); end if; -- Write header message to specified destination log(msg_id, msg_header, scope, msg_id_panel, CONSOLE_AND_LOG, log_file_name, append_mode); -- Write log body to console tee(OUTPUT, v_log_body); -- Write log body to specified file if log_file_name = C_LOG_FILE_NAME then writeline(LOG_FILE, v_log_body); else write_to_file(log_file_name, append_mode, v_log_body); end if; when CONSOLE_ONLY => -- Write to console and deallocate all lines writeline(OUTPUT, v_header_line); log(msg_id, msg_header, scope, msg_id_panel, CONSOLE_ONLY); writeline(OUTPUT, v_log_body); when LOG_ONLY => -- Write to log and deallocate text_block. Open specified file if not open. if log_file_name = C_LOG_FILE_NAME then writeline(LOG_FILE, v_header_line); log(msg_id, msg_header, scope, msg_id_panel, LOG_ONLY); writeline(LOG_FILE, v_log_body); else write_to_file(log_file_name, open_mode, v_header_line); log(msg_id, msg_header, scope, msg_id_panel, LOG_ONLY, log_file_name, append_mode); write_to_file(log_file_name, append_mode, v_log_body); end if; end case; -- Deallocate text block to give writeline()-like behaviour -- for formatted output deallocate(v_header_line); deallocate(v_log_body); deallocate(text_block); end if; end if; end; procedure enable_log_msg( constant msg_id : t_msg_id; variable msg_id_panel : inout t_msg_id_panel; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT; constant quietness : t_quietness := NON_QUIET ) is begin case msg_id is when ID_NEVER => null; -- Shall not be possible to enable tb_warning("enable_log_msg() ignored for " & to_upper(to_string(msg_id)) & " (not allowed). " & add_msg_delimiter(msg), scope); when ALL_MESSAGES => for i in t_msg_id'left to t_msg_id'right loop msg_id_panel(i) := ENABLED; end loop; msg_id_panel(ID_NEVER) := DISABLED; msg_id_panel(ID_BITVIS_DEBUG) := DISABLED; if quietness = NON_QUIET then log(ID_LOG_MSG_CTRL, "enable_log_msg(" & to_upper(to_string(msg_id)) & "). " & add_msg_delimiter(msg), scope); end if; when others => msg_id_panel(msg_id) := ENABLED; if quietness = NON_QUIET then log(ID_LOG_MSG_CTRL, "enable_log_msg(" & to_upper(to_string(msg_id)) & "). " & add_msg_delimiter(msg), scope); end if; end case; end; procedure enable_log_msg( msg_id : t_msg_id; msg : string; quietness : t_quietness := NON_QUIET; scope : string := C_TB_SCOPE_DEFAULT ) is begin enable_log_msg(msg_id, shared_msg_id_panel, msg, scope, quietness); end; procedure enable_log_msg( msg_id : t_msg_id; quietness : t_quietness := NON_QUIET; scope : string := C_TB_SCOPE_DEFAULT ) is begin enable_log_msg(msg_id, shared_msg_id_panel, "", scope, quietness); end; procedure disable_log_msg( constant msg_id : t_msg_id; variable msg_id_panel : inout t_msg_id_panel; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT; constant quietness : t_quietness := NON_QUIET ) is begin case msg_id is when ALL_MESSAGES => if quietness = NON_QUIET then log(ID_LOG_MSG_CTRL, "disable_log_msg(" & to_upper(to_string(msg_id)) & "). " & add_msg_delimiter(msg), scope); end if; for i in t_msg_id'left to t_msg_id'right loop msg_id_panel(i) := DISABLED; end loop; msg_id_panel(ID_LOG_MSG_CTRL) := ENABLED; -- keep when others => msg_id_panel(msg_id) := DISABLED; if quietness = NON_QUIET then log(ID_LOG_MSG_CTRL, "disable_log_msg(" & to_upper(to_string(msg_id)) & "). " & add_msg_delimiter(msg), scope); end if; end case; end; procedure disable_log_msg( msg_id : t_msg_id; msg : string; quietness : t_quietness := NON_QUIET; scope : string := C_TB_SCOPE_DEFAULT ) is begin disable_log_msg(msg_id, shared_msg_id_panel, msg, scope, quietness); end; procedure disable_log_msg( msg_id : t_msg_id; quietness : t_quietness := NON_QUIET; scope : string := C_TB_SCOPE_DEFAULT ) is begin disable_log_msg(msg_id, shared_msg_id_panel, "", scope, quietness); end; impure function is_log_msg_enabled( msg_id : t_msg_id; msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) return boolean is begin if msg_id_panel(msg_id) = ENABLED then return true; else return false; end if; end; procedure set_log_destination( constant log_destination : t_log_destination; constant quietness : t_quietness := NON_QUIET ) is begin if quietness = NON_QUIET then log(ID_LOG_MSG_CTRL, "Changing log destination to " & to_string(log_destination) & ". Was " & to_string(shared_default_log_destination) & ". ", C_TB_SCOPE_DEFAULT); end if; shared_default_log_destination := log_destination; end; -- ============================================================================ -- Check counters related -- ============================================================================ -- Shared variable for all the check counters shared variable protected_check_counters : t_protected_check_counters; -- ============================================================================ -- Alert-related -- ============================================================================ -- Shared variable for all the alert counters for different attention shared variable protected_alert_attention_counters : t_protected_alert_attention_counters; procedure alert( constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is variable v_msg : line; -- msg after pot. replacement of \n variable v_info : line; constant C_ATTENTION : t_attention := get_alert_attention(alert_level); begin if alert_level /= NO_ALERT then pot_initialise_util(VOID); -- Only executed the first time called if C_ENABLE_HIERARCHICAL_ALERTS then -- Call the hierarchical alert function hierarchical_alert(alert_level, to_string(msg), to_string(scope), C_ATTENTION); else -- Perform the non-hierarchical alert function write(v_msg, replace_backslash_n_with_lf(to_string(msg))); -- 1. Increase relevant alert counter. Exit if ignore is set for this alert type. if get_alert_attention(alert_level) = IGNORE then -- protected_alert_counters.increment(alert_level, IGNORE); increment_alert_counter(alert_level, IGNORE); else --protected_alert_counters.increment(alert_level, REGARD); increment_alert_counter(alert_level, REGARD); -- 2. Write first part of alert message -- Serious alerts need more attention - thus more space and lines if (alert_level > MANUAL_CHECK) then write(v_info, LF & fill_string('=', C_LOG_INFO_WIDTH)); end if; write(v_info, LF & "*** "); -- 3. Remove line feed character (LF) -- if single line alert enabled. if not C_SINGLE_LINE_ALERT then write(v_info, to_upper(to_string(alert_level)) & " #" & to_string(get_alert_counter(alert_level)) & " ***" & LF & justify(to_string(now, C_LOG_TIME_BASE), right, C_LOG_TIME_WIDTH) & " " & to_string(scope) & LF & wrap_lines(v_msg.all, C_LOG_TIME_WIDTH + 4, C_LOG_TIME_WIDTH + 4, C_LOG_INFO_WIDTH)); else replace(v_msg, LF, ' '); write(v_info, to_upper(to_string(alert_level)) & " #" & to_string(get_alert_counter(alert_level)) & " ***" & justify(to_string(now, C_LOG_TIME_BASE), right, C_LOG_TIME_WIDTH) & " " & to_string(scope) & " " & v_msg.all); end if; deallocate_line_if_exists(v_msg); -- 4. Write stop message if stop-limit is reached for number of this alert if (get_alert_stop_limit(alert_level) /= 0) and (get_alert_counter(alert_level) >= get_alert_stop_limit(alert_level)) then write(v_info, LF & LF & "Simulator has been paused as requested after " & to_string(get_alert_counter(alert_level)) & " " & to_upper(to_string(alert_level)) & LF); if (alert_level = MANUAL_CHECK) then write(v_info, "Carry out above check." & LF & "Then continue simulation from within simulator." & LF); else write(v_info, string'("*** To find the root cause of this alert, " & "step out the HDL calling stack in your simulator. ***" & LF & "*** For example, step out until you reach the call from the test sequencer. ***")); end if; end if; -- 5. Write last part of alert message if (alert_level > MANUAL_CHECK) then write(v_info, LF & fill_string('=', C_LOG_INFO_WIDTH) & LF & LF); else write(v_info, LF); end if; prefix_lines(v_info); tee(OUTPUT, v_info); tee(ALERT_FILE, v_info); writeline(LOG_FILE, v_info); deallocate_line_if_exists(v_info); -- 6. Stop simulation if stop-limit is reached for number of this alert if (get_alert_stop_limit(alert_level) /= 0) then if (get_alert_counter(alert_level) >= get_alert_stop_limit(alert_level)) then if C_USE_STD_STOP_ON_ALERT_STOP_LIMIT then std.env.stop(1); else assert false report "This single Failure line has been provoked to stop the simulation. See alert-message above" severity failure; end if; end if; end if; end if; end if; end if; end; -- Dedicated alert-procedures all alert levels (less verbose - as 2 rather than 3 parameters...) procedure note( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(note, msg, scope); end; procedure tb_note( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(tb_note, msg, scope); end; procedure warning( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(warning, msg, scope); end; procedure tb_warning( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(tb_warning, msg, scope); end; procedure manual_check( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(manual_check, msg, scope); end; procedure error( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(error, msg, scope); end; procedure tb_error( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(tb_error, msg, scope); end; procedure failure( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(failure, msg, scope); end; procedure tb_failure( constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin alert(tb_failure, msg, scope); end; procedure increment_expected_alerts( constant alert_level : t_alert_level; constant number : natural := 1; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin if alert_level = NO_ALERT then alert(TB_WARNING, "increment_expected_alerts not allowed for alert_level NO_ALERT. " & add_msg_delimiter(msg), scope); else if not C_ENABLE_HIERARCHICAL_ALERTS then increment_alert_counter(alert_level, EXPECT, number); log(ID_UTIL_SETUP, "incremented expected " & to_upper(to_string(alert_level)) & "s by " & to_string(number) & ". " & add_msg_delimiter(msg), scope); else increment_expected_alerts(C_BASE_HIERARCHY_LEVEL, alert_level, number); end if; end if; end; -- Arguments: -- - order = FINAL : print out Simulation Success/Fail procedure report_alert_counters( constant order : in t_order ) is begin pot_initialise_util(VOID); -- Only executed the first time called if not C_ENABLE_HIERARCHICAL_ALERTS then protected_alert_attention_counters.to_string(order); else print_hierarchical_log(order); end if; end; -- This version (with the t_void argument) is kept for backwards compatibility procedure report_alert_counters( constant dummy : in t_void ) is begin report_alert_counters(FINAL); -- Default when calling this old method is order=FINAL end; procedure report_global_ctrl( constant dummy : in t_void ) is constant prefix : string := C_LOG_PREFIX & " "; variable v_line : line; begin pot_initialise_util(VOID); -- Only executed the first time called write(v_line, LF & fill_string('-', (C_LOG_LINE_WIDTH - prefix'length)) & LF & "*** REPORT OF GLOBAL CTRL ***" & LF & fill_string('-', (C_LOG_LINE_WIDTH - prefix'length)) & LF & " IGNORE STOP_LIMIT" & LF); for i in note to t_alert_level'right loop write(v_line, " " & to_upper(to_string(i, 13, left)) & ": "); -- Severity write(v_line, to_string(get_alert_attention(i), 7, right) & " "); -- column 1 write(v_line, to_string(integer'(get_alert_stop_limit(i)), 6, right, KEEP_LEADING_SPACE) & LF); -- column 2 end loop; write(v_line, fill_string('-', (C_LOG_LINE_WIDTH - prefix'length)) & LF); wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-prefix'length); prefix_lines(v_line, prefix); -- Write the info string to the target file tee(OUTPUT, v_line); writeline(LOG_FILE, v_line); deallocate(v_line); end; procedure report_msg_id_panel( constant dummy : in t_void ) is constant prefix : string := C_LOG_PREFIX & " "; variable v_line : line; begin pot_initialise_util(VOID); -- Only executed the first time called write(v_line, LF & fill_string('-', (C_LOG_LINE_WIDTH - prefix'length)) & LF & "*** REPORT OF MSG ID PANEL ***" & LF & fill_string('-', (C_LOG_LINE_WIDTH - prefix'length)) & LF & " " & justify("ID", left, C_LOG_MSG_ID_WIDTH) & " Status" & LF & " " & fill_string('-', C_LOG_MSG_ID_WIDTH) & " ------" & LF); for i in t_msg_id'left to t_msg_id'right loop if ((i /= ALL_MESSAGES) and ((i /= NO_ID) and (i /= ID_NEVER))) then -- report all but ID_NEVER, NO_ID and ALL_MESSAGES write(v_line, " " & to_upper(to_string(i, C_LOG_MSG_ID_WIDTH+5, left)) & ": "); -- MSG_ID write(v_line, to_upper(to_string(shared_msg_id_panel(i))) & LF); -- Enabled/disabled end if; end loop; write(v_line, fill_string('-', (C_LOG_LINE_WIDTH - prefix'length)) & LF); wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-prefix'length); prefix_lines(v_line, prefix); -- Write the info string to the target file tee(OUTPUT, v_line); writeline(LOG_FILE, v_line); deallocate(v_line); end; procedure set_alert_attention( alert_level : t_alert_level; attention : t_attention; msg : string := "" ) is begin if alert_level = NO_ALERT then tb_warning("set_alert_attention not allowed for alert_level NO_ALERT (always IGNORE)."); else check_value(attention = IGNORE or attention = REGARD, TB_ERROR, "set_alert_attention only supported for IGNORE and REGARD", C_BURIED_SCOPE, ID_NEVER); shared_alert_attention(alert_level) := attention; log(ID_ALERT_CTRL, "set_alert_attention(" & to_upper(to_string(alert_level)) & ", " & to_string(attention) & "). " & add_msg_delimiter(msg)); end if; end; impure function get_alert_attention( alert_level : t_alert_level ) return t_attention is begin if alert_level = NO_ALERT then return IGNORE; else return shared_alert_attention(alert_level); end if; end; procedure set_alert_stop_limit( alert_level : t_alert_level; value : natural ) is begin if alert_level = NO_ALERT then tb_warning("set_alert_stop_limit not allowed for alert_level NO_ALERT (stop limit always 0)."); else if not C_ENABLE_HIERARCHICAL_ALERTS then shared_stop_limit(alert_level) := value; -- Evaluate new stop limit in case it is less than or equal to the current alert counter for this alert level -- If that is the case, a new alert with the same alert level shall be triggered. if (get_alert_stop_limit(alert_level) /= 0) and (get_alert_counter(alert_level) >= get_alert_stop_limit(alert_level)) then alert(alert_level, "Alert stop limit for " & to_upper(to_string(alert_level)) & " set to " & to_string(value) & ", which is lower than the current " & to_upper(to_string(alert_level)) & " count (" & to_string(get_alert_counter(alert_level)) & ")."); end if; else -- If hierarchical alerts enabled, update top level -- alert stop limit. set_hierarchical_alert_top_level_stop_limit(alert_level, value); end if; end if; end; impure function get_alert_stop_limit( alert_level : t_alert_level ) return natural is begin if alert_level = NO_ALERT then return 0; else if not C_ENABLE_HIERARCHICAL_ALERTS then return shared_stop_limit(alert_level); else return get_hierarchical_alert_top_level_stop_limit(alert_level); end if; end if; end; impure function get_alert_counter( alert_level : t_alert_level; attention : t_attention := REGARD ) return natural is begin return protected_alert_attention_counters.get(alert_level, attention); end; procedure increment_alert_counter( alert_level : t_alert_level; attention : t_attention := REGARD; -- regard, expect, ignore number : natural := 1 ) is type alert_array is array (1 to 6) of t_alert_level; constant alert_check_array : alert_array := (warning, TB_WARNING, error, TB_ERROR, failure, TB_FAILURE); alias found_unexpected_simulation_warnings_or_worse is shared_uvvm_status.found_unexpected_simulation_warnings_or_worse; alias found_unexpected_simulation_errors_or_worse is shared_uvvm_status.found_unexpected_simulation_errors_or_worse; alias mismatch_on_expected_simulation_warnings_or_worse is shared_uvvm_status.mismatch_on_expected_simulation_warnings_or_worse; alias mismatch_on_expected_simulation_errors_or_worse is shared_uvvm_status.mismatch_on_expected_simulation_errors_or_worse; begin protected_alert_attention_counters.increment(alert_level, attention, number); -- Update simulation status if (attention = REGARD) or (attention = EXPECT) then if (alert_level /= NO_ALERT) and (alert_level /= note) and (alert_level /= TB_NOTE) and (alert_level /= MANUAL_CHECK) then found_unexpected_simulation_warnings_or_worse := 0; -- default found_unexpected_simulation_errors_or_worse := 0; -- default mismatch_on_expected_simulation_warnings_or_worse := 0; -- default mismatch_on_expected_simulation_errors_or_worse := 0; -- default -- Compare expected and current allerts for i in 1 to alert_check_array'high loop if (get_alert_counter(alert_check_array(i), REGARD) /= get_alert_counter(alert_check_array(i), EXPECT)) then -- MISMATCH -- warning or worse mismatch_on_expected_simulation_warnings_or_worse := 1; -- error or worse if not(alert_check_array(i) = warning) and not(alert_check_array(i) = TB_WARNING) then mismatch_on_expected_simulation_errors_or_worse := 1; end if; -- FOUND UNEXPECTED ALERT if (get_alert_counter(alert_check_array(i), REGARD) > get_alert_counter(alert_check_array(i), EXPECT)) then -- warning and worse found_unexpected_simulation_warnings_or_worse := 1; -- error and worse if not(alert_check_array(i) = warning) and not(alert_check_array(i) = TB_WARNING) then found_unexpected_simulation_errors_or_worse := 1; end if; end if; end if; end loop; end if; end if; end; procedure increment_expected_alerts_and_stop_limit( constant alert_level : t_alert_level; constant number : natural := 1; constant msg : string := ""; constant scope : string := C_TB_SCOPE_DEFAULT ) is variable v_alert_stop_limit : natural := get_alert_stop_limit(alert_level); begin increment_expected_alerts(alert_level, number, msg, scope); set_alert_stop_limit(alert_level, v_alert_stop_limit + number); end; procedure report_check_counters( constant order : in t_order ) is begin protected_check_counters.to_string(order); end procedure report_check_counters; procedure report_check_counters( constant dummy : in t_void ) is begin report_check_counters(FINAL); end procedure report_check_counters; -- ============================================================================ -- Deprecation message -- ============================================================================ procedure deprecate( caller_name : string; constant msg : string := "" ) is variable v_found : boolean; begin v_found := false; if C_DEPRECATE_SETTING /= NO_DEPRECATE then -- only perform if deprecation enabled l_find_caller_name_in_list : for i in deprecated_subprogram_list'range loop if deprecated_subprogram_list(i) = justify(caller_name, right, 100) then v_found := true; exit l_find_caller_name_in_list; end if; end loop; if v_found then -- Has already been printed. if C_DEPRECATE_SETTING = ALWAYS_DEPRECATE then log(ID_UTIL_SETUP, "Sub-program " & caller_name & " is outdated and has been replaced by another sub-program." & LF & msg); else -- C_DEPRECATE_SETTING = DEPRECATE_ONCE null; end if; else -- Has not been printed yet. l_insert_caller_name_in_first_available : for i in deprecated_subprogram_list'range loop if deprecated_subprogram_list(i) = justify("", right, 100) then deprecated_subprogram_list(i) := justify(caller_name, right, 100); exit l_insert_caller_name_in_first_available; end if; end loop; log(ID_UTIL_SETUP, "Sub-program " & caller_name & " is outdated and has been replaced by another sub-program." & LF & msg); end if; end if; end; -- ============================================================================ -- Non time consuming checks -- ============================================================================ -- NOTE: Index in range N downto 0, with -1 meaning not found function idx_leftmost_p1_in_p2( target : std_logic; vector : std_logic_vector ) return integer is alias a_vector : std_logic_vector(vector'length - 1 downto 0) is vector; constant result_if_not_found : integer := -1; -- To indicate not found begin bitvis_assert(vector'length > 0, error, "idx_leftmost_p1_in_p2()", "String input is empty"); for i in a_vector'left downto a_vector'right loop if (a_vector(i) = target) then return i; end if; end loop; return result_if_not_found; end; -- Matching if same width or only zeros in "extended width" function matching_widths( value1 : std_logic_vector; value2 : std_logic_vector ) return boolean is -- Normalize vectors to (N downto 0) alias a_value1 : std_logic_vector(value1'length - 1 downto 0) is value1; alias a_value2 : std_logic_vector(value2'length - 1 downto 0) is value2; begin if (a_value1'left >= maximum(idx_leftmost_p1_in_p2('1', a_value2), 0) and a_value1'left >= maximum(idx_leftmost_p1_in_p2('H', a_value2), 0) and a_value1'left >= maximum(idx_leftmost_p1_in_p2('Z', a_value2), 0)) and (a_value2'left >= maximum(idx_leftmost_p1_in_p2('1', a_value1), 0) and a_value2'left >= maximum(idx_leftmost_p1_in_p2('H', a_value1), 0) and a_value2'left >= maximum(idx_leftmost_p1_in_p2('Z', a_value1), 0)) then return true; else return false; end if; end; function matching_widths( value1 : unsigned; value2 : unsigned ) return boolean is begin return matching_widths(std_logic_vector(value1), std_logic_vector(value2)); end; function matching_widths( value1 : signed; value2 : signed ) return boolean is begin return matching_widths(std_logic_vector(value1), std_logic_vector(value2)); end; -- Compare values, but ignore any leading zero's at higher indexes than v_min_length-1. function matching_values( constant value1 : in std_logic_vector; constant value2 : in std_logic_vector; constant match_strictness : in t_match_strictness := MATCH_STD ) return boolean is -- Normalize vectors to (N downto 0) alias a_value1 : std_logic_vector(value1'length - 1 downto 0) is value1; alias a_value2 : std_logic_vector(value2'length - 1 downto 0) is value2; variable v_min_length : natural := minimum(a_value1'length, a_value2'length); variable v_match : boolean := true; -- as default prior to checking begin if matching_widths(a_value1, a_value2) then case match_strictness is when MATCH_STD => if not std_match(a_value1(v_min_length-1 downto 0), a_value2(v_min_length-1 downto 0)) then v_match := false; end if; when MATCH_STD_INCL_Z => for i in v_min_length-1 downto 0 loop if not(std_match(a_value1(i), a_value2(i)) or (a_value1(i) = 'Z' and a_value2(i) = 'Z') or (a_value1(i) = '-' or a_value2(i) = '-')) then v_match := false; exit; end if; end loop; when MATCH_STD_INCL_ZXUW => for i in v_min_length-1 downto 0 loop if not(std_match(a_value1(i), a_value2(i)) or (a_value1(i) = 'Z' and a_value2(i) = 'Z') or (a_value1(i) = 'X' and a_value2(i) = 'X') or (a_value1(i) = 'U' and a_value2(i) = 'U') or (a_value1(i) = 'W' and a_value2(i) = 'W') or (a_value1(i) = '-' or a_value2(i) = '-')) then v_match := false; exit; end if; end loop; when others => if a_value1(v_min_length-1 downto 0) /= a_value2(v_min_length-1 downto 0) then v_match := false; end if; end case; else v_match := false; end if; return v_match; end; function matching_values( value1 : unsigned; value2 : unsigned ) return boolean is begin return matching_values(std_logic_vector(value1), std_logic_vector(value2)); end; function matching_values( value1 : signed; value2 : signed ) return boolean is begin return matching_values(std_logic_vector(value1), std_logic_vector(value2)); end; -- Function check_value, -- returning 'true' if OK impure function check_value( constant value : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is begin protected_check_counters.increment(CHECK_VALUE); if value then log(msg_id, caller_name & " => OK, for boolean true. " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Boolean was false. " & add_msg_delimiter(msg), scope); end if; return value; end; impure function check_value( constant value : boolean; constant exp : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is constant v_value_str : string := to_string(value); constant v_exp_str : string := to_string(exp); begin protected_check_counters.increment(CHECK_VALUE); if value = exp then log(msg_id, caller_name & " => OK, for boolean " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. Boolean was " & v_value_str & ". Expected " & v_exp_str & ". " & LF & msg, scope); return false; end if; end; impure function check_value( constant value : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is constant value_type : string := "std_logic"; constant v_value_str : string := to_string(value); constant v_exp_str : string := to_string(exp); variable v_failed : boolean := false; begin protected_check_counters.increment(CHECK_VALUE); case match_strictness is when MATCH_STD => if std_match(value, exp) then log(msg_id, caller_name & " => OK, for " & value_type & " '" & v_value_str & "' (exp: '" & v_exp_str & "'). " & add_msg_delimiter(msg), scope, msg_id_panel); else v_failed := true; end if; when MATCH_STD_INCL_Z => if (value = 'Z' and exp = 'Z') or std_match(value, exp) then log(msg_id, caller_name & " => OK, for " & value_type & " '" & v_value_str & "' (exp: '" & v_exp_str & "'). " & add_msg_delimiter(msg), scope, msg_id_panel); else v_failed := true; end if; when MATCH_STD_INCL_ZXUW => if (value = 'Z' and exp = 'Z') or (value = 'X' and exp = 'X') or (value = 'U' and exp = 'U') or (value = 'W' and exp = 'W') or std_match(value, exp)then log(msg_id, caller_name & " => OK, for " & value_type & " '" & v_value_str & "' (exp: '" & v_exp_str & "'). " & add_msg_delimiter(msg), scope, msg_id_panel); else v_failed := true; end if; when others => if value = exp then log(msg_id, caller_name & " => OK, for " & value_type & " '" & v_value_str & "'. " & add_msg_delimiter(msg), scope, msg_id_panel); else v_failed := true; end if; end case; if v_failed = true then alert(alert_level, caller_name & " => Failed. " & value_type & " Was '" & v_value_str & "'. Expected '" & v_exp_str & "'" & LF & msg, scope); return false; else return true; end if; end; impure function check_value( constant value : std_logic; constant exp : std_logic; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is constant value_type : string := "std_logic"; constant v_value_str : string := to_string(value); constant v_exp_str : string := to_string(exp); begin return check_value(value, exp, MATCH_STD, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; impure function check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) return boolean is -- Normalise vectors to (N downto 0) alias a_value : std_logic_vector(value'length - 1 downto 0) is value; alias a_exp : std_logic_vector(exp'length - 1 downto 0) is exp; constant v_value_str : string := to_string(a_value, radix, format, INCL_RADIX); constant v_exp_str : string := to_string(a_exp, radix, format, INCL_RADIX); variable v_check_ok : boolean := true; -- as default prior to checking variable v_trigger_alert : boolean := false; -- trigger alert and log message -- Match length of short string with long string function pad_short_string(short, long : string) return string is variable v_padding : string(1 to (long'length - short'length)) := (others => '0'); begin -- Include leading 'x"' return short(1 to 2) & v_padding & short(3 to short'length); end function pad_short_string; begin protected_check_counters.increment(CHECK_VALUE); -- AS_IS format has been deprecated and will be removed in the near future if format = AS_IS then deprecate(get_procedure_name_from_instance_name(value'instance_name), "format 'AS_IS' has been deprecated. Use KEEP_LEADING_0."); end if; v_check_ok := matching_values(a_value, a_exp, match_strictness); if v_check_ok then if v_value_str = v_exp_str then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & "'. " & add_msg_delimiter(msg), scope, msg_id_panel); else -- H,L or - is present in v_exp_str if match_strictness = MATCH_STD then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & "' (exp: " & v_exp_str & "'). " & add_msg_delimiter(msg), scope, msg_id_panel); else v_trigger_alert := true; -- alert and log end if; end if; else v_trigger_alert := true; -- alert and log end if; -- trigger alert and log message if v_trigger_alert then if v_value_str'length > v_exp_str'length then if radix = HEX_BIN_IF_INVALID then alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected " & v_exp_str & "." & LF & msg, scope); else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected " & pad_short_string(v_exp_str, v_value_str) & "." & LF & msg, scope); end if; elsif v_value_str'length < v_exp_str'length then if radix = HEX_BIN_IF_INVALID then alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected " & v_exp_str & "." & LF & msg, scope); else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & pad_short_string(v_value_str, v_exp_str) & ". Expected " & v_exp_str & "." & LF & msg, scope); end if; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected " & v_exp_str & "." & LF & msg, scope); end if; end if; return v_check_ok; end; impure function check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) return boolean is -- Normalise vectors to (N downto 0) alias a_value : std_logic_vector(value'length - 1 downto 0) is value; alias a_exp : std_logic_vector(exp'length - 1 downto 0) is exp; constant v_value_str : string := to_string(a_value, radix, format); constant v_exp_str : string := to_string(a_exp, radix, format); variable v_check_ok : boolean := true; -- as default prior to checking begin return check_value(value, exp, MATCH_STD, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; impure function check_value( constant value : unsigned; constant exp : unsigned; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value(std_logic_vector(value), std_logic_vector(exp), match_strictness, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : unsigned; constant exp : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value(std_logic_vector(value), std_logic_vector(exp), MATCH_STD, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : signed; constant exp : signed; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value(std_logic_vector(value), std_logic_vector(exp), match_strictness, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : signed; constant exp : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value(std_logic_vector(value), std_logic_vector(exp), MATCH_STD, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : integer; constant exp : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is constant value_type : string := "int"; constant v_value_str : string := to_string(value); constant v_exp_str : string := to_string(exp); begin protected_check_counters.increment(CHECK_VALUE); if value = exp then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected " & v_exp_str & LF & msg, scope); return false; end if; end; impure function check_value( constant value : real; constant exp : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is constant value_type : string := "real"; constant v_value_str : string := to_string(value); constant v_exp_str : string := to_string(exp); begin protected_check_counters.increment(CHECK_VALUE); if value = exp then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected " & v_exp_str & LF & msg, scope); return false; end if; end; impure function check_value( constant value : time; constant exp : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is constant value_type : string := "time"; constant v_value_str : string := to_string(value); constant v_exp_str : string := to_string(exp); begin protected_check_counters.increment(CHECK_VALUE); if value = exp then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected " & v_exp_str & LF & msg, scope); return false; end if; end; impure function check_value( constant value : string; constant exp : string; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is constant value_type : string := "string"; begin protected_check_counters.increment(CHECK_VALUE); if value = exp then log(msg_id, caller_name & " => OK, for " & value_type & " '" & value & "'. " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was '" & value & "'. Expected '" & exp & "'" & LF & msg, scope); return false; end if; end; impure function check_value( constant value : t_slv_array; constant exp : t_slv_array; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) return boolean is variable v_len_check_ok : boolean := (value'length = exp'length); variable v_dir_check_ok : boolean := (value'ascending = exp'ascending); -- adjust for array index differences variable v_adj_idx : integer := (value'low - exp'low); begin protected_check_counters.increment(CHECK_VALUE); check_value(v_dir_check_ok = true, TB_WARNING, "array directions do not match", scope); check_value(v_len_check_ok = true, TB_ERROR, "array lengths do not match", scope); if v_len_check_ok and v_dir_check_ok then for idx in exp'range loop -- do not count CHECK_VALUE multiple times protected_check_counters.decrement(CHECK_VALUE); if not(check_value(value(idx + v_adj_idx), exp(idx), match_strictness, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type)) then return false; end if; end loop; else -- lenght or direction check not ok return false; end if; return true; end; impure function check_value( constant value : t_slv_array; constant exp : t_slv_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, MATCH_STD, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : t_signed_array; constant exp : t_signed_array; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) return boolean is variable v_len_check_ok : boolean := (value'length = exp'length); variable v_dir_check_ok : boolean := (value'ascending = exp'ascending); -- adjust for array index differences variable v_adj_idx : integer := (value'low - exp'low); begin protected_check_counters.increment(CHECK_VALUE); check_value(v_dir_check_ok = true, TB_WARNING, "array directions do not match", scope); check_value(v_len_check_ok = true, TB_ERROR, "array lengths do not match", scope); if v_len_check_ok and v_dir_check_ok then for idx in exp'range loop -- do not count CHECK_VALUE multiple times protected_check_counters.decrement(CHECK_VALUE); if not(check_value(std_logic_vector(value(idx + v_adj_idx)), std_logic_vector(exp(idx)), alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type)) then return false; end if; end loop; else -- length or direction check not ok return false; end if; return true; end; impure function check_value( constant value : t_signed_array; constant exp : t_signed_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, MATCH_STD, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) return boolean is variable v_len_check_ok : boolean := (value'length = exp'length); variable v_dir_check_ok : boolean := (value'ascending = exp'ascending); -- adjust for array index differences variable v_adj_idx : integer := (value'low - exp'low); begin protected_check_counters.increment(CHECK_VALUE); check_value(v_dir_check_ok = true, TB_WARNING, "array directions do not match", scope); check_value(v_len_check_ok = true, TB_ERROR, "array lengths do not match", scope); for idx in exp'range loop -- do not count CHECK_VALUE multiple times protected_check_counters.decrement(CHECK_VALUE); if not(check_value(std_logic_vector(value(idx + v_adj_idx)), std_logic_vector(exp(idx)), alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type)) then return false; end if; end loop; return true; end; impure function check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, MATCH_STD, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; ---------------------------------------------------------------------- -- Overloads for impure function check_value methods, -- to allow optional alert_level ---------------------------------------------------------------------- impure function check_value( constant value : boolean; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value(value, error, msg, scope, msg_id, msg_id_panel, caller_name); return v_check_ok; end; impure function check_value( constant value : boolean; constant exp : boolean; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, error, msg, scope, msg_id, msg_id_panel, caller_name); return v_check_ok; end; impure function check_value( constant value : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(value, exp, match_strictness, error, msg, scope, msg_id, msg_id_panel, caller_name); return v_check_ok; end; impure function check_value( constant value : std_logic; constant exp : std_logic; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(value, exp, MATCH_STD, error, msg, scope, msg_id, msg_id_panel, caller_name); return v_check_ok; end; impure function check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(value, exp, match_strictness, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(value, exp, MATCH_STD, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : unsigned; constant exp : unsigned; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value(std_logic_vector(value), std_logic_vector(exp), match_strictness, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : unsigned; constant exp : unsigned; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value(std_logic_vector(value), std_logic_vector(exp), MATCH_STD, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : signed; constant exp : signed; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(std_logic_vector(value), std_logic_vector(exp), match_strictness, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : signed; constant exp : signed; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(std_logic_vector(value), std_logic_vector(exp), MATCH_STD, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : integer; constant exp : integer; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(value, exp, error, msg, scope, msg_id, msg_id_panel, caller_name); return v_check_ok; end; impure function check_value( constant value : real; constant exp : real; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(value, exp, error, msg, scope, msg_id, msg_id_panel, caller_name); return v_check_ok; end; impure function check_value( constant value : time; constant exp : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(value, exp, error, msg, scope, msg_id, msg_id_panel, caller_name); return v_check_ok; end; impure function check_value( constant value : string; constant exp : string; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(value, exp, error, msg, scope, msg_id, msg_id_panel, caller_name); return v_check_ok; end; impure function check_value( constant value : t_slv_array; constant exp : t_slv_array; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(value, exp, match_strictness, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : t_slv_array; constant exp : t_slv_array; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(value, exp, MATCH_STD, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : t_signed_array; constant exp : t_signed_array; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(value, exp, match_strictness, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : t_signed_array; constant exp : t_signed_array; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(value, exp, MATCH_STD, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(value, exp, match_strictness, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) return boolean is variable v_check_ok : boolean := true; -- as default prior to checking begin v_check_ok := check_value(value, exp, MATCH_STD, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; ---------------------------------------------------------------------- -- Overloads for procedural check_value methods, -- to allow for no return value ---------------------------------------------------------------------- procedure check_value( constant value : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : boolean; constant exp : boolean; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, match_strictness, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : std_logic; constant exp : std_logic; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, MATCH_STD, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, match_strictness, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : unsigned; constant exp : unsigned; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, match_strictness, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : unsigned; constant exp : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, MATCH_STD, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : signed; constant exp : signed; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, match_strictness, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : signed; constant exp : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, MATCH_STD, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : integer; constant exp : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : real; constant exp : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : time; constant exp : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : string; constant exp : string; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : t_slv_array; constant exp : t_slv_array; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) is variable v_check_ok : boolean; variable v_len_check_ok : boolean := (value'length = exp'length); variable v_dir_check_ok : boolean := (value'ascending = exp'ascending); -- adjust for array index differences variable v_adj_idx : integer := (value'low - exp'low); begin protected_check_counters.increment(CHECK_VALUE); check_value(v_dir_check_ok = true, TB_WARNING, "array directions do not match", scope); check_value(v_len_check_ok = true, TB_ERROR, "array lengths do not match", scope); -- do not count called CHECK_VALUE protected_check_counters.decrement(CHECK_VALUE, 2); if v_len_check_ok and v_dir_check_ok then for idx in exp'range loop v_check_ok := check_value(value(idx + v_adj_idx), exp(idx), match_strictness, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); -- do not count called CHECK_VALUE protected_check_counters.decrement(CHECK_VALUE); end loop; end if; end; procedure check_value( constant value : t_slv_array; constant exp : t_slv_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, MATCH_STD, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : t_signed_array; constant exp : t_signed_array; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) is variable v_check_ok : boolean; variable v_len_check_ok : boolean := (value'length = exp'length); variable v_dir_check_ok : boolean := (value'ascending = exp'ascending); -- adjust for array index differences variable v_adj_idx : integer := (value'low - exp'low); begin protected_check_counters.increment(CHECK_VALUE); check_value(v_dir_check_ok = true, warning, "array directions do not match", scope); check_value(v_len_check_ok = true, warning, "array lengths do not match", scope); -- do not count called CHECK_VALUE protected_check_counters.decrement(CHECK_VALUE, 2); if v_len_check_ok and v_dir_check_ok then for idx in exp'range loop v_check_ok := check_value(std_logic_vector(value(idx + v_adj_idx)), std_logic_vector(exp(idx)), match_strictness, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); -- do not count called CHECK_VALUE protected_check_counters.decrement(CHECK_VALUE); end loop; end if; end; procedure check_value( constant value : t_signed_array; constant exp : t_signed_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, MATCH_STD, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant match_strictness : t_match_strictness; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) is variable v_check_ok : boolean; variable v_len_check_ok : boolean := (value'length = exp'length); variable v_dir_check_ok : boolean := (value'ascending = exp'ascending); -- adjust for array index differences variable v_adj_idx : integer := (value'low - exp'low); begin protected_check_counters.increment(CHECK_VALUE); check_value(v_dir_check_ok = true, warning, "array directions do not match", scope); check_value(v_len_check_ok = true, warning, "array lengths do not match", scope); -- do not count called CHECK_VALUE protected_check_counters.decrement(CHECK_VALUE, 2); if v_len_check_ok and v_dir_check_ok then for idx in exp'range loop v_check_ok := check_value(std_logic_vector(value(idx + v_adj_idx)), std_logic_vector(exp(idx)), match_strictness, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); -- do not count called CHECK_VALUE protected_check_counters.decrement(CHECK_VALUE); end loop; end if; end; procedure check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) is variable v_check_ok : boolean; begin v_check_ok := check_value(value, exp, MATCH_STD, alert_level, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; ---------------------------------------------------------------------- -- Overloads to allow check_value to be called without alert_level ---------------------------------------------------------------------- procedure check_value( constant value : boolean; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is begin check_value(value, error, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : boolean; constant exp : boolean; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is begin check_value(value, exp, error, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is begin check_value(value, exp, match_strictness, error, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : std_logic; constant exp : std_logic; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is begin check_value(value, exp, MATCH_STD, error, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) is begin check_value(value, exp, match_strictness, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : std_logic_vector; constant exp : std_logic_vector; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "slv" ) is begin check_value(value, exp, MATCH_STD, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : unsigned; constant exp : unsigned; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) is begin check_value(value, exp, match_strictness, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : unsigned; constant exp : unsigned; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "unsigned" ) is begin check_value(value, exp, MATCH_STD, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : signed; constant exp : signed; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) is begin check_value(value, exp, match_strictness, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : signed; constant exp : signed; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "signed" ) is begin check_value(value, exp, MATCH_STD, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : integer; constant exp : integer; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is begin check_value(value, exp, error, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : real; constant exp : real; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is begin check_value(value, exp, error, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : time; constant exp : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is begin check_value(value, exp, error, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : string; constant exp : string; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()" ) is begin check_value(value, exp, error, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value( constant value : t_slv_array; constant exp : t_slv_array; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) is begin check_value(value, exp, match_strictness, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : t_slv_array; constant exp : t_slv_array; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_slv_array" ) is begin check_value(value, exp, MATCH_STD, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : t_signed_array; constant exp : t_signed_array; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) is begin check_value(value, exp, match_strictness, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : t_signed_array; constant exp : t_signed_array; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_signed_array" ) is begin check_value(value, exp, MATCH_STD, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant match_strictness : t_match_strictness; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) is begin check_value(value, exp, match_strictness, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_value( constant value : t_unsigned_array; constant exp : t_unsigned_array; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := KEEP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value()"; constant value_type : string := "t_unsigned_array" ) is begin check_value(value, exp, MATCH_STD, error, msg, scope, radix, format, msg_id, msg_id_panel, caller_name, value_type); end; ------------------------------------------------------------------------ -- check_value_in_range ------------------------------------------------------------------------ impure function check_value_in_range ( constant value : integer; constant min_value : integer; constant max_value : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "integer" ) return boolean is constant v_value_str : string := to_string(value); constant v_min_value_str : string := to_string(min_value); constant v_max_value_str : string := to_string(max_value); variable v_check_ok : boolean; begin protected_check_counters.increment(CHECK_VALUE_IN_RANGE); -- Sanity check check_value(max_value >= min_value, TB_ERROR, scope, " => min_value (" & v_min_value_str & ") must be less than max_value("& v_max_value_str & ")" & LF & msg, ID_NEVER, msg_id_panel, caller_name); -- do not count CHECK_VALUE from CHECK_VALUE_IN_RANGE protected_check_counters.decrement(CHECK_VALUE); if (value >= min_value and value <= max_value) then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected between " & v_min_value_str & " and " & v_max_value_str & LF & msg, scope); return false; end if; end; impure function check_value_in_range ( constant value : unsigned; constant min_value : unsigned; constant max_value : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "unsigned" ) return boolean is constant v_value_str : string := to_string(value); constant v_min_value_str : string := to_string(min_value); constant v_max_value_str : string := to_string(max_value); begin protected_check_counters.increment(CHECK_VALUE_IN_RANGE); -- Sanity check check_value(max_value >= min_value, TB_ERROR, scope, " => min_value (" & v_min_value_str & ") must be less than max_value("& v_max_value_str & ")" & LF & msg, ID_NEVER, msg_id_panel, caller_name); -- do not count CHECK_VALUE from CHECK_VALUE_IN_RANGE protected_check_counters.decrement(CHECK_VALUE); if (value >= min_value and value <= max_value) then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected between " & v_min_value_str & " and " & v_max_value_str & LF & msg, scope); return false; end if; end; impure function check_value_in_range ( constant value : signed; constant min_value : signed; constant max_value : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "signed" ) return boolean is constant v_value_str : string := to_string(value); constant v_min_value_str : string := to_string(min_value); constant v_max_value_str : string := to_string(max_value); begin protected_check_counters.increment(CHECK_VALUE_IN_RANGE); -- Sanity check check_value(max_value >= min_value, TB_ERROR, scope, " => min_value (" & v_min_value_str & ") must be less than max_value("& v_max_value_str & ")" & LF & msg, ID_NEVER, msg_id_panel, caller_name); -- do not count CHECK_VALUE from CHECK_VALUE_IN_RANGE protected_check_counters.decrement(CHECK_VALUE); if (value >= min_value and value <= max_value) then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected between " & v_min_value_str & " and " & v_max_value_str & LF & msg, scope); return false; end if; end; impure function check_value_in_range ( constant value : time; constant min_value : time; constant max_value : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) return boolean is constant value_type : string := "time"; constant v_value_str : string := to_string(value); constant v_min_value_str : string := to_string(min_value); constant v_max_value_str : string := to_string(max_value); variable v_check_ok : boolean; begin protected_check_counters.increment(CHECK_VALUE_IN_RANGE); -- Sanity check check_value(max_value >= min_value, TB_ERROR, scope, " => min_value (" & v_min_value_str & ") must be less than max_value("& v_max_value_str & ")" & LF & msg, ID_NEVER, msg_id_panel, caller_name); -- do not count CHECK_VALUE from CHECK_VALUE_IN_RANGE protected_check_counters.decrement(CHECK_VALUE); if (value >= min_value and value <= max_value) then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected between " & v_min_value_str & " and " & v_max_value_str & LF & msg, scope); return false; end if; end; impure function check_value_in_range ( constant value : real; constant min_value : real; constant max_value : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) return boolean is constant value_type : string := "real"; constant v_value_str : string := to_string(value); constant v_min_value_str : string := to_string(min_value); constant v_max_value_str : string := to_string(max_value); variable v_check_ok : boolean; begin protected_check_counters.increment(CHECK_VALUE_IN_RANGE); -- Sanity check check_value(max_value >= min_value, TB_ERROR, " => min_value (" & v_min_value_str & ") must be less than max_value("& v_max_value_str & ")" & LF & msg, scope, ID_NEVER, msg_id_panel, caller_name); -- do not count CHECK_VALUE from CHECK_VALUE_IN_RANGE protected_check_counters.decrement(CHECK_VALUE); if (value >= min_value and value <= max_value) then log(msg_id, caller_name & " => OK, for " & value_type & " " & v_value_str & ". " & add_msg_delimiter(msg), scope, msg_id_panel); return true; else alert(alert_level, caller_name & " => Failed. " & value_type & " Was " & v_value_str & ". Expected between " & v_min_value_str & " and " & v_max_value_str & LF & msg, scope); return false; end if; end; -- check_value_in_range without mandatory alert_level impure function check_value_in_range ( constant value : integer; constant min_value : integer; constant max_value : integer; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "integer" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, error, msg, scope, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value_in_range ( constant value : unsigned; constant min_value : unsigned; constant max_value : unsigned; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "unsigned" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, error, msg, scope, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value_in_range ( constant value : signed; constant min_value : signed; constant max_value : signed; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()"; constant value_type : string := "signed" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, error, msg, scope, msg_id, msg_id_panel, caller_name, value_type); return v_check_ok; end; impure function check_value_in_range ( constant value : time; constant min_value : time; constant max_value : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, error, msg, scope, msg_id, msg_id_panel, caller_name); return v_check_ok; end; impure function check_value_in_range ( constant value : real; constant min_value : real; constant max_value : real; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) return boolean is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, error, msg, scope, msg_id, msg_id_panel, caller_name); return v_check_ok; end; -------------------------------------------------------------------------------- -- check_value_in_range procedures : -- Call the corresponding function and discard the return value -------------------------------------------------------------------------------- procedure check_value_in_range ( constant value : integer; constant min_value : integer; constant max_value : integer; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value_in_range ( constant value : unsigned; constant min_value : unsigned; constant max_value : unsigned; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value_in_range ( constant value : signed; constant min_value : signed; constant max_value : signed; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value_in_range ( constant value : time; constant min_value : time; constant max_value : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value_in_range ( constant value : real; constant min_value : real; constant max_value : real; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is variable v_check_ok : boolean; begin v_check_ok := check_value_in_range(value, min_value, max_value, alert_level, msg, scope, msg_id, msg_id_panel, caller_name); end; ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- -- check_value_in_range procedures without mandatory alert_level procedure check_value_in_range ( constant value : integer; constant min_value : integer; constant max_value : integer; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is begin check_value_in_range(value, min_value, max_value, error, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value_in_range ( constant value : unsigned; constant min_value : unsigned; constant max_value : unsigned; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is begin check_value_in_range(value, min_value, max_value, error, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value_in_range ( constant value : signed; constant min_value : signed; constant max_value : signed; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is begin check_value_in_range(value, min_value, max_value, error, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value_in_range ( constant value : time; constant min_value : time; constant max_value : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is begin check_value_in_range(value, min_value, max_value, error, msg, scope, msg_id, msg_id_panel, caller_name); end; procedure check_value_in_range ( constant value : real; constant min_value : real; constant max_value : real; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_value_in_range()" ) is begin check_value_in_range(value, min_value, max_value, error, msg, scope, msg_id, msg_id_panel, caller_name); end; -------------------------------------------------------------------------------- -- check_stable -------------------------------------------------------------------------------- procedure check_stable( signal target : boolean; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "boolean" ) is constant value_string : string := to_string(target); constant last_value_string : string := to_string(target'last_value); constant last_change : time := target'last_event; constant last_change_string : string := to_string(last_change, ns); begin protected_check_counters.increment(CHECK_STABLE); if (last_change >= stable_req) then log(msg_id, caller_name & " => OK. Stable at " & value_string & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Switched from " & last_value_string & " to " & value_string & " " & last_change_string & " ago. Expected stable for " & to_string(stable_req) & LF & msg, scope); end if; end; procedure check_stable( signal target : in std_logic_vector; constant stable_req : in time; constant alert_level : in t_alert_level; variable success : out boolean; constant msg : in string; constant scope : in string := C_TB_SCOPE_DEFAULT; constant msg_id : in t_msg_id := ID_POS_ACK; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant caller_name : in string := "check_stable()"; constant value_type : in string := "slv" ) is constant value_string : string := 'x' & to_string(target, HEX); constant last_value_string : string := 'x' & to_string(target'last_value, HEX); constant last_change : time := target'last_event; constant last_change_string : string := to_string(last_change, ns); begin protected_check_counters.increment(CHECK_STABLE); success := true; if (last_change >= stable_req) then log(msg_id, caller_name & " => OK. Stable at " & value_string & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Switched from " & last_value_string & " to " & value_string & " " & last_change_string & " ago. Expected stable for " & to_string(stable_req) & LF & msg, scope); success := false; end if; end; procedure check_stable( signal target : std_logic_vector; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "slv" ) is variable v_success : boolean; begin check_stable(target, stable_req, alert_level, v_success, msg, scope, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_stable( signal target : unsigned; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "unsigned" ) is constant value_string : string := 'x' & to_string(target, HEX); constant last_value_string : string := 'x' & to_string(target'last_value, HEX); constant last_change : time := target'last_event; constant last_change_string : string := to_string(last_change, ns); begin protected_check_counters.increment(CHECK_STABLE); if (last_change >= stable_req) then log(msg_id, caller_name & " => OK. Stable at " & value_string & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Switched from " & last_value_string & " to " & value_string & " " & last_change_string & " ago. Expected stable for " & to_string(stable_req) & LF & msg, scope); end if; end; procedure check_stable( signal target : signed; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "signed" ) is constant value_string : string := 'x' & to_string(target, HEX); constant last_value_string : string := 'x' & to_string(target'last_value, HEX); constant last_change : time := target'last_event; constant last_change_string : string := to_string(last_change, ns); begin protected_check_counters.increment(CHECK_STABLE); if (last_change >= stable_req) then log(msg_id, caller_name & " => OK. Stable at " & value_string & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Switched from " & last_value_string & " to " & value_string & " " & last_change_string & " ago. Expected stable for " & to_string(stable_req) & LF & msg, scope); end if; end; procedure check_stable( signal target : std_logic; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "std_logic" ) is constant value_string : string := to_string(target); constant last_value_string : string := to_string(target'last_value); constant last_change : time := target'last_event; constant last_change_string : string := to_string(last_change, ns); begin protected_check_counters.increment(CHECK_STABLE); if (last_change >= stable_req) then log(msg_id, caller_name & " => OK. Stable at " & value_string & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Switched from " & last_value_string & " to " & value_string & " " & last_change_string & " ago. Expected stable for " & to_string(stable_req) & LF & msg, scope); end if; end; procedure check_stable( signal target : integer; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "integer" ) is constant value_string : string := to_string(target); constant last_value_string : string := to_string(target'last_value); constant last_change : time := target'last_event; constant last_change_string : string := to_string(last_change, ns); begin protected_check_counters.increment(CHECK_STABLE); if (last_change >= stable_req) then log(msg_id, caller_name & " => OK." & value_string & " stable at " & value_string & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Switched from " & last_value_string & " to " & value_string & " " & last_change_string & " ago. Expected stable for " & to_string(stable_req) & LF & msg, scope); end if; end; procedure check_stable( signal target : real; constant stable_req : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "real" ) is constant value_string : string := to_string(target); constant last_value_string : string := to_string(target'last_value); constant last_change : time := target'last_event; constant last_change_string : string := to_string(last_change, ns); begin protected_check_counters.increment(CHECK_STABLE); if (last_change >= stable_req) then log(msg_id, caller_name & " => OK." & value_string & " stable at " & value_string & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else alert(alert_level, caller_name & " => Failed. Switched from " & last_value_string & " to " & value_string & " " & last_change_string & " ago. Expected stable for " & to_string(stable_req) & LF & msg, scope); end if; end; -- check stable overloads without mandatory alert level procedure check_stable( signal target : boolean; constant stable_req : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "boolean" ) is begin check_stable(target, stable_req, error, msg, scope, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_stable( signal target : std_logic_vector; constant stable_req : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "slv" ) is begin check_stable(target, stable_req, error, msg, scope, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_stable( signal target : unsigned; constant stable_req : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "unsigned" ) is begin check_stable(target, stable_req, error, msg, scope, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_stable( signal target : signed; constant stable_req : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "signed" ) is begin check_stable(target, stable_req, error, msg, scope, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_stable( signal target : std_logic; constant stable_req : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "std_logic" ) is begin check_stable(target, stable_req, error, msg, scope, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_stable( signal target : integer; constant stable_req : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "integer" ) is begin check_stable(target, stable_req, error, msg, scope, msg_id, msg_id_panel, caller_name, value_type); end; procedure check_stable( signal target : real; constant stable_req : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "check_stable()"; constant value_type : string := "real" ) is begin check_stable(target, stable_req, error, msg, scope, msg_id, msg_id_panel, caller_name, value_type); end; ---------------------------------------------------------------------------- -- check_time_window is used to check if a given condition occurred between -- min_time and max_time -- Usage: wait for requested condition until max_time is reached, then call check_time_window(). -- The input 'success' is needed to distinguish between the following cases: -- - the signal reached success condition at max_time, -- - max_time was reached with no success condition ---------------------------------------------------------------------------- procedure check_time_window( constant success : in boolean; -- F.ex target'event, or target=exp constant elapsed_time : in time; constant min_time : in time; constant max_time : in time; constant alert_level : in t_alert_level; constant name : in string; variable check_is_ok : out boolean; constant msg : in string; constant scope : in string := C_TB_SCOPE_DEFAULT; constant msg_id : in t_msg_id := ID_POS_ACK; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel ) is begin protected_check_counters.increment(CHECK_TIME_WINDOW); check_is_ok := true; -- Sanity check check_value(max_time >= min_time, TB_ERROR, name & " => min_time must be less than max_time." & LF & msg, scope, ID_NEVER, msg_id_panel, name); -- do not count CHECK_VALUE from CHECK_TIME_WINDOW protected_check_counters.decrement(CHECK_VALUE); if elapsed_time < min_time then alert(alert_level, name & " => Failed. Condition occurred too early, after " & to_string(elapsed_time, C_LOG_TIME_BASE) & ". " & add_msg_delimiter(msg), scope); check_is_ok := false; elsif success then log(msg_id, name & " => OK. Condition occurred after " & to_string(elapsed_time, C_LOG_TIME_BASE) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); else -- max_time reached with no success alert(alert_level, name & " => Failed. Timed out after " & to_string(max_time, C_LOG_TIME_BASE) & ". " & add_msg_delimiter(msg), scope); check_is_ok := false; end if; end; procedure check_time_window( constant success : boolean; -- F.ex target'event, or target=exp constant elapsed_time : time; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant name : string; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is variable v_check_is_ok : boolean; begin check_time_window(success, elapsed_time, min_time, max_time, alert_level, name, v_check_is_ok, msg, scope, msg_id, msg_id_panel); end; ---------------------------------------------------------------------------- -- Random functions ---------------------------------------------------------------------------- -- Return a random std_logic_vector, using overload for the integer version of random() impure function random ( constant length : integer ) return std_logic_vector is variable random_vec : std_logic_vector(length-1 downto 0); begin -- Iterate through each bit and randomly set to 0 or 1 for i in 0 to length-1 loop random_vec(i downto i) := std_logic_vector(to_unsigned(random(0, 1), 1)); end loop; return random_vec; end; -- Return a random std_logic, using overload for the SLV version of random() impure function random ( constant VOID : t_void ) return std_logic is variable v_random_bit : std_logic_vector(0 downto 0); begin -- randomly set bit to 0 or 1 v_random_bit := random(1); return v_random_bit(0); end; -- Return a random integer between min_value and max_value -- Use global seeds impure function random ( constant min_value : integer; constant max_value : integer ) return integer is variable v_rand_scaled : integer; variable v_seed1 : positive := shared_seed1; variable v_seed2 : positive := shared_seed2; begin random(min_value, max_value, v_seed1, v_seed2, v_rand_scaled); -- Write back seeds shared_seed1 := v_seed1; shared_seed2 := v_seed2; return v_rand_scaled; end; -- Return a random real between min_value and max_value -- Use global seeds impure function random ( constant min_value : real; constant max_value : real ) return real is variable v_rand_scaled : real; variable v_seed1 : positive := shared_seed1; variable v_seed2 : positive := shared_seed2; begin random(min_value, max_value, v_seed1, v_seed2, v_rand_scaled); -- Write back seeds shared_seed1 := v_seed1; shared_seed2 := v_seed2; return v_rand_scaled; end; -- Return a random time between min time and max time -- Use global seeds impure function random ( constant min_value : time; constant max_value : time ) return time is variable v_rand_scaled : time; variable v_seed1 : positive := shared_seed1; variable v_seed2 : positive := shared_seed2; begin random(min_value, max_value, v_seed1, v_seed2, v_rand_scaled); -- Write back seeds shared_seed1 := v_seed1; shared_seed2 := v_seed2; return v_rand_scaled; end; -- -- Procedure versions of random(), where seeds can be specified -- -- Set target to a random SLV, using overload for the integer version of random(). procedure random ( variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout std_logic_vector ) is variable v_length : integer := v_target'length; variable v_rand : integer; begin -- Iterate through each bit and randomly set to 0 or 1 for i in 0 to v_length-1 loop random(0, 1, v_seed1, v_seed2, v_rand); v_target(i downto i) := std_logic_vector(to_unsigned(v_rand, 1)); end loop; end; -- Set target to a random SL, using overload for the SLV version of random(). procedure random ( variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout std_logic ) is variable v_random_slv : std_logic_vector(0 downto 0); begin random(v_seed1, v_seed2, v_random_slv); v_target := v_random_slv(0); end; -- Set target to a random integer between min_value and max_value procedure random ( constant min_value : integer; constant max_value : integer; variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout integer ) is variable v_rand : real; begin -- Random real-number value in range 0 to 1.0 uniform(v_seed1, v_seed2, v_rand); -- Scale to a random integer between min_value and max_value v_target := integer(real(min_value) + trunc(v_rand*(1.0+real(max_value)-real(min_value)))); end; -- Set target to a random integer between min_value and max_value procedure random ( constant min_value : real; constant max_value : real; variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout real ) is variable v_rand : real; begin -- Random real-number value in range 0 to 1.0 uniform(v_seed1, v_seed2, v_rand); -- Scale to a random integer between min_value and max_value v_target := min_value + v_rand*(max_value-min_value); end; -- Set target to a random integer between min_value and max_value procedure random ( constant min_value : time; constant max_value : time; variable v_seed1 : inout positive; variable v_seed2 : inout positive; variable v_target : inout time ) is constant time_unit : time := std.env.resolution_limit; variable v_rand : real; variable v_rand_int : integer; begin -- Random real-number value in range 0 to 1.0 uniform(v_seed1, v_seed2, v_rand); -- Scale to a random integer between min_value and max_value v_rand_int := integer(real(min_value/time_unit) + trunc(v_rand*(1.0+real(max_value/time_unit)-real(min_value/time_unit)))); v_target := v_rand_int * time_unit; end; -- Set global seeds procedure randomize ( constant seed1 : positive; constant seed2 : positive; constant msg : string := "randomizing seeds"; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin log(ID_UTIL_SETUP, "Setting global seeds to " & to_string(seed1) & ", " & to_string(seed2), scope); shared_seed1 := seed1; shared_seed2 := seed2; end; -- Set global seeds procedure randomise ( constant seed1 : positive; constant seed2 : positive; constant msg : string := "randomising seeds"; constant scope : string := C_TB_SCOPE_DEFAULT ) is begin deprecate(get_procedure_name_from_instance_name(seed1'instance_name), "Use randomize()."); log(ID_UTIL_SETUP, "Setting global seeds to " & to_string(seed1) & ", " & to_string(seed2), scope); shared_seed1 := seed1; shared_seed2 := seed2; end; -- Converts a t_byte_array (ascending) to a std_logic_vector function convert_byte_array_to_slv( constant byte_array : t_byte_array; constant byte_endianness : t_byte_endianness ) return std_logic_vector is constant c_num_bytes : integer := byte_array'length; alias normalized_byte_array : t_byte_array(0 to c_num_bytes-1) is byte_array; variable v_slv : std_logic_vector(8*c_num_bytes-1 downto 0); begin assert byte_array'ascending report "byte_array must be ascending" severity error; for byte_idx in 0 to c_num_bytes-1 loop if (byte_endianness = LOWER_BYTE_LEFT) or (byte_endianness = FIRST_BYTE_LEFT) then v_slv(8*(c_num_bytes-byte_idx)-1 downto 8*(c_num_bytes-1-byte_idx)) := normalized_byte_array(byte_idx); else -- LOWER_BYTE_RIGHT or FIRST_BYTE_RIGHT v_slv(8*(byte_idx+1)-1 downto 8*byte_idx) := normalized_byte_array(byte_idx); end if; end loop; return v_slv; end function; -- Converts a std_logic_vector to a t_byte_array (ascending) function convert_slv_to_byte_array( constant slv : std_logic_vector; constant byte_endianness : t_byte_endianness ) return t_byte_array is variable v_num_bytes : integer := slv'length/8+1; -- +1 in case there's a division remainder alias normalized_slv : std_logic_vector(slv'length-1 downto 0) is slv; variable v_byte_array : t_byte_array(0 to v_num_bytes-1); variable v_slv_idx : integer := normalized_slv'high; variable v_slv_idx_min : integer; begin -- Adjust value if there was no remainder if (slv'length rem 8) = 0 then v_num_bytes := v_num_bytes-1; end if; for byte_idx in 0 to v_num_bytes-1 loop for bit_idx in 7 downto 0 loop if v_slv_idx = -1 then v_byte_array(byte_idx)(bit_idx) := 'Z'; -- Pads 'Z' else if (byte_endianness = LOWER_BYTE_LEFT) or (byte_endianness = FIRST_BYTE_LEFT) then v_byte_array(byte_idx)(bit_idx) := normalized_slv(v_slv_idx); else -- LOWER_BYTE_RIGHT or FIRST_BYTE_RIGHT v_slv_idx_min := MINIMUM(8*byte_idx+bit_idx, normalized_slv'high); -- avoid indexing outside the slv v_byte_array(byte_idx)(bit_idx) := normalized_slv(v_slv_idx_min); end if; v_slv_idx := v_slv_idx-1; end if; end loop; end loop; return v_byte_array(0 to v_num_bytes-1); end function; -- Converts a t_byte_array (any direction) to a t_slv_array (same direction) function convert_byte_array_to_slv_array( constant byte_array : t_byte_array; constant bytes_in_word : natural; constant byte_endianness : t_byte_endianness := LOWER_BYTE_LEFT ) return t_slv_array is constant c_num_words : integer := byte_array'length/bytes_in_word; variable v_ascending_array : t_slv_array(0 to c_num_words-1)((8*bytes_in_word)-1 downto 0); variable v_descending_array : t_slv_array(c_num_words-1 downto 0)((8*bytes_in_word)-1 downto 0); variable v_byte_idx : integer := 0; begin for slv_idx in 0 to c_num_words-1 loop if (byte_endianness = LOWER_BYTE_LEFT) or (byte_endianness = FIRST_BYTE_LEFT) then for byte_in_word in bytes_in_word downto 1 loop v_ascending_array(slv_idx)((8*byte_in_word)-1 downto (byte_in_word-1)*8) := byte_array(v_byte_idx); v_descending_array(slv_idx)((8*byte_in_word)-1 downto (byte_in_word-1)*8) := byte_array(v_byte_idx); v_byte_idx := v_byte_idx + 1; end loop; else -- LOWER_BYTE_RIGHT or FIRST_BYTE_RIGHT for byte_in_word in 1 to bytes_in_word loop v_ascending_array(slv_idx)((8*byte_in_word)-1 downto (byte_in_word-1)*8) := byte_array(v_byte_idx); v_descending_array(slv_idx)((8*byte_in_word)-1 downto (byte_in_word-1)*8) := byte_array(v_byte_idx); v_byte_idx := v_byte_idx + 1; end loop; end if; end loop; if byte_array'ascending then return v_ascending_array; else -- byte array is descending return v_descending_array; end if; end function; -- Converts a t_slv_array (any direction) to a t_byte_array (same direction) function convert_slv_array_to_byte_array( constant slv_array : t_slv_array; constant byte_endianness : t_byte_endianness := LOWER_BYTE_LEFT ) return t_byte_array is constant c_num_bytes_in_word : integer := (slv_array(slv_array'low)'length/8); constant c_byte_array_length : integer := (slv_array'length * c_num_bytes_in_word); constant c_vector_is_ascending : boolean := slv_array(slv_array'low)'ascending; variable v_ascending_array : t_byte_array(0 to c_byte_array_length-1); variable v_descending_array : t_byte_array(c_byte_array_length-1 downto 0); variable v_byte_idx : integer := 0; variable v_offset : natural := 0; begin -- Use this offset in case the slv_array doesn't start at 0 v_offset := slv_array'low; for slv_idx in 0 to slv_array'length-1 loop if (byte_endianness = LOWER_BYTE_LEFT) or (byte_endianness = FIRST_BYTE_LEFT) then for byte in c_num_bytes_in_word downto 1 loop if c_vector_is_ascending then v_ascending_array(v_byte_idx) := slv_array(slv_idx+v_offset)((byte-1)*8 to (8*byte)-1); v_descending_array(v_byte_idx) := slv_array(slv_idx+v_offset)((byte-1)*8 to (8*byte)-1); else -- SLV vector is descending v_ascending_array(v_byte_idx) := slv_array(slv_idx+v_offset)((8*byte)-1 downto (byte-1)*8); v_descending_array(v_byte_idx) := slv_array(slv_idx+v_offset)((8*byte)-1 downto (byte-1)*8); end if; v_byte_idx := v_byte_idx + 1; end loop; else -- LOWER_BYTE_RIGHT or FIRST_BYTE_RIGHT for byte in 1 to c_num_bytes_in_word loop if c_vector_is_ascending then v_ascending_array(v_byte_idx) := slv_array(slv_idx+v_offset)((byte-1)*8 to (8*byte)-1); v_descending_array(v_byte_idx) := slv_array(slv_idx+v_offset)((byte-1)*8 to (8*byte)-1); else -- SLV vector is descending v_ascending_array(v_byte_idx) := slv_array(slv_idx+v_offset)((8*byte)-1 downto (byte-1)*8); v_descending_array(v_byte_idx) := slv_array(slv_idx+v_offset)((8*byte)-1 downto (byte-1)*8); end if; v_byte_idx := v_byte_idx + 1; end loop; end if; end loop; if slv_array'ascending then return v_ascending_array; else -- SLV array is descending return v_descending_array; end if; end function; function convert_slv_array_to_byte_array( constant slv_array : t_slv_array; constant ascending : boolean := false; constant byte_endianness : t_byte_endianness := FIRST_BYTE_LEFT ) return t_byte_array is variable v_bytes_in_word : integer := (slv_array(0)'length/8); variable v_byte_array_length : integer := (slv_array'length * v_bytes_in_word); variable v_ascending_array : t_byte_array(0 to v_byte_array_length-1); variable v_descending_array : t_byte_array(v_byte_array_length-1 downto 0); variable v_ascending_vector : boolean := false; variable v_byte_number : integer := 0; begin -- The ascending parameter should match the array direction. We could also just remove the ascending -- parameter and use the t'ascending attribute. bitvis_assert((slv_array'ascending and ascending) or (not(slv_array'ascending) and not(ascending)), ERROR, "convert_slv_array_to_byte_array()", "slv_array direction doesn't match ascending parameter"); v_ascending_vector := slv_array(0)'ascending; if (byte_endianness = LOWER_BYTE_LEFT) or (byte_endianness = FIRST_BYTE_LEFT) then for slv_idx in 0 to slv_array'length-1 loop for byte in v_bytes_in_word downto 1 loop if v_ascending_vector then v_ascending_array(v_byte_number) := slv_array(slv_idx)((byte-1)*8 to (8*byte)-1); v_descending_array(v_byte_number) := slv_array(slv_idx)((byte-1)*8 to (8*byte)-1); else -- SLV vector is descending v_ascending_array(v_byte_number) := slv_array(slv_idx)((8*byte)-1 downto (byte-1)*8); v_descending_array(v_byte_number) := slv_array(slv_idx)((8*byte)-1 downto (byte-1)*8); end if; v_byte_number := v_byte_number + 1; end loop; end loop; else -- LOWER_BYTE_RIGHT or FIRST_BYTE_RIGHT for slv_idx in 0 to slv_array'length-1 loop for byte in 1 to v_bytes_in_word loop if v_ascending_vector then v_ascending_array(v_byte_number) := slv_array(slv_idx)((byte-1)*8 to (8*byte)-1); v_descending_array(v_byte_number) := slv_array(slv_idx)((byte-1)*8 to (8*byte)-1); else -- SLV vector is descending v_ascending_array(v_byte_number) := slv_array(slv_idx)((8*byte)-1 downto (byte-1)*8); v_descending_array(v_byte_number) := slv_array(slv_idx)((8*byte)-1 downto (byte-1)*8); end if; v_byte_number := v_byte_number + 1; end loop; end loop; end if; if ascending then return v_ascending_array; else -- descending return v_descending_array; end if; end function; function reverse_vector( constant value : std_logic_vector ) return std_logic_vector is variable return_val : std_logic_vector(value'range); begin for i in 0 to value'length-1 loop return_val(value'low + i) := value(value'high - i); end loop; return return_val; end function reverse_vector; impure function reverse_vectors_in_array( constant value : t_slv_array ) return t_slv_array is variable return_val : t_slv_array(value'range)(value(value'low)'range); begin for i in value'range loop return_val(i) := reverse_vector(value(i)); end loop; return return_val; end function reverse_vectors_in_array; function log2( constant num : positive) return natural is variable return_val : natural := 0; begin while (2**return_val < num) and (return_val < 31) loop return_val := return_val + 1; end loop; return return_val; end function; -- ============================================================================ -- Time consuming checks -- ============================================================================ -------------------------------------------------------------------------------- -- await_change -- A signal change is required, but may happen already after 1 delta if min_time = 0 ns -------------------------------------------------------------------------------- procedure await_change( signal target : boolean; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "boolean" ) is constant name : string := "await_change(" & value_type & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; constant start_time : time := now; begin wait on target for max_time; check_time_window(target'event, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_change( signal target : std_logic; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "std_logic" ) is constant name : string := "await_change(" & value_type & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; constant start_time : time := now; begin wait on target for max_time; check_time_window(target'event, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_change( signal target : std_logic_vector; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "slv" ) is constant name : string := "await_change(" & value_type & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; constant start_time : time := now; begin wait on target for max_time; check_time_window(target'event, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_change( signal target : unsigned; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "unsigned" ) is constant name : string := "await_change(" & value_type & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; constant start_time : time := now; begin -- Note that overloading by casting target to slv without creating a new signal doesn't work wait on target for max_time; check_time_window(target'event, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_change( signal target : signed; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "signed" ) is constant name : string := "await_change(" & value_type & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; constant start_time : time := now; begin wait on target for max_time; check_time_window(target'event, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_change( signal target : integer; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "integer" ) is constant name : string := "await_change(" & value_type & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; constant start_time : time := now; begin wait on target for max_time; check_time_window(target'event, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_change( signal target : real; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "real" ) is constant name : string := "await_change(" & value_type & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; constant start_time : time := now; begin wait on target for max_time; check_time_window(target'event, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; -- Await Change overloads without mandatory alert level procedure await_change( signal target : boolean; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "boolean" ) is begin await_change(target, min_time, max_time, error, msg, scope, msg_id, msg_id_panel, value_type); end; procedure await_change( signal target : std_logic; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "std_logic" ) is begin await_change(target, min_time, max_time, error, msg, scope, msg_id, msg_id_panel, value_type); end; procedure await_change( signal target : std_logic_vector; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "slv" ) is begin await_change(target, min_time, max_time, error, msg, scope, msg_id, msg_id_panel, value_type); end; procedure await_change( signal target : unsigned; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "unsigned" ) is begin await_change(target, min_time, max_time, error, msg, scope, msg_id, msg_id_panel, value_type); end; procedure await_change( signal target : signed; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "signed" ) is begin await_change(target, min_time, max_time, error, msg, scope, msg_id, msg_id_panel, value_type); end; procedure await_change( signal target : integer; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "integer" ) is begin await_change(target, min_time, max_time, error, msg, scope, msg_id, msg_id_panel, value_type); end; procedure await_change( signal target : real; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant value_type : string := "real" ) is begin await_change(target, min_time, max_time, error, msg, scope, msg_id, msg_id_panel, value_type); end; -------------------------------------------------------------------------------- -- await_value -------------------------------------------------------------------------------- -- Potential improvements -- - Adding an option that the signal must last for more than one delta cycle -- or a specified time -- - Adding an "AS_IS" option that does not allow the signal to change to other values -- before it changes to the expected value -- -- The input signal is allowed to change to other values before ending up on the expected value, -- as long as it changes to the expected value within the time window (min_time to max_time). -- Wait for target = expected or timeout after max_time. -- Then check if (and when) the value changed to the expected procedure await_value ( signal target : boolean; constant exp : boolean; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "boolean"; constant start_time : time := now; constant v_exp_str : string := to_string(exp); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin if (target /= exp) then wait until (target = exp) for max_time; end if; check_time_window((target = exp), now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_value ( signal target : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "std_logic"; constant start_time : time := now; constant v_exp_str : string := to_string(exp); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; variable success : boolean := false; begin success := false; if match_strictness = MATCH_EXACT then if (target /= exp) then wait until (target = exp) for max_time; end if; if (target = exp) then success := true; end if; elsif match_strictness = MATCH_STD_INCL_Z then if not(std_match(target, exp) or (target = 'Z' and exp = 'Z')) then wait until (std_match(target, exp) or (target = 'Z' and exp = 'Z')) for max_time; end if; if std_match(target, exp) or (target = 'Z' and exp = 'Z') then success := true; end if; elsif match_strictness = MATCH_STD_INCL_ZXUW then if not(std_match(target, exp) or (target = 'Z' and exp = 'Z') or (target = 'X' and exp = 'X') or (target = 'U' and exp = 'U') or (target = 'W' and exp = 'W')) then wait until (std_match(target, exp) or (target = 'Z' and exp = 'Z') or (target = 'X' and exp = 'X') or (target = 'U' and exp = 'U') or (target = 'W' and exp = 'W')) for max_time; end if; if std_match(target, exp) or (target = 'Z' and exp = 'Z') or (target = 'X' and exp = 'X') or (target = 'U' and exp = 'U') or (target = 'W' and exp = 'W') then success := true; end if; else if ((exp = '1' or exp = 'H') and (target /= '1') and (target /= 'H')) then wait until (target = '1' or target = 'H') for max_time; elsif ((exp = '0' or exp = 'L') and (target /= '0') and (target /= 'L')) then wait until (target = '0' or target = 'L') for max_time; end if; if ((exp = '1' or exp = 'H') and (target = '1' or target = 'H')) then success := true; elsif ((exp = '0' or exp = 'L') and (target = '0' or target = 'L')) then success := true; end if; end if; check_time_window(success, now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_value ( signal target : std_logic; constant exp : std_logic; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "std_logic"; constant start_time : time := now; constant v_exp_str : string := to_string(exp); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin await_value(target, exp, MATCH_EXACT, min_time, max_time, alert_level, msg, scope, msg_id, msg_id_panel); end; procedure await_value ( signal target : in std_logic_vector; constant exp : in std_logic_vector; constant match_strictness : in t_match_strictness; constant min_time : in time; constant max_time : in time; constant alert_level : in t_alert_level; variable success : out boolean; constant msg : in string; constant scope : in string := C_TB_SCOPE_DEFAULT; constant radix : in t_radix := HEX_BIN_IF_INVALID; constant format : in t_format_zeros := SKIP_LEADING_0; constant msg_id : in t_msg_id := ID_POS_ACK; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant caller_name : in string := "" ) is constant value_type : string := "slv"; constant start_time : time := now; constant v_exp_str : string := to_string(exp, radix, format, INCL_RADIX); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; variable v_proc_call : line; begin if caller_name = "" then write(v_proc_call, name); else write(v_proc_call, caller_name); end if; -- AS_IS format has been deprecated and will be removed in the near future if format = AS_IS then deprecate(get_procedure_name_from_instance_name(target'instance_name), "format 'AS_IS' has been deprecated. Use KEEP_LEADING_0."); end if; if matching_widths(target, exp) then if match_strictness = MATCH_STD then if not matching_values(target, exp) then wait until matching_values(target, exp) for max_time; end if; check_time_window(matching_values(target, exp), now-start_time, min_time, max_time, alert_level, v_proc_call.all, success, msg, scope, msg_id, msg_id_panel); elsif match_strictness = MATCH_STD_INCL_Z then if not matching_values(target, exp, MATCH_STD_INCL_Z) then wait until matching_values(target, exp, MATCH_STD_INCL_Z) for max_time; end if; check_time_window(matching_values(target, exp, MATCH_STD_INCL_Z), now-start_time, min_time, max_time, alert_level, v_proc_call.all, success, msg, scope, msg_id, msg_id_panel); elsif match_strictness = MATCH_STD_INCL_ZXUW then if not matching_values(target, exp, MATCH_STD_INCL_ZXUW) then wait until matching_values(target, exp, MATCH_STD_INCL_ZXUW) for max_time; end if; check_time_window(matching_values(target, exp, MATCH_STD_INCL_ZXUW), now-start_time, min_time, max_time, alert_level, v_proc_call.all, success, msg, scope, msg_id, msg_id_panel); else if (target /= exp) then wait until (target = exp) for max_time; end if; check_time_window((target = exp), now-start_time, min_time, max_time, alert_level, v_proc_call.all, success, msg, scope, msg_id, msg_id_panel); end if; else alert(alert_level, v_proc_call.all & " => Failed. Widths did not match. " & add_msg_delimiter(msg), scope); success := false; end if; DEALLOCATE(v_proc_call); end; procedure await_value ( signal target : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is variable v_success : boolean; begin await_value(target, exp, match_strictness, min_time, max_time, alert_level, v_success, msg, scope, radix, format, msg_id, msg_id_panel); end; procedure await_value ( signal target : std_logic_vector; constant exp : std_logic_vector; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "slv"; constant start_time : time := now; constant v_exp_str : string := to_string(exp, radix, format, INCL_RADIX); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin await_value(target, exp, MATCH_STD, min_time, max_time, alert_level, msg, scope, radix, format, msg_id, msg_id_panel); end; procedure await_value ( signal target : unsigned; constant exp : unsigned; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "unsigned"; constant start_time : time := now; constant v_exp_str : string := to_string(exp, radix, format, INCL_RADIX); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin -- AS_IS format has been deprecated and will be removed in the near future if format = AS_IS then deprecate(get_procedure_name_from_instance_name(target'instance_name), "format 'AS_IS' has been deprecated. Use KEEP_LEADING_0."); end if; if matching_widths(target, exp) then if not matching_values(target, exp) then wait until matching_values(target, exp) for max_time; end if; check_time_window(matching_values(target, exp), now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); else alert(alert_level, name & " => Failed. Widths did not match. " & add_msg_delimiter(msg), scope); end if; end; procedure await_value ( signal target : signed; constant exp : signed; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "signed"; constant start_time : time := now; constant v_exp_str : string := to_string(exp, radix, format, INCL_RADIX); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin -- AS_IS format has been deprecated and will be removed in the near future if format = AS_IS then deprecate(get_procedure_name_from_instance_name(target'instance_name), "format 'AS_IS' has been deprecated. Use KEEP_LEADING_0."); end if; if matching_widths(target, exp) then if not matching_values(target, exp) then wait until matching_values(target, exp) for max_time; end if; check_time_window(matching_values(target, exp), now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); else alert(alert_level, name & " => Failed. Widths did not match. " & add_msg_delimiter(msg), scope); end if; end; procedure await_value ( signal target : integer; constant exp : integer; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "integer"; constant start_time : time := now; constant v_exp_str : string := to_string(exp); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin if (target /= exp) then wait until (target = exp) for max_time; end if; check_time_window((target = exp), now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; procedure await_value ( signal target : real; constant exp : real; constant min_time : time; constant max_time : time; constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "real"; constant start_time : time := now; constant v_exp_str : string := to_string(exp); constant name : string := "await_value(" & value_type & " " & v_exp_str & ", " & to_string(min_time, ns) & ", " & to_string(max_time, ns) & ")"; begin if (target /= exp) then wait until (target = exp) for max_time; end if; check_time_window((target = exp), now-start_time, min_time, max_time, alert_level, name, msg, scope, msg_id, msg_id_panel); end; -- Await Value Overloads without alert_level procedure await_value ( signal target : boolean; constant exp : boolean; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_value(target, exp, min_time, max_time, error, msg, scope, msg_id, msg_id_panel); end; procedure await_value ( signal target : std_logic; constant exp : std_logic; constant match_strictness : t_match_strictness; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_value(target, exp, match_strictness, min_time, max_time, error, msg, scope, msg_id, msg_id_panel); end; procedure await_value ( signal target : std_logic; constant exp : std_logic; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_value(target, exp, min_time, max_time, error, msg, scope, msg_id, msg_id_panel); end; procedure await_value ( signal target : std_logic_vector; constant exp : std_logic_vector; constant match_strictness : t_match_strictness; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_value(target, exp, match_strictness, min_time, max_time, error, msg, scope, radix, format, msg_id, msg_id_panel); end; procedure await_value ( signal target : std_logic_vector; constant exp : std_logic_vector; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_value(target, exp, min_time, max_time, error, msg, scope, radix, format, msg_id, msg_id_panel); end; procedure await_value ( signal target : unsigned; constant exp : unsigned; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_value(target, exp, min_time, max_time, error, msg, scope, radix, format, msg_id, msg_id_panel); end; procedure await_value ( signal target : signed; constant exp : signed; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant radix : t_radix := HEX_BIN_IF_INVALID; constant format : t_format_zeros := SKIP_LEADING_0; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_value(target, exp, min_time, max_time, error, msg, scope, radix, format, msg_id, msg_id_panel); end; procedure await_value ( signal target : integer; constant exp : integer; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_value(target, exp, min_time, max_time, error, msg, scope, msg_id, msg_id_panel); end; procedure await_value ( signal target : real; constant exp : real; constant min_time : time; constant max_time : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_value(target, exp, min_time, max_time, error, msg, scope, msg_id, msg_id_panel); end; -- Helper procedure: -- Convert time from 'FROM_LAST_EVENT' to 'FROM_NOW' procedure await_stable_calc_time ( constant target_last_event : in time; constant stable_req : in time; -- Minimum stable requirement constant stable_req_from : in t_from_point_in_time; -- Which point in time stable_req starts constant timeout : in time; -- Timeout if stable_req not achieved constant timeout_from : in t_from_point_in_time; -- Which point in time the timeout starts variable stable_req_from_now : inout time; -- Calculated stable requirement from now variable timeout_from_await_stable_entry : inout time; -- Calculated timeout from procedure entry constant alert_level : in t_alert_level; constant msg : in string; constant scope : in string := C_TB_SCOPE_DEFAULT; constant msg_id : in t_msg_id := ID_POS_ACK; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant caller_name : in string := "await_stable_calc_time()"; variable stable_req_met : inout boolean; -- When true, the stable requirement is satisfied variable stable_req_success : out boolean ) is begin stable_req_met := false; stable_req_success := true; -- Convert stable_req so that it points to "time_from_now" if stable_req_from = FROM_NOW then stable_req_from_now := stable_req; elsif stable_req_from = FROM_LAST_EVENT then -- Signal has already been stable for target'last_event, -- so we can subtract this in the FROM_NOW version. stable_req_from_now := stable_req - target_last_event; else alert(tb_error, caller_name & " => Unknown stable_req_from. " & add_msg_delimiter(msg), scope); stable_req_success := false; end if; -- Convert timeout so that it points to "time_from_now" if timeout_from = FROM_NOW then timeout_from_await_stable_entry := timeout; elsif timeout_from = FROM_LAST_EVENT then timeout_from_await_stable_entry := timeout - target_last_event; else alert(tb_error, caller_name & " => Unknown timeout_from. " & add_msg_delimiter(msg), scope); stable_req_success := false; end if; -- Check if requirement is already OK if (stable_req_from_now <= 0 ns) then log(msg_id, caller_name & " => OK. Condition occurred immediately. " & add_msg_delimiter(msg), scope, msg_id_panel); stable_req_met := true; end if; -- Check if it is impossible to achieve stable_req before timeout if (stable_req_from_now > timeout_from_await_stable_entry) then alert(alert_level, caller_name & " => Failed immediately: Stable for stable_req = " & to_string(stable_req_from_now, ns) & " is not possible before timeout = " & to_string(timeout_from_await_stable_entry, ns) & ". " & add_msg_delimiter(msg), scope); stable_req_met := true; stable_req_success := false; end if; end; procedure await_stable_calc_time ( constant target_last_event : time; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts variable stable_req_from_now : inout time; -- Calculated stable requirement from now variable timeout_from_await_stable_entry : inout time; -- Calculated timeout from procedure entry constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "await_stable_calc_time()"; variable stable_req_met : inout boolean -- When true, the stable requirement is satisfied ) is variable v_stable_req_success : boolean; begin await_stable_calc_time(target_last_event, stable_req, stable_req_from, timeout, timeout_from, stable_req_from_now, timeout_from_await_stable_entry, alert_level, msg, scope, msg_id, msg_id_panel, caller_name, stable_req_met, v_stable_req_success); end; -- Helper procedure: procedure await_stable_checks ( constant start_time : in time; -- Time at await_stable() procedure entry constant stable_req : in time; -- Minimum stable requirement variable stable_req_from_now : inout time; -- Minimum stable requirement from now variable timeout_from_await_stable_entry : inout time; -- Timeout value converted to FROM_NOW constant time_since_last_event : in time; -- Time since previous event constant alert_level : in t_alert_level; constant msg : in string; constant scope : in string := C_TB_SCOPE_DEFAULT; constant msg_id : in t_msg_id := ID_POS_ACK; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant caller_name : in string := "await_stable_checks()"; variable stable_req_met : inout boolean; -- When true, the stable requirement is satisfied variable stable_req_success : out boolean ) is variable v_time_left : time; -- Remaining time until timeout variable v_elapsed_time : time := 0 ns; -- Time since procedure entry begin stable_req_met := false; v_elapsed_time := now - start_time; v_time_left := timeout_from_await_stable_entry - v_elapsed_time; -- Check if target has been stable for stable_req if (time_since_last_event >= stable_req_from_now) then log(msg_id, caller_name & " => OK. Condition occurred after " & to_string(v_elapsed_time, C_LOG_TIME_BASE) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); stable_req_met := true; stable_req_success := true; end if; -- -- Prepare for the next iteration in the loop in await_stable() procedure: -- if not stable_req_met then -- Now that an event has occurred, the stable requirement is stable_req from now (regardless of stable_req_from) stable_req_from_now := stable_req; -- Check if it is impossible to achieve stable_req before timeout if (stable_req_from_now > v_time_left) then alert(alert_level, caller_name & " => Failed. After " & to_string(v_elapsed_time, C_LOG_TIME_BASE) & ", stable for stable_req = " & to_string(stable_req_from_now, ns) & " is not possible before timeout = " & to_string(timeout_from_await_stable_entry, ns) & "(time since last event = " & to_string(time_since_last_event, ns) & ". " & add_msg_delimiter(msg), scope); stable_req_met := true; stable_req_success := false; end if; end if; end; procedure await_stable_checks ( constant start_time : time; -- Time at await_stable() procedure entry constant stable_req : time; -- Minimum stable requirement variable stable_req_from_now : inout time; -- Minimum stable requirement from now variable timeout_from_await_stable_entry : inout time; -- Timeout value converted to FROM_NOW constant time_since_last_event : time; -- Time since previous event constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel; constant caller_name : string := "await_stable_checks()"; variable stable_req_met : inout boolean -- When true, the stable requirement is satisfied ) is variable v_stable_req_success : boolean; begin await_stable_checks(start_time, stable_req, stable_req_from_now, timeout_from_await_stable_entry, time_since_last_event, alert_level, msg, scope, msg_id, msg_id_panel, caller_name, stable_req_met, v_stable_req_success); end; -- Await Stable Procedures -- Wait until the target signal has been stable for at least 'stable_req' -- Report an error if this does not occurr within the time specified by 'timeout'. -- Note : 'Stable' refers to that the signal has not had an event (i.e. not changed value). -- Description of arguments: -- stable_req_from = FROM_NOW : Target must be stable 'stable_req' from now -- stable_req_from = FROM_LAST_EVENT : Target must be stable 'stable_req' from the last event of target. -- timeout_from = FROM_NOW : The timeout argument is given in time from now -- timeout_from = FROM_LAST_EVENT : The timeout argument is given in time the last event of target. procedure await_stable ( signal target : boolean; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "boolean"; constant start_time : time := now; constant name : string := "await_stable(" & value_type & ", " & to_string(stable_req, ns) & ", " & to_string(timeout, ns) & ")"; variable v_stable_req_from_now : time; -- Stable_req relative to now. variable v_timeout_from_proc_entry : time; -- Timeout relative to time of procedure entry variable v_stable_req_met : boolean := false; -- When true, the procedure is done and has logged a conclusion. begin -- Use a helper procedure to simplify overloading await_stable_calc_time( target_last_event => target'last_event, stable_req => stable_req, stable_req_from => stable_req_from, timeout => timeout, timeout_from => timeout_from, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); -- Start waiting for target'event or stable_req time, unless : -- - stable_req already achieved, or -- - it is already too late to be stable for stable_req before timeout will occurr while not v_stable_req_met loop wait until target'event for v_stable_req_from_now; -- Use a helper procedure to simplify overloading await_stable_checks ( start_time => start_time, stable_req => stable_req, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, time_since_last_event => target'last_event, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); end loop; end; -- Note that the waiting for target'event can't be called from overloaded procedures where 'target' is a different type. -- Instead, the common code is put in helper procedures procedure await_stable ( signal target : std_logic; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "std_logic"; constant start_time : time := now; constant name : string := "await_stable(" & value_type & ", " & to_string(stable_req, ns) & ", " & to_string(timeout, ns) & ")"; variable v_stable_req_from_now : time; -- Stable_req relative to now. variable v_timeout_from_proc_entry : time; -- Timeout relative to time of procedure entry variable v_stable_req_met : boolean := false; -- When true, the procedure is done and has logged a conclusion. begin -- Use a helper procedure to simplify overloading await_stable_calc_time( target_last_event => target'last_event, stable_req => stable_req, stable_req_from => stable_req_from, timeout => timeout, timeout_from => timeout_from, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); -- Start waiting for target'event or stable_req time, unless : -- - stable_req already achieved, or -- - it is already too late to be stable for stable_req before timeout will occurr while not v_stable_req_met loop wait until target'event for v_stable_req_from_now; -- Use a helper procedure to simplify overloading await_stable_checks ( start_time => start_time, stable_req => stable_req, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, time_since_last_event => target'last_event, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); end loop; end; procedure await_stable ( signal target : in std_logic_vector; constant stable_req : in time; -- Minimum stable requirement constant stable_req_from : in t_from_point_in_time; -- Which point in time stable_req starts constant timeout : in time; -- Timeout if stable_req not achieved constant timeout_from : in t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : in t_alert_level; variable success : out boolean; constant msg : in string; constant scope : in string := C_TB_SCOPE_DEFAULT; constant msg_id : in t_msg_id := ID_POS_ACK; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant caller_name : in string := "" ) is constant value_type : string := "std_logic_vector"; constant start_time : time := now; constant name : string := "await_stable(" & value_type & ", " & to_string(stable_req, ns) & ", " & to_string(timeout, ns) & ")"; variable v_stable_req_from_now : time; -- Stable_req relative to now. variable v_timeout_from_proc_entry : time; -- Timeout relative to time of procedure entry variable v_stable_req_met : boolean := false; -- When true, the procedure is done and has logged a conclusion. variable v_proc_call : line; begin if caller_name = "" then write(v_proc_call, name); else write(v_proc_call, caller_name); end if; -- Use a helper procedure to simplify overloading await_stable_calc_time( target_last_event => target'last_event, stable_req => stable_req, stable_req_from => stable_req_from, timeout => timeout, timeout_from => timeout_from, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => v_proc_call.all, stable_req_met => v_stable_req_met, stable_req_success => success); -- Start waiting for target'event or stable_req time, unless : -- - stable_req already achieved, or -- - it is already too late to be stable for stable_req before timeout will occurr while not v_stable_req_met loop wait until target'event for v_stable_req_from_now; -- Use a helper procedure to simplify overloading await_stable_checks ( start_time => start_time, stable_req => stable_req, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, time_since_last_event => target'last_event, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => v_proc_call.all, stable_req_met => v_stable_req_met, stable_req_success => success); end loop; DEALLOCATE(v_proc_call); end; procedure await_stable ( signal target : std_logic_vector; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is variable v_success : boolean; begin await_stable(target, stable_req, stable_req_from, timeout, timeout_from, alert_level, v_success, msg, scope, msg_id, msg_id_panel); end; procedure await_stable ( signal target : unsigned; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "unsigned"; constant start_time : time := now; constant name : string := "await_stable(" & value_type & ", " & to_string(stable_req, ns) & ", " & to_string(timeout, ns) & ")"; variable v_stable_req_from_now : time; -- Stable_req relative to now. variable v_timeout_from_proc_entry : time; -- Timeout relative to time of procedure entry variable v_stable_req_met : boolean := false; -- When true, the procedure is done and has logged a conclusion. begin -- Use a helper procedure to simplify overloading await_stable_calc_time( target_last_event => target'last_event, stable_req => stable_req, stable_req_from => stable_req_from, timeout => timeout, timeout_from => timeout_from, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); -- Start waiting for target'event or stable_req time, unless : -- - stable_req already achieved, or -- - it is already too late to be stable for stable_req before timeout will occurr while not v_stable_req_met loop wait until target'event for v_stable_req_from_now; -- Use a helper procedure to simplify overloading await_stable_checks ( start_time => start_time, stable_req => stable_req, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, time_since_last_event => target'last_event, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); end loop; end; procedure await_stable ( signal target : signed; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "signed"; constant start_time : time := now; constant name : string := "await_stable(" & value_type & ", " & to_string(stable_req, ns) & ", " & to_string(timeout, ns) & ")"; variable v_stable_req_from_now : time; -- Stable_req relative to now. variable v_timeout_from_proc_entry : time; -- Timeout relative to time of procedure entry variable v_stable_req_met : boolean := false; -- When true, the procedure is done and has logged a conclusion. begin -- Use a helper procedure to simplify overloading await_stable_calc_time( target_last_event => target'last_event, stable_req => stable_req, stable_req_from => stable_req_from, timeout => timeout, timeout_from => timeout_from, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); -- Start waiting for target'event or stable_req time, unless : -- - stable_req already achieved, or -- - it is already too late to be stable for stable_req before timeout will occurr while not v_stable_req_met loop wait until target'event for v_stable_req_from_now; -- Use a helper procedure to simplify overloading await_stable_checks ( start_time => start_time, stable_req => stable_req, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, time_since_last_event => target'last_event, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); end loop; end; procedure await_stable ( signal target : integer; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "integer"; constant start_time : time := now; constant name : string := "await_stable(" & value_type & ", " & to_string(stable_req, ns) & ", " & to_string(timeout, ns) & ")"; variable v_stable_req_from_now : time; -- Stable_req relative to now. variable v_timeout_from_proc_entry : time; -- Timeout relative to time of procedure entry variable v_stable_req_met : boolean := false; -- When true, the procedure is done and has logged a conclusion. begin -- Use a helper procedure to simplify overloading await_stable_calc_time( target_last_event => target'last_event, stable_req => stable_req, stable_req_from => stable_req_from, timeout => timeout, timeout_from => timeout_from, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); -- Start waiting for target'event or stable_req time, unless : -- - stable_req already achieved, or -- - it is already too late to be stable for stable_req before timeout will occur while not v_stable_req_met loop wait until target'event for v_stable_req_from_now; -- Use a helper procedure to simplify overloading await_stable_checks ( start_time => start_time, stable_req => stable_req, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, time_since_last_event => target'last_event, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); end loop; end; procedure await_stable ( signal target : real; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant alert_level : t_alert_level; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant value_type : string := "real"; constant start_time : time := now; constant name : string := "await_stable(" & value_type & ", " & to_string(stable_req, ns) & ", " & to_string(timeout, ns) & ")"; variable v_stable_req_from_now : time; -- Stable_req relative to now. variable v_timeout_from_proc_entry : time; -- Timeout relative to time of procedure entry variable v_stable_req_met : boolean := false; -- When true, the procedure is done and has logged a conclusion. begin -- Use a helper procedure to simplify overloading await_stable_calc_time( target_last_event => target'last_event, stable_req => stable_req, stable_req_from => stable_req_from, timeout => timeout, timeout_from => timeout_from, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); -- Start waiting for target'event or stable_req time, unless : -- - stable_req already achieved, or -- - it is already too late to be stable for stable_req before timeout will occur while not v_stable_req_met loop wait until target'event for v_stable_req_from_now; -- Use a helper procedure to simplify overloading await_stable_checks ( start_time => start_time, stable_req => stable_req, stable_req_from_now => v_stable_req_from_now, timeout_from_await_stable_entry => v_timeout_from_proc_entry, time_since_last_event => target'last_event, alert_level => alert_level, msg => msg, scope => scope, msg_id => msg_id, msg_id_panel => msg_id_panel, caller_name => name, stable_req_met => v_stable_req_met); end loop; end; -- Procedure overloads for await_stable() without mandatory Alert_Level procedure await_stable ( signal target : boolean; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_stable(target, stable_req, stable_req_from, timeout, timeout_from, error, msg, scope, msg_id, msg_id_panel); end; procedure await_stable ( signal target : std_logic; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_stable(target, stable_req, stable_req_from, timeout, timeout_from, error, msg, scope, msg_id, msg_id_panel); end; procedure await_stable ( signal target : std_logic_vector; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_stable(target, stable_req, stable_req_from, timeout, timeout_from, error, msg, scope, msg_id, msg_id_panel); end; procedure await_stable ( signal target : unsigned; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_stable(target, stable_req, stable_req_from, timeout, timeout_from, error, msg, scope, msg_id, msg_id_panel); end; procedure await_stable ( signal target : signed; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_stable(target, stable_req, stable_req_from, timeout, timeout_from, error, msg, scope, msg_id, msg_id_panel); end; procedure await_stable ( signal target : integer; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_stable(target, stable_req, stable_req_from, timeout, timeout_from, error, msg, scope, msg_id, msg_id_panel); end; procedure await_stable ( signal target : real; constant stable_req : time; -- Minimum stable requirement constant stable_req_from : t_from_point_in_time; -- Which point in time stable_req starts constant timeout : time; -- Timeout if stable_req not achieved constant timeout_from : t_from_point_in_time; -- Which point in time the timeout starts constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_POS_ACK; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin await_stable(target, stable_req, stable_req_from, timeout, timeout_from, error, msg, scope, msg_id, msg_id_panel); end; ----------------------------------------------------------------------------------- -- gen_pulse(sl) -- Generate a pulse on a std_logic for a certain amount of time -- -- If blocking_mode = BLOCKING : Procedure waits until the pulse is done before returning to the caller. -- If blocking_mode = NON_BLOCKING : Procedure starts the pulse, schedules the end of the pulse, then returns to the caller immediately. -- procedure gen_pulse( signal target : inout std_logic; constant pulse_value : std_logic; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant init_value : std_logic := target; begin check_value(target /= pulse_value, TB_ERROR, "gen_pulse: target was already " & to_string(pulse_value) & ". " & add_msg_delimiter(msg), scope, ID_NEVER); target <= pulse_value; -- Generate pulse if (blocking_mode = BLOCKING) then wait for pulse_duration; target <= init_value; else check_value(pulse_duration /= 0 ns, TB_ERROR, "gen_pulse: The combination of NON_BLOCKING mode and 0 ns pulse duration results in the pulse being ignored.", scope, ID_NEVER); target <= transport init_value after pulse_duration; end if; log(msg_id, "Pulsed to " & to_string(pulse_value) & " for " & to_string(pulse_duration) & ". " & add_msg_delimiter(msg), scope); wait for 0 ns; -- wait a delta cycle for signal to update end; -- Overload to allow excluding the pulse_value argument: -- Make pulse_value = '1' by default procedure gen_pulse( signal target : inout std_logic; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, '1', pulse_duration, blocking_mode, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- Overload to allow excluding the blocking_mode and pulse_value arguments: -- Make blocking_mode = BLOCKING and pulse_value = '1' by default procedure gen_pulse( signal target : inout std_logic; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, '1', pulse_duration, BLOCKING, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- Overload to allow excluding the blocking_mode argument: -- Make blocking_mode = BLOCKING by default procedure gen_pulse( signal target : inout std_logic; constant pulse_value : std_logic; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, pulse_value, pulse_duration, BLOCKING, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- gen_pulse(sl) -- Generate a pulse on a std_logic for a certain number of clock cycles procedure gen_pulse( signal target : inout std_logic; constant pulse_value : std_logic; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant init_value : std_logic := target; begin wait until falling_edge(clock_signal); check_value(target /= pulse_value, TB_ERROR, "gen_pulse: target was already " & to_string(pulse_value) & ". " & add_msg_delimiter(msg), scope, ID_NEVER); target <= pulse_value; -- Generate pulse if (num_periods > 0) then for i in 1 to num_periods loop wait until falling_edge(clock_signal); end loop; end if; target <= init_value; log(msg_id, "Pulsed to " & to_string(pulse_value) & " for " & to_string(num_periods) & " clk cycles. " & add_msg_delimiter(msg), scope); end; -- Overload to allow excluding the pulse_value argument: -- Make pulse_value = '1' by default procedure gen_pulse( signal target : inout std_logic; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, '1', clock_signal, num_periods, msg, scope, msg_id, msg_id_panel); -- pulse_value = '1' by default end; procedure gen_pulse( signal target : inout boolean; constant pulse_value : boolean; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant init_value : boolean := target; begin check_value(target /= pulse_value, TB_ERROR, "gen_pulse: target was already " & to_string(pulse_value) & ". " & add_msg_delimiter(msg), scope, ID_NEVER); target <= pulse_value; -- Generate pulse if (blocking_mode = BLOCKING) then wait for pulse_duration; target <= init_value; else check_value(pulse_duration /= 0 ns, TB_ERROR, "gen_pulse: The combination of NON_BLOCKING mode and 0 ns pulse duration results in the pulse being ignored.", scope, ID_NEVER); target <= transport init_value after pulse_duration; end if; log(msg_id, "Pulsed to " & to_string(pulse_value) & " for " & to_string(pulse_duration) & ". " & add_msg_delimiter(msg), scope); wait for 0 ns; -- wait a delta cycle for signal to update end; -- Overload to allow excluding the pulse_value argument: -- Make pulse_value = true by default procedure gen_pulse( signal target : inout boolean; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, true, pulse_duration, blocking_mode, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- Overload to allow excluding the blocking_mode and pulse_value arguments: -- Make blocking_mode = BLOCKING and pulse_value = true by default procedure gen_pulse( signal target : inout boolean; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, true, pulse_duration, BLOCKING, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- Overload to allow excluding the blocking_mode argument: -- Make blocking_mode = BLOCKING by default procedure gen_pulse( signal target : inout boolean; constant pulse_value : boolean; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, pulse_value, pulse_duration, BLOCKING, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- Generate a pulse on a boolean for a certain number of clock cycles procedure gen_pulse( signal target : inout boolean; constant pulse_value : boolean; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant init_value : boolean := target; begin wait until falling_edge(clock_signal); check_value(target /= pulse_value, TB_ERROR, "gen_pulse: target was already " & to_string(pulse_value) & ". " & add_msg_delimiter(msg), scope, ID_NEVER); target <= pulse_value; -- Generate pulse if (num_periods > 0) then for i in 1 to num_periods loop wait until falling_edge(clock_signal); end loop; end if; target <= init_value; log(msg_id, "Pulsed to " & to_string(pulse_value) & " for " & to_string(num_periods) & " clk cycles. " & add_msg_delimiter(msg), scope); end; -- Overload to allow excluding the pulse_value argument: -- Make pulse_value = true by default procedure gen_pulse( signal target : inout boolean; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, true, clock_signal, num_periods, msg, scope, msg_id, msg_id_panel); -- pulse_value = '1' by default end; -- gen_pulse(slv) -- Generate a pulse on a std_logic_vector for a certain amount of time -- -- If blocking_mode = BLOCKING : Procedure waits until the pulse is done before returning to the caller. -- If blocking_mode = NON_BLOCKING : Procedure starts the pulse, schedules the end of the pulse, then returns to the caller immediately. -- procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_value : std_logic_vector; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant init_value : std_logic_vector(target'range) := target; variable v_target : std_logic_vector(target'length-1 downto 0) := target; variable v_pulse : std_logic_vector(pulse_value'length-1 downto 0) := pulse_value; begin check_value(target /= pulse_value, TB_ERROR, "gen_pulse: target was already " & to_string(pulse_value) & ". " & add_msg_delimiter(msg), scope, ID_NEVER); for i in 0 to (v_target'length-1) loop if v_pulse(i) /= '-' then v_target(i) := v_pulse(i); -- Generate pulse end if; end loop; target <= v_target; if (blocking_mode = BLOCKING) then wait for pulse_duration; target <= init_value; else check_value(pulse_duration /= 0 ns, TB_ERROR, "gen_pulse: The combination of NON_BLOCKING mode and 0 ns pulse duration results in the pulse being ignored.", scope, ID_NEVER); target <= transport init_value after pulse_duration; end if; log(msg_id, "Pulsed to " & to_string(pulse_value, HEX, AS_IS, INCL_RADIX) & " for " & to_string(pulse_duration) & ". " & add_msg_delimiter(msg), scope); wait for 0 ns; -- wait a delta cycle for signal to update end; -- Overload to allow excluding the pulse_value argument: -- Make pulse_value = (others => '1') by default procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_duration : time; constant blocking_mode : t_blocking_mode; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant pulse_value : std_logic_vector(target'range) := (others => '1'); begin gen_pulse(target, pulse_value, pulse_duration, blocking_mode, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- Overload to allow excluding the blocking_mode and pulse_value arguments: -- Make blocking_mode = BLOCKING and pulse_value = (others => '1') by default procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant pulse_value : std_logic_vector(target'range) := (others => '1'); begin gen_pulse(target, pulse_value, pulse_duration, BLOCKING, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- Overload to allow excluding the blocking_mode argument: -- Make blocking_mode = BLOCKING by default procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_value : std_logic_vector; constant pulse_duration : time; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is begin gen_pulse(target, pulse_value, pulse_duration, BLOCKING, msg, scope, msg_id, msg_id_panel); -- Blocking mode by default end; -- gen_pulse(slv) -- Generate a pulse on a std_logic_vector for a certain number of clock cycles procedure gen_pulse( signal target : inout std_logic_vector; constant pulse_value : std_logic_vector; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant init_value : std_logic_vector(target'range) := target; constant v_pulse : std_logic_vector(pulse_value'length-1 downto 0) := pulse_value; variable v_target : std_logic_vector(target'length-1 downto 0) := target; begin wait until falling_edge(clock_signal); check_value(target /= pulse_value, TB_ERROR, "gen_pulse: target was already " & to_string(pulse_value) & ". " & add_msg_delimiter(msg), scope, ID_NEVER); for i in 0 to (v_target'length-1) loop if v_pulse(i) /= '-' then v_target(i) := v_pulse(i); -- Generate pulse end if; end loop; target <= v_target; if (num_periods > 0) then for i in 1 to num_periods loop wait until falling_edge(clock_signal); end loop; end if; target <= init_value; log(msg_id, "Pulsed to " & to_string(pulse_value, HEX, AS_IS, INCL_RADIX) & " for " & to_string(num_periods) & " clk cycles. " & add_msg_delimiter(msg), scope); wait for 0 ns; -- wait a delta cycle for signal to update end; -- Overload to allow excluding the pulse_value argument: -- Make pulse_value = (others => '1') by default procedure gen_pulse( signal target : inout std_logic_vector; signal clock_signal : std_logic; constant num_periods : natural; constant msg : string; constant scope : string := C_TB_SCOPE_DEFAULT; constant msg_id : t_msg_id := ID_GEN_PULSE; constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel ) is constant pulse_value : std_logic_vector(target'range) := (others => '1'); begin gen_pulse(target, pulse_value, clock_signal, num_periods, msg, scope, msg_id, msg_id_panel); -- pulse_value = (others => '1') by default end; -------------------------------------------- -- Clock generators : -- Include this as a concurrent procedure from your test bench. -- ( Including this procedure call as a concurrent statement directly in your architecture -- is in fact identical to a process, where the procedure parameters is the sensitivity list ) -- Set duty cycle by setting clock_high_percentage from 1 to 99. Beware of rounding errors. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; constant clock_period : in time; constant clock_high_percentage : in natural range 1 to 99 := 50 ) is -- Making sure any rounding error after calculating period/2 is not accumulated. constant C_FIRST_HALF_CLK_PERIOD : time := clock_period * clock_high_percentage/100; begin loop clock_signal <= '1'; wait for C_FIRST_HALF_CLK_PERIOD; clock_signal <= '0'; wait for (clock_period - C_FIRST_HALF_CLK_PERIOD); end loop; end; -------------------------------------------- -- Clock generator overload: -- Include this as a concurrent procedure from your test bench. -- ( Including this procedure call as a concurrent statement directly in your architecture -- is in fact identical to a process, where the procedure parameters is the sensitivity list ) -- Set duty cycle by setting clock_high_time. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; constant clock_period : in time; constant clock_high_time : in time ) is begin check_value(clock_high_time < clock_period, TB_ERROR, "clock_generator: parameter clock_high_time must be lower than parameter clock_period!", C_TB_SCOPE_DEFAULT, ID_NEVER); loop clock_signal <= '1'; wait for clock_high_time; clock_signal <= '0'; wait for (clock_period - clock_high_time); end loop; end; -------------------------------------------- -- Clock generator overload: -- - Count variable (clock_count) is added as an output. Wraps when reaching max value of -- natural type. -- - Set duty cycle by setting clock_high_percentage from 1 to 99. Beware of rounding errors. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; signal clock_count : inout natural; constant clock_period : in time; constant clock_high_percentage : in natural range 1 to 99 := 50 ) is -- Making sure any rounding error after calculating period/2 is not accumulated. constant C_FIRST_HALF_CLK_PERIOD : time := clock_period * clock_high_percentage/100; begin clock_count <= 0; loop clock_signal <= '0'; -- Should start on 0 wait for C_FIRST_HALF_CLK_PERIOD; -- Update clock_count when clock_signal is set to '1' if clock_count < natural'right then clock_count <= clock_count + 1; else -- Wrap when reached max value of natural clock_count <= 0; end if; clock_signal <= '1'; wait for (clock_period - C_FIRST_HALF_CLK_PERIOD); end loop; end; -------------------------------------------- -- Clock generator overload: -- - Counter clock_count is given as an output. Wraps when reaching max value of -- natural type. -- - Set duty cycle by setting clock_high_time. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; signal clock_count : inout natural; constant clock_period : in time; constant clock_high_time : in time ) is begin clock_count <= 0; check_value(clock_high_time < clock_period, TB_ERROR, "clock_generator: parameter clock_high_time must be lower than parameter clock_period!", C_TB_SCOPE_DEFAULT, ID_NEVER); loop clock_signal <= '0'; wait for (clock_period - clock_high_time); if clock_count < natural'right then clock_count <= clock_count + 1; else -- Wrap when reached max value of natural clock_count <= 0; end if; clock_signal <= '1'; wait for clock_high_time; end loop; end; -------------------------------------------- -- Clock generator overload: -- - Enable signal (clock_ena) is added as a parameter -- - The clock goes to '1' immediately when the clock is enabled (clock_ena = true) -- - Log when the clock_ena changes. clock_name is used in the log message. -- - Set duty cycle by setting clock_high_percentage from 1 to 99. Beware of rounding errors. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; constant clock_name : in string; constant clock_high_percentage : in natural range 1 to 99 := 50 ) is -- Making sure any rounding error after calculating period/2 is not accumulated. constant C_FIRST_HALF_CLK_PERIOD : time := clock_period * clock_high_percentage/100; begin loop if not clock_ena then if now /= 0 ps then log(ID_CLOCK_GEN, "Stopping clock " & clock_name); end if; clock_signal <= '0'; wait until clock_ena; log(ID_CLOCK_GEN, "Starting clock " & clock_name); end if; clock_signal <= '1'; wait for C_FIRST_HALF_CLK_PERIOD; clock_signal <= '0'; wait for (clock_period - C_FIRST_HALF_CLK_PERIOD); end loop; end; -------------------------------------------- -- Clock generator overload: -- - Enable signal (clock_ena) is added as a parameter -- - The clock goes to '1' immediately when the clock is enabled (clock_ena = true) -- - Log when the clock_ena changes. clock_name is used in the log message. -- inferred to be low time. -- - Set duty cycle by setting clock_high_time. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; constant clock_name : in string; constant clock_high_time : in time ) is begin check_value(clock_high_time < clock_period, TB_ERROR, "clock_generator: parameter clock_high_time must be lower than parameter clock_period!", C_TB_SCOPE_DEFAULT, ID_NEVER); loop if not clock_ena then if now /= 0 ps then log(ID_CLOCK_GEN, "Stopping clock " & clock_name); end if; clock_signal <= '0'; wait until clock_ena; log(ID_CLOCK_GEN, "Starting clock " & clock_name); end if; clock_signal <= '1'; wait for clock_high_time; clock_signal <= '0'; wait for (clock_period - clock_high_time); end loop; end; -------------------------------------------- -- Clock generator overload: -- - Enable signal (clock_ena) is added as a parameter -- - The clock goes to '1' immediately when the clock is enabled (clock_ena = true) -- - Log when the clock_ena changes. clock_name is used in the log message. -- - Count variable (clock_count) is added as an output. Wraps when reaching max value of -- natural type. -- - Set duty cycle by setting clock_high_percentage from 1 to 99. Beware of rounding errors. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; signal clock_count : out natural; constant clock_period : in time; constant clock_name : in string; constant clock_high_percentage : in natural range 1 to 99 := 50 ) is -- Making sure any rounding error after calculating period/2 is not accumulated. constant C_FIRST_HALF_CLK_PERIOD : time := clock_period * clock_high_percentage/100; variable v_clock_count : natural := 0; begin clock_count <= v_clock_count; loop if not clock_ena then if now /= 0 ps then log(ID_CLOCK_GEN, "Stopping clock " & clock_name); end if; clock_signal <= '0'; wait until clock_ena; log(ID_CLOCK_GEN, "Starting clock " & clock_name); end if; clock_signal <= '1'; wait for C_FIRST_HALF_CLK_PERIOD; clock_signal <= '0'; wait for (clock_period - C_FIRST_HALF_CLK_PERIOD); if v_clock_count < natural'right then v_clock_count := v_clock_count + 1; else -- Wrap when reached max value of natural v_clock_count := 0; end if; clock_count <= v_clock_count; end loop; end; -------------------------------------------- -- Clock generator overload: -- - Enable signal (clock_ena) is added as a parameter -- - The clock goes to '1' immediately when the clock is enabled (clock_ena = true) -- - Log when the clock_ena changes. clock_name is used in the log message. -- inferred to be low time. -- - Count variable (clock_count) is added as an output. Wraps when reaching max value of -- natural type. -- - Set duty cycle by setting clock_high_time. -------------------------------------------- procedure clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; signal clock_count : out natural; constant clock_period : in time; constant clock_name : in string; constant clock_high_time : in time ) is variable v_clock_count : natural := 0; begin clock_count <= v_clock_count; check_value(clock_high_time < clock_period, TB_ERROR, "clock_generator: parameter clock_high_time must be lower than parameter clock_period!", C_TB_SCOPE_DEFAULT, ID_NEVER); loop if not clock_ena then if now /= 0 ps then log(ID_CLOCK_GEN, "Stopping clock " & clock_name); end if; clock_signal <= '0'; wait until clock_ena; log(ID_CLOCK_GEN, "Starting clock " & clock_name); end if; clock_signal <= '1'; wait for clock_high_time; clock_signal <= '0'; wait for (clock_period - clock_high_time); if v_clock_count < natural'right then v_clock_count := v_clock_count + 1; else -- Wrap when reached max value of natural v_clock_count := 0; end if; clock_count <= v_clock_count; end loop; end; -------------------------------------------- -- Adjustable clock generators : -- Include this as a concurrent procedure from your test bench. -- ( Including this procedure call as a concurrent statement directly in your architecture -- is in fact identical to a process, where the procedure parameters is the sensitivity list ) -- Set duty cycle by setting clock_high_percentage from 1 to 99. Beware of rounding errors. -------------------------------------------- procedure adjustable_clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; constant clock_name : in string; signal clock_high_percentage : in natural range 0 to 100 ) is -- Making sure any rounding error after calculating period/2 is not accumulated. variable v_first_half_clk_period : time := clock_period * clock_high_percentage/100; begin -- alert if init value is not set check_value(clock_high_percentage /= 0, TB_ERROR, "clock_generator: parameter clock_high_percentage must be set!", C_TB_SCOPE_DEFAULT, ID_NEVER); loop if not clock_ena then if now /= 0 ps then log(ID_CLOCK_GEN, "Stopping clock: " & clock_name); end if; clock_signal <= '0'; wait until clock_ena; log(ID_CLOCK_GEN, "Starting clock: " & clock_name); -- alert if unvalid value is set check_value_in_range(clock_high_percentage, 1, 99, TB_ERROR, "adjustable_clock_generator: parameter clock_high_percentage must be in range 1 to 99!", C_TB_SCOPE_DEFAULT, ID_NEVER); end if; v_first_half_clk_period := clock_period * clock_high_percentage/100; clock_signal <= '1'; wait for v_first_half_clk_period; clock_signal <= '0'; wait for (clock_period - v_first_half_clk_period); end loop; end procedure; procedure adjustable_clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; constant clock_period : in time; signal clock_high_percentage : in natural range 0 to 100 ) is constant v_clock_name : string := ""; begin adjustable_clock_generator(clock_signal, clock_ena, clock_period, v_clock_name, clock_high_percentage); end procedure; -- Overloaded version with clock enable, clock name -- and clock count procedure adjustable_clock_generator( signal clock_signal : inout std_logic; signal clock_ena : in boolean; signal clock_count : out natural; constant clock_period : in time; constant clock_name : in string; signal clock_high_percentage : in natural range 0 to 100 ) is -- Making sure any rounding error after calculating period/2 is not accumulated. variable v_first_half_clk_period : time := clock_period * clock_high_percentage/100; variable v_clock_count : natural := 0; begin -- alert if init value is not set check_value(clock_high_percentage /= 0, TB_ERROR, "clock_generator: parameter clock_high_percentage must be set!", C_TB_SCOPE_DEFAULT, ID_NEVER); clock_count <= v_clock_count; loop if not clock_ena then if now /= 0 ps then log(ID_CLOCK_GEN, "Stopping clock: " & clock_name); end if; clock_signal <= '0'; wait until clock_ena; log(ID_CLOCK_GEN, "Starting clock: " & clock_name); -- alert if unvalid value is set check_value_in_range(clock_high_percentage, 1, 99, TB_ERROR, "adjustable_clock_generator: parameter clock_high_percentage must be in range 1 to 99!", C_TB_SCOPE_DEFAULT, ID_NEVER); end if; v_first_half_clk_period := clock_period * clock_high_percentage/100; clock_signal <= '1'; wait for v_first_half_clk_period; clock_signal <= '0'; wait for (clock_period - v_first_half_clk_period); if v_clock_count < natural'right then v_clock_count := v_clock_count + 1; else -- Wrap when reached max value of natural v_clock_count := 0; end if; clock_count <= v_clock_count; end loop; end procedure; -- ============================================================================ -- Synchronization methods -- ============================================================================ -- Local type used in synchronization methods type t_flag_array_idx_and_status_record is record flag_idx : integer; flag_is_new : boolean; flag_array_full : boolean; end record; -- Local function used in synchronization methods to search through shared_flag_array for flag_name or available index -- Returns: -- Flag index in the shared array -- If the flag is new or already in the array -- If the array is full, and the flag can not be added (alerts an error). impure function find_or_add_sync_flag( constant flag_name : string ) return t_flag_array_idx_and_status_record is variable v_idx : integer := 0; variable v_is_new : boolean := false; variable v_is_array_full : boolean := true; begin for i in shared_flag_array'range loop -- Search for empty index. If found add a new flag if (shared_flag_array(i).flag_name = (shared_flag_array(i).flag_name'range => NUL)) then shared_flag_array(i).flag_name(flag_name'range) := flag_name; v_is_new := true; end if; -- Check if flag exists in the array if (shared_flag_array(i).flag_name(flag_name'range) = flag_name) then v_idx := i; v_is_array_full := false; exit; end if; end loop; return (v_idx, v_is_new, v_is_array_full); end; procedure block_flag( constant flag_name : in string; constant msg : in string; constant already_blocked_severity : in t_alert_level := warning; constant scope : in string := C_TB_SCOPE_DEFAULT ) is variable v_idx : integer := 0; variable v_is_new : boolean := false; variable v_is_array_full : boolean := true; begin -- Find flag, or add a new provided the array is not full. (v_idx, v_is_new, v_is_array_full) := find_or_add_sync_flag(flag_name); if (v_is_array_full = true) then alert(TB_ERROR, "The flag " & flag_name & " was not found and the maximum number of flags (" & to_string(C_NUM_SYNC_FLAGS) & ") have been used. Configure in adaptations_pkg. " & add_msg_delimiter(msg), scope); else -- Block flag if (v_is_new = true) then log(ID_BLOCKING, flag_name & ": New blocked synchronization flag added. " & add_msg_delimiter(msg), scope); else -- Check if the flag to be blocked already is blocked if (shared_flag_array(v_idx).is_blocked = true) then alert(already_blocked_severity, "The flag " & flag_name & " was already blocked. " & add_msg_delimiter(msg), scope); else log(ID_BLOCKING, flag_name & ": Blocking flag. " & add_msg_delimiter(msg), scope); end if; end if; shared_flag_array(v_idx).is_blocked := true; end if; end procedure; procedure unblock_flag( constant flag_name : in string; constant msg : in string; signal trigger : inout std_logic; -- Parameter must be global_trigger as method await_unblock_flag() uses that global signal to detect unblocking. constant scope : in string := C_TB_SCOPE_DEFAULT ) is variable v_idx : integer := 0; variable v_is_new : boolean := false; variable v_is_array_full : boolean := true; begin -- Find flag, or add a new provided the array is not full. (v_idx, v_is_new, v_is_array_full) := find_or_add_sync_flag(flag_name); if (v_is_array_full = true) then alert(TB_ERROR, "The flag " & flag_name & " was not found and the maximum number of flags (" & to_string(C_NUM_SYNC_FLAGS) & ") have been used. Configure in adaptations_pkg. " & add_msg_delimiter(msg), scope); else -- Unblock flag if (v_is_new = true) then log(ID_BLOCKING, flag_name & ": New unblocked synchronization flag added. " & add_msg_delimiter(msg), scope); else log(ID_BLOCKING, flag_name & ": Unblocking flag. " & add_msg_delimiter(msg), scope); end if; shared_flag_array(v_idx).is_blocked := false; -- Triggers a signal to allow await_unblock_flag() to detect unblocking. gen_pulse(trigger, 0 ns, "pulsing global_trigger. " & add_msg_delimiter(msg), C_TB_SCOPE_DEFAULT, ID_NEVER); end if; end procedure; procedure await_unblock_flag( constant flag_name : in string; constant timeout : in time; constant msg : in string; constant flag_returning : in t_flag_returning := KEEP_UNBLOCKED; constant timeout_severity : in t_alert_level := error; constant scope : in string := C_TB_SCOPE_DEFAULT ) is variable v_idx : integer := 0; variable v_is_new : boolean := false; variable v_is_array_full : boolean := true; variable v_flag_is_blocked : boolean := true; constant start_time : time := now; begin -- Find flag, or add a new provided the array is not full. (v_idx, v_is_new, v_is_array_full) := find_or_add_sync_flag(flag_name); if (v_is_array_full = true) then alert(TB_ERROR, "The flag " & flag_name & " was not found and the maximum number of flags (" & to_string(C_NUM_SYNC_FLAGS) & ") have been used. Configure in adaptations_pkg. " & add_msg_delimiter(msg), scope); else -- Waits only if the flag is found and is blocked. Will wait when a new flag is added, as it is default blocked. v_flag_is_blocked := shared_flag_array(v_idx).is_blocked; if (v_flag_is_blocked = false) then if (flag_returning = RETURN_TO_BLOCK) then -- wait for all sequencer that are waiting for that flag before reseting it wait for 0 ns; shared_flag_array(v_idx).is_blocked := true; log(ID_BLOCKING, flag_name & ": Was already unblocked. Returned to blocked. " & add_msg_delimiter(msg), scope); else log(ID_BLOCKING, flag_name & ": Was already unblocked. " & add_msg_delimiter(msg), scope); end if; else -- Flag is blocked (or a new flag was added), starts waiting. log before while loop. Otherwise the message will be printed everytime the global_trigger was triggered. if (v_is_new = true) then log(ID_BLOCKING, flag_name & ": New blocked synchronization flag added. Waiting to be unblocked. " & add_msg_delimiter(msg), scope); else log(ID_BLOCKING, flag_name & ": Waiting to be unblocked. " & add_msg_delimiter(msg), scope); end if; end if; -- Waiting for flag to be unblocked while v_flag_is_blocked = true loop if (timeout /= 0 ns) then wait until rising_edge(global_trigger) for ((start_time + timeout) - now); check_value(global_trigger = '1', timeout_severity, flag_name & " timed out. " & add_msg_delimiter(msg), scope, ID_NEVER); if global_trigger /= '1' then exit; end if; else wait until rising_edge(global_trigger); end if; v_flag_is_blocked := shared_flag_array(v_idx).is_blocked; if (v_flag_is_blocked = false) then if flag_returning = KEEP_UNBLOCKED then log(ID_BLOCKING, flag_name & ": Has been unblocked. ", scope); else log(ID_BLOCKING, flag_name & ": Has been unblocked. Returned to blocked. ", scope); -- wait for all sequencer that are waiting for that flag before reseting it wait for 0 ns; shared_flag_array(v_idx).is_blocked := true; end if; end if; end loop; end if; end procedure; procedure await_barrier( signal barrier_signal : inout std_logic; constant timeout : in time; constant msg : in string; constant timeout_severity : in t_alert_level := error; constant scope : in string := C_TB_SCOPE_DEFAULT )is begin -- set barrier signal to 0 barrier_signal <= '0'; log(ID_BLOCKING, "Waiting for barrier. " & add_msg_delimiter(msg), scope); -- wait until all sequencer using that barrier_signal wait for it if timeout = 0 ns then wait until barrier_signal = '0'; else wait until barrier_signal = '0' for timeout; end if; if barrier_signal /= '0' then -- timeout alert(timeout_severity, "Timeout while waiting for barrier signal. " & add_msg_delimiter(msg), scope); else log(ID_BLOCKING, "Barrier received. " & add_msg_delimiter(msg), scope); end if; barrier_signal <= '1'; end procedure; procedure await_semaphore_in_delta_cycles( variable semaphore : inout t_protected_semaphore ) is variable v_cnt_lock_tries : natural := 0; begin while semaphore.get_semaphore = false and v_cnt_lock_tries < C_NUM_SEMAPHORE_LOCK_TRIES loop wait for 0 ns; v_cnt_lock_tries := v_cnt_lock_tries + 1; end loop; if v_cnt_lock_tries = C_NUM_SEMAPHORE_LOCK_TRIES then tb_error("Failed to acquire semaphore when sending command to VVC", C_SCOPE); end if; end procedure; procedure release_semaphore( variable semaphore : inout t_protected_semaphore ) is begin semaphore.release_semaphore; end procedure; -- ============================================================================ -- General Watchdog-related -- ============================================================================ ------------------------------------------------------------------------------- -- General Watchdog timer: -- Include this as a concurrent procedure from your testbench. -- Use extend_watchdog(), reinitialize_watchdog() or terminate_watchdog() to -- modify the watchdog timer from the test sequencer. ------------------------------------------------------------------------------- procedure watchdog_timer( signal watchdog_ctrl : in t_watchdog_ctrl; constant timeout : time; constant alert_level : t_alert_level := error; constant msg : string := "" ) is variable v_timeout : time; variable v_prev_timeout : time; begin -- This delta cycle is needed due to a problem with external tools that -- without it, they wouldn't print the first log message. wait for 0 ns; log(ID_WATCHDOG, "Starting general watchdog: " & to_string(timeout) & ". " & msg); v_prev_timeout := 0 ns; v_timeout := timeout; loop wait until (watchdog_ctrl.extend or watchdog_ctrl.restart or watchdog_ctrl.terminate) for v_timeout; -- Watchdog was extended if watchdog_ctrl.extend then if watchdog_ctrl.extension = 0 ns then log(ID_WATCHDOG, "Extending general watchdog by default value: " & to_string(timeout) & ". " & msg); v_timeout := (v_prev_timeout + v_timeout - now) + timeout; else log(ID_WATCHDOG, "Extending general watchdog by " & to_string(watchdog_ctrl.extension) & ". " & msg); v_timeout := (v_prev_timeout + v_timeout - now) + watchdog_ctrl.extension; end if; v_prev_timeout := now; -- Watchdog was reinitialized elsif watchdog_ctrl.restart then log(ID_WATCHDOG, "Reinitializing general watchdog: " & to_string(watchdog_ctrl.new_timeout) & ". " & msg); v_timeout := watchdog_ctrl.new_timeout; v_prev_timeout := now; else -- Watchdog was terminated if watchdog_ctrl.terminate then log(ID_WATCHDOG, "Terminating general watchdog. " & msg); -- Watchdog has timed out else alert(alert_level, "General watchdog timer ended! " & msg); end if; exit; end if; end loop; wait; end procedure; procedure extend_watchdog( signal watchdog_ctrl : inout t_watchdog_ctrl; constant time_extend : time := 0 ns ) is begin if not watchdog_ctrl.terminate then watchdog_ctrl.extension <= time_extend; watchdog_ctrl.extend <= true; wait for 0 ns; -- delta cycle to propagate signal watchdog_ctrl.extend <= false; end if; end procedure; procedure reinitialize_watchdog( signal watchdog_ctrl : inout t_watchdog_ctrl; constant timeout : time ) is begin if not watchdog_ctrl.terminate then watchdog_ctrl.new_timeout <= timeout; watchdog_ctrl.restart <= true; wait for 0 ns; -- delta cycle to propagate signal watchdog_ctrl.restart <= false; end if; end procedure; procedure terminate_watchdog( signal watchdog_ctrl : inout t_watchdog_ctrl ) is begin watchdog_ctrl.terminate <= true; wait for 0 ns; -- delta cycle to propagate signal end procedure; -- ============================================================================ -- generate_crc -- ============================================================================ impure function generate_crc( constant data : in std_logic_vector; constant crc_in : in std_logic_vector; constant polynomial : in std_logic_vector ) return std_logic_vector is variable crc_out : std_logic_vector(crc_in'range) := crc_in; begin -- Sanity checks check_value(not data'ascending, TB_FAILURE, "data have to be decending", C_SCOPE, ID_NEVER); check_value(not crc_in'ascending, TB_FAILURE, "crc_in have to be decending", C_SCOPE, ID_NEVER); check_value(not polynomial'ascending, TB_FAILURE, "polynomial have to be decending", C_SCOPE, ID_NEVER); check_value(crc_in'length, polynomial'length-1, TB_FAILURE, "crc_in have to be one bit shorter than polynomial", C_SCOPE, ID_NEVER); for i in data'high downto data'low loop if crc_out(crc_out'high) xor data(i) then crc_out := crc_out sll 1; crc_out := crc_out xor polynomial(polynomial'high-1 downto polynomial'low); else crc_out := crc_out sll 1; end if; end loop; return crc_out; end function generate_crc; impure function generate_crc( constant data : in t_slv_array; constant crc_in : in std_logic_vector; constant polynomial : in std_logic_vector ) return std_logic_vector is variable crc_out : std_logic_vector(crc_in'range) := crc_in; begin -- Sanity checks check_value(data'ascending, TB_FAILURE, "slv array have to be acending", C_SCOPE, ID_NEVER); for i in data'low to data'high loop crc_out := generate_crc(data(i), crc_out, polynomial); end loop; return crc_out; end function generate_crc; end package body methods_pkg;
mit
e28393e09ad35bb2da01be4db8d7e12d
0.571044
3.761458
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/mult_gen_v12_0/hdl/op_resize.vhd
12
9,440
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block KmBQFcd2QD+kNdok9pVSy+mGWrLkX1cfjcswOe7HQkAaL//+2eh9MyWA7iCeyf3d6lt9rsd77auE ZHTB/Fk1dg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QEzlBt9msTE+rOcclHYmjKiZokGI/DjRL2yt3XgvDqGlPv64JOq2dg7pr5CbDR9qLFFLRNKC/Ave HXTRb+K+eTpEPc7Ya4cYQ9g5+MXiwB7XQLPa/aEyjO3get5293ggZuzwkjZSHk+e9QqEk6Bt2c44 54ZWwitNxoUsEtZyS3w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TL0QuMHUSOmzGzMiljwv7rv+fdjjk1oxddi/yntmPUYv8VtZjSw6bnlL4bf8+q0960/PHsqwyv81 +G8ArGsFjA3CQMteKmkfl/GKlw/jFc2hhJ+hJn1EdTZ431Cju17vFLrxGbmfF2JpG6uCGt3WAMGu G1fJ/VcvUYAU7TOa1hY2/jyUGZ+kSwhGTZ/4ly4fqsmslNZ3EEbYgLpFAp/bY89KPhWWSJnAqVdS qCq9OYjG5kABfXiZN18ABG0VS1eWRKOZaodlce+Y/gZM8YZj2dctmqg94KhruUweeysu3c48Ck1S AaLBgWKSuYgiZzrylr7qBC5Dl8oBgOPR5lyerw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dhihncQPuJXJisBMfg6qt1V0/kVXNX+63Zw3PO0eub9NsIOp9vBY+EvdwHq1kfbkAnPnkJp5g5dj 8jo4ZZkQ4/P6qlTLOl2VSHJYjdrirUyAOSEdGt3l160J7/RiV1QcAcFzPoLRIkYo/SrPrmAgOSjD 13RD+L4ONrHTFwpLC+M= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block p/aSq/rPYB4VskPGN3ZAnJ8z/CGp43GAjjH8Zzz77N5ByF29mwa2r5fYMj/F9VkgSkV8YsC9Tznw lI4j6LMf9xzEX0HjWvWZ8pW4ITmEXtFV6uNX6FWbH1T9+SQOXk6jlchSOVmnkJTb28ykZodOoHXV sHyYMhT/OBUCY+iWfh8BYWXEVyyUd5vsADHb3MkIuYdUTbUUFBhXMe9Efyrrd4jCrnlgHytJlFzc HHZNJzS0lT/zBck+tKmXy9DwdLnPca6apjf9JkkmF7kUXw59bl0WfpuUVSCTVtnv4cgTqLL7Vr6F wq7CBpoBFMhcwFp/IV8WLrlN0XiNXXNJSRga/A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5248) `protect data_block im0yA4YWXCc5wQFyCzlvx1+SU57E46sDOGpA9hkiZP53SMBT3GJ17XIBBbg+KlbM4PRkpLopDWwr CbI7nH2O/1D9cGK/h61aKtTupijDDz6nkMhC7bcK+rpcdDKo8zbgwo8gNr9fjLfik1q7tIcX5Fv8 oAVjsvLmdfFzp2zw03b7SiUrvLfsGUFzid0cHMvLoaXIUrPsUUiz/Vrz8f1cYsnK9pFI9AhuzolQ q+RF3ma/QzMRtNMVwI/8Z/E7WqsvlAD95qLZFTfjq+iZ02j+n+0rv6NBiNWXDLRWf5gASRNhs2TT elqXQEbPBz7sXLgpqF5gtHp+zJNCf5P5oR4RUNqoujgn1afmDqYubys7fT188ShbgRVd+XS/1PxV 7+nRb6/0vtQI1i3Y/F1YeFMtnlrgqhC/+0bideWN+fd0XqMZgnGPJJwNhVi2ocMx19mULjjfBkO7 SEeTVOdc5I5HXWQn+EtdsbgKWp25Fc463+RfJWrky8gJW56BtvdtGXy3RHq6O+IAm3sCEh/GlGpr Hi6GsS7C1b9d6ZCK7L0Ioh1psUc1jTGw843KjUUsVuxQIvajwX58mpo5NqV4Cxo8UDqtBEZjY07G bVzpl4eusw8E4XT4Uw+B/Mb27jwNy6WVPTs32XKSU0EY4GHGeM0Q1GZGELFOJ+Ixj7J0bZYGHwr6 Rkon4ZnggnPhJ0+hAAboiUs6ydZVG3hEdbqg0q7MbWc5LTxWQpzZw1WTKaacEQXjmAqYniPOUKq2 QNeVhahR6cZxgTIwZQD0nT2Vu2p3A5wFgr99sbTvlS62gRpHXTS8T3WGmA/i8JXpqbSYvc+LLIu0 NkkV+hC/0/mcxW9xXrExc0j4V/g+xx8R7W1PbJZ3IVc9PSkKS+2dYgbXHKKY+MP6kZD65lGtDZ7w 1Dx1/5AzQEvqWZDC9xrmW5RBodczk+meMhmyliot48Fr5//QziWYgKMAK6KWUGKRToxjH8D9BglR xHNf/AV58CT8T5OOxMEUiT1ofOk90ZMulgvf/0QOoDhpsZ8N0QlMa02VeVmD2ihWL89qWQrDcCFF f3+mOkaKU7PclPW3rbSQ7yOCXNX6rSKsssg1akXzzQ6m44336msw2qFxuFgNrEIsQlJuBFdm46AX szJcyp0Xcd1dWnrkmlkfSF/+qddqfkh5pCSvlTsgFzwmnD4Esb8ko2gSSZwYu0B8/LK01KEc/yQf S4OFD2RzngUbjFUBgRCuvUr6PeQkPKjBB+dnx0dNA/MDVKI60jpOEoQ3Ue74C8RXOkyHbl6KAG81 cx4OnaFjzL0sBPo71J7LbB2xfayVgHl6Os6kbEZJPDsU+URla1LRkXOo91df+Vars89rAMmWNMJh 3miDDAeqaiMbLh5gauqqOrrfKRk07ySgxUB3e8JxDvRgAbhp3SmdVokS4muEXo9WuRY0+qBtZ8lx lYc4ex7rGLjuJJOTyEpzXgtyijZI/w16hsd1SnhNwePCFIWK3Bz32Syo8wcJrXgypDMuj2KS9CRn 0edFqiyaH0fSRDZgj+JZ8XbucxJ5t6yxHMU2cDC6M3T5p6LC5MHwCzqPZ2DdnsJIO0SKf4pTY6dx IfP9h48jKf+wKbLf30Q/jb7H3msbKEuyHMW2kJyGUQhbHoQ8JGo2Tq44L76R1Vtcff31QmYiuVWV HG1e4lHTi1+IhjzEyjEWx6Kn892/SLDZ/sg07UoHm6wzeD/RG5pPVRnw2z7npY6BvMVXA6TQP8NE eYBmNWrgKVK8weKEWS4HXvPXIwZmAESGeSYVAkEXb4516Qm2dg77nvD+BiPCEWkttU4NZhV+TWc4 TrtFh/6O3sPbhigBX8dK0XdCUJZHz/t2eTeYIJvHn4EFO3sNnkzsxOr+RwL/Yv8QleN63C7OTwaw BIpOEnnLVLHUyo4HUIcyDCOfTqG5u2I1seTz+B3Bx3xucZ5h9LsyHKUEWSiaW2gC61zZ3gDcWG6H tPPCh8L/LOjQS3XVpfibW1Dz5sQP24WMEqXx0rY3M1uNiFRHOWDkQIt5RtTpj6sbvb/d7JodS20/ SI4UwSVKBbaxl7I59AtSAUGo+J2xODzFPxKgMHDeSvRf6iPoG5Bo/ODZVRbq+L7GmAlEp076kf1/ ZD6WqYT4rXIWLurHH3wrR9/9gW/yMVgF76wqyEUa6BuRrZjcXVEOzA+A4VuiLg/zF9L9F1hrzj2I 0oFGO66Kdsj5B9rYRhQjYALK/vHUMOxJeKaRLB0QlCPwSpwsOL5OzV2UHxl4eK8oBr6pMgcXPC0P Y6nynu0rF2Eii4YZ7+ULPbyflIrGlUaRaEO4bniBcA+xZAllt0K3fAvTYNbR5EscHBSw1fstVEQ4 I45eRgYCa66dtLDGlfj2WhSvCYSTEAM/ZbEh41OtdgRwgYr8MIa6my4Q0ZDWNHgBITB8AYrgUS9U C95S4s3sSnU+orZOFICTvWRFOD+ZTfXUzCq6zCkQHGvfqLC1jFM3ybrHNJWC98mZvkiV54hPyED6 RnIt6VcJbpzi3r5ePQHWs8G5zEzkvj7bjYzNr2xMNk4co1hUbBEAqnfF8IY+nqOHysL3IBMTRWPk fq95LbzTLM+YmszAoTlEqLQ7CmfB8h3nTkds7GYRaUDIvGK8+pMxdIOG0Nca4e77Z7wd2kHYhcC5 AE0ozkO2zoXHkvzxizngay/WI/zUGrrzqZqHqL0VI/+BSA4muTiofOCzFywEci52o93dGVuegd/R KgX/Gtnr2aoLYjObcp/g2nuqfnTijLEcTskgjezgWx557uq3mOxOTsdLmWxzqyWKvyXuwHzfaCLn 3qU6OtNvCrA+Krsxx+x7xblEhxO5IjE/X5nW1aYt9yseGh7L9WOW9MvzKTaVyY4XjI1IRGcxQzQm fQTf6XSQ+RMhSyaORR82kgIDQZ8FimbIcFV76tNphXvqqMaV8lp3I5SVcMb+LhQFK1I/ynQ007vv XlX+HOyXuPw5xnRAEGx3ERuCt3dvYMTH6wt9k7YoNu9fVh22xfngDtKWY+rchx6Hf49CGt3HtN2U 1gAms4zj/lvjOJ8h7SFQhaT54jcNvDjWjahUktQRduFByQOcwaiN+kgvZQ69ez0hEXSjV4ljbi0Y ACIbaI3PfqWwVWgUp7ZfV/de3VLsgzAGkAtPFu4bZePJDixd4CUOyvBzGyTWqnlARLXi9xY9N7Df PKHCwBsML5ca2AeZOkvlcAkXJhFJqxBTyu3KJvG0o8L0wKtjAOS5qsqGRrYQ3hakz/bxcGmbmY9A pOzCOqBKe73jlRGBbpMA5z8ZW4Ci67p3afIh5By2QK3iulxU3xQm8FlzyccceZup3SnfSwHPHoEt zbERr69/GBNy/xy4sAi7jpRBPjQgPv7TuAUdmoYogiKA8SKVOQTEOfFpkER1MqsYSXF5WehW7Qk1 LAHc5feUGcgKS+Z1tOu4+vJKsxCes+FJBubJIAbIoECaLnfG1q7Mcbzb4d7JTNER8xouVQyEwjwq 69gq4CGOC96//CQMUxABXd+7cYftuyHQF6vbyTwFjfPBEulhJ+6HxuEYDejFCesOW+YSaxeOumZF FpYi8W/ORz5ulNF+9vdYQXlMRWLaqzLFKa6OIBqrIYzuBd6qRKvDe7ehNOoWZQ9BKEJptXvCbBlX Pq4y3k0quifbuftm4LWt6kEaMAnr3E/lFURe96xG9O1dWC14XjRFoGdUnAfcokZWFWU3d4BS4XGU RIWZYTaRuoyX29LSL1mHm3GMFQMgd8xQ9P29LpDUoXxXG/Tae8TcC0/WEKOzwrauhyb/3gdWEBlE ZwYg/QKF1fFY28Hss7CmMIcVJ0JXMH9TSJnNCE/U50YDtFIkeEV3q/0VomywliSDy93WXPEtUAKG zfXDEScHIqDJ43PpwdZEymaxd+49xFvl/SaHdM1vwlyTkhy5QIWwLC6aClnUAtouqzb09Ra70tPQ 7ZuHXWvorRCUt720jnxEJHBQ1H1w0Zdd/hGOPAnG/v2ub3AdDQoX7/5W0ip9/TD7oiiW99xwnPoH SdobCWk5Btmr4J1JkvNPwIMjFw3vvKgeU9fx8EVYHGbLXi09QIg58J2fieFGf3ZC25UlozoqFc7z WvP4q3UC6dLMlyu0lR6FoaLRrj1k2l5P6u1T1uOUN87o/7+VV6Bzt4YNj9suZMlwBOu9Soq4gaKA pXrLdaFwwChJ4f9h9XPIhNqM8/SBg1UYZRlWmwN4Y48sjzBmfDoOOkK9BUN2iW34jvagQZm4YYvU DWXbq14liRPyMtUhRy4fXj1HFPrRpsoQv26M2Zn1CDsPV8XJl2T5vkVQ7GpFA9aGGIYmuu169str Qps6G4GmPkaKn6wR96goZv+fA9qH15kH60VeI9c2IfC0t2kMfpcosDr4NIdCV3nojpvuHASpfCNQ MegoTISzmj45QGQWjQFT7u7jL2L8A6m4m21Mhh/p1g3NnsHNCD2jZn+ksxI7OCAsnkafVG8VZwGb 3OeYMxvEz2hPLuaRW37aA38xHKEHc03OjFoFb9O5D5X6lw7lMN7SABru399VcFoP1hFNunwA06d9 ADc2Y7fhHuoWpuExmhTGSAgH5SyhNj0hTNKtBG4cujLsUC4gb3N2hwxVyjafQo/sOprAWXSfxG7x Ul3CZU6T5nS+Teg6cjyIVy++I4l4OQqvg+ZDmf73JKdgqbl9cuk6Ss+4Ehu2MP+nGL6qXQCQH2Ps OvB1mlS8U3pmpQeLaTvtf+4khKCxAwycpJqxYIKgHYCfDS0Lhjd/VLV6ygyTmwEf35oVHE8ypCPj /GRFueV04BhiNNI5BZpI3sUOvF7CvbATkYwjPS54WEcxv2acVuvltBN0NqeQkqVCEkHe+hfSAOtI Ukw2duN9sQbeyNCMhmOshyGWCpnHto/WKQFqi2vLvg2ct/fKeUGyogP/RoHgEX8g2+o57x4EXaaM j+gnYDH52oo7zPD397Wj07SIhPHoDni3gI9N1L3MaEqlD2Nhb0hogNnUlPlXv1ni0QdKBQo6/hmg MZae5Mytu88hHaKALzA5KbwJM6I1ozrRuPpWIgFC4JVupgKyaACpM6/H9uvBVru3YqHa5XH+z2dS bJ8/29NXVkxRZh4u/9lQRKqy5dTyf4VzLsTgsnOl9RtmXqIyKSPbO5B1W5r8mWpKnlfZvCS/OcYT hihk65V++iPyXtnrLaTmPazqedG+/zeZM74k5f4Xe1tkVjNXXj1JshMxb9OORC6U8Y4OOFc4Ci7l sF/DiPE/W7KPIdLA7Tf7+hJrNtMpF2P6BkfcHZ7h34Kw2/y0Yv/DrYZ4QrYxUZME47l10L8Elrzj 0ipnB0GXKaLZgzlxroKU3HEmMtfRhCf2xKh/9TgaQdqK/Saxnfc1mR8pv0x4ibCHYnQAUzZvNzEk qCltlk8moEopkvEYsBk61M3VUSK5MXvSOc82IjYjZyduPm+EaqaD3IrxkS6FNRZdkVokOVfuUrQd vXb82UOl1Oa+lyCH3R1TeyPIhiBOdT6YD+suLNkOib2Km0E0DBTe9qvcMYuQve5dR3MEMb2cQVJD OhNj5DTlxB35rFmgDrGfE2gRxE9KoiyNvosmhM9EbRFuweNNyilF7qgfxM/7UAVqIDS1HfebJLJY QfF+WujqKS6D/5PT587h+APNaZuMoW7SDu04BrG/5RKX+1m5B04xCfP1JrLJKgTB7wbhlZCFhZGt ezjJo30qlkdvi+vSjrQfTBpdbTP2TixYRfVtPyJe6A9DV3hBsUx4E6wW28BjmMYwk7MRKMBZ0Bl+ HWOzH8GKwXSFICN1OLkse2yv7Gcw7hM1xFIJlBSuVJLMQdkiMOroWW+xiqLpTkV5SVRHGz5fR1rm /hfBWO21SLdBOzUXq2PPOLzGJqHgVbkwmrpLnaymozR66CvlAgAP2ELJLhRlN2NLYvgk9A/ZSpch e7CfwBBwjHWxiiqoDS8ZX/YD+ZbF2W3Lc+4Lrf/HsjdyXVYouWmzZ4qieQL5OACkDYV+n/SMwfmz p1Ih/reRiHnHh7ncuzkmpbXQQQzVeZnu6uHTMKTvhtMaa1yKZH+rkCUMNkSeRFqfoa7iZYtD0jQ5 PzSpD+O8mg6q5eP4JNjh7VUek7BUtuG8Oq1DLtPaTqtEeDCMtFVyxYHLNi86fprkXexvcKP+zU7Z cv6Pa8GKxkHwCB5/0iQKYOHwrbs3TRDXYe68OfbLZjJ9MOSk6By2fV2Rg57ZuTJwfMMjLK4e618H pS35hHZe60QhwNL7ZBlwWCuZo0WiFmXoIogoe7JxifyceVxeGFQvC8GcrYP44vsjPCjX+wBlRopp K3S7ULBVcpuFVb+xkeqmafHXfrkphuFZudediVyyt4DGkJQ2PWmBgIah9Z09WoYYm6X0C+YTr67T Z+eLyVW5IjDBPG0JA8/phhkvbBkk3ypUs+TUhtBBzD7a/trs2PUUsA1sRflnxlYcrH1zZfpsDweJ U/40jvHRUyZXsqZxV4xmjtElMjaSdwqg+b3oyzNMqJGpkN2gm5yWLQbhUtBnEcawel+UANobieba umKb/2bqBU37/8xe+7Wigac5U7mKBqAbjI0TIyTQoS491wJRWFdbjKT2EfFggqYbJBxkGKwsfxzm AjCgHzCICPvmKW3JSZZwM6sKpFN7ON869EP/1mR8sS1aQOSbI67lzgGoTqHVd/sfiY5bAMRYJ7cx ouVzQHBwe4NaS6vVO8RIKOEGK5SNEMGzhf8H6RsYkzqBlQtSyFQIHjko9N4vpANA13WQhc/2OPSD xti7LccukdVvsAWNiwAKbRp03msvBRoYZeajrZlUEBHq7VxlT2EIFAD7/1YxZ/9eCuM4jP/yrzeU h7ZgJGcSyuloTLE27RrVDiOLa8ROLW5/ovaxzdo3FmJyD0bV7ZG/w16vh4JxJHkT99La1/VhWeAJ +25+AhCmPxflZUyX2mjSwPtt/avG0ru5TGbkQoQkXTKluqHzZjZgl/2fhI99isIZ6BrHPsaofyY3 qL4B3w== `protect end_protected
gpl-2.0
66bf9612bbf009862bd448161504d422
0.920657
1.9063
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/Lab04/ipcore_dir/DEBUG_RAM/example_design/DEBUG_RAM_prod.vhd
5
10,535
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: DEBUG_RAM_prod.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : spartan3e -- C_XDEVICEFAMILY : spartan3e -- C_INTERFACE_TYPE : 0 -- C_ENABLE_32BIT_ADDRESS : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 1 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 0 -- C_INIT_FILE_NAME : no_coe_file_loaded -- C_USE_DEFAULT_DATA : 1 -- C_DEFAULT_DATA : 20 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : WRITE_FIRST -- C_WRITE_WIDTH_A : 32 -- C_READ_WIDTH_A : 32 -- C_WRITE_DEPTH_A : 16 -- C_READ_DEPTH_A : 16 -- C_ADDRA_WIDTH : 4 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 4 -- C_READ_WIDTH_B : 4 -- C_WRITE_DEPTH_B : 128 -- C_READ_DEPTH_B : 128 -- C_ADDRB_WIDTH : 7 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 0 -- C_DISABLE_WARN_BHV_COLL : 0 -- C_DISABLE_WARN_BHV_RANGE : 0 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY DEBUG_RAM_prod IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END DEBUG_RAM_prod; ARCHITECTURE xilinx OF DEBUG_RAM_prod IS COMPONENT DEBUG_RAM_exdes IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); CLKA : IN STD_LOGIC; --Port B ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : DEBUG_RAM_exdes PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, CLKA => CLKA, --Port B ADDRB => ADDRB, DOUTB => DOUTB, CLKB => CLKB ); END xilinx;
gpl-3.0
4f6c065a7d3b388f42587956eb98e2d4
0.47869
3.815647
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/fp_convert_to_fp.vhd
3
33,971
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Vi/sQI3HyoDFrl2XUA2GA0sSGg7/58C6pw4mDan/MRNLLK4O2BBVnT04eysD4KRAtfOk8yLeQn93 Nx2yWHq+iQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A2CpUWXCwKvROyheozYod15bd6ztyvvFsSmCeUREEpCuxx7yujLR5wYKvFqgfH1wiqXeo9OcA4MT yVrt7214hN9B2wdLIEl9XrInPLojeeB1vvZ+U5TvzhF9VmuYiTamSeLs0lJo8FnVFvbFriVjGOY+ uJ1cJupDUz1TZ/rywGg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Oiy+9QDxe0hg0e3FEpSAavO5wIYkXe9++P3Q1bii2+9OMGQBFD0o9EtZRZaixCAltQHskfKXpy2Q 7kOnUCNR6gsRWvS3ZhKqEOP2jlLyVAJjYJrjFl0T36pnC9b6o/SIjw8U1n++hOBUZtPewP1pDAOk CT5sdcoEFiQYQZW/zmMx+POe5pv/YH2vdG7OK0mQAyQpySzAul0KLNFxBIa6P6sQl2mKy+5vZn1N IMCrHVFRwRL5Rfvknb21+vBm8BHWUl0ovE5PWZ13EzLgNIBjOs9fmUj6yA32AfLLMk/x+URZfoOt UiiDTvDukcNq7OyJx6isozHcipu3kV+CE5TQBA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block N0YFGdlCVWCcdt2zo6JZADhymI8VEfUFCRR038pzMAtJSAI3caE4HDTpr0/yHnItQwz6T0hlZAlu PYXSN/wduWG85VrCRBCAhmcwwbr0Je+8D+a6nNBiTVm/iolqMqhxULi3uSs3zK5AsYI3TLJqJTSW iZIQ1o6ZTL2mlCVkCUc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block B8sY9fa9jCwFvfGXTEK1vUK1heQ50M3AHB0oZR4THZm73tft16KEJ1wKLrlGsYIWzrCPonD6sfuT PnX77AjWaGLIO6xvorSw7q7NhQiVnLUxFh29QrX2Wp2kyxjrsNUjWG3EdkOd+JW/KGizUZfVi+qu GgAwAwuC2l2VOmP11RL2/oqMwRkY390PoLGZSmc3nbcEB0V24nLI0udWtm4saRgWuONzICkWPihu hLxv37oP6D0TP1AZZ6MjR0T/DEZyaYuBQutYCU8TN7SEjFhtRH+o+oeD1MYZR94nb5g2eCvxp2S2 /cA1edZJojKVtP1vskIpuRn++NIaT63ADJPSBw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23408) `protect data_block Ctn5sD5kjGWlsv1f3/WUqY+eq962p6gm3Z520yKQA9VzWS/73HYviM2cX/fMhcceNrLTVW5DxU35 GrLQWCeuE2uLbGVPZ7U7N6nYwmazEo8hu3d52LXytcpx7qLxAvrEZQTl6UkGvfPPaDWc1iD9Wdse 9erbnX8cINgYpaVaLd5LEQJXVvfmvcDkqGz9ZzIujfPIpdotff0EkehSEraxWOyIzoxT+YHRf4b/ RFGef2WCyZDbnVJ71A2cPADoOipm0I88j6eLqL9V6fYKgDShCETDzYlmO49PfIudrMOh+Zw43zpG zn9tjVPEN8HJJvbuJY0126hTOgYbM2vTRyBRpDSegq8aRsYhnZTMlmIV677LHfSBOo14/juyl5tm +/J+ENFAMFwXfug6VMQALw3CfmscJIsHcBijuGSZuLkUps9AbbceJzVzlLR2Pdxw6GqImCclABF3 eTe7WQr2JtreMZd2BFvQXnLJMRhi90WtsX4BXJUtIEOiUq4UTYTYysg6q90fy0Ddkj65BLTkLI0w Qqi/Mh8l8uaTwmfJnLso+MVBolAdlhqaHsWGGpRFeZiM7dTaPnFbD5MhdXamgMoAf3hOS5vdivDW GIk6WvhsfQEnjznm86MOKWPpnhmZdpQkQSHElW6ul8rzqvpwMK7DEiQR+zltVelNF/aGAow/MEO5 Zjd8VjQ5uq0ZiDr6NJ5hmUeiHNNSHqKBIb6W0hLz0AaDNdx7cTTuPrsW7UnOBEyanyo9I4DYTYEa 4EsiRu+LHFgszUfsdgHY1FSG0tHZUNmd2UIGEuaJ0CzykRprKJzSFZi+uIF241sPrfOMZvHdQ9Ot ixrj+OZ1UgSbslYRYnJ/9fxUm96KazAi/F9X8BPdy639DtgPiO6dFlW7TSQ53h6OcBgtSjIH9tXO zuPtln4Qc49zZ7SIO94oVVr1hlRt4SXtryjcQZvM1ZNkeen1nIijR5GaGgUwcF1O3lKJ+2QNuYgV fj8XePPwud5YnHVcGslrS3dpfexgpqlZ9vaUmACda4Wv1fLduAKSa0WgUVlSg3Yn+9pQJpauc7bx eEeqCfNpL+YPpztnwrQmYrFmy+OhI5ROexdNMMtnnohCn9d26Gdilui1KU+BG6AMtIh3KIqfFuMT ClslfToRfsRooOH21iFKox1t7D0kAPiUBI/1s94KaJs1kMqhYZ4UB1rrETkIrmssnXq48ZS/aJIo +gn1KjbNP2W3dV7pfQpwmfiwx5sYdIAvA8brbSXFQyPtN2UCHreZ+ZEh8zKjXO1HfLK4BD8i1hYe DN2qsOp+6Y+1LU0bNsJK4fNuWVyjeyi7Q8XV7ROoie6fIo+3TOMBFfNjKjc3WWrOnwXZ60p+YMBT eGApG/yyLDWqVpKDmd+Y/zjiJDNCLj3kFXNlAQZZIFyD7PNSfTiRyr4jNdWNergBsIE3ANzYByQg GS7o8yQ/L0ZNU1zu/8OHzONGV69uFFe7G0wxt7tps2gehbOsBACrwqyvmdEtEIpDKbVwMT90anNq Yl++Ffyy2+blMTbvn0EWO7Cezt4pkn1zeO7uETs8bhjtWIklWFo+23kArFYCoxiGVKP0GXuv7ZYi iGO6VoNUU+XsndRzdP5BoWQWikE7HEhms3OtPLCwq86/GJKAqA0+Wjhmsdvv3U19ue33u9MjS0JJ NujTPXtPmSvMkPwef0VtirZ2LMFvmP1yzuFj0ZUiJg7b4ZuIlamJ80qDfUgZ5a+sBtiMs3xF/8Zi gUGsQnSLJq8xKP1NB3cwrg7GQlGrdzRPpJJHPDzJDWrrETAm3RpU3M+YoCuWDgzxdDQ3IY2BIOLe MSeu98ih12ysWLdIbqoQYM2iPEPMAneWXcne598UpN08nzXbOVSrXUE7E6GVqDPWjl2AvXZvwkl1 UQ2+4Dz0XMN9YwvKaP0z8vb7znyWqTSNog8yuChw17tWY4NJe42bF3sUauDRpU1pXuI4fLKfo1We aPkQq0XffCAeUHiptWIOeOcFs8pZ/md8ZavCyZDi7N3n3TbAv6s7jdvTTf3mnifUl9X21plg3Qbp o/svW0KzFKOuUnGYK2yEbvMN+HvzVf4ZBX/zeY3kTHtCNYwv2iCrKYq/iLNRQ7zErpHbqPhNwg81 zgRpUST8EeOzX7Pwmrnb3dE2U52zAuOnOmMFkL0ygBK5ztPKudmKJRRGERQdHq259GJNJqx2tQu8 hzcOdFApyhY6RatVFRNPzIkBB0zXJ4vgEYhHExs4JKFLIFyNoHD1zl1m65lzPRBBCMq6ttEeYfPP 4nbokIz/I9FffGQ9tTewkLT/gpm5rIgBG6dPkO5nQR6bxq/hWJ+6pRnt8zqd6SqfuAXiTqdKojhL kf2l3cwL5r4cBDXUtymdpnnh1VToXZaepEDLk8GskG+C7BUS72S+YnSdGxNqKvoRK9Njw7Yh2kHU i65G97sJqHqXFpws34BP4T6NZa76S7ILQqeVT7x43fb4KvbrOfkv45sGMebF+uT77N10kdBsU1qY UFlq1hJRcKyUR2u7F9rdr0H87TspX/bSPpDCRJzVVEhJn1XZnPBTHKAfTfbL8rUprkT9nqZDOn5E /k1sH+zC0OHlRj+X31f0ni4t4UMoIemXw6qNz9TeaVVO5X+Z3vyQXUbORES7sc5o60a5wXgRtKhG MhsYhkF3+66yBFEFIFtHP3cVcz5AMh9N8ivnatZppYlabIzLkgNFYiHZYOhHDbXpaM+GeBlvEHg9 Aiv6gSpFyt9pGrMp1BsKEYtZob7qLRV4iQLZ8w8ZndU2fJDL5fuvm8aFDDHqQKS3epRLOr9K7oLK ffw5GT8o6HjHPi03qe2DTpNnnBT4Yu+1fJINpSwAcyM/CcclvkRY9pnRvm9yJvnKRNfRFVHGut5i 3ANejDqob5t411rF77V3TcayzfkR6WLmFfpChM757vWBpWR/uUuf5aQbT9cBXD5y0Pm7svpTItkc fYPhwxffuU/uOrY72wsIkTxF05SBnNloGXsoMVLSa9fnxQXNSIuSs0FqXlxNIwmeBIAKSs4d4bY6 rbZNp/efzQZJvqMHv20+hUKJqmi5IZyKBi/4XbxrT9iS/3fMvzXZX3y5cOjE5fQ/P7LKeis/2wjH 4C4wPLHkffQLHvb0pB5I+6HYMTTL8dnUZRiaaiufpSL5ayAAl0dwQ9dEmDY0FVI1X1qFrcXzZV5b jTsHcjHI/pcwnLJYSnb7jMnPmPm4sZSTcZzbiInME1B4TJ97bgt3l76leBL+tiZQVdsktlBiyiwI n2GSuyutAvANfrB12KiIcPJS3HGQX3R4qE//cBUQFpJECjJUhPODACpkQ8Mm8EYqaHw+0ZEx5EwR uy3O6jRAUzFrytCoXFU2bEqLM8eWzs6Cz9/9BCUe7jJhRntx8a4pANidfYDKAj1eqvlPcp7nb+AH +VzKXG86VIh+/HKhoffe6ZKmDMbFxHn2cgGnftQto0BJBYho6iMqWhLZKtQ1EI9z9YB1OsxeIxEa bUh212kStGdhBmnqNkv5I61KyAm0jGWj9ysCemeCnnEpcyVSPoasymi1wUNoqRUhYS1gd1NdbqeM Jk03y+gk159pJcTM8eSRw6mUHB1otFhFvmAY1UMw6ssArCitpQePQYhCpukOklq00Xr55AJQ1joZ deyYawczS2Xpv6yedZxVFvpHECv5qQ5mHWKvZ7ZwsWcX54dCt/Sh5I/jHiv/0bq6JgFKHu7BlD1o vk+HJKbjprqfA3KyW3Y6ytTRtCx3w+ULsqYFaK81vZWBlBqc3QxHazed9V8vT1OVZf/v/SEuFyyh Di2ZQRC7tkQSKEkSP4t3YkFCRw45gjrbzMx3ZCxqBO5NAt3P1VktKvrRzTk9d/EAv62yHTKIsDFh khrBTlkBKhFpFXfWKvEuFMgN+jKZV3SqZzuw68rlXyqyfIbbD4+0zZtvh0tP2eaEsBVmBhp7CUHp 8tWXDUozQN2frcZ3U5EBK8Imeow4E5lE43Y9CRhJlPFBTFXwYsiBgcIOH7WkS8AN7DawdW4tt4AA WGL0k5km1LrZV82QKLd1SyPIP5m/RicfpHBHwiATmLZp+rccxUS96d7wXVNdTnsJoU0AxfOT0wMq euH05CgLfJhEFyLT/TL2DdSnQIRNoCzn4Qu8o15/pweKIXA1uAI5QS5WIPKRY2lHslsbITJgSD/G g95a0cqNhslTXjRCVAPSyWv3pZ8hmmp228jMONqKaX4myD0x07+A1ns9jMz97YkDAjVvvQTGV72T tAincOdSJCKxKNJ2jeb5cDtc3Ca2QgKMonAxkAksj3fOkVKXJUozjJlSy1FoSG7gdWr5XMp8BIhO DNn0++K0Z3LoMLg4ZFka3xjoqtRPB2V4Hi7vBFmXxo9m5HsMCxbEs86Ui8+DOQIhtZaf1Jh+eo46 fT56PXiPZUiSAeEOJdl/O2RUr3gObsNx9zMjSQEGoSzEkGKueqhyzMEfZ9aqUsTl/wGbCKuG6gFD iT7TN3uD0vcKsNOVLdTDB/TGlL7QfO8TigQhbjPPTQdQZ56+Akb9YRboXgSVmbBx13A7Y6qRVDDG AYGf+3skZj6usfHNHszGkku3vlxi6E1TWxXK/ig8q6i/OI/MNYTvjKswfVD0GQOwBcFWodkG7wfj EJWN17NPZ7mARta8dEL471kjo+qifR+U5edOV7vrOdgo8iE0tHexvl9XmMoE3L17TEjqcpzoQoXj stBm1sXO8oMZY5zJBSH0CyMOVc7c2Huq0xh/ceI8+uLJxA3Jv6LNL+3SOpt4v+cltDdzDJD7o2o+ gSmwXLLPnahVC805XC/DGm8txXNG1Gk6T5XZIMQeLUyca9CeZ/65Jt6J82qopu6WotmeSAmtN7X/ 4e7dkOJ5N1cDYMBRrplJvgfNZf6Ci9lQnB6p1+mlyXbWwrnnUNPfmgJ80WwkJ5WSztSzUiinRVPX Ez3W4qrSWv4XjCiLW8+4M9Nqqr4r4W3pPvEr7YA+wLBUvne5q55oPe42Oxn0k155rK/ZtJXIt4ys VIUHUqzy0qNWaI4IKs2xjLkXeCbIKj7iLbryJUm+0C4mJYEXRLokTZvUYipbmRdMrWswM8HE9uck 2TeB6GEpY8pwyTUZfPLMhA0aGjFoEgmN7cG0Nl+kkhSXV878vFWVcJrOjIOqPSoFqPh272WIoeUX z1LzAVw3CoV1LP+86PIJnwW+NFNouLgbwMs/Vox9a5YcOwPDm9Kb846OMAnbqhGgs8Ze4zjs9KYY wuKtRnO9M1R6NOG45I5uTeMafWslclDJMEUp670UpstiDsJB0GvC5qDfUX3h0U0K4+KqA68IG6jO SnYnVCuEilvw7RgfiHh9DPpGZXQc4V0F+laH0hFoMJoV2HGZcdTo5cqweWRostvF9yru6l3sLlJ1 L8g7W059rTCU1u6t3BLJOJR7iAb3crhizO0W6tH5PNuK2VWMOHaQzQD5L0D2QRV5A3WSBn8KQfyz a18D8Hq0+HLvDm/xb2QkwtzfPUP5/1xPK19lvJtGJ5N2pU/7d63gQEMgcIHPugOyyU5g/UPF0Qxj QfAX411bHm7K2rB/dB6I2Jx7xioNzIYWwKU5hN1hDFkGzmlRtub85kJwPDiGyGOCqBb5DysgqBDS B0by/bi0OtsJqKcLAABNMhbF+daLwsVHT6p4UDxtc7g2C2mxng7KCTFmJzVtO6n4ys2DGw20vaDe hBAejJSwKXwzke8vLoxcGmPxDfkx0xmrTFKQaokPdo80PqSZKvd7Gv2nnZ8+P2ESid7tmWLDj0b4 jlwdIUU7XrrFgU0jeX70lw1qtFKCaYhaT7SdeqQQmulvvBV/oPMnKFclNIZtSQpVk2DA6a2Qz7Fj C6mHV1wXvM2DTlbmKXMdccCuu06Kr/WVhOokr8cDKLXyw7yPY73BTq3enqhtoVhIdOfviI2wpdPo vzbOIcuvoJA4IzbsiteanV6LZREjy+qDBno5zLFUFp8SP5BS9W/NpRTaheLd2TY0kYivGThMlBal kKBSHyE2UqewNNvjFp3+WRBwbRtb/OVH5DnfiHEOwClgCW38mizzv73YyGbqxEI8XuhdL3TWm4Z5 J/thXekfEv2z/CC5DtjKiAiJ9Qj/b9C9KpGXYWVbSPPcyN2K7YR6nXjDGV2HlvPIvVox7N980cFi SIi7Y6XPiYLM2qntjmRketaJbZK22L3dKdFGaVJ+2SAy66SLbZOELqMMHMKNlmB6ESzU+0K9VFSN ilZNHHs6cDRkhH8GpF650bLZ7FiZV+tAxmz2wpoEkF+u7+iMS7XsmCfASmS4PAnEyFSJK2Ew/w2K 9m1TFyUXgo0CYfrwQmZHS0UXw/y5zF19yPyc0L8lcRf8NmeLbEMF3Vz0deQNsxxGyD+a6zMdZAlQ Y/4iCGYQnI/iuyOvSDwG24QY5Jffd6U84BizMLcGpm8OzzyZyj9ndkad87aK0NHH1XB/RLHGn61x jU3dNZMopBzEwkxUN9FrGiiEGoiI7STkSkkk0SQNA+CFPdxyq+SMEVPMgHy7IOUvp8LTm8uiANvB w7aZGMOtBaiuSTpcVQNx587TUWU/goawannnnTIiYp9MdWQLHsDpy5W1wj7btMrWMC7f9dFTATDX TKcd7FbmrjwRHkXzpUK4JRrVOzRAFq2ptvzO8cOdQrs7kh5s6ucT3MprQxzd2zW3OMHMpfLDN5Zq QKrkxVmNn1lywy9OKs0PSZswb3oCZYAGRGabjkTD4w+UNRZ0SVl+1DmZy8jczYb/wQJ6sQmk0HHr nUpoGboIKAHhG6kE+wH6cm9AuR4CFqWhqVLGse3mkVbsocrlZaYSJELOvgS864KCaJ46YJv2sKty ERdJa9c82cFeg31xzM523xW/By0LAV5fr3ZHBTjOof0SdUmJkc5h2ix7CfXzj8KE3yRPd92TPNRk +W7zBhmOCrQzrHFMmN2SHOFQv1UhO/HrhXjxQqBL7YcPfbDT2fXyLpTbzKCz/2RRurt0W5XUJnrH k5PsqM/hGxmYLoTaK9nm2NBoD+0CGK4/HvRWZCZQk7Luf+QB6QjrZ0kT8U3QcMD7ZQgPog4Rt5x9 64OE3HQJz1mKMaPrqYtYVa34ARMljhpPdJc2kuJE0epl5CTNg78QeouNC9yGoyAtBRWRRvmB/SBX /5CtivDJLwkl4WQue2+ZDK2lTRCA70YnWq7pBSPMw9StaNV0ZTO6ZDUBy1SglMrJOlcU5CmDv0E8 TcLvevd+ioBhOKyIjE3bXaAG28CFlLih34ixojqXK3D2kO8NTbOrObpkIdF66L5Rebo1av+YF281 LIUgMH6pwMuheEfaUOCk5hN+mstXPaGr6SXjVpW3uCDrP3zGFd/FjYM9B3McSpgtxfrs2ltlJOpj dfPL9wE2iC871L1TY5Pax2ONzStqLckYfnhk+Xq92x7ssM8yfp1oY3Cn6RTzUEI4yrskZHOs0RwC s8L3TUYR8OCV1tyRlvhvFHo6YQAVRifOpGh6rcHt9QNcnlAvU81SlmuMcFBxpoF5x7UjKCfPl1Ym Zm126/CJinYlk/tc2/nVRQeoYgOC30Z0L0Xv5R0Xuz9GNlJD6tAOjKQa6lhnEMkURCBjgwufVtJl yXXknaXA/fblIEG+F4sm8Po3mw7MNirr7O+MIGDwtLR4cXTrlWHCp2HBCa1QMfIuotqYLJNLozch 4lzUyFhbM4q+Y2ifJC2t2H6Pr6L1B/RJPPedhWvSqdyIwO6OkdI/yOgz4c/Qf+pKMhGTT2l19tqL WgwDjTM/QQinnOQJsqwT2fFmjmakSAInQiKc5I71lxVsaPZRJfaeqEMLGZGopIIkBxEWE6os8dQD W4sCtj84IcuwKre1yC0O48t5GLjoKeTqRfwxD6m2SV1KubeRtAGG5kmwTeWZdGHUGaO3iYv1Ki/o J+FoW/IXhst5xjGCcXnj3XH+hhnhwAjWGejjPf2SVL52fxeFh27/RIMF9tlCVvbsGCqqMwf2qddZ Rt5nyNevkVkxzrPRmWfa8jppbxnOtb3AVvnA3GilCBpm+XsyCYv1C2N5/lUlAwFIvlnnVBOay4zw UOyN3ZUqRVUisUkvsrZ1AJjgEFrYbnnMkhKOZLuis1+4C269OveCja2AM46bW1Votkpp+BbYZ6Vf o39AxjxSXwGxgpHFiMy78RYwohnk8AlNTmAGmYMpQMTI8dFInvEQtmRWAlIbaxwxOhXmbseOXVNj 235gyb/kCwOIfZiDGjk8IkmTFbJzuJ0HQugrY6LP49hb2Zsmen92fjoD4SH3O4/KGz9dYE0OTsOK n7QIbDa2adQW1dNA8DPiSZA9xA88OzNxNEnGnqmevtReim338yJ7V9sojxN9o9T/y5z5rpO2xQcV fz4EoQf1ItqnDb4akSSdikFHF568PAuxV5Jn71N9WDV+rO0HklHk8GF8FbJf25kfs42Opor5eoVD 2Crq4QTb9yR1kyEvKn0R/avH97LazigpVNsfj3YaS9SdjRhWyFtQ5ziA0zG7Uls4fLQiTeqFiOWF B47AuUmg3Tf62fjhuFvUEGw/czqcR4yNjZgBwNVYDhK0x1ypxw5kTgzmQ4cDlK+XVmUfd5oFBYdv +iCdKvAWvKFMFMH4QZO8QkLZ1ahDLbduDgnPK/F0nX2ErLKOPwmTpbynrZYHohNo7bV58ZnOS4p+ rFx5g76GQ5ax1pKWukYHGdNU6AsCtjti35ZM4QtgABsYmdSPnYti7U2TrByc0Zlf7fcJM6Ov0180 TU+CuXQBgwLjXLM7CBvQOprboc/JUUWEvlaUQKbl/tEj1PaD0kaSGHPoZ2mmgtv8QvRMD3+E4RjA eUsPKo2FSNPa4tEVHCHaWv5SuRGc6L3wJ0BJBV1vxK4R4aOBpgqPEibTXkozbCI+Hl/xzB8/W8wh j/iuwKquMYN1AX5LxFK2GzPoNkmwxT76fqgusYpNOC7Mz+oFwHskGSe5UInISOGlyp+sEqzw934S j/LWWrnyAKrgK54I2JsjaFUVfkT9/yGFxTPuyF0pLiOWvLvDI/MwCSIuuUUx1y5rJuds9kmkg+rL P7aOe8wMl9SUIHDZlVd3rPOEQTIiLsaHmDmJXpOmanwm/wlPwGq6T7Wpx3n2i7VNoLVE2OeuNjZw M+DPFDPMjVWVyVfXx1Bk76a2l4CES8BruYHzJZts2MyxakNJZumRm+VTxJcMbC0OIfvbYjfYRUBo mpMHtgo/0pLM9osrLVAXV5ukbitLyPPxcn1LkGynitExxBnNIN/DYia+lNp+SPxQsSl685SqBW7m 6McjUSOsC/yXLYXHiwpp5cbGwh7W/JfNxFeI/tR5h+fTEAZjHHHpPZEfWTbH0SJmHCGksctIQC+/ 95vD36ALXepfl3S0LkVkkept2jJs0karJaHGVZ8c9fTu71H8OFGd/gr/5NpCohpzJRlN0+RYjJYg nXpV724G1hvggQfX498twcRg4B4Zuk7NghxFoVG9Y5nUrvfHmZbFMQy/KuMmc9J1T7aXcq/Aa1jz GGn9ijEjVvhdyFcrS1YAce80L3c3Vp5tBcxdIHa3GC5IJIVW3NNUQKYYFoh/DCASsiVc7LKyQHtg MzTnRQGiFIGw3BQAlY5pC8XZ+uR4sfZfsAOXY5UnpMULO/HY8i8n3wym15t2plwDQ9gGf4Hj1240 nKLuhA00iFpH4+U48/UhfZkK3wxZrwC+5Nm+pS964jY8HZhd8enqWv+2Fcj73/ppgXnczbuK3ctb TXVpm29xWBEgRn9xWmfsFixskdafJ0pNzksfFw7OvnW2QODwES8VAT2LgdOhnPDHkk9SPopTvq7F ZkihZGtvvFV/8Je3DE0oaWq4OC6XaNTVCsCF6iFPUTxHpl39R6e3F+XOMlnF4v+4o6s37BZQHP3o OWo+5FDl8bp3J9/S/mZvCxssu0BWQEX+5p3QVKSIat8lCfKzkt5D2puKor+ZnbJhthP6aEA+mRmd FaxLqjractGZ25McDE0Nu1Mxm746kv7pn4YWqGeLHyNK7V75YvBMexYnTKz+z6n/fRJWRN9PllVF RVvyqkIJ3GEnO1u+k4aK1LZfgXDVRT+m6U5EfDSoqbw5d1yDUeQlZAuF+hYv5D4jjAnPk28Zy4jw +mliwQUA61RXJFppty592DRsDBm2ubUOLWkJGUCW5QvSoHiFDIS7k7uv6PDb+O5BeP0ErPJEIgrN nRPGQdBktcxq1/+z8dLsl5E5bStdvQN4CUGm0qFzWustXj4t60tfew6TJtd/SgEPGSNBIrurnYfO xbr0oUN0tAfiyccOOI3tHt4cc5YBeYFWvxWTrLFAjYJUO/r3dsT8T9THQmV/EuI4CXPyqiJvupdr L/Q4Flsv8Eja82acBCMm7kUQfjez8hqtYI5FYU4iJs4a12S2OdxUEWmOMDP76RXfVmmURXbQT/7P mCtygEhy5NqYq3D905YkOuDuMhDx1wGiU0ZyaZXvYwigES6pVWw23H5rT8EtJlR9OuZWbiA9S7ZM ZH7iII8TqfVkKMsrtvj6AV7HcyKNsvc2+sp/wGDmCya8BspeRh3iUUNfOlX8agOYQW5tT8nWzmus 9c5X4NDdBcLMufvuYjrBfTxlnyz5FbuGLUNaQNPLmQd9k/hnh+7DUrm8t0zhhlzfy13doTvvmv/d kAeHdtKzDwNJa1/Yi2mGej2eYkwPQS1Q0nvDADYQERFTnhr4SdOADePaNJo6Y0Vbbqjx8FgKw04T 01SwgB7tgdKFndGaoYUkhYpgIN6fr6YHk4UT/cyZRTpguadBCcXxF4nWxdN/vsBtggvd41Fa9h8/ WkTmjcGNYjO1gQXWBvP6ZDFENrs5FdFRiiEiGOt4TVel9+POVlxNfPVT4wjWPIPGY+vtmZSjI+uM MZn1/iRlFtEY8eE9OPDZq3Iob4Hys7wUFB+zu0F1Yd1datCXXkWTzZjzGbVD5cgGAxlRLAJDUKGl QQ348+QgG58O2Gqsf4ctYxgqzS7h2KYJ7BXu4EebealHFXILB8zO1ILQJ0x8dEvoycqd4ePXOk4i KKt3bMFyj4maQ87pPMKGM9wa4ino+ZSShKhKmUuTG9UrAUFJ/pKSCH1tUwBL7/9GBvbvsC+EFDxr 02Wcc0BUB9UXr0/7cwMcunHR5gcVqJCYZT5+TicIkjFGjtnNwWwUespVlIOc9yq+oSAGcX2Cc0Hh 17yf2szMhyImjK9uDiTT0FRepFbnpJyB6nQ5ZJRnzHJqEnJ9Sx33D+6Mbcr/lnSCy/7s9dbnfy0a 9Pi3LCkMnRRHw7rv+WkUtdQu/xJNW9SQW0LDIv5DIiDfy3EQtjRXN2ZNVm15g+y+cFE4Q/Fxmpf1 ZToUHuWUL12C4DFhwya3ijBB90ivQwbnbWbhsFC9J5twL37xH6fGhQw4NJSfzjFv5yE8XqXOaJKa HitPGdcMH68a0dP7awbFaB/P/OhdKpaOOcgMUV1fG1KzqHj6blacojeSi0QemsVtBjSXWt0uYWcc lFUZpuXxIggUcONb1JDxxGIivXerFOt7mGLLe+QB7qzKDfa9xHL6vkM3YuaIkNn/qN91a0kK/wcJ nI7jO3gL08UKSxxE8vvGSCQnzDMF7E8xa2jcj8g6w9A6NBA1WdteG3BTJX1Qb3d2ksUKLV4QmRD5 lFbeEn4TShmUxmD/I8Dj1hv4QSDMcs+WSQHVVYlICGAmTuAK6LkpsZyTIEL7AhTS76UbLTRcJCaD 8rQla/YSh6WFYc1s8gDCqEX/NlnC1sF28luosisiDT9jV7pneMAyzC25sccW5dyukH2M107IsXHs Wk3HICETBRZgstNceTky8yHL5HspP+miIFWqR2MFSDQSYayjBXMSXrXu9O28abYHUgoxDif4Qld5 hVxtEzIsPPPA6Wz3LAYYRG3lr1XX4uNyvZ8Kcvrwis1bycbn/r09YmaYF4+Uwjn+akhHFmKKXbwK /8oox0viNbozM7kmCKM1QhLpzxJOnzeEMq843OhNQrH6fUDHehqm9NdECqXDSSTdQVFHJfi6xJ1T owcRcdZBDtxa7oWNwkXJCAfWsFNZymisD0xEQwqxAYfu7tRDCQR7xtvaqm3R/Vl35IHIiKjdWxqy gBKcv+i7QF/oMMklLOiOaAzv7qOG3/Av0qNBj1E1q0W8Mp/GPt2cDVZmfv9CMfMuNV0somc9KAQa 9nsDgCh1Unpk+bUS9cUXqYRSwVWNgMBLfZoO8bk7uK/gBTfwGCLw/S2LI2PoMAJF5/QivamoA0gu tACeXTWlIkpTkKlMu5BSDiIkLH9QYhsEcOqDdOv/19pSLabOPhGN5Rg04QuPJ5Kki6pjJn+dcdde fbe9TYF52eLiNLI+bDfdOksCVT8SDsijqHBwwskdlXQu0K8clopbof8hqXYUokU5eBl3Ky3VECl0 nf740rgVFA6WgDuixdbqJQl7A0BW8gEfanGX9tlYNgadLShyf7rWAnblgZfCVwregQ7B8hsIjgP3 Ods5VFm6cmyygRts/Epps/fQ1zEnVp9yGfuzqi5uRySm91sOZnKPQvl87cUES0gdBCkKXXvs52X5 vDaiitn+mea2rnhh/1Z2Ns13cfvtmI7BXKedxh/XZBFeIetdoh9aADPP1wj8JGW9AhMDu96z2/rM Y1nZyuaK4l0/voOAplvhOwOgU41dQCB+RGOdxqwnVUoyt5xFnd/5ZLrCj2wrzadHtah5pIUPLidB fP6Vv2uMkZdYlPT74jEXn74Auh3XU1bWw/nm9Odpf2wHuNJm3+GdLvBTWQiwsOm3AwrwiYeXOXzB ZZvWlYxj7F86SrKNmpghZ9iwHNiw9r51CA5/t5fMa6d0pmMuvHY2qyil1v6/FsoRm62s64u9sImy Kkctwix6F276RyCVB/hgSdfpz4R/BhwKDfyZozpR3CDgEGPT3ZXfhVL4AokGl21zCRVkDLPsDQUF OgctE1C5PMEihBHsYk1FIa+fbreYyzTtV4Y7wm4dL9h7yRuYIypp2x6Cm78AgcpKmvALM/mf+K8h MFZOeZnMf/vbun7e8SHPi/npu/eRFrgtr/I6dhTDWZkL2yZD6Q3N20Zd53OOxuKxlPC3u8bzbizF /TUIQWic/a5hxrS881jvGXNuPoN0A2+3SySVbE6nMCYJjSLGIS9vfzfTpVaPil9g4br9I2HzNQ8W Z9DiZWSluh2M7P+vkHkfsGAzOb5TrI9sDrqUeRkzO3vDJB72ikLwLmJw+Z028TMh6IlzOMJ+qijc YyrfKScUaIyKaRT/3Wg1HFLoJ2FbBl/KRDXD31GZrCvkdTpIK/UJEGgAqX+KGKBQyqN0+Ew8bUae OyA5THZv1BLQi9Ufp4/sypBT6CJbM2a5nJgBE3z1BYx8FIETdU3H9wW5CY3GTga5Sxzc8U0On2Ul kXY+NfW73ua7ca41YHQKL6l3Kq9m/38qeZ3HjNQAqtUK8SDf23F8W0WIieglDH5d/liIHAhZyuBc qylrGU53RAH4emgkAvV/e/ukpL/al7dHfEk3HNUCqUfSCmMHg3oHXto+ZitVPxc0+hunquYBNbEY EnEYqV0YVGDt8MTaFWXb37HIi6eqtOc55UAltWLY4Cdg+yM14hRfSgESQ6hOpcQcDNKPwaDcxUpG ddw0Qx+QNIPFM8WhYsNjo2r1c3AtImsFPOdlXpEXoPwXpk67OmHcvEteiSTCcf3/TFt5owPYwJYO Z7a5TlKip+4IYaBZhdffRq/VUZ7SUaMHkNAT2GIzNmeI7u57DHrCDfgJF+0YjCVidxuJoWZZVO1b dSaQrMnkTHq26I9Cnq6F1HmPI4XYCoCW1+wi/XNrN/gW0uGfsKACYxEFb2hA+kTYZGePcpDFc7t1 c7Th2Ye2HkhpR8q+NE7z3tYxYQBO0oqv/UkY495HR2jW1bl8KuOsYShzKrFVj39ZMr17g6J8GjuH YVyE7J02EDfFrrD1Bu0BPzbqvBxk/nWPN3gVN1N0KrJ4JK7vpzPDPPA1sa7fNQZN1xGRHqR63FW8 aWQCVg9tsY5KxYZIqTQxj8g8B17vIdfwr6fSkKeIvTHiYyd0buh95oQnbYQUBD/4p8bJE2QyNWIL W7fAZme+p76gKWjC2Rf4KSy5LlYIRxr31nh7MnLG3caBrYqDMqqV9jHpoFo9ZTUf/mN3reVLy5mp XvvooNszB0AeZMvUkWg2d/dX7cauowxSw1+qJw7JdVK66H45XXE7Kx27vGKhVn43BmWzBUoxBRv4 Z/j4TTm7+jqnrecue3RO7IVUFF5xK0Ambfw/yMRoDOlvi3Pw0v3U58Twal0PcjuHpAgXxv0jtr6/ 5pKpfJIhv4KvkIxpJ/W/iUo0qf/y5arN5AaUNhgSh/Jn77ngv7ETSkEys8QeHkLlB1eCml0wAa0M tdsJFkSDwotJAqu1u9D9paEjt0keXG7yluR/jP6vN84ZiMrMDxF5S2RmN5jpYFimhuPvwvUkA9e/ D4fRwrLiWjsEmqJIoWMCrR5ihxW+UqVx/gt0aX4ScB3j/Kh73K3u7yKzux2ZF2L/8NrlSuUUQN0N teXuBVDumhHUQHi1Vqu3WDSY00l/tE5/nMOG5LrFuGMK+n02S1mDvd0xv4PojdhJe5uUvKA2hxVK cZ1H9Nx9lYB+Igu/mGAGKypZxPIysGBjsGhbmsJ/cYbF/Ou+KU1BHeCt4rGONPegmwhhkWFA8uNl M2IV2yP8d3dZ57EyKPQ0ngNGcG3kmQDzllQKJuHIPrs9f2sdyjac6o+RKRllsm9yu0TVUNPKMeCu xQYiCSdrH7mHvPKjurIOapamzenwW4PKkaXXa+WVwHirE6/UGSM8lziOQb51HkKjPyPsEP3TasvO 6RIn29ZSJtTouxVrMbfqeIYQkt9PnukzBPnzFbhluLuOQWQmThTLI2SBthgs/t+zHHbuv/WXWwWA LcQBm29y66avpJUqqNjIEou6Olv0aR6v4mIwodsmFnx3Fp2WV5Do+aqFZ2fd6XqwLcu5QYMLnAhG diU1HcByJ1mXOjJrsERylDljGIyuGioS9jHEbrtnsMZtNvbc37VzBNV+0FErqzZpGpvpEEiab0WM QtLVbftjAvqFmLxgbT2+TQEySe/REEnenOqxRu+QHDXYYVlFmIyVDM6qPVVEgkMGjrQc0pKSgsD+ eFQHM1uNCHjaN2Fm0Lj7coc0feryPtwk3uHoncI0/B6Jnuimm/eEPHoo0fWWcNGYMYRVlBbEL3+r 2S3B35bALNbIpyJbmRF6UCLPKFk3BQCTYLarU3sF4KHP2jbA9TifqjvoJglURR2lUFjVL40BZJPP g4OmLmuZ8ADLlqAo7I0i2gkK38CXnAoPY5FuHQ+aSLJm3EbOHUFWCz6SRlnMhSPxlzrYvP8FgyEh qDqABHgkzvoH/MZmm566xCz3SKkNQsmGfINkdLeMNN5NdqQjaVFBzix/BYYr/lYbLUWGNPiG32ht CIpPaPGJMxmBBCwitzMetz6eXu36gy6DUo1oLsdTgzesgDB0AXwlOtUYMEqA/zDwueOzj9JuN4xd Ad2/8HmzTSSyYLbg0cwvCRmGu3FG57yKkUrtYWsVfTsz3tqXBuVgbkvN0BOJ2NdkYembNtt90QFi 0peY/UjqiwweJatHT/awSOmlUy90hYnvEW0v5t4kjsULQc09U12E/4MNUaQ273hdZ4vlR6ZftP+F MeXOLS7P0XYB0WjHIs5PPFJCJEG2cWRcYsFQ1dAqxiPBN+w16EbK7na6pPB9+5793HN7PbN06LLj VjpyPY7qTak0OtawU6jfFoCxieMf8KU+3JNxh2gIpxaxWBM0ws3VLTVEwZzj0H8A/k6uMY84du4o KMjmK53axrATziTo0ZcWPMbWyiK6cv+oePTkx2gUXxjzXb9PzO8/jOjpDh3lMRCphazrtafMjcvF M8augIQ1+y8P28HFwJm44y82yUwOil5KB7kg28NOyLk4Hzy3Ak9EPJgRfI9RwA0fP/QAbLs8BQpg 3aBW3V5jkBkYYDbpr2WncZ9QEh+hPPSAEr//LVnqu53MzwRz6WFtzVCX/bxFAmdmPnrDGDJF/3zw 0Oe7LOHubeiz2Gw5vwlQ6W0aXiRR4W6UJMwcUKK/opWacpt248MzzANV/lrKwfU6Iio7kl26PSlv vHCL7ifGboEUPJ+W9THoBcELxW9oDW7WCFp9S+0TXzVaAcZsLGane47vlDj8P+N2Ds9iWnXcp6oK LNjCIn1RDKoImGKJWfEAmmDwHFprJ5wu/k0yiOYJPnmS1gIkbxX+w6YFMm+FOuL3RVpLfA1JZTzD BLloTpUkxKz04IMyGArg9k6Q1SKmGwwTYeXt5ncD1Li5rSZyFqBGC0itU7yW38yPSe/+k/CKRduX 0w23qyoDXWBtf2SxgzurpYA/pd/TElpJjFYUf1IthDlBHFKhvEGHXC4HoB9LShf8FrYvieE5/+cl l84PsIekm0mJmsMxFXG9EKtMTx+DFW9Sri5uZQx7xCabJMVgCfaDgHGWuLoPa1RilPKmwkNBMTNB MRw0f0IXO10mGbu6jAE817uB/iv9mgvQUPvo9kMi0HbXWKjs7Tp5OOdInk/N3gzP0jH6alsaYYDt r7SjfQH42OP46uPXKfmrvR1brDgi19/8UhrFwzF5GG7oJ03ZKMvbc5OaUoGIMYnxXDcXmJcVql99 4ZDqUq85BGGzbE63cpBLJia2YYh8q6zUNmi1AdIG4KvLit0rgL583qn10q5J8earvAy8rpWrUvf8 +nsPEOk3g5ItDOLKdC7P7ueNvvpyrpzPvvT+aGbPhkFTPcMRMGUnU9G1i8j8FjHCUlwsc/O3vul7 NZ6sdoX4AwjqJqOvyQr/RUJd5AHh46ub6PBliexsqJh7JNJlq3sGiDTQ4D48wXKvXYFDMEQTmB7W xIk7YceUfY28pKxKcpCOkm02/jU6rjCh1I+LKboklCuVpoeu6WEpFtMVxIV8tDC0R5iZNnZYwFCr ABP4nghV6JFpH1ZSfwNAzN4gEIJ3voJXcc9NoiBiLaITaoWrJFTE1vHitpstCxckj4IWx3uBVQ62 wkdttJbEY8vWygrPctJq49y8GNvESiA4df5P+xHqjz6Z3lnI3dnDCn4R7KqutdpgVYTLRF84gUCX wvF5fO20McEtI0f+etDO2ePd8dnmatHOAgqdE0QO5qIgpozS9GXiHbaNm5jZgjY+aZzSCQ3LfBwv N5CfEQqKccKWO9Wa87kKdHkjqVAgFC1f43scxzeduvMKy3LkESOfVgdNPwsVjjtCJFdwfwb1W7o6 y5O32X23WSycz44upvYosebJchIo74j2OGcqwgRSud+80CroUtnFq0QA0jHbxQwzTaAPWDhHApLO olT9v5sdSbqMJ3+11LFdxC6Med9cS1rM8T3Lrdwxas7X2W1r0v5uhIwphAs80xiMpKsKk1oAzpxQ CBslD+LTRt8zimCFntbohh/BglUG0YvsXsmv24Q3H8DbQZ2bI0vtekrFleZbJ0QviHEdUFsqNZAI 28ift4ryq7SM+X3hf8R7wSGthZGgGq16EZQ/MXAQQ0mIriPaSAb1a3PLYq9sS31e0yfvlHi0k/cE czx0jnwovIlJwJg9jE/KM4ssrVEBPdN89ntOBheiVYgApipxmZ5wBMAJEcGYpIVHIw6P4AmcHEhY TnWP0+slLjvbloKrK4XGlwacQhjpfxaBn4lhoFKPjPKuS0rogBZUBeVg/XRAOS6H2XL1cI9he7rk IeMxmrh2ohoc3tLR077E0nEVXA+TeIHza4SBNoVckskFv5dul3kHGTWl33WAye5oEgDSfopiix5R NuuljVChieb8vS+ZzyTaUnmcg9TOJOinEwY8nfSx3uaApym5pAf8K1d76heKoYb7uier61SNS8bu qBlV2FnjbBN+/s+SaCwqob99TC/puoFKTPFXSr2rH6KrcsGtiz+uhqA46IXHkJGbr1mj5WnShMll J+Y4LKA4kjlRO3iCpLXKFEBb1Zt+ZOTJ2FiqEaEVj3IFL32WtMslSR1b5G9E6wBSPu/CigNiWVvM xqMADvZVcMoPhwuWuZ5A8m3EH+gk6R298gWAbFWP/fvrjdsLD2GkTBqKjodQJziLyiY+C9ijctWE ospIeX20owUhH6F04bl45rtRxVnIo/iN8C2CCXlrlxogkX7FVmP47gRS6YgBl1dR5qMy9NZPRWsE 3Ozr5TrCgAbmQmPAcN+poqD/g3lWEyf2L/rZzbLSRFCtbM/iUesYg6rr0MO5jmSN3eKT7x0JsoEZ s8H1l9422ZuaGWXwAJrOastLKIxZC2DSutGkm1J7uHOvJVxq5x6XYe6ED2k+ajPa4aZNEPjMY2ON K34XgqvFlf5KZvz/b+hY5zkKQDmuP7olZLtABMId34bbwP/YUPcA0ta4lIB5G28ovSY/SodLBso4 lS6EUxd5ldsLRZimLeLN1vDyqhSY9QZ9+pkD91ogtwl6DpvzTMrlxlat3ZTxqRlS0PWGl4TCG/N1 fioAYZ9V5fPg+sDdUOEc89utfi9PUevIZT+mGtz639cPihUqIEpt5d6jMaDSGfZs1j1XDwsa1gq/ OdCASRRORUDaRynRzrysA2yWZOPoiKc6AfWE9axN4ejXZ2CGtiZOF8VwCfMgk1bM0bIoIdzyclRT uHAhXav15SM6sDNxNpnNk9m/32hkp6YR3PIsr3A4fU2hN1DYP3ZVMNkIJn6cwE6GfgfU4xwIhiVZ qYfs4ydCC9Gjjxhy8GDET/OH5HQtFib2Hehl0NjXuPH2VSkRAv760OpVLo11xxJKgUYsT+SvWM+X vqSL+n6/USP46YRXjnBX1zk7RY5o6BFnuJDldKlzG880JkjqetNTEWHRO+cIBIYEBN9J+LfYHnH1 r/W0+S2tEM4RnypY5e2MzdUIIeiwkfpv9cinhR4CbztF9ZfD5y6RBKKapy6DGTAKMoqPekcU3ETM b/RsMlamqdKPdMQfhwrFe76iJ5t6r14sa2HwzRdSkirJtd9VCMYjd6Y79ywFQyTWKKRw/MEi2u9O 3ZESO2klVzE9d8t6E0tZW9HSR7boBVEhJA6kW2jPD1fQLDZScBuZK2POZfQPgK1lLRCSzrvs54po 2rLSQayxvfH+OnqnBMWx6MbGTid7AVz9wRYDePJDHGNIxjOFUCe6a2AeCc2r74l07SjxVS9MKt58 g5qLLgRms6XZyBBSTc6BFUwaaoXzVgjhc39NIOJgtLFjbEkpANc6etaBAiMpL5OzAXSISIbG/ruk TIxe59nBpLLvT6KDxDsLfJxBwFnZo0c2FKKJghS8uNmhb9Hn/bhxD3jANfIVeWBv6o0fIcdPoEHS UVgNobxSHJR26Fd4GC/kPppkmCLGQlKJZPPk7GvsAU0fUOKIjwQjBJ7Mj59soJD7yJoOgBCszUIM J3HUjIk+96SgtaDal8BHsPV56EhlyvkSLtTh4Xnqy3kJSv7we2bKgpT1hY4V3GolE44aaqO2PAvX VLlKeM7RR4ZWi+DMWWt6w0DtNyD34K81L/FQdB5v72azEI/EETgsAsCPjmdWUnYSqOtAiZhmcyKW EBe5712JXtwsppUw2ZH4oXxQdvrz5GJnenoz2lnAnsBMBU0K/y81OyOhAxNKf+S5lezMB0o0Faxa yj97AACAhBsrkIuoR/KIaWLeu734wVYerFN0hgG88ebNpftR7EA54i59rh/li0wyQar10rmyvTdC acOiod3N3x0dE6k64t4pAuaRlmoDHQEHTcgiC0Euc03gK+gYkIxO4REeeDDl7Fqj5465g1h8OKp+ Fk60GyJgNLbT38Zy3TZLoiBa0GTaVXegXAJOYZ7NGZTqZWq3Q7Baadk4wFxT+CVBjFiXVZ7T4UqK 7aph55W+aclYkGyOGu9CqJupPYJxpH0yrN5Uoi1P5tEdy6/TgVXTLBHuKX7ukNQkhhfpJg2resQ+ Jotj2aPHekDjZz4oS0TVEA0RRXBnA6dLLwwby4zXq+1Nmwg1Iv2W5dmgygmYi0hA6BWAqzVkEpZv 87yVJgfq4RhOR02IE7x1xZnp8h4z0ziNbBeHtMulgtdevNPx56G5aDJD3k4Hb3zrAB0cJVeRZs7+ F0Y+2aA7hPM4zKUnayrUrFMzLvKoigIIbrKndI9o46uzqnTbAaNaPgdcZOvCFegXAQG/mg6WSzka u2lRz+TvIssKO2odbzkskqSUJf2lMV/6NBYwX4j4e7i21nqLeKCXcSyLO8giBhOPnmxoyeuthyc3 zoqHWqItUarbyBLhvwfzquBsb4z8zenKp2OTsA7Eaydj9HDxlO4WcCwyYee3prGwda6k/g1u96K1 rNZr8UA6qFob+PI+mYzo4jB0caUXEuazeAhEZlTKzf4shlHA5agolGhmIckkLOGD9yIguhC9+7rM thReTo3UFwx6VegadPCVznGvAzorWfQP/207JoACjEdtXO9uZPS4dfEG9Yof0cUpP1YUnfFh4uUj ePkP8r182jRdfzr5+r+a/kViQUhD5kWkU9gcTR3MxAPLx12GgAjsoIMyCa+5IaMa91ebLlBm1p0q gan2H74WLKoVkHJO/2QDxLzv4aBks6VY+lGMzPeBPSRfeUWF6Fvx6NpDgmfWfWD2heP/o9knrotK kM54LW8owCN2nxcYzPFbOe5MPAgOArN6iDldfTyhAWe6Mr7dv69mjW8RGF6BzAkODoXdbMwjNKpT 29usT9n++h8xfgVkOk6/JAedBXvIQV+VXLmlKc4ZLhhmgLhv4AJGZw782iZLkwkb60FNyMJMZORV aM80MNsFW2tH1y9ijEUxXAKuoQUdBdUoh1+K0OhFWJ5GBeCNIqMBgibDMwKbPggab3au6vdcwFyB wjFga+/6oGas58Sla9HNjOTT1hS563KeX03uXJTJ9PTnOFDGWmAd+Sz2R5iaeSX+3nd+GpFoY4EX CqM/CfHOsHzpIlBWV7dXSti5wyI+3ovou3eEQ1vbvfNH4FHP+XZCSd49gmq6lP0dHpd5SRjHNC71 gb5GP+qYlMkOn3xRnNOjguYL1UyV7B18bhyAOFwYBAMqvCHTrZTXe9TqQmeU1V+s88/bC/Sffih1 MD4siKAyqvMZTfYKQ8V4dvv7YVoJNonOTNcdp2K4Py1LZmiv2lmYCK5KWvDUPyw43vCUXzqMMDGn hEVRrkcPy19lM4GmBv04PkJGujPOAfdevNwPvI8RjymOQzvnPIGuCCl3rDARfmO3L6SoXhthydwo sjJFvTVo0/9q3z6HlGRewDZpIMl0ANuVttUZif3wdupx783HDkfwK0vcwmJkre0uCybuNvul3mo8 ugEJTPy6iLT+PVRvZUbfdKa5vBFxEbxFA0Ii6eNPfq8Wp5xBQSVlRHlzX1gwLv8I+VEwI1fjER3w j9fjmwQt5mgnIJUGPNeeR7yQgOQf8GFAs4XtfgnFFzoIfhjrJU2GbwlOH2aomkU3S3BwbJLvaGcj fKnmq3qGnMTwgyXj+Afk87PMP7Gu3ukTHgtfRbMO0ZRUeMiYE2FhVDAFia3jhETQWTFc59ZqJPZN OMh9w9+MbjBv53gMkby59ZdeCgTNuf5N1+mIcFM/C0zYRgp12uI3lssW9x2AzBS3ilCBXQx9+QYk XXtDjxaEXXN7+YZZqfTlIlSf9o08OddvbW5IugOOxMN4sTRNn/mCZfkyGtkYky6lEYKbpjKyZ59g +oRxNJMCf5wUsSI38naB8SN1Orqt3gNoFC7ZLbyhKQ+G/qYHdcMdJIvgpSHsi0UYiRG/DVqHmIMS j64Y6TbFtO37UodnoMvVctnE3Kvhzm+K4UxXXK4lxWv7xTJ7CNGWv9FuBIVh2E7pgaJzj2deEI0w r01oy8UtUKFWM0IcI/RjLuKuAHY6yHpUk6CFXbFyxO5HrCQjMAkEn5AxravXndmYywPRuXTAVWNC 1aB0SYdRukkFMgEIkPJ5wzilOtsmc93k5P8RVsYzEVK+UnyCg/x+qc4snobZXMYH67X6XUWblYZn 4NO7rhL873fBrLnXS3YIiESVvNoN82K/qNlBvYrqIK3t9uCt/P0fGoYVI1RSmDS0E9eAQfzOaj3b hnSY1sFq75mtkxLCFGGq8BTGS01TTHF89vKozqjpRfu+CyLuojtQYpPckhp9Bt0lfoSwHpHHD+I4 /tKnlRrSbecq7kbf+GBcsh5h1XT49wbUxecWA5F8msRlA7UTZii7bFtNYMOn+IWB7T3OSZVS+xnl IpZ4Q5VRS8d6PskJHNiyWK9KbSl+4ncNZITEs6/fSjtUwABIeR09UO9/stf19cGlS2ahsWv09wRx s9dVYsLjQgGyM+Vd/Vf39t9Mx8zHHZwfOW7KZWlYOBpgiBrONTKpnHSuJr8WRgxiQ9J2npadWBR0 JcM4s80xBxzVbe7jQGhag3XF6wXQSeBwlZ9qC1IQVUwsrZC3Tvs1avFywwYm/2RKbXah/y5ABCr6 XBg/81uZquSqVqISbK12nRMleLQIUIHWhx1yIY54ce4oqv1JQ4KCd6ST8avohZUnBlxMmrzF1K5e BM/1Y037EWFxoq/MPy000dbkQwL6Ku4pGfjoQmdR5b9x1GdBdaD3X2xsk27Pvaoo7nn0zDBMX5pb UH8XlzFTkzsmK8k/L6cBr6X0ETfu+nKn3BiL6pkfc21z4iZWycZvHA1GV4c3PvZTGNsipdXQwt2d 5hIKtekpnjmfemU8o+141/9iGe97wPV+m2k/QfXHEaRWkmQNCiOMXVHvMdZMHsq2SebgMmoCkWlg VTZRVnoAPXitCa0o/TQh5ghbeq5Sx/Rt8q9mj0iVU5Ov0xqIpodAzNHDmTBD+r5fLJs2qaoY8H1E 0cVMj57Uy1+uwoNKQT8wTRWH4pEO95+XnqEwFaLweO8mCZjMK+PdYg7s2hQDHEaLGVgT8iXvB8b5 ISmIsyYR/Nly4/5JcoWpylv13QBhOMHwJ0Fbsb6oJ8zmHedy5mdrnUCPLMLnsHfmJLbrCA+p3wm4 RwT1dqqdvLSPNQf6YrjNU8A4YnD1wVC5s4jiIDn/BYwb0AxeMWdEyXdAcQy6hkRv5Rsq/dxvW9MK K9tl5dHWHPcRzH7pExd4zE4qDWv9VZd7mbvGnOP/CkGFKSMU3eig9Ia96oks5vFdWsQtejCGJdyN wlvShcFvzcQ9LB27TFekc3STrtoZDJDQrLlxO4itUsQGmjXgYyVpb8Fql8bQgK/m4Jq/1DfyNFxc XfDw+Iz2avKToEC+GObOMJ5qLRbSPROQK3t5nLmvMoEwuZWzpIx4dJCIX4MBbYac8uzQGB7Ov4Kq yabjY27WpFw8VjhJZxNsGj1WAaXnlf2PVYxJ5jC1UNZpc6HGNwbS9w0UVTVm7Xd141f4TvfZC5sT rHLhsaqRLCdmvt5JUEr7VZs61Zrf5ssV5U7Nq/hCmfbBCV1qDpoEn5a+HfcAIM25LSL7UBsPXtY/ zdbMiVHK6QitYMbcrZJIMDAkT9Bdjx5uJlXNjjnxRyBigJ4bdjdkfquQ4XY6+FvsdWTmnrp0oscD MOvkaSF8LykQxYZR9nhqtPMTu2tUTnH5Gy+kst/VBCj0B3KIqt+dgn/HNuNwfti3FOBYv2rZO3iC 7yX4jaNVZY0AFeZyDe/8rBXgRcnxwhGVwKsMGvKQ91cfu/qkjSyqCNmpaaRNRLtt/LQ7ybY70omY YXeZOevUcjAt0gUPkF35v3N85qQbn4CMdXmpo/y90jk4zA3I88x02YgLJ5tkIqqkeTL7wTSh9RpN 2NzjLlMtWpwW2SnFFj3eIFfqvA80/1htmVrfSKX6lM2MvpVrwEeDp7d1sBJb0fi0Knk6YYQXQk3X RmOoLgR8gC8DHW54n+TPlhmv3IzPJ69I2US/1uOc5B8Kca9GuTS+SXJwzw7OdAfyXgynHFji/zaD /3MUS653Paey73cKwDbsA6TaRiZmXXvlRqP7EJa5V3wYFR6TtGgzg7VXFIIw2gxPSlJB+gFlSaDD tjYtza8+4AY3t2ggwmRkSkp91ZFNt23vJ7MIjWrPRK/1W+cWapZcA5F1bal+eoWsSVCmcpyYHfKh qbXdk0dDi6cMibL4xr+In7bXCy3twwQqLBpJCGxKuyqlX7eaugJdKCXYOrUdVfd31McvN7gGU/dz kBVfcT3fEPBb5skvZlanlrsFkFeZkW7TVsieDV6fM3ITGHA4Y4cR15/QdeAkz7hu0xBQ14u3XHU4 bt6VXGteKYYyFvDQEzAOhQBmqkDEpabybi+cVuZl2aiAO7ZSJloNXNMApctGv4CZ34s/nXVuHOa/ 3D2Py5WYFA0v9/J9d2MI7RVWCYR3HPRvDOMiN+cGcmIUBZXQe25XNEFykg1priQCl+ePDS+cKACK mDbN7JFw4LC3H9rmB5P3FtJtvkyd36Bo4tXfpHd1VDFDEh7GfrK4SXPqmbPmPLi+LcZTjUk97cBm bqQV8HzY+4fP1Bw7KxgHB5cQyRP/qn00gnYq/AluRx+aZtwfq20rYPBig18021gqLS9bJzCyuR9E 3pWc6+USmcAXGiANeytCQbLRcZNrF190xkrWJc5YXI088GmMTq7RTZ+MBCN58eaEolVndXddWxfa HYmAHiZsHAenlrh6f40TJOgdHsEkcQQUhKq7G3EgQq9zc6VeQk2KmbhwegFiFWmNhsOiIsnOMY4d MJUKMcBJ3fW5GM4jzlym63mWMhoz8us/LGuImmA/rMeC751/RwaTUhLnhmji1SiPOeEF9sFJbkax u2bdMlqv7YF/WfDBTNPyoZTZHkK7QRAk42dthi3cIggdQAYxV6OvoKO4SVCxAtQYW6UiHzyeXsgu VRDwb5DNcNygQyvzfunsFmJg3KeYrvYrY0LK3c8hE6ApvneW0u2Ew61L8bVwNhEC9yUhch/1aidW 4BOkxruYwAAxALUeV7IoRSRzCGTX2bI/k1Xnb1xcprIBaPdRxGkFxSehK1WtS1kL9vc4ZWpxrAli vMG4nvif+Kyjgxyhz2nARwC8umTjA0oWWGW0fxbbnDhcorW7JGMzyIr1JYX0GQa5wScpukvbMOrm LeDeHnmCke+/TdyTR6vRCkOCrRNWaFRs12BUbyXYi+gu/IiBYv7iSYRtBGTb37Lwtf6I6F94cjsT 9fY9mGbu2lmDVyOL5iliAOk4EfJuyrDP/s++/njAuJuhPsE8uZth8dC7Pi3PRC9k+qQw3Pf9kof6 xxhlEnv+8S3/pQ2P99LkPdElgJNIHtOeNkx62RKkuK5YQASEp4cII8XDfk9Pq+p0rpJsesuXFZnD oVHR4FjbmCj32QCutdAVqthlLfGWvzYVm5xqm0U3Vbtt8RuagtVgHYNJUYkQVigTO0cClZk2GrIw E9ryXc8mrVDr91eNXPLbUz0juucsxGbJjkZswMaWtrCk+14YRudj+0Z06eCyP768bUinYyB5TJTn wel4U1csUYFmYimhvsrFjU1jEJsN87WKchzm8+eHyekgIcWNjJY9xeTTqMNCRkJTWqLZNUUj3eLK 1OIGZ3rhDAelH6HIuxEWRj5ATkwrsBZYhjZwPxsFQYejt/8Valxs1AjCSNtceWTS/NlSJPKvKQnk omh5xyANpAVrtOO5hwdXo0sFTU4y7uVb048oGilAvMsq/d3opVTPwkqbYCnpzrU+U2zK8An1FvD1 Y5CRPeLR6XzU70wUsMcxGLQsr6hoIP4+Eq792hhGFa/DAYi4NVDEvqlbajsu1B9jrb6hOfYrQV7S xDFW9u1GjZo1/WXe1WVfE1ZdKLWDjNHOHggibG6NBqn8LbXf+wrlUcbN3IIIB8cYLr03KcZq2Xas 1gPsdtvCw6AfLbdTWVIZvgyjQhttM4ka48wOMht2StHVpa5d+FXgK05I3PIjox2SjVVdCKqWXF2E DfgkkHCBE3nYHyAfKSjD0/nnSxG+KOdZ5aAyael5xuNv3QBmFE9gbN9ceuEaCA1GIKkWAHvtl/vt SKDAnkjtr6jdQyixYZ81NEFac6qdA8MS8Su0pL5TTupSkjvIgbn81YaoqjoAlTKVrj5mtoYHn7dy n5yi76Yks9ZhlSNEG4xSE5f6bOjtV3WJLqv0CBCDTt0u97qXkGsrc3Z59kuB7DPNId0D/cPnsfI1 /9WM52BHN18z2gc4cpOyQf9oiULFer9zTPT4yXYS+A13n/Ka0NUueRIaAckDeooIEmKBQcp3sYb0 nFZiM1rBcWbDanTsPN5nq2BduXinRQ0B2wtrDGxB2ywEB/ngG4Q5h7tFTAyZkPdbohi3115wy4Q2 PbMptdvzSk4UHS5yxY+wOCW+zwlquCbv2mSLtURTM4Psx+/MadwsRxd7YM5RnAKfycGH3S2F8MsC VWXJxBp05Zai67FnfwVMNJHgLGz26jGtYXU1faVcKLd+S3KOAQNogcxAjEhIKAC+axfL66F4APCO W7SLGchq9O7v7Pip265VA+Sgwx1rDlACc3KO5S/v0uDKAwMtrDlKUO9awJORfyzOM7oX2H+KiShs 7qfUQcu6d7lDJJCiZ2H3AYJTQoWsDjTpMmOBHkJABVednkRM6hnsuuL1hyC3eIGpK4LG37QqbQOA owC9NfVq2GZ4Ypo2cO0duv1b7Wcf82LjYL/t1zOn+ZJ/LVmC+atrc5dZ/JrnmhSssRB+iJ5OgcLV /HjjD0nS9OFHAJlDo4M/YqQxFgyBs4r7XZhq3RlFmSggeZm75uClumUy9Q58t7zU+sg7kjbp8kiA V1B8zwz6UNdXJOZbrg3hnmXO8UTgLaReT4U2Wi2tcAML6sxnSZqCqgSqYC72+2VL3o6QZMFkRDvy dhGtPX4UQ/oXId841Kmjzqj+lv6rad4kdxxNAt83t9aP4/75nzVC2pWXcZBzOBBxf2rhHcu7Ysuq Y9d+sOg0iFKiimKO09+Sco3wgduxmIpj7foHqYLt4s0bZOZBjIHP7OkTX0LjqNv4PuDDhdL6ZjUs 0Hk+IzSdzLMLulMGJNKsyvU9w6UlYevugo/5e6rPq+sE3rWNdMQByjNJA5+SVSZybojhBLnVcnk0 8fFly7te2O9QEjQessqgxIs/SrPXSBbg7mWHB/uYzx/9CWoJgkQlvGT3l2OTmV03LoblSP2/14db k7kodtddZie6SzH40jY3jqcSSSTMwHuzWFlpLidMo+Tx/KqK2VZNw8/40ErpwcV+sEOI6RpDbeo0 iCMEkKbg0Wu6+Ha4amyLh2sTpUNhrJZ6NS5r15SgGAPVwzMUBqT9TE36BvFl348S2VU/cQVTzNy/ PCkN0zLjtmAQ/pcQ6ryOsNoEXrzKs6ZEEluOY/dnQxztwGAfgO8vtH4pP1w7aYoWx3AGW0Iw2pP8 cr+4HcpfJM1rqMIQiNs3dplV5tX4jcb/j7mbrfgUAwEZcdDRnJ/7mQqh2zIMsARzZrwF8i3l/H1f l7Y7mCT4OJyF9twIBUs8cx6G8yDvIJ2XRYePTPi++lXUdQrfU4kXTiwlKwGAbIePcjq5jkQlRNPH BaG+j4hMVedSUYmfN0NWtH6cVEXf5cmxUWjlO/7IzuY/JgGtfIlD7R7bFSc9w2YPivgtlUwMhbpO nrFYwKFTsILkOhadEgbb9zu+51Q5+lFGSKNcJP2uoga/MdU8BVd/EeE+9efbtDmwGcAo49EzEJmj yX+o8GTGGKoHfVfk/csf7hKkiU8fwX3n0DxbQqFhqHke0b1ZVY8mle168Xu4Eh+IeIvv1TLMHNUn lmBaNONg52Nebxd9EJbpoOfyD09F3m3yKhivM1iUcImzkVdyyhAKPwjD/bOYRi/t4bzFiS57TG0c QpBdEh0GO5Kco8UERpLByAEVqbfECXGCje69us3/tASXUIbxDKah+Ojp7N3xP0/Nti5LSNSATFmd 6gPLRoTY9iKl5jubK5T5jDusI9M/WlO2U6mU/LbZiHiCNJmk9NO65ysYiIG4FEFQdS2cglgRJ9iY yOP1yk2a+hO2Ba9swINWZ3Wx14a5+KzYw7gQIFdfOz3xKAoiFS4kISMLuEDstULDcJqEA1t5l636 BkWrcS0DLjZd783u+m/dR5eFoJBCQWFiIF+J/cfI1YvINTCq9W7vLoOZGLCWT609+YKq4dMlbGgd 2WPijosVFm1XszPc9WcSJm0IiUtTm+mD45leT6ogvYaEJc7L0ClLbKEx+u36b44q8YcarYvD4EVE 5+2Kqfbie90pFXIvKs6w6XN+WhJMcsT8B+lb2X5K5CINU7R4tIh/GgRXOfB/FgyCJYDxqMkavqwh G9lBdVCgaCeiCRD4lGufy+wD9Cl2OovZtdEwXOnseUYsvjePPB4V1sLWwbi3s8TisbbpRGeAuCJ3 RKBLJfGBifmTud/HlMRHQK8U18XyA74Xvxd5t/YXtn8h9ZLIUcW1BhGWFElHJ7rODI/bJ4b/XApV f0p9MUQtcjcYn+r6uhTwiVT69yhSpLakabdZZ+afZvWEoSytRpgxdbJc/LHAru37Cnoc0Cp3dVYN UWvLk8CA9Zl/WMpw2cWssVpbu0xyDvAR4s0bmiUoEODwKfE4hLjfufyN3X/1trCKeEw+9iYnRzl+ 0sSRWA4JoON4KhojxCJhogZ+kzPTSH+NJV1w1QqVAQ24Ml1+hR46+LJglyfwYNEtBqD40Gm06dPo 8STi8Sp6T+V1qdDsSgtu87RxV/+2qKcRbAj9UCyzaYWodN35HO8R8Uv6qsyBMUTnHB4y4qAOAfbb CNuZKRCa/azvjsEp3oo4IjaZwCiUom15lfkVx6WmNKbWtvP7lK/exj9AIWckz5/bUIg5nmDYwE97 7IdGGvEfBiXvU2CUzLnLJzXSAbEDbgjnFn6osTz1xBLxevInzIGfCj5jA8HM6V9V3JhpDcUjZywS 4IEC+9QJNUfJN8txZXxDcausD/zWG9pYIlFLAHu8p1soN/RMZUnDa39gzjHS7i8Pfnrp7XMlCo2L nYtlvrkAn7QADLxhRm319ungk+cRPpucJatBmhSd2FH8W6PPnsC9w+6lKC4dos/8rq8vzGK1TvYD XkXj0JpeLp5oFQuOXC66wnBn8e0uCJHQGPhYMdoG5x63iXvWSS+qmgfieFb0XsM2qoFj9IJH63OM B65fhvZ+p2C1huERYhUx1wQMhTZMn8aljDyuAd0ndQfECxX9uYt2VvOwfDgYPfAEXJIxsKeAORzk wEoxUXr3WHZVio0QtnWTVhXCX7nwYXba//EyNcG6yM+g9fGzxK6rRQRVUntZCRBJwlDf0HeLqcIe 5NAvEaIlorjYcgh66CoOY1QwUAVb+4eupgXPs06aHNfLFJZZw/CsgBtXWCQMN/PL1tnpXg4gM16w giOdG3WwIujAA8IxDUwlR2lhYWarBiUz6slZLTaqAVhPKwCvMALBG6W1o7Jd7bDzt4iOPbvDg/KT iM3bJjnfWAoysc22myL4s6IxmYP3AhFBm5Z4t417xk4NFZBRSE+1kemE2Q3AXrNait5BP2RgZPeT Zq6oXlWuT+AO0DbKt88z5T/xy87go6sdJZzvn+mZudI79pzXf7kF8tbGgclwSFkn0zBMM6c8PiS8 I5XHso5SqG63KxUDFYn9xt72Ni/gw/EwKikXbIRi+MWdx+XPbq9jt27XW/7kFtRFGoaqEyJoAm34 CuAfCFzzYhVks5vtv2l7keYjgb9q4CGMt/6h63GgpME4rfz0OGCjD9OmxlqhAqid3yaVUqmhWgBM LRtxrSHTnJm80+6ORDq0m86eskZf54l9wgDl8TDTl+Ne5N/KJuv3gFvenc1Us8cJuw6/coJ3VnJH SpPT6c1D6U0JMd2FcPNGuqmp0dc0LUWUHok1KhnqZZwnu2h8dJsv5AwpMvxZrasilGmO/z+MxU3F 4zX4BtN+4dbEeAIA96clMfD4EtE2Ypq2DJFzQHeq910A34JBb8pgaaYsAQSHL3KltEqBo4Ca/Vki r6RWkXZmaQ5NRfHLFAIZaey3RZleC5sZDQjgH8ayMslHEBea4sm/oLmm1ZilBNV/Dt7WiUvrla/O iENnQ3jy9hxGhF878H2omy1SqCSAKk5U0PfquZNbdlXArRwVFFI98lCs4LPYTQk57D71umO3yhT6 vX4fVe1xJLt91+1xNWLoeiU5AYqErleT+pIb11zU+hxnBhadPX9pT4ZJPmUT+o5tRuij/0vVU3gN r6tgDtSoJ4CwPb48s+cTEF6x/avldGrukZrrQb+sSnCA/f6Bw7rRQ9LomSpw5CGZ7jGM+9c/M0zI sWCWtnKn65d7Li8SzjVGZAEnAWDqTrbETzNG2c51AowmXHe2y7S/BGO5PJQ0eWmW4zv381vt4WRZ xsvWdi0O+jq9NOAFsgPRcocIySzwo2pNFkZYYtPiNXPD6KxqSIFPo6fvarDTFhep6ePOw9jEmbSa yA3bZdoStc1d6+pmd9FNuA3c1lg++rjlshpGWTIImIqJ/qMjYXKiQ47AJqlV8FOUDNxCUzjcZY/z AyF4zmrazppaFMAP7eLq6ggnSb7m1Rt6Gz6M01bfbAZHpkO9BCiwkruSuavnDyVmV2BKQ40Bhvkp L3081pg+wGspqekA3jGE/rJ+Nasmbs3HLs8B3bunV5eTRui4KDDDqoW/eF1518Pg2VYrVDEAMCul fA4tYHEVIHqAwt3rE9AyX3FquhusH3/CNzzI+GC49JMDF8rh1TOTA0kkypvEDtgL/nY0YOZj/CY7 JKasgQJV/r+BbwY33RTKB436M/Q04uj1KAl5uYJCfKpmCjMqNdQCPO8KyS05zEQGLfG4bww9Fm1W gt8uhB577xRBbge7+Z9AAHW7qMHL50TQn5IL0THuEJfQL5fGzYNw8tzU7g8cIRfkEojwqYVZurMj 9JZPeFRgzyfyhRYrsC0sfweN/SfJcktmNI/nY48fUfuXjGdXVos5Zmw2lSWb/0j8m8PgW12F2zSk 1BHtElgy+UTEIDFknvIySNdTNqRJ2md11b2uOE9EBXujNbcK+1/j49Cav8Ongwv7roXYO25M+oFo OE9zl9DT/yJrQKyxCbwsLSz2T5STocZtG3vGd5bYk7CZfAanpBPm2htifmQJFmnvpTvibDu8J44h 9eSz+RBN0PBlx/Q46/+qMGjiIDx38QzK1pPGzEsfZJsDnWEAAu6gghbVuFYE/EnqExudP/nI+0tN 4F81LvPt7nZomFcYkdpFoRVtxZJwbXhO4xXF1s8EL/5vO3zgvDYHR2ZtU9c9Yzan/5Lgh2JRTkBM 4bBk6N+J9SROO5HeW5/KFL3LJ5kzcWv4UQuuaROm5QAOxSZkTD4= `protect end_protected
gpl-2.0
ad27ec4c3b332198816f994b9d828fa8
0.948515
1.835774
false
false
false
false
fafaldo/ethernet
ethernet4b/MII_RX_v2.vhd
1
3,002
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity MII_RX_v2 is port ( clkA : in std_logic; clkB : in std_logic; enA : in std_logic; enB : in std_logic; weA : in std_logic; weB : in std_logic; addrA : in std_logic_vector(11 downto 0); addrB : in std_logic_vector(10 downto 0); diA : in std_logic_vector(3 downto 0); diB : in std_logic_vector(7 downto 0); doA : out std_logic_vector(3 downto 0); doB : out std_logic_vector(7 downto 0) ); end MII_RX_v2; architecture behavioral of MII_RX_v2 is function log2 (val: INTEGER) return natural is variable res : natural; begin for i in 0 to 31 loop if (val <= (2**i)) then res := i; exit; end if; end loop; return res; end function log2; constant minWIDTH : integer := 4; constant maxWIDTH : integer := 8; constant maxSIZE : integer := 4096; constant RATIO : integer := maxWIDTH / minWIDTH; -- An asymmetric RAM is modeled in a similar way as a symmetric RAM, with an -- array of array object. Its aspect ratio corresponds to the port with the -- lower data width (larger depth) type ramType is array (0 to maxSIZE-1) of std_logic_vector(minWIDTH-1 downto 0); -- You need to declare <ram> as a shared variable when : -- - the RAM has two write ports, -- - the RAM has only one write port whose data width is maxWIDTH -- In all other cases, <ram> can be a signal. --shared variable <ram> : ramType := (others => (others => '0')); signal ram : ramType := (others => (others => '0')); signal readA : std_logic_vector(3 downto 0):= (others => '0'); signal readB : std_logic_vector(7 downto 0):= (others => '0'); signal regA : std_logic_vector(3 downto 0):= (others => '0'); signal regB : std_logic_vector(7 downto 0):= (others => '0'); begin process (clkA) begin if rising_edge(clkA) then if enA = '1' then if weA = '1' then ram(conv_integer(addrA)) <= diA; readA <= diA; else readA <= ram(conv_integer(addrA)); end if; end if; regA <= readA; end if; end process; process (clkB) begin if rising_edge(clkB) then if enB = '1' then for i in 0 to RATIO-1 loop -- if <weB> = '1' then -- <ram>(conv_integer(<addrB> & conv_std_logic_vector(i,log2(RATIO)))) -- := <diB>((i+1)*minWIDTH-1 downto i*minWIDTH); -- end if; -- The read statement below is placed after the write statement on purpose -- to ensure write-first synchronization through the variable mechanism readB((i+1)*4-1 downto i*4) <= ram(conv_integer(addrB & conv_std_logic_vector(i,log2(RATIO)))); end loop; end if; regB <= readB; end if; end process; doA <= regA; doB <= regB; end behavioral;
apache-2.0
9a9da0f042ac0cd0e5ecde3739baf895
0.58561
3.478563
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/word_unit.vhd
4
2,155
---------------------------------------------------------------------------------- -- Company: UNIVERSITY OF MASSACHUSETTS DARTMOUTH -- Engineer: CHRISTOPHER PARKS ([email protected]) -- -- Create Date: 14:45:47 03/31/2016 -- Design Name: -- Module Name: word_unit - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity word_unit is Port ( DATAIN : in STD_LOGIC_VECTOR (15 downto 0); IMMAddr : in STD_LOGIC_VECTOR (7 downto 0); CLK : in STD_LOGIC; OP : in STD_LOGIC_VECTOR(3 downto 0); -- Pass OP(2) to this (OP=0=Load, OP=1=Write) RESULT : out STD_LOGIC_VECTOR (15 downto 0); DST_ADR : out STD_LOGIC_VECTOR (7 downto 0); STORE_DATA : out STD_LOGIC_VECTOR (15 downto 0)); end word_unit; architecture Combinational of word_unit is signal WREN : STD_LOGIC_VECTOR(0 downto 0) := "0"; begin DST_ADR <= IMMAddr; STORE_DATA <= DATAIN; WREN <= "0" when OP = x"9" else -- x"9" is load word "1" when OP = x"A"; -- x"A" is store word DATAMEMORY : entity work.DATAMEM port map(ADDRA => IMMAddr, DINA => DATAIN, WEA => WREN, -- Write enable CLKA => CLK, DOUTA => RESULT); -- When OP = 1 then WRITE is enabled, IMMAddr gives us the address to write to, DATAIN gives us the data to write. RESULT will soon show data written if untouched -- When OP = 0 then WRITE is disabled, DATAIN is ignored, IMMAddr gives us the address to read from, and RESULT is set to the RESULT. end Combinational;
gpl-3.0
621a5136e7c19633a26d1d3311ef61ce
0.587935
3.652542
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_add/flt_add_lat_exp.vhd
2
131,579
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block n5iTI8N6270jk7ucLs/M4AHOkhsD2r15277vF0EVH6cBiOqepi1q2yT1SMXvdJU4zzBlaQGi7W+x u7bCkLJ5Xw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FhYVk8051Hc30J8Dirz3Pyox9SO3qz4j//CP6I7X+W8iAUOnkEgnWMsmsXsFuaj5BeCm5iziuqYS P/399nOAZsk73YE0IENVjN4hP/tVPZcPBB98VkJf0RteL5WwXGGi+qKFtP4/srWScH2NiFjTlwk0 bhGE8O0GVY5tHHMsywE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block paSMYPE5vSwnpPXSEB04SPadaiKcM0uXJA1oTmjlMNMzH13JAK+XAEcXsDkD3HOQjwOybn674SmE bvU01yE467/nkjdvcJkLpia8SreIOMevgElh/xYIdCnxGpaKskoi7sTfbgtc75tfhoxyHU55/e1O FF0BsEpTeev6QIkKiMK2/svJAjxR42f0jhKk/M4WANJ5iLixFqegzNXU2PluCpF5IBxCliQhJbsM R2H4a9+hdSZZwoXuW9aN65m/sFvmqblLPGX6EHPuuNuUpdc4MRzH5W6nfja11Fipm5lWQtmOP6zz 60jyQEBx3lQiZIZarHm+Qn2ksmLFMVFYFDqejA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YAu8GPUwK/1stwaaYmMbVxwpGrFOM4pkUGhUSZbucp28uCiyOHTg02dxTifCsYigV+djN4dlfCEo Etjs/+4axNYqB4gST590SPHDyoZuupxwWckMgK305rSRp08hihM/uKgdZBpY9Y5d1dYKq3hzczj1 WBtI0fEEslIsiKEQ2Dg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BtXOZzJPy0ujAPqyNMk1dJ51C9/eQY38B+hS8LYcVQ0uqGX+KTmVg6xIplKzlOG2ZblOzjix7lpY p5aFzQcJW6rThPxjzTGqqFuCDXMZVMSi027p/Ax1yh9wYuTNy5fFrAmClXDhaY2dIuT92f5XkV0L WoqyybaL0SJRSDMrttCrGd8t93jw9GNilHH3B9/2Ip2OJeXZRMRYqc4fCo3G5KfNJP5FDv3nfvcE LwXn+GYiPGBCdE8BAQSlR0ZywLIvevP6WEx23/XoPS+6Z/JejgTZ4BJN0aIuoJenQWRP3ljAAkT+ TMeOHEJS6lahk/snY5RKbjLv0RqmC74Ce+pU6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 95664) `protect data_block m75GzA7IPgDrgmuRqYb18w2ULC7QzjrdW2zAv+tMnCO3NEwFuBZtz3t2C11dvwVMgBxDSDZpXy67 e09898R+Xoa46ShiOpWh0zcy81flGszfB/6CRr4MUim+aCr0EUXffhWHMMwj4jBvbURrF61GGf+R 1HpLkzbss8+mA5Po7WxWX+IP0Nb/L8Pdhts7RE9GjueGsaChZkuOC7Cr5gWRosmAM+3zPByTgTua Nilj2Aatbfx6hCz1vYbuu35FnHb2mvucJAKF28bjWkEdbO0yAygfi9p3tYzA2SOik5c1FhKMHfe4 aOGi+kPU6GRrX/ROfvagNpgMkOA5g7PSJ0e6LwZx9rBJWQ+EuJ9qcfVJlXp66UP4Ipog/U1aAC6L PofN4r0cZ3FAQ0fyl4E14WmEaro9rLA7CUKQ41Arvs+BxyBHRxSa6uTty3vhdxOg+vnBxkpZXi2J rc2vohRBnEqVCrVaeHQyqKHOXktJuD9bg83kM3P8HLB3tb+ky6dc1yuRpqoYBUSiLGybay4YUnBo XMnp9OAXxHDUKhljz7thV1tkKOBcI+/Ir2/EUGhBeqyW2w5D++xYqgb/goGlyiaBRIVnIVUxccut EmH4HU1hlPtfsYRwZDmZBQgODmD1U2nD7a6JoS/6Z/H8YqDxJ44uCmRteMnNJ1zKIOKKzOKkA7RO 8IM054M+9eGc8kMTiFu0LgUV5STU4JJILQhP5Zzr2m5pPHijOW0W+1dXt6oRGBeTKmoQzMetzG8t pMuFnvjlXo3U+lTqV0GO8PbKzzY6ZLjBKNsIJWW7i9TAc65zXj9jm1QcpsXmoY4i7ObptPj/T0aR Q0snqFAEMbTsQpnXcwntJB9T0PLKn06IsNtVFdqmeeHHkOb0HCFungryDubpCjYY5LT59wRSMY4O YIm/MJ7asATRxZc1wNkmGgBKVKkeObRrX6A2DHBGFWQ17G1V0MVl0cQ+sAkbLz2K5M+/bphlGh2h GqDBk3yJ8p+TOdGo3u2u/UQU1cTlcA7lKlFSDYXJKupv/uHlQ2g0BeDiLxIfq5Gk3dfquhibj3z8 DGErk7dqMpLaQSlpbrv4W/avlOcPcm1/w8gTUJFe88yhmhWYmoBDGeWeecOvjYNs2bsZPXoZSWLV 95UedeQwMf3GyyUMuvfzLatS+H5Wi5ozOEFHbL+/Dlz9Q2z0DLGbjOyxKL6GzsPXhGr09N0vy05W puDC8NKAQE4/q7fCBAGQX70daAVWMNnhNSRkUsTyb8Ni/8VisSaZcsucqf12KxjUKTqIXcxt4BHd CWMV41lFv6i0JboI1oxTAa8LU9+0D5lKvb2PukJY/e+Q02T28wvr4ghWtZzGaH5PBQFnsXQ6axoI WJ2yzmJKEfSDqD1sA+eJfpFa/Xd6pyceBjUf3d+0Nef3JDH+6SRGWctGFzMOAjVScUy0NQ3WqHdT tc9WJZFcN3FY6m52JHPAc5G+c6vUnPSjmECnPNrqGBCREJKLVekBW3tBK6LsrgAf2WsnEHFZb/SJ noV8rvpxCYzTL6YCdji0K+amItyOkuovoapwZ8TCuUoNX2tkvp/x61IxbV8LSRRMZYIRn+fWAtoa n5YH3oF5lKZqyaUoXsu7NkH3sGqFrZOHYcDdTzs+WpRAx25zUrHdgwvoGvRlZH5u+EBYYFTcdpRG 4jbQgyEPZG+txXLAP7QBDPPyndptRjcsu57c6ZTxbfr5ZiG6+EGNkVayNxGYfHijwhnk8RtxhigA lIqGgzIIL/MuCg/lTcoUBDxRlJdNVsCOy1y67FskeuKJnhdBZbRW74xaeY/G6t7cyDuhzBrqca4G 2BzrLNzCyf5EtgegMrxWH/7Kc28SISiqmcaFEkT1OMQcwviUn0kAlfw8n0JXC2PtVi1aI6Vn6WvF 2G7O9KCmWvQOyxfpQpTfeYbavXDGRlFiO/Yv2+is2SH3xtBqzXMimcXGyIZilBSXp88702BKH8sE E/pTUlg0QpPct6Lfm6xXONBT7byxEG8NN00m3f/hTq5LhxApm/4WzMf8D6pNSPu5LypwiAuemgWf GJr8uA/iLCVoIMYcf11zEHBTgIeg9mDSowFk5afXDPnpZF/GjWwBkmVfzt6PSUwUaAkX0qfI2mIK Fmvxbum25leRzLRzWuA8j53LTV8zOERYK3lhaNIgXol8+f9IEkwyJvvG6v0oahr/umjjiXUKKR2K Jff98qtzZ8TXaqlIeSlh7TtPIgaTAuGZv+Y34fDrLe8LDvEsNdnsoburniYUdrolqAe2G+VTPo3V QOXA6AtipC1mGtrd48WAYCd3A8vQSW5lZRMAh9XLL6wnyroHJz5cbkXc3VO8BA0+8sf5K94zzvtP Ih1tG9wWXhFFaf8xWLVCO1pq9gj5qbLCseYR1iCh0kZWHppgfIU8SwjCJVps75aNGt82VHTw4jYo qCnew1035Gu5SEPoa9Z4JCF+WynrT/njMuNRDaclQQ6MdQiqRTFMJA22Ejnv7hz474qPGtS4mPjC JJ8CCtVu6BkGrIx4IoK9IACIQ/xLmejS+icgRCdrA6Yl5ejHmCZuql3LfdUsop+fCIcYXqGxOvy5 tL/fYWzMDo/72RqE0QKn4Y9UV0YhMg1HxHq2qRTZNES4frnhETaSzoLVgDvjAn2Sxfqo+rpFRVTZ 1PJwBmUyUY/cC9xEUzwaH0q1mUipRFscfxam6iEnFlw0r+yyIHJqshJ38QXMa8NG/FZ1Gfh9EKWU b0cLlE3Lof8NxF91YEASPfRG6OLL3I23qAqLdOhrfFtekfNyv91lSAWSypnINF0PSjlkdz8YCxho AiEbXzUa1njeIIK7BjDo1cpT/iJoVDsOF8xCEQHao8mJ+8ngVOVpqio7wDyPY2hsLdkiEe12cl6H qTg3Gf5pC04fkxbv6ySeQp8CnxhMr7F6qjLdbk/sbhHLaASJHJ5ciKwItKLE58lj7Yc9PyQD1Kqt xfZhXhl0ucagcAt7BAb6E1Mnl0ALVV6kY54tn+x4mkSdh53VvGvgWrbEyjObJ4qLFd6YfCXznuHC ngHE3TuJrNaxSYE4wqQKkYZnFW8ppwT/iFc6M+K0IXtYpnHppzV5Tfh8ic4IP7djWA48jNZc4DXA d3FMKFtyl/XulRdWL8kl8lF2PzjmZV2bFG4qsZ/bLHQGY8msgPM6hQXUm/PzlfVl+BzsmTlR0gK4 xk1xn+sXrj9L9k3qcLuWR9puPQbNkHT7OcOTr6IriF1TbMvqH2GtyxUuBRdadSr8baGFoHBz9hYq s8caawczx4oZYUYhdGa4oKnyzBeA30msqtphMrv5eC4JkxhJg/50Lm4Kv/IuXJbwFu3dkkNkNU1d eF1q1N5xivLhSFvSxdloLBFwxYlsEliEMI7m7RcPldW/KbwZZqsZ1/jBRoDH7z+ZMy9bL+giyal1 uagAtyYDYkENMqxBnxMdUU9vK9DZgpsMYewzY4131KZUVoNOJlc9RMtw8FOSMkfC0EIPWWyCkelY RLI9trdQNTjNbRneozBbcuF2fOAJBpl/LGuPqb373SUf4ouyncvInctvAm5sIv6du8+O4iv+bxSo h120ssrdPfIbFD9Q1tbYfyD1+7E7FL1CRiOwwYXqDRtnjDsDihjcuOyr+zVxrU//1VY5Xs+KT41E 0o41lzbNWwf6mD8BKZUoGf8n3g1Af0vt5KF9EZXh87RuZ2DfXhLOM0g5bMqov+vW8FhOUROfra8P J6SXvO1A4wby23PPAByuloXeSmlwDPI8MlH2UDVJ+x8wHuOehISz6S2a7nSzFh0LvcfSp9fxIXTI KNaiZZrGL0ZDsfbpO6rTvZKZYQuIvzDr1yPHxmSKYgrpDQAXuQIGtUXyozoq/OLubTCs046SOBYV Q6sgVAkXt3v2z0FNAbgPQyeJAoSEpUkEPOX4aqqZgBgxcG7qWZt+wW+PCxDL2xcFdOqE0hBiAqgW 4T6Ezvm6Y63m/ogDdbmWywX65ARiqGXUVcnjSaUWnLSzGNnblPKtOzJYtpswxRD7MJ1CFW5ZPsoh 4KOsBV8OedUsbJwXV9LQppA6NnUoAjINfGDsPsuut9eOZLenlOFvoxSKKLmvkxjEQwb4Ox50iUaC 56+65UzCOSZxuiV2QN8BLMsjmXDiFJziQF4YW2nBlje4gaZMH6SMUxBc74tOAHtgBEtJ5/idphA9 XI/aBf11kBe8dNdxbPKuN3XjfeZjZMrgEhJYTl4TRAMnjNhYD36nH95QZUcIajSabuOP9gvgB5XJ t7wutaQFqxG1xPItJv2tRLx1VYHFl4VUfhn21gb559lWlDYa4JelWmhUjA4DAOifU08OlhvXxBtE QMGI93zA3LdsrJ6E5Qll36w/vNk/hM8oLwPIBOEABD0KKVr3ypkHpXTyWnB8pCu8jol9872nMMR8 /qJ3qLTBFkhRtsi2oOXCRya0mrwbaMTPC27/qDMiISCI+RmoMNs3dABxKr0uT+RyDF/fvKs2Xmct Xe8AfK2JzKIYVfEAh4WLrvtq8vDlgbfqL8bG58d0w1TxPiuI5Yw++yJAhfRqJ6GJWZ4Raj012rYN 6czoupRh2oyFbGQ41xjq5t+raoOOcWM0q7xk3j/9b+uYpJI2eFl730SEvzvS096TYMoRXLJaOBaG +37U70Omey+8GS2EBUVp0xt91y7HvI5iEjfV/66VcQIbWFb8wdOFpj0FlgRc/yLATIzktlsYtuH0 XMGDp43gIN9PVfs4B2pt43SHNwKcExEm3ql/b8gqQJuu3BfxYo8YpHaeutamWrkcQYQaxd/Muf61 bkfkudolUDCXa2Tz3K4gnKkOw2yekX6wRjNRqMnT2zHLZsddLOsEpcQzcdHrmYtPz/yP4kmE/msP I5TrHV6MFmhKIq9+NBzTJh5sj85kvTaFJsEBlmpzq9N+jppE96GfgkQarp1XkR3cFkxEmsmUlfrL n1PE+BYp7nn+d8lBB09fhXcSJQL8u2buLHqV4kAALuPovt8s/PHWr3Za7/+Jz42TEe2TU0SJhobC k9MewXRPuQ6Ptdw+BgBxtv3cBltupErJ9iVUfWkavhy/s8CO4oE/E5/vHJfK6a1147lnurjUeaPv rAJ9KJVUI4Qubygq3xpwExLe1GSvSZ9mx1mCRrXNnKbhhImbTOwymwodn9LHcZiqGG2H0DA4ZDlR PkpnehAJ5qYaefkPOJ3EQd7jZklat2nTQZ9HxLkGk/UjFaZncWJeYfDaqvqyMhVLNOBSlVAp/gPV FhlYO3XunaAmPDDKGIRaI5nu7YlxczYJPjmDpi7GFFa+Hd9cx23cW9a8Cx6mTS/Vat0HAV0T2SUb yJ0BnG42GDvZW4jldx1l+wSu6iEfcWy/Kiv3DFMBFJbHtT16ULI149sTFdmIteF7XYxE9/MgSK9f YaUTkquZWhmpjAHUb5dLHC7r6nWe+8QMyMDPgzas4BXw8EaZohS//LBXlIdmZ9Iy2/mGXWVVB9KM +naY7j5DpVcvfnYcpb54UJOlsyenonPvsy4XH2faI3szUbmJb+sGigxjBjldvnO4P0c+nBw94rm4 8iBZS2RAgFiOu3ex7hqdoz2Ir+C46cEI6ZueoP6jQb8jyjda9SLD1/7gC3GiueJBEw24LZpbMBWl pSR4LTG8+gjU3t6K1GuFjEXYfrwxd+tiWUW2jPE38J+W/BMlzV2rtooPS8uBRGF1XObTtB6jzZqj 5MglgGQst+5LhaviFXOU0NcGL9DGOeVIrcEAPTriT4d0gjZvNI7etDIzHawBUcgHVOh+TKm1mzlu cWCbZEDKY+Nh8iq2h9JBJ+OyGS+kD0YWseImsL/tIOg92TPSVkuF206r7FWpOKzlb5sMfJ5V857N xTdCRvJJbBWnh8JDkqG9fjwf2lkHnJ0NBsNkA4HpQlyxN+9uYLBdFi6zpBSiUNaleKN1yL7YuDx9 B/GYgwDdaA850iJWvmLfee2gDDCMPH4eJuyFroPHr0nAX75pi24C3cxDGtf3nHx+dVLWV+/CeVSd Dm/c03Ar6pMR+Gl1pZW41r1EJlGYNpMNcQ8nAd69taM4N9A6vg+QTHTBsCP0G0K+Ks58CpQFqltj FOIqR1oyyGXktr5r2qAEg/uduz2q4jy7Y4AaHGDkWVSSwCEsynNWhVZcM9W7Du3bdj81UxaFhR3P gwp2CACuaB8OxSkW+TA+IaRJ2BHt6SSKzYFi40bI1JouqiY7Kjs4t28MUk1h4IeP/cUSCPFYCVIg GWFRumpvdpczDFNb1bD4sFJdN5WxqUZcAJ0oV3ZFMDt2db6j6V19waNl9ee6AqTOsBSKS5qyGZP7 86W0OFR/v8DClVa7USPvio4pIDQ/L4v/qcPyFoVDqOUZG36Te9mJjk8vITZcZDpRdrRUaKePtiij oCVMymx6sjvG9TISx3F8DFq/T/P5MroFPiS7lVRp4+EX/fTOIU8F1tdyIzB6gDC3n7X/0hiY6mZ8 69nlm0YHoUs5ctaR0tyqCkh6a45TQ+vVn0Zwt2tVHhM6iqGqpY8Bvwbl44XVjtXFpDTzV5vbpyHf NA60zy2ORE33wW8nAVmpJK9aCUfFvW6G4iUCZwYetXDA9BSxVL6UsYQ7Azgbo4PzHXFP5y/9MUaw MpolFqvibo/UBAp1SKnP60yg26JoIpZ54kr9WKEYP+9/2E7NtXTMrM53penkN5qpRVdHa6PIt+gj X1FgJsprc4GKlSxaYeGxsuWe1+NaQy6xmD9Y6sNyaRAOIWfUwkhQwSWrwKD1drC+tDLuhrDbTsqK F3buHOz2knTdYxix2NjEOZ7WuQ6g8VLzO+yahmaogs/iv+KALOLnphym30KFSEkcp+mSxNV0+vn7 ZCcURhshxYPA78BZZROMKNGmfehmrtbBNF6BL+jMDGNT8PcpNXofShgWy0oc6dInMCAmRm26J1bg UZc8WFGNf3rhqYQeyKZAv/dUlNqjzEjbu9jIJNifqgVdF5Q4nlP26lDQjsIiUXhZymm1hgngU2ey 70tp0kXbpietHs95rYtoM2AGFgFdCWiWCa2wZSOy8DaZif3NW06fm8nYRp1ZllxKhwdpNwYR/P9F EyNuXp0ane9RycZ5obodarcywv/tK9LfVW5U8lCMWDCtAFM0NK7Yy3KykjX6amzhtW00y1WnpoRC d0zgiGSaRSnOLhLOXh/z37Tysl2GNyFrL7c1rywsghFiCBSIUQGvMirBoF00G1vVSgC9MKDsjMZg dcYMygajpLNgzJoqHWr9DA6eMpnJOl0Ica8Cb4/Gs69iRCsWNJ/qOjYsRA1dd3akYRLr49KsKYUg gTidKbhHkR65HNIT76IWRqhwltWAVE05lLa6zhUtZtaxIJgxFFcRDZgy/bmAmoK/LeNAbbKBrHnV YHxOTJH/pVMd/4UHQ4uPRNmEl4XQ55marskHm4VWgmK+cuoeUFQdGHV2rCXrUCwCtxbs5mP6d+BV Wmp1TcXtaA4NVGoM8nAWQwcO5FcTrynu099y6GaoVxJnE82jA85lXpw39llLpMwLev41G+TCS4uo EYWV763tolq0pQ6NKatO4b3YF4+hajeLiVWXoquW9RDSbMkW7UPB2jCOw+N7NR5Yy78UUkpVNx/j oe2ZclHqIHSsMjni7unZ/yqoWdHERAun5efQdlYBdk5X1t9B5Qr0rw6gKNAQaGuMQlXYi9OUXlhz kvtfIOwXH3BwFTAnsD9IaTFWteobPTuBgwKihGpw0aIWfzFdRWEqGfJNtkyJk3IY926dBwp/AQ37 tsmDPLegkqtPiUySu1Yv5KjANr3fQ5r2k+HyAnuNAyfccpUl+Essaq+qxwYH9qx6CmpFTOAQr/Q1 f3FhFfivduCSu8Dmw46CplFXy4tF7UD6P79EYu97+YBQtqrsD2QieI6jdLPuEFIRYZ+7FZ/Uq6/O Y/TyeDTVyaIa5KNErg4+lwddBH/AkKh7zT41BN0yzqwr/6NZcT3KaL/wYyTa6g3pfefnIhGGOlDb 8eL6IJd2rXv7JsvZsI6WPwRlOMva4kKLdIxvQaa5SpI/RA49Y69DA3h3cUILkXPLYjZzgZGlzXRS wQD3cZrbj+5EBZZi+Ycqaf9f9audfJfteKrsbg2ZM0v8zc1CnnoWcW0ECBNC75gdrUbfNIo3gWRR U6eE/60c8gtcJAB1mNTinGU8D2EzNa4jJaZLbHD8U9uDy1EyNob1ltzeJRKCoYe4raB3ZZhDqKn3 Uw2GJrvgQeMO14aBpdo/ZYLqlUNexmEm4xfd70RtsSjYuiF+4WAceb3C7RWqga4ZS3zrjFnGHFMa Hk5aku4gZMZVQVdvxQnCAt+KM0tiVg/UsgRrc/gxJtfFV/5RxjsDpgwefklryzv1rxsQeNgVNgwd 3a27rpVCuVOsldflifNsyoAVhxfcyMSG2TCasMMZIbjG3q98cxxcBcDJx5otEO/QNB9VKPeP3IbC bcCGgadXx16GGdIh8hdq1Ji8UPSroA45fy43E409Qd2DPx4t8FwToUDafbt5JKcLHGokKdSl9qkT H81xveQe5a66/KziHd8HuvZfdbaI2GrpSIk+HyldUH24XrJoyBvuMImGkzKJtr7/04Cd4ddcxwnC lV6GdykxZrmvgtfhnnhBYbpJty5LNTztxsZjMIFco6pNOVJOi/IAknVhdO7MMcKhhKqx31622d8L tcFVuvgN1u+QgmV46f0uc1IVx1DgMDisXh5PrAPrnHGdV2hoI1RdFXqy8i1pMdTGK0gA8uf3+rq7 Ahe+02XL6n8l426qn8XUtrAlg3bJY7MT2rKqRRegGHe2AtaLXpxe+uETjGReCeVmbo6J+FNYo9gi h+6yrwv9SDuuD/CSglv+P8sQzWMmTsg1jlRv+Z+8rD16WbOqX9BT3KTHZnGgoTvtDLSoCypkJSAA Iona/tOKTCt/wAeY010u8uHvV2c9EseHqYpv7BANb2Nre3R5MY2sExLKe1XLYJx74Uswb2fsk18n G5NBDkuuDtNwhfWhtzJj5V+teAH+9kGOkDe/D7jZpJvzXHKNtlDifkzlddm5+Z4nIu+RIUzuVVtC O9SiPBNUbq+MZ/WoR/UGYcM4ba1ajY8gf5bLhlu5UABIaKlOoeLxYY11Fjb6324qdw7Z9S/cawPL XhnD3dd0Qarvz8LSRuO+FHU5e5S1DMZYtckDsHMCRRUIz6Re2Z1U6abKTF+m9kD2FcKE0E6vzsKk FJKECT9BUDy5LjxaByi2WGweke7DoEFeWTd51yhh5cHd+uX5d7ZQufp8kqsdXdQ7PNfweDIElWFr dj645oH/dYQyokXByBRKaAq9gR+jFTyPcHM5UTKnz9SLd+8wB6lkIimS4C6ad9LImmyyrfL2Xxua 6oQGIrkYd0AN8/aXWv4XAIzYyeT4+TQx7lA0sgW+RZACJ1d6eeEgD2E0PjSNuD2iNW8o6tIAnXY2 8JfCGzqnEQ763kCDpdtjZzoPxFWx5+kwXby85e83NXMUsbCzTp5Ysac0kExF8zMFL8QWgXwOu7QL gJjG0P3mAFC/LamQuOxaRY9gKLWZbfEInQ7h2aX4YxzVksBjQaKvNB/SUar2ty11mXcH+XwiYQfI sAskHvFP1xkq0eXyY9EzWDmN4RGW8CAYpVb3+M1rpA/SQdhVE8CI/yhXVR0Xfmsr05a0HpsPsDWA EEDEyNh3ExEFlCXHC5SFAlJMR/c7MjgNT8j5WIPL3SXyogoHky9DQXK4RkseJRYKYUBFwpy6NREh CzEp+hvg8nauzBSqenG09emJFMLV7HkAlV5B/rTQ5uQt8nRaWory4V0Y9Q5+NNvbKh6yHm6WMAaL BkZ9fZDuKwp/k9q1Ix3tvtActEsx4+/SxtcDRtPw0ZJpXaVLZtHzYtuf73/jl3zrmMVkKoZlu4sF c66cJrN797fnrQD/BHF+yKTrXNF6rtXwi8xmKxl2Ro4pd1oTq4iRSO4H50mL1iDURdlpvbb3f17V dkviCWrztMI3QhyKUEOCbhh87hq9bbn3GUIzYph+Y48mAFCMJCnsDdvhTj0pKkLxjiZ5BFh2ghFf OdMvpo5T6Znjjx09KCG2zdyer4k/eI2vhEXWwpJ0AvHZ0CmEiODbH/b0l3oTMiNMV9V2YoJjPfDk YnojhRIirXEx2jhFhSK9dmbmd9owBerjRuZFrT8gUEFTIE7lSOoUP6htUClywtNYALLA5YyoEPXh yZP2ixQuLc9jOYzUIU+cfpIZzAWvI0rcIMMtSwoz5skbmyeusDDl9MHIGLSDCtER3ZHqMcLI0BEX 4/b+qKYomYcM48kqVbLAOOaLBQhNs4POqlRHaAwl3AfKPA/XsYv8gVg4zWk4UIeeVwgvofjNdMcP veBuRCI+OatvpM2f6YF9c7ynv7f1tYA+iGF9bS9oIjNYrfrud0CBwOqq0kYSJIHZ/I+wfZ1fcYm0 UqZHuKT0vkzTyyfW8nmiUALg1VMF1HoD6M+ffpnb/5DAQT+Rq/nam6/EbAQUdEXAXSClUcQVn73H 7Dt1W1lYIIh9o3JD9NqPzleN0iwcomjqTQ+yGGczwl686a1GEqwT8DTVMw7gKznw/9R6XsCP9kYn bR0HTCfP9knL//AeqbZ1kzLAZslNYXVLDcxue5PXCnggCUmBzN1NyHkwZHvhR7m1Rj4AkwZPZP92 13//3apeGa3YcfyR7zwt/RNsGMo9LSHEdq6w3EtOlIWXC4kmjMRNNb72SgwTQmvxf+7Yee1OI8WZ A9cKVArDIdxdPIenLaCGoAIrBmvijrfY1ziMiknvE2LC0EGBqHRC6OaO7plyKJq3zEdyb03RLc6J Z+PXChi8dLZGF0nYtJ7+r83zjZdZR4IN3O/iEeAKvPLlvos6xBZGHjYj8evQ1zPDcgBSjG7qQOKn K4eLpvIoffVpZMk79y4GC9HKTeuP3S/PX+sUG2kvTGsEDj/7HImfFghSqcpdqsWG/ZdE+uNDC/qY kEPxElsa5rqMVDIBACyOlVq1XY7qq2gLt3RaGbx8y1HYJ5vXGLPPY6Qmhizxp6ETbH6kTqJQ72ix +EO3iELY3Ahb+lrg/mNQM8pRrfQwP7Bs2OAlh8xCxg8KNm+gs7g3CMIOXOCB4Js/a5e6k78dSFUD hAcHJTIad70imSfjQxhdr84YEOCMigRkPssJXtUVMvqeu+MVfEJxxiykEj+5yTIsRZCGF+cR8Jvu ptYiI3sY5Q9H+49mXrYINbhZ64LIEHza8tHaejtdEHFegGz1PJx7kKAmwk6c94BdYfaz5U8Tvj4I U1PxhfRn9SnD9tcerx09WCStkZ5GOoW4+P4sM2JgT5oObL/T36BX/wi1SbzprgSOtQx/T7SDQuB/ BGH+SKX2j5HPZMHkyBcQnnSyb+Ku23lSzGBhT7vDzqBi5mU95+6xtfkozp3fsvgitBx37wOxGpmz 8xW3UGfgfYIcdXL3GxM9MLnkUbqpM36sScK4EuZd8/q1NFPdleRFiZQo6b0H/kiFumZryoz2Cmwq YJBDsGtLk1ML/An6XoyNt0dm2r9QuX9zbxtrfkiarZiwBNVxCkkf8dG/q9XBkkreWA63gcEBrWXY FPH89jfk0JSKlXmiZUMEFfH3p2gCeIs0f04DZ1zjCECOlyfuBiOwKHoxZPq+v6UEERIZnCiOSyGA oSqSr8y8n68bQeb7tS9P1z+ISsG71/y13iUScbUyEzgzVJE65m5lZ+cTjbmttUyIxj0ym0AxESI7 Bb8JAETb78B9RevmUvUMdjKw9AI32kuBAiwoLYEP3RuFYr9n7yGajME4x7YYok368EF6/Q0HQBLc /btTqSKcGfjKNcMSm/vjzozFpz+iSOZdKO0nb/3rfGSpg+dT2KrDF6ZaPkakeqOJkTj9ZbPtAQrh 10xrnt2c6IHoBAwPozTWKZc0xyYuqd+wViiH36myaEvj6fXcVMJJa93HlS5P5LShYiJwg5zNNcrK iqL1SBeB+O4L8GU0ZKESO5xM5qHJX4lXPwL3qd1YLPQDFYA2Nzwe1Xh0zE3N9J7mpwhMKdKmShRn weXwh2OtAmBbKmvYmK0HJIS9b3dXyCDanNovom3WxEXf5iKUT3DyqW2ZzBIcVAlINtYea6n+HsB8 r5X7PI8DF1Z2WikqQT2CqQxp1P7laje4mRRMgiMDuscCcvNA/F6rNeJfeMv+Pcuzy6ogXO51x4aI ECbFaRmyiBlRb1QS6tIOX+tag2GdURe2I135poP+Akt6eKDtGaW7hVY+CSMRs0RnWf7A9YUwVB05 D0IK0etQ9Vqf7ax6GNk7J7GcrxuirvyNtK4A+GfrJbf1vBmpqPn7nLt4V95wtgnnlP6vpQILeIQB SUKBUardoLITEU5Rg56D0viU3mLANrs2Eh3aJbOAsYfNadNbrDQzESS9xtnP+PjQKoWZYpjw/2Sc fv/icwUQ/r1+IhJYRrTl/HyjDJb2alKRPurGIFEMo45qejowquW3kiV6G1lxuNR5P0N82FziCxgc yH0qg6efbbPGnTBsP8NZLuK+Vxfilwgn3krLXJJUaYcZIbgL7x5ltczgTnfncCGF+fa+/f575ioR GJF+CdYzasjUFeDcFH+ISe3CVKQ1QTSb7xGAkQiCa1jkR4vw2a4xW2mAwySq/otwL/Rk2rMih20U k7JFQntVHfq/AEm9tJys13yZlwEsSz1u2ux6NrA5GDaTjrlnkbk12nKH+I9xvTYCN4IRwD4UKvLU E+xHZj7hpC3u+EEQ0hcF6eyx2sihLRf/+Qhqjmi0s5NAnQDtZdmYOSW7zUt850W1V8ySnqBMHKzj YU3M6OD2bpp2UUfY8YmGFOY0oyxJofyVHXPiHY67/xKkn8G5oLUhin+7mePVtcBvGKAJxJJVzLid OJ2hFp1z5yMEgWIx/+/fpLo7pQ3lQittplmwquBRxGmgPyM9PvOFCZOgvRYe1ildu2Tw61KnAPtI b13KQLV5OQhs5eCxsXeZChQWi/ndVBR9sdU1iqFK7z3QMYe+Gp20lNhJ8SFza3i66DidBJEhaXhG b+NObzg6lnDCxP9/wj7fXmQoOFDKfkcmzzCisHxiigQObiQR2VfznSXR9PF3Qv1MdXmJAfjkQxaf vLSCnkE+pmk6wydreigbvakeEHCCDkOzQnSTcwVV/jEZigGPMFpShFbMlwptKmkmXqnYAcYu0bER DMEjFVE1pq8cDV+a3snPVvfCAXO3FySn701W8UTWcR2K6BcnlSNOMMYPEva84DqofbOSVcdHu7Bs hd7prwQhXT/6cexfGnbGasTZSFcTMWVXS9+Uk6bIzDIzf/iXP9WQiu0yjG0jIXnvlqaKA04Ge/0S KGAqona25a7aitvm/PnP4InXjCTRjdYGQB9VsB6r1+2LH8OnlX4vwwUEnsTzK8V6VNtzGOseKa7M s8zGXN8FAhQswfJV+pvjLqa782dYwGbRHunCFcnLB9mzjSKokowSQz4NjgZvT+4+i86NdhqIkGYk HjuhnEBPOMRShH4iS+hjZVNWgcEaDcB6MZ/DcTijDLBjvTQ0SDxs1CU5ANA1c8y/iUQbv9MnaFia 6B1oISHINhB3qx7AvqaAJIW1Mfbkf2OP9WX8GK0tUXR25hMIkSv11pgoTO9ZJUD4mxUBtrOnm75Y HYqk11Tbt7T1q5A7jTYcKBj/ZcMAv6pEb74i0eBIbuH3oEtzQHoKU5pFG69SsCWgrQBf2N+4Odrr UUVRnULLqB0OX5rhatSibGKR4KO32Okk4Ye48VmOQwLn31ppZ1xESh0OSjkqlWBcJGPTsGwUwLFx iQ6OKtw5dbW+FtZ7U/efJGBKaxJQ2zV4lAfinQyT4hgU+CjRBdllfp5KAUQiPpT6xi6bPoAIXgIc smGZIxRKHJaXfqrwS4+YhOMH7jUQFHEXPaFE1SXysdQOE5VNGE7wWKXCLi0YysEBgLfEK2bPyrAh EyfUsnWK58sxUJVABup8AsdR7M9izumxAvEbhQxqEyjvfY71oLP5fuD+66I7bOvHzBV+nL4n3xsV SUGoDJuqWb3gnSi7vt3sI/OQSmTBrTGGGjmkBnxvZMjqv2BhHe63TZ4oHN+gv82R+cBS2Th75g5H bcN8AcXrBVhDrLX/n5UsCirIRFbuLJrWeoGaWw1PmdVq79R6thQtIhs20d2SQzakxlh2Y6Dt44tb R+U4ef3GYKytN22pEf4XX6iQrcHggXxpLVoScl5WG5tpkN1xi5NvGmT0XIhGTW7qdQIdsPW1o+h/ MGyC8YRn6CO6VWI1H0As1PGCgZJpQ9Ean7z+RnS4qBsefYj+n/1uzyLLyV1hVPsPqbtDNBA9LZwx dQUWRlcoAOeH/SqgZTQgApE1MiMbCjKD+ufECcUW0TVhznezoaUNloKia2q32hG26lTSaAreT7Br pF4+zyiBKcLX/2xjIb0SMFAvsuB9M1Pjn1xkPhfKzcjOe/vcql7DNfqIavjJ4iJz5OFt0n22roj5 qE+t1SqMWr8XKLbUYobf3mlyzcPAYJ8nUb5kH4QHdVZJFMjXb1lDsy2Y5tXC8NnujJpsaafRu9GD vbf+NbfaFwO6BpA8CaJd6DuazBQxy/WZZ6IfEmjT1Hy8JbKcqSMDv5KUJuaYv7jrAyTz7lLnCxkr gmzizCmfOWYSMhi++G2rnu7t7/VoAvM0g9YJa/yQ8knc147pBo4O/EA5F/WNT9pLRPxTw7IwYubr msf9CVdkBGBLz7uiafAZhxgLAgV9y31EpKZz/skcAp3FbEBwiHkFDZvaqT5QJDYvqMEkacGXHvvk yIlBhx2QITIeBINETXM+TI6w36TYvP7d+XkMf55NmjFY+I1xCAksV63AC5xNkw9Y+nAY5vhM7lvJ UK5qrAlTnkyYGLjPC5Re6Bb82hYQVQIRPe070Sm6PTsl3Rd6d0cYgfFgQI4RqEh16GmY+f4A+DwL hYDO+J0wAKAaVcknZ9XK2M5RNXQzYZ/zsQyD1xVzlIJPi0h8iFBAjSeExNpIkIz5BnCrbW48Yk6R 6JqmmtxXXrP3UOnw4sXSDTxOMaU9LZQdITahfeYH8Q20Ug1ZQeHbYM+I+LETdacXf3IWmDOfMnuO J2tuVyrzUpncUSlNqsK7sCap3tXNweNw7nkdtObBfl09BYbmjkXI6qMeCPERaEJn8xxFWgSz32uf JCGZJaliLE91cY+c3tzrNDWKv05X8bm+lsMcqDm3TQWJiaaFxtOw9/kl7+dax+2jZcprykbVvq9A fVAiPK4tdQw32b754vYe1XdMQHrKSfoIpdLlBgOCWCcEueHPkPul5+KX9NWdleJ8Y+sF9Yoj87Vk 8zft8e7037DN8KeQKz+by/ywrb3ezO4fG3G4PTmE9aovWKGogbiEwjE6ANgBv0CIqAPv9QM2mAHP /I5hwMqTTlMgydzv7RlwRU1Wawh5NSaIZ/yX31VydNKPJBuxji9cfbj+fX2peGeixCPvVEe+KnDY 025xr6r09hfkh9XrXRRnjR/WIyMgitsTAAMs1EL6F1iUbWhuSUCzyMbaAH7OcIAk6C4VEuU+xGL3 hX73vbFh04IsQk9p7vApMPaTBHZ7u8OI3WGwYHf7+0QXLI9pb53GIPVVFOiYS/r+1AsuyWS1W37n 8qosDvByUvNR/Ybjvhhc9wG2ezwOMS52ER6fX8ir2QF0O2JdWlDqS1yhXyft9kHxzJLehM+jsMLh Fg9Gen0N5OfVaXdqm3AhDflDagh3EjKyaFlEdUp4GqvGHvfOLMd/JyrOrH0cSoRHMQs44MeH0f+a onkLPnVo9g3yUH2Iq0Jml4czmMtjUBd7qxzRtFbEsT4i2xn5E5vFqgMFlBOBRyoRoi/omgwqjzJT PIkkpx4OQZqX/B6Ianef+Hy1PzOUj7hfurxSewinntr7EgmKc0+ZXnGfA2hqg2rj7ycumrPxBBEy R0rHhDoQsMfPpAtFuoISXdjVLE/Z25xdYIQ7XTD+htTQ1O4RqLk9h1s0xlbnHvrN/IhScsgapMjK 1OOCZWwNo2DQ7mAHrm3zAsrKW7WJOmsandKp/kB+xbOCsjVzc2S8ReGOguaMMEpgMl1ZLehZAgLE 1lcOhu2Tsrkjlm8wUVNX2Gr1bsYl0VAWE8JTyyxhsENoMz5H4XcQ33mmYuLooTcpGxWuEOcWVD3o 7WWWKrpFUDDo9oKM+V+5roBRQMsBg2BFGs1NLnrXry1mT9WjrTLiSO+pu26/9dwV4Q0fSxDhKkuD UIppiMgNS2sOVs1NM6fJn+q3ylUZuDXiq/vAXkD+WaKp/dEZf6pyMDfEUGf26/4slPf8KhY3Se/K SEXMWMRhoCz3Fu8yNlVxUBiKibgxrHmtDp9f+2Wa+Pc5vxLoxQCECTjsybfzP/ne5aEsHjBawILN yGI5MlmtCikW3aVH1eWkTdPeJDBiNqUUL1I1oYjfL6fsORSH4HeS5APNlk28CeEIDQMlXQWCAWjA 3tTp803qivlaoD9PlhJEE4JR1N7oL0JDWV1fI2w/kiL1bhvWCN+7rkwwb0Xz8G/d9uzkQuW9Liyp h3nfroL7l3ZI3pwrbPD5kAMu+D/R8Rw46CspWOdyl9zdTSMxkbdwLDgl7ihVYf+P8vkuTgO23cE9 0j1h4zI43owYcV/Bqje/ftXkZMfYH+bzkl1+zDJ3wZzlJNKytleKO9X2hRXCyi1xeDV3y4S6I6Ro A+zpr1D7FUQ/Z+Z+mbiYI6zyacpV7cXM0tRdDh0dHHdQ7u14AuUX76WYHNKeyJZprCT3WA0RcIQ9 tB745IzU/aqXGNco3UvB7ueyc3rU5NsZtv0FX8jAz/gtPfLVO/qBjy5h1VZwSlCHYcIJv47Da6zU OKltrvzV+5M9Hhf/4M7ecyzOHx7a3VGxqCzR6NS9eiy43YdpK+xLXQZGjA83SC/0xocQD2CNIbUu f6AGbZM23KjsCyG4Rf9zaFWUN80y8QZcQoO7/eyBf8CzquHiPuCDw2Lnml4j9C2lxOPAtByci0hj 8JkJl2n9eShuTi0McaKJk+szXUzJzVwXTTT/LE30sW4aZW2xtBO8aE2iOc5t25YSmL6djZphSGLC hj1vDQFetncxGhBwJnxkd+ZQRRyHOO61cdJYDObWcsKotjitJlaINVSZ/G2R4Cyhr9ssgrPIWs0t aqys9OcnsEGiYMrZmxMPax7ZbIMgGio4BvC4IUc48tJ00FXLho64o3L/SEUlU9DYRgMX7z5/O8he /NZEop/pYEL06iY4UzHDSduAjQShCCpdoJ40Dw+g4v9qbMpxD4Yjkv9HxGQmAJ1QZctlHf+L2j0W /VIeYUGGR5EYga5Bs2qwIVJUocg+IX4GyED5ffhmELYaewoCborTOacCysyZ1RVEAe4Lp9xNcjWX 5HLSBcvCMDFRWeYWOaK6YDfQzNngPfOrCJ4lBHFgmHbcH/VAjcCv+GITFOlp8VK1EGIebn19U7CR PRn93i/aV+98pDDvXd8p9U/Kbvbg3cS1jmTZb3jVGJgP8wcxQ6UW62QdkcOt/GGmbH8snlzOUiig lyH80mum/1E7r9a5tg/Y3GPbNOytUDIkveHjxlB9chPdTFjC+nKMDoYsui6v9Hb719HZ/JVIESuP G1IQ/Q87sIDsv40F7DfBAEarQ4vpG4Qy4WWivUH4XAC3JbuufARAnrRrUJDNF4dLxne+3x228qFm JvsdFAjXZjG0NXQwgax1zC9wDHn+AU+9tP8vNGzl+cwvbdwMMsk2UZn5xJ25kQdLL1SbxcBdjSsR 7okH6HIlux6quuGzuIMj4VP2klUdeYX6Jf9GF2Ihi+QuiQkZqurXbg3EMeg/8jJEd/wTHuyHq2eK GWpbfnFBU/3XGmpzdCeOegbbHhaoccI7Zmet6kF7ZCMUCjAOf3VSP4odeDzcqTnV9jBQM6jrsN5z S486cqA28r5SD+Ptv5JsPGUv9y+HYieOrMQPc9/lDF+38mBt3KX/q6JLYIIJ8/BH2OS6W6SKPNYU QGSsaBXCOlipLanPN4+l5hZddODqdZRTs15F6ykS8EfPNqAasvVkPz3bB+mFhF6TAlh5x3yFCyXK w/BRBKGtabIgPH956shQSXWVAEHYyiIVeNnNSQ4rVWc+IRyN3uwLY+OcWxixdjhiU7cwGWjq9wkc XvI/0rR2NS3kbjkUB4yLB6NX631ujfF6WhOriRbtnGpNjKeqals34mXwsE5YMHhQ6i1j2uGUMbMM QA244UZyy5lUBQpmT/F5pU0uo4cKZ1pmA9euXeCPLXvfoBCPn2uHwFs5lu23YAXl6VRcp+5fp64M T/5mNDuq5y+GDCqDnhk7xlcO3jssZDuHoQqWolr8FAASTpgczjAJMxrDTdwPawVHU1kM6XUDfOx6 sGcKCd1q3leTHDFrcDHqAiEdVPiVXsITHpH0nbjBZVCPr36L50mZ3NfPzX4kVJaxGPmA1Ehmih9B 1f68yFPvwFtLeTVwTKVOahfIO3g+kn5yBJh3GjoxAP0zjGUx/fj5Q7ymNieJ/QCX9I18ssjX4xQV hzHHBFU+3H5iwImNodPZNYvoQwcYp6WZj4xIp4xfo1LEqNXYfLG0g/lumMHOw889re1RRlokEdFw 5Ay4L/2cJ0pGCki8iZ2+MKlnNqyyzjSzaWRioMQXWF4Gl+0sa9dWSzZQd+DNTa0OcrLr8dpqo//d gOOv86XeNthMe2oQ0OHaKgCf2HHi5KbkG9FBkEwtH4pXcg5J8a8rTFSZzyQABZdrSNz+izcUe6Ky GRWfGREBYxPFUEn21yKRiGlRUBHaBPorXmYN3qu/K0gt3HgypP40B0SAcre84nlfLWfQCBxLDTD+ Ha8ZVzeFqUipPSNt9caWhovF3O7NP6qS3s47s1EangC7PKLpAkJ3OngNLl2hyqf7b9Z/+utYNmYv 5tn3Zex3UyPzEomnsvEiZUt6WZ7VtefbTG7xIi/Bcn0IOeUL8qEcOexrtGt0QzbMOAIczd5LHcXG 6bCJdSyfkKMRiB1TzYHCScX5oGqjLUn8EwUlzI8w28i+z7+3nFkuRvv+bz0a4efpip5KpnxmU8y2 0U3W2y9RA/rZCTWTTodFL6LjX7Fv+2QaXi4o+epVNqWOyBt/jq0oFG7ta7L7RrOMghcRPc6hzDmJ 6/GlEUVJrkklhbqIwWv58H+IZbPiP6796Dl0M8OSyp6IbVgVX3to6+062mcm5CZru2uK6Ti67BMb XNG6nlwezleDbyo2RL4q/gouw2dklBTEygDC+oK++SkpkWxdXMAmNYBDNcsSCrsGmSfHFgpm1sxZ Hqzf05w25tynNAjm1QngEYLPVD4zLF7QT1JWG21yKh1p5NIxW/76uPoxcFE2mXBvPH760VR9m6Qo gZ4sbH+LgoKWXRzwG2ajdSJ4ERCGbPWcXnx6zYsVYPQ1RT71N+RuEqCV+Y2/1CyNme6YTTtQDRt6 NG04lcKBJvw/wy33tEpIA1IBPROCUwWNoh73Zt2bN2kwMRt7I4QxlRAkrU1XcyQtVP0COa1BuseB X/DnxO5BJRp7Q+ooVAFjmRvQumOUVLPY4nVHfcx2HB/DSZTebLLvGlPy51fuuxhZwVRNMN+MKaCm a1T5hddPVf/N01szRu8877tv0I2siNzpASnZIzKrU3AoEwIwDbFwDANUYRFTCy+Z3f2/uugjJmHa yGIbcdRCkAG2mjoiZmSeZnIySB708zGUFCc4DCF/VxcFNDUnbf6FkZMuVM4egPXZzNizQjEnSGtX dmP0H+DAsEwSiaOseayNt339TP/Q3GjC+QbPguLBE12NRY3aukrTtZev4Bnuze1XY+c+9H4/RMvQ 7clWL1KqvtQZoNUcfZ0+LAAshIPC+/Yh/nLNSKt9yXP2rYyDPZZBgR1w5czjUoZqnDkGeYwdZmmU IBgM13HyGRkqzaqdFExXj+HT4un3yQVN4sOPzPKAGdhIZqhgaxEBHpX4bbLZHJnbqAS2ZmXVbcXn 5vGu6P/ujWTfNgtnmUib1ndYHljzXXCASysDLmoe2PVzEIYbTGhpH7Le5b6m5+WtMMw4U+WMSAfC 7sWbFh7joto+El3Maf7Qas89DXxCfr5vQWUQT/Gvw8BGQuk9mlLNGEhC/RTgtXxZc0VzdIjdB0nx WXvGVmD9OzQtHFT3gNzN22snSAPvP1cruPZoq0D9CDc/M1TVPt0PBSaN4PJhFmj/UXo/nCMQeyMo G/nQgjCtiIfT+VN1nNnZ3M3upBd7yfdrlymQobx1xhy3nDpxj3DYSwG927T0FRbB2+2Zc/99xma0 qSvnFbDVOxncKpMSeDUDhy0DC8KxjR3a4Xe0xyBLU5Zc4AHm8XsiqwUROjLw++fJ5whIT2puL8nv ghWP7dKNKB+R3ZkTrM9cHkbtresqOUKtot9kuu+EQ+b22cTwnS5j5/6bFjPvmAUl63vgTEolbRmy /YRTFGDDR9w+VBIaGZvGz1kKvBrxuloTUNslyzhjw0YUCI79/y27JdqtKcRifHJPU1IQMyN3xz7B AtCGln+WcCbDwz8RNpFel+EiZWAs3CGO3t8eC60a9wD2myd8gUwRChy8jWkfPnGFbvD9NIUMd2t0 Uzj+z3m66umQYOIoTKsFbKu1cw5MHMfwGjxTVYHeaybC6wzEBoQDU/TchHKRXaD7ccIn+pGC3zf0 3RMW+1sX1R895TanmvOKhXWETzIDalfcqHH51F4JqF5dvG7axNOq5X2K67bwo8GE8fp8lHVBTStj ss35R0GaGiF6gDfVv4ikuPrLcfa/gv6iKJtlUzDnxC5L7K0KuAMPB0sB0ZrZeZA2fHnt7S0tfdiG 4VELyBM4Xi2xiZ2Arix2ePO5aD07AmUIpZMKaXtVgpEDE/9eg6RjGoA1g5QTGpYlUiY1ZW0BNoat 8RVN3ws21lqxwUKncwq3CfxCfTnXTbBdjo24QTb7UbASgb4L229P6wQ1KEl73B7qjeYqFySvdrgG XKRoK6yVZjtGoaNF5nLgrqA8IU3JWZ3OISiCUQAwezK7HMW2P8sn2Qx0jNvp6Li8v275qqwllKCb txSaMMy0NZkKWzLPasaCe0T94XYPdY+tUzmb1llwtmq4UsYv+TGNsx5uLQZcRY5OFfgfn2qEiA+U oxramvTm2rgUderw6UMf501uV4WYDBbsrGkEErfEtLwl7+ZdlCAyx4uVrxtnJhuPUEgXyNzE/Ffy e7tTmcb59Os+eN6g1ZjjcQ+duILCxsWg00Qsf77HTjHiWFX5mwBjZJgCvCr3JkwPzKv/46cl23xF No6SzTXfOSZaO8Gof2CxvBeenLHPKV9wwOReTH4EDj3/7zzfa09PoEy2tgSOzGSo9ntSDeXDUueX dVGo5Ev5Y/yMYHbU4Tcwaycv8/jpLICmRwqhbAg6uadMdfvv8jlcu4u/AbF1C2NhcqZ2H1rvXD9s GKk97d9m3HA8zol55Yfqg4JLHT1rjn7prB2Lai9crs3nnKlU73HaQjz+F/2B73BuhDlnRKl/S2Te 2MglcTOsx+bMDmmNI0dB8cfx0Pb9Uo5XZBGXdOkDwexPBOgupBwAJLMJWgfoz+ZYL2ooDmcPqU9K 2mg6EsmsLtk3ds9f8jEeuypaoVUPhkk9V6DqExlWEvF78VpZVfsuVug336H/uRWro8f2HBxBjm8a EJIvNB+GLdr3qAM9LfcxQWyBm/hrfcfoNxwMNl3G4cbVsNbj4CrO5ErwqGGNRZI67khG3oAOVlX4 MG6WvzXMdiZiL7nO1uFZXbwU2UxqK7cm2zjA+8dPnr0KGJlepHTPbvpq2JWuf7A6vkLzS3ojozrV nwVUctd98iCWWfSQFwNHMo6bVlf7frpqyll9DZ0HdfqpgS2jvC7CrLYz4Plgm/yZOzzr5ftpA9q0 ceWjOlzOUWEetVadHDwj8eS2GCKb+IpncegSLTl64mx2k5S/ygf3AN43Yq2hbK9wcoLoanGrKg5F 3Sz9UtmRcMH1sVPcNXHeSwbQl0ytiFdLx06VrB2HQd4ccXx3cjx1RPdE7AgaUBnnKQqqX8WMoigl 85dwGD7qGWSuIwNo8E+cp3HgV9L0brWtGjXjpmT+OD5m2OqHpumIgJ7kM6ZOqivCDTOGbLrdOVWh 8RvKdGrM7Abrn44cYra3yxcFLmbsTYMbwdc8ExGkh2WFMN3y+zN06HQebAYd+ytcWkTP5zIg5GmF 2Gt5O+iKaVQyJBSjoT1NJAMGu2jMXthoOIT3hzZhMWIiJ6ISbwp8v1ZxlhpKNQYvyQj9RCGIdhpl zjjs1bqYBT3/CXpf8+ZysUFP34O646kUnapR14U4INF81azCLEWF/6Kh+kfDAmdnHOg1Ah6Ti3ko SiwH+Fe6iQZ+N5VpVcbrCZueyUuZMVGNdmUvxSm072Mg+Kf3mNo8PomOdxwax1IgSHxB0vMuTnUD F33cmo363j/pCweCQ/Uftcgw9RDMU5jLZCZuK4gmSeim0lxCqoZ3T6JbrHFt2kFW3LCrgWN0NdZa VRMYVZ/RAuyhvOBM8ZxAc348X1pYanOAAd6HgkhydSWK5HqMlsj+8nMz+CgiUO3o5507gfXeacSk Xv+fuMHAQr6/uulVRapavMcPIqEhlFqj57TgSIwTJ+TcQDtauEqZ9wOU0TT2T5v0ds4LRanZihis 3WFNc/KgJIWboepfQ/XDUu1xs879yjRE6PxFNh3CeBkKaD5xSkHEzjga9ZrBfVxY8dx14FwqBbcp v7nVO6FQbN9De2Eibb+0tmOSqsinB1yESC6Ahot9nZJan+FK4Lmg0EXaHD15SqwnABjKxtdc7QTl +qzLYxupTeZXRrei6VBfDrUrQLHlfiASQ2nbl2FhHKPXwxTDq4MvtCrRXL/bQL3HXGoZUDCjQnzW YSVCNpxgnRAbfMUIrzOMCYX5pj7fafDFMt1zELotqG5g2avjOIDZE7WU7WuCyDRgvau0QdfeXUKa 2PW0+L5A6Q2ghch8RvH/Cw940wQES1Yw0VxeDh0uxsfSMd6vESskcI5kLS9dM899b6LvMcIxgSp/ bW71PcxHO5xBDWSr2Nb/HCB/mNF719iknII6/RaOUQv32Rg67tyxWUgMwwqAoInJ93YEpl1jaZt2 021XYPM8Be+gxfBTnLOHz5DddRMnufNAkv9dlF3la4DCvU7tV3G5LDq7EbtEaXfxguvYKZQfVxQT dknHZBHw/wjE87mQt3JQN2sHdPwdJ5bYauqPpgcnvcQ6sSoRXroHcc6048CxST/mqGEWhtQbZa2j JGsdsyTEvS3DJrhOWA8pPZ2JHayS7fGzjVVbxnNn4/TTvPsBJKJ+/ZXy7YvlsOM5ptby7AnxiFnB rM2gmLytwAjDLkG/t5A7n38+Xtx8r1Z9xb1tb3vfuUHfB7SBNariiObiavFo1E3mh2zgGOCNv53/ Y2vOFeR1zk11GPp+h/TKZIBnnIFlgVh5+PG7G3UOZ3frzLElZ1n12ZS3iw2p1Jh2rQ2PfT0MwTZD E1D6Z81/ZYsmWRxdb2a2AFyUTOSFs1wBFocQqfzRAXbFfe75gfco+xaspDn3rn/VuD77o4rLnQ6a EFqmjA5FTfIQECnQ76colv/IMNDtH+ua+dDzrUfprs/kZYvPVAE6UD2Tk81AmWzVwpUsRy7l3XcK z3GL5Fo2pO8e5gRF+vuiP8vsE6KdLVoRgNITpNtl/mQchJE8w3kdfuGq/u+icGIOH+i8cbFh+zNK NVWlP5aG7iag+D+ssuw1zzvMswb3tznoAbWcMsFoq2TzOalByQa2Y9wP3IOHfvOi53t9bY2aqIYu zn8E/nS6+SzkatpU1LmjXPHWdEXXQCVYzvd3YC4wquU9KUHK9FkBzqyoTg0yHibrLrO4Mia/4GzM Suwh+Xs/Mg9iZoYLZRpqBENwLJBP6p1ryClgufhtXemHM7NDlZ6jiQ5ikV9KS/2/wXJHjFnhm/UV zZyW+9vPvsbNTkPAf6tbnUhOPWuVqtkR/o+ygvAAaj/CuCod6G6JQZmuQP/O//qIyWStPQDjQRsd 4sCmUNqWIkoYBI7nqTJ1K6EvfdXLTv+tmvhzErKORuQcbeR4eBqyPw7r6xa/npth1xU1fpPgppzS VXqiwUsdQqIpIf4HB3ZQR64s7yDSnpZS84v3LURCly2Bh7GAgrW00A6GSsY5M73HBmOuiKSTvbma T7xYe7JY2/7FTIrIMg+hta7924XFAuSr6bjdB6D4HUPgtg2f6HpaaOJC6vqcysO21pP1z5ACGDye 9mDxCobncK5XYswrD5mkO14RM7y6buos9yfqKeo6R9EqZeWodulDiy6lDVFpzq2Jm3TOBp+rtiOs uiXQPQD0SYWL2e8Cfb/tSREWdLqKGi/gCCxxFZm3NDxhN29i4aqf2dx6RjXagw8KeQh1pKicKyWy 8crZZjHrMbX3wwKoB3DMbNokJM4erCx3JwkKxdcHKMkSy/FrPZTu9DiNSo/dD+vuXTocRBc+WC86 ZxfWNmdDbYrsGYd3TmExOsl8slSrOd21YTP8rPr8EkQQHBP0VxdMOb/AVmix3zYY5Jd0CtJYA6T2 KQHWEBIK/k5wzA+yHaGceZC2e59TmSQiqfVTg48tjqpKjNphPFadVT75YtW9O9wGIInSo7ej+0Qg wmF0DUp/GvgLSHK9c1vQufVmbIhr/Erraojdc7j1b2l+z60BseZbhh9KP37PSUVLcKFbZtA+nWVc 764CifHgTuztPTiQF4GrNSQ/Gfc3LJwI2R+C41GmepifFX86K1p5LnxI3o5y+D20y8tRF70122k9 QsUbR1+VyUM3MyVtaeOzmJ1ZW4Ncw/kyT9YYDlFifz9tjhDFPzJTLKpf82C1SQrq/nAsBU68FfdC 16lprBbuZXXc+RkHmKyFQe+oWNH+hBPzYrBLsqQIxjowGFGZVQZ7A11AuSKK4RkzA9NfdEprkiC3 JeHijiMsYZDWKdEzAmgxZ8vhGgSYU10pDlmuFGXeB8a4F2gIBqSqBBG3Vea0GWid6PbFCT2AvJnI OjB8VO+raH9FhkIRUUe4axzjVzSqtC9wmLkoowyKQ97udnIl/r/u+p5FrTZz4qTwcyodLFzZXgcb KaAL/JNoCABmBSkZuwXPScYnvo5WbPQHrnINF/7ITiHNPnFAamx6hzo/t9hxJ9ZtJMOrhE6hUHq4 g/MUwXgGOykfsTuZk2QEKXDEfK8pP3Xsd9q73Poo9/BXc7e4iO6VVW6LanM3OPBONfkHpkDNEity tCUO73NcJqM0Jq2I7RaOt1K4m48g2aBrxJkdw/6OGdp6zZG+oogIQ2iw1ExoBOUsl6dqUgQNi0Xv djvFWtG92F4EBdxlupAbazfXYshr3QNo53GboVzxtPkRLYi9d9w2z2W1pTW7sIG+iLfSuW56mfWx DETgoNBigovqKC+UYk/IbmGd9Y8tCFVNUsMohrc9iMA8OzB+/92cIGgfNFo+feEqHTIabKRjoSmS 3t5vDDbHSidTTvmYdaIzBkyHEyrn1QXDwWpka3hN4HkP/9XDTn4+kQWAPhmpXnHNVf8d2HyirqDN tAAuNJ+eLsCnL1kK8cc2nccjyIOafNNf4LsHm3MU4o/ZLQOmgHfPq8PUQvcwObnfhMA8exHpgFPJ lUkmY9ZZ5Gvww86gkcFml2y8M6iDFWWjIN2HZ4o+Fx56VBruxerXEjzHiFttNGuRwZq0AlLChYKh edQmccrJDRk5MV/X7gxr72utRybtOVoXruf6uUk+iHVulHZmdn1mlNSk7rAMxpPGRFy6YpoXpU1f bvglOP/t3oZTH3QVbzANvGHt8ZsFPqyK+rFuZ+lPx3q+HqFHTiXaswRM0ymkx/9giKAWNgUygrm+ Ix6iX/qbT0tKeetKo0tP/Tkra6LAmDSF9nz3ySaNGc6n3ZgeC9G2vsGEf0AM6QrprpU1i07tXSW3 H8BRaX9fC6jqXDmsrmYTVYNyMTCfF/7XLzH/wHBJ4f/4HrTwrCowwktpjIuwK8YS5uNqd/3i6FDs cWTyTleHgkxBqxhJzOQsLa0VSA4Y8RteiJJCOgzAcxvaVr/i2CgpzkczZonrZH1ycyIeEqew+jzR cjk1czLqpTNlteSvv89nrtK2pSxKsfSJ6400XIvM2Kvp6+QF6NrDrJVPecXG/AhDfm/cO8y8NE00 VftgI6m8bixzxtskm2v+GCqbAnbPZHwFJhg3cwuFXgB5zikklHBiB7J1DusP9AZ8tk3smf8dbBgL KTp9qVEQVx47l7c/KANx53y/xDtDM+Uph7k9+LafNsRFDvQduUTrTo2QqxfusStgi6keM9h05bBa liWpwdK5aoXxeiaxDg7UFBEoLe9X3BZU6l3gGMhvEjHKQZG/Kd1ur7k9JFuUKrsHzSSKjxdmxdGC ljsS/ARDleeIJpIdSkLLeCgv539m2gKadE/mQV7RBkB7XGXRhmLndPE36P+71ET8it9kAOM1QGRx FcEjpL8ft2L+a0N1EC+Qv8i+mhbNJkDPEPmfAqjw4FR/Ovb+93oDE+ms4nHZ8Pc0470B0U/y4JHH 1T07dmCj5YcLBp5s2Hk6xaBoa70cDWbRaMWYLErfeQvGmE4HGk4KXJ2gHQJTEPaUY/iRvKNkYIjN 8+KYbNVOLy8cCmsFLeVQ2vh0hpCHZRiPVqBDpfy8MYPz15JcSTt0v4Mg+gTvnU1ajo1wb+H7Dt3O nlLuLtafITEb6E8/MhWKbpQjOKVVAu+dtkQoK/1TCUb6U5LrqnsJ24rXZ2gOt2OS5pHjMzj97YYf laY2BuUSPCU69fsJ2ro3aZfPpxVskVkMj91q2zQ3MIhSIxWCXX+3V6YrIhaJ7qh0iyaMlnE0AcIF +CMgdBQq5Aw71o/6zGHLRBz7oSQLeR3kup93nvrUNrAP9v3yxLOQ6LWVklF26XLtOknzWkUvrVJh pE4pLNXLD9Cm4+T8obN8n1QeXYlAxFoBc7AaSDrWdPgcMALDvnq5ZzPzWfv2d9kYOpUz4gxeyr1/ yL+ImJ8Rab+8efcxFet8Y0jmZGKYNDFSupKbosRLg01CRRb4mnMgE1aHQgy1KHiooSplV4iL9KYf qWu0jTlTKdHbTvpB5aLeqob3sOHFejASVpreiPddyJAZdFNiP0fwN4g5060p33oh0K2uUUmLEw5S cy1iZnq0AZphgsz4Dvnr9w9JrDa8zfZxdjdkkaeROwmqnUzQVJOSvdgl/XV+Nw2uKVipWFHM+dt1 rTurMJXOwGRDDlx60yCkGmRT64vth7p2EXTTyf6tABCoIy4wLZucOL0AL89C8HIH/ZYgJyrXCs3M 4wht29Aj6ag0pCw4wzoZ6sP7J0+Bhjm0id4Rkh7ks8X2QQmAMBysnoXFFDLG6bcCreWp9uGzXpc3 W4T18FHS7POQQbbsrqpLuf7fi3+FjPYCN6W5LwuYPzn1X/VUry1P7/VNYq4zPIeHTSalY9gijPoL Vixz6HKxOpLNwVUTW7Yg9tmCoxLEUuLvNAqfJ0gxOqFHoxCtKkhYL0ViZXcO2rsvXSwIM9Tclr3R gzSFhuL9Ij/HSsKuxLu+8PCui7geE1lLKuIHgy7kHUnOIZcFuOMbVH7JDNZRnzQXR0L0VorfVdJ+ Hzz7PyOxWVvzyvkzL2uwgDrZypKeCV/YFmgJYcf6pjrvdtKuGZUZ/7z2An5jumssk3GYLkVZsLOK Y0ge+SQ07EYtvLah4ZAZu/V7KONlpXWYLZ6iWdpPBHGowrenRZsKcP9MJyAsQ++t2TVWXZgKPVHh jOt+XPfXr0dCvPp//I4OWnxCt5rRsUtbzoCCHrO7UJwZQSp0mKI0gXrxGOdLKLigS/6CvVOxakPZ 7WFp9x14k7IEnypXLotVj7PuqU1S+4/t8PA3BSvQIoSkqu+VPEhyE9T4+f4DJ9xWLkxouxWIpdOb RfC1gEJ5j1HAB//XHGdfPYCtbdq+iLoFT35JK9FVc7jjYySmyFfJCziXdP2BlRmSTJk5M+yVaH85 UK5YclCsihjiZ0MlnvAorMz3zGr5wfP2wmI64OKV/o6BeFD3ArlMY7aQ3FPeUQPjXOlJO3Rn1Zq8 wOn3PcL2jnQSdmaCs26hfg5sdIGVwHpNxELryunQGzRztHFCRjjBJ2aEL4WnfBvE6p9e/IA6W67s p2Dyt89km4uyLDQ+etlqa7OF02fFZpJyJSdPsUl7p2F0VYidClTg8gyQJoT9iBaeph7iVckKx/BA pgIWtC3f1gz1gsveE1qpkHtTGXoPePVtgTH41gusSY9lY265CJUL3pbTuFFCVlCVZ7SL90fw/BPv Zq5i5Ldb9aqiVgdjqSGiW2bjqQ/4NvLoyOaJ7f4lnJ2VatTfiXWUt5jGqF2YQ1LMXqaMx37Mn2vX tdkjdRLm/RNRpJvHPnden4kvNi1juADQ7YGUSFeOcu57yn2jlrzZWfARsOgv2ZjOyRL5ovq9FhKX IU42RX0yX/R2dDQLICO6N8LpktdFq1tOtYTbh+FmHJvyA6ovrIDdgxneLHjB5oaUnPSHffnpjaJD TJJ6OsEVsgqaG+SvpSbaUPe7No3Iy+Tn/5+ZXJKSQI6Ib0BMZkLeGNzMNkFKYEZ5K/jhMd+d7xqO KCEXyHY5khE7I3kLdT6fvI5gWrLj8Oi7EKsCdJRMj1LXEoW9k2QznKlmSwwy/HQfosP4Yx9Iv/1h YlUsGQYNfwW1oWv/XmveoLt7tT/EqYAisEdfVede1OTKJyNpxhDWBHuLH6vnXrBlscqmvUTEKmnl d6dhUsXWrRoX/WC+Q1AUlJcO9ewilZJhTZhfco+qGLX+OcdhhHRRUvtRHW1HJAw/677VgRRBBUmH 76Jrh0YNguGLypctVsgbI1xQKBh5ElF5SjEVaVNeu5gTs5g448ZEOmRVdD3wY+AlO7vqDgRTmy6B QnsxzAKUthYhTIBsYjK8qZBFBa7Nhs3wrweA57PwWsrl6pRBC7CQI+0CzJpzEaqFOAbuDJvORx1N 8koek9LHLZWe5j8jlROlQGiq6q8ODyBUc4yapiaiLlRk/F2A25nM/XYKIQYnIK/yKPZRAjx8wbBT PpaQP6gayCl0bDJGLdSSptR7/5TyAXMud8NZP9wow562Osv/3zYTTMT+b9V8SQO0ETHrhA9ytmoE f5JLrL7WkueqSbQxt2lTqZC17+oFuuXsBmL2E5n/JgZqreZ4F1vPClO202GNMWeVpcMVL/llgsaK T9hkZGC2ikmtb/cMjzvr68zn5ySQAiSwRBIG+RGxpaWfz4Elv2loPnNGt7L3MrEpSeUrdKWApiwG PWIOgoeGmWOr1/+3dBgwEPGUuUUvF46AVjulBN2ZOMBc5NkQ1ZOYCA24/TepcoLghr2cYaoKDw8z sfbsZ46BiEdT6QMO2ygb4k7YS/xHYFksEKUJyAbhIowniqhP+A1rZzvqHpQ3kzlkRP5cV5VQ0Hm0 zCai5KB8Exe7SXAZIEFdddYedi+qo0HRFmS+LuGuN/Dv1/vVFow61R04QkS/WDk8qAhc1Had9tT3 j5wlhtGVrfJmdYTG4xorqmlkLwOhB6gmUNtmWS01/OJutzesPsn+20Ct3qaUvtNIkePYpZVn31g5 UfL0sCTxiA9wHd3T8YrxyQDaNs396EoTt9H2lEt4o67T+TtPkrHJrl7LNrB2JVY4yMQRkYMTZ3Tw GlS3wXNLklztNlr3d7zJ4m7HZu/YQairK/FRDS0HMPiE5q4UBwrL8f2HZ5mb4vhb/XWxoQ5cO8kl cjgEUIPrPHNehd6L0hw8U6o91C+BvX9nExJ9u8NlXQgajaEofnjPMzT1hJvnhxW2ou9AdzxJOpcm E2EoQy9Fj6sRFiuE5oGqQN4FGHWrsNfxCgZwXHcME4VApwokjgBSND4az6MlKkKkqTqd/R5vb7hm XlS2pUr2aCel/gMmvQTF8rlUMXoaQZZSJs9MCrj8LE6cggXtmBaM2j9pehosWFLS5bFi9Ld5G6xI +tngdSPBQ6mdtREuvQl8BivMBLqzVe6zrdCeJH9cGAJfr8nBoUblu0QEDm9vsVzRXCQniyil8D8/ Q30VT8ueJdrjH+alUUNLQS5O3ZgPvCkxjLjefuu08UHR9n5G4dYL6JwQ+tTix7ROSFH7jS3btsse fH4ISmrsvdSmuSprkBBnrHOyQlpPYhEMlpekrr8/jhCT6VY0JIHluL45BjirR17UmWf5xgP07uaf TqG7O3A7gEDyo0XW/Q5pYQ3ovcJcsl7sXxO/3Yrgqt7drsrAP+p76WB3Wz//JxqzAUkpSeK/sGtC tEfKHA/qdSk7eMoleFmigUYNHSPDO55Zv5VZJquUzZ9WzAZBc/uloEi0/7orYFzwW+0bAlkaDdeR 8SIZ2O/iLV3RzPoVyoQYKn8jc3az2GSk8EJ3vI2XLC+LeWHVYkdMsE+kLJhLIgpiBdsz1Fyk4CuF F+9KQvk6+mzlJ2nHnoEcu5i9NECsjwu19Gsj0ZczBESasq3bEmMk9fPaaewYfxMxzEMwplyBhLjk kPXaTzZ6eEat11QJYqj8TQs19FvDai2vcgsvANp7GyM2t1l14LyeLvZmc+4GBy+R4fnPdxkyjirD GPn7Wt/DZQriGQhbtKPyixkD5uhiNOzuIM8bo+O0Ypeenm++r3ou8WjF5JIOVgbhmJBdHJQ0EITW ASWmGJJLHoOY0TB6aqrOttxPDzoLh8mO6PJljcHg5DojRE317EsQYyg523kuj7V1aFflyIbZfV8r 4A9saC8pn6mKgV0nrBHmLu2G/RrIfOZHXlmuSLkVZZzfceqW9xB+K3kOkGLuERQ4I3tHc42s1cVN rzz3HfKr78yHCQb2hyqycesZEBZ5kSd4on8/uIGJ5/gT2+5BbvI6f6+Ga2z4gXgmHty8IALJ7wII IUANvWtkl2hrVEYNwpuUPKf0zJhg0m716cCyi5XTqzjaMO5RGcL+hhqeuOn/0SD51/1HCgkQrafk 5UnVt/rcRW4LEytCASQ6ufmgCMU+SvoP78xdmd6As0gZlA+3k6nOP3cSIQZ/MLDkbdSuaOh/HZf5 sixFabNLzp920+n75xjwEOsazNu9WrNQ8269MzVnRUWDOUVgEd9mfpp5FMogKfg4zId36XIHpLB2 ioQ/crms2x9AqT87ubATk02TndgLnix09TvX7tYbd/01snlRauOf/7kuojgg3FwgrL2VU4Fs3aqQ 23ZBRdFIDr9LG8HXztGaOB+WJNSEjwQGTrdXh20v8xFkY8GBh0M/Z4ExT/our78Tiz/43QRGceD+ T8udGS1FwYFDvJQNGX2/gNDQgLTeDUcx9cwB7TnjTIMtGCRiuWag1mdQjiyS73uAsGo8aKJ5G6et oPOssD6nIBr+oG8Q+2L+24aPRKDbbASwW/D5CZpOtmSKJcQm+MTYYZeX8xyCRO2TPwHpYu6H7DE/ /kMFcaBrhAzzn9xV9P/7pPwRyuj8YmbJWy9G2xhrdm1AR/MmJIufNAcMb72WxLXi6vSyjWJ4T4zx MdyCyu5S89svzmyqnPamrUSsENvmUd1KdAg4Jwgm3LcBmonoHi1P9bwUx1h8Bu1JZKZC6GVTpXjE dfiLJ3QVO1L7mIHKERGYRlAXt914AqYfHQ8LbGLwyCm9hyjvbBHhoxl4Oj/i2nmYwsc2ljw5B8KJ 2H+NhB36IZhSrSGqxtijTqchzzz/ZLd0JI/b57VPKZSZTXoAywObtL+bHlHK8Ny61tZbRHil2/Nh GMvRQDxVAJc9FVW+9ZU0IG8kpwMJ8MTsOZCqGtVsJJqInZrXpMP1+SxV0lTyjuqaW7/d2+F0pP1J WsFkp8o0ESN68y3IwqP850A4C3S5DFvZ/hGBSXonnI4SeEROBqfqKQW/QioCM4hm55sHX0iPzDjK oBZMRwhmWxFFI6LgHCpqYHK0e2hSlUy1vrkqgeUJgkP8kSFe1nbqx5gKMYcWiutC9dBrTtA3UunU rht1OlZ52J0ZYKYTW+h9AZpr0Y6rlAhc0Tz5n7ZDU9Kyud/dHldMK/ZWcz7gHI3ltI25G05KwZ8U nKTb/w0zDT2gHXyHJbKqcV88TI4vGLUGRAL0eI/kKd8o2+hHhCZBqg4pIsFoaTgHsEAh6nllIM58 LtSX0I7xezGDFn1EKK2dgPAXmOh+e13kCng8P+acoS2Y9bwC6LKZ59CDlDoL1IDCRDkAadlXYb2J ooydB7Rhn6bf93ueWKNquiLNdKd0KdU6ugZUI8H1rTY82YPW2ZjtXjwfgDh2pz6xZeWf5QW/NJBi hTJsGpKRqsnB78hVN71ig291vMHscYOgM+xQyZdr9wdk2xJjWfR6ARRgnPtVjFCXgCwvmDLmxsl3 zvFsOtRWKGsyN/bOW5VrLfmbv5pLTb1twd9AQRb1PSIF2EXQSoE1hKlq1wzTVLbYmP/TGWk3xzi/ FyXXejAiuv0ddB7E6P+rXEw/qw3DFk1VR5g6E9MtMtp2Yi36mvCsOKi/LGhsBJFJD2EIez9XJCA4 gTZFyQ+D3sub2R2UwkNT0kBhemWtxkAWvBg0rrXhY9RYqvF+ERQ7DyZA1wL/OC1EI8j6Sf9e6W3e +3vkKcplH6atkfnOf6A/iVYRrDmLv0TCUhzOOYgK0aFS9oDamFeWSZUarJZKaxpti65G3RY1xyy+ y1Q354hWXH+r4ZbeT9T+q8GXD25uhp/FTL/TqxKoks7BDBUIMtIjXk99exwiCobTyPBwx2Nob+ww CDbzkiRmY5rCPHEwl3sxtGOOO5yIBWMbJES1yrauWBs7bRP2wvbsjsZb9S1vawlGvWNALDy/QOXC FE9WfwbQO3TZoIB6cgLO8RvNVYC2Ji7EjjskQuNux1oEEjNRCMHKh/vnBKEfmoTbmtN1AGI/qsrL b8dRMbj98qZN5BpD323G8HF9UV3BM5569wSoMkU4UiJRdmLCo1x3H6R6AU9qJdzK2yE4elSuoeID dBV+o6c95kJ4p/hnxIPIT7B4N1K8IigeXLosFxwKl7WqG1ZksiimI1OhQ6KwN6Lsgb6CMsXWypBN OOEoiaR2myzAUZqBiOXStGeQBBt8dnunmKn1syl3vacADJY4gyzweOwhSdEEzhER6JCuVCY3SUIM TxnEmUv25p7vDuVxaKxCS9YGUW7rBlc3WN8qfqEErZkcIinJZcz1auETOdl9ZjzQBzc+MNF40d3R UC0hBhhUSpkFlJsIOEQcoGnFM/UqQB+63A6dg8W3s25O3saXuXyKja0p1XVcdc4OGfmJrv+xVO9x Tz0I0EXOI9qMw/hZCJpVWe1dv7qhRrbLIcZaWBULmflnjNXpwijQfCdMlP4/UBNHitAlfLHt6lNk hH/PKWcUKRfIeSxJGxdDZ89ooBphjmN1V4t1nBH8lzkupOBiqWW4WNpX/aWeZlnjzPo8byEniU01 Zt/fZlKGc1YmjfUxC17k8wCdHrP9CEuHc5LZ0SSrce1qVBwHOzhKB/Jv5U7VpLNiYzjNamyzIKHn CEUXMvKv+MU84P1/3fwrPs0l9moJzhmDz2+PWhwW0Ik3ptCZZEXvqUTiOMT6QptHKtsOObm//bf4 bA/4dY6cjQ4z8e0iAXOzDyZHX0DhKV4XSxVAR/RqGsdiYnrzGQTOjos/Sgqpbbu0ZUYl6qf9+bWS 6lf708VtAGb9XWYLX6/GgoPhoTVEQM6s8geq9rTPMgKUzfigLwedgI7SSMz8N0+zy7B1mCm2e7ZZ CCsWDdPD7V40AKwbR0gABcDWu6K4hIH4m5i3Z+X+mA0p66qtPdSDY6Jqz30gOeDIYYimfjdHOmFD Nq8ZYH01RVGz6V/H5wZrIeIqxb7BV6R+IDiZlIYcKD3mdR7BDbDIdOtPmJpv3A4TF7VdJzsBfQlV SWYaWgPEbBhNsoSvLU5mUg9ekFByZEZhCDM8NpI08BNPL87X1gteMUcFTCr+6DGrPvqJAJe/QeVT sINqQVOxwU2svFRmn0xlDVN/5R0bIGXfOkT0FCP5MFp/xJjHmTLyn4BbIA16efs3rh3Z5PMU+Ohe P49dZP3nbBB5zv4YFk/3A709BEmFaTzL9AYS3pVmk4CURhLm3Bl5Fh9RrGwH24ZIAgIdzPr15Kku lE3vHVpHUKQY5MuGz7A7vycUhbxW/Xj8nmFt574LoaT9L/UM7SLWO75q78q2Hh9qQMm+u4lHsP/+ dTxIWAlhzM9n6NDwJN03SxPetVMNLrHbPTL6QqMPkuLuBH58L+HNpWOdL2t1uNXbrGeFVOtWtHkO /0Qj+SesEzFL0pj7GIPzelHqg5kuR0hWxCXa5En2lzL9Sau1VPq+5GQW8Gap6qc9a6UVn5z4OHNj 3RfTyHUrg9IcGvd6tDVVN/noom/Zt/zKw5ur9W42uQsgsc/JYgE3mj+ZNJTZ1PPeqRLk5cLPWL+G XXsSSx6HAV+jkJlm51DNVZ9aTuDurlEMUJcbebOi6CeARf3goVSIhm3JhqRQACMkMd2RKS5iBvbv kZGc4qepwNCnmS+OGLklWQSP1+f+R+hiGwPBGy79ZjhvOE2+DsXuZdLq/AGFHI8Psrig8XjaFHuU aDPkgweViiuuRNRPnXmLXsW/3z6Q5xrqERd01THqM0i5c5l5j11HfAhJxHbiCoK2Ixfayxq6dLIt Hdcf5rDwyJ6uOmT/bynp+hJ1+lJQHVOALlhA0cU2a8Ce5qeqJiSEWCtrIYw25qseglSLlFabyMLx cHHYhAh3YkwLaySHJqzE65mcHzYgl1JPPmcKC2c61A3vCC7lenmlHd34aOHeDeKwTPoj/mOacyAx bhMlyDCl1IdhJG5kjPUT60bm8lSQB331LEy1xpN26mWQfqeQoCMvvLfqLDC1JWY8uJ56gwVBlRqP dWhry6kExkwkM91jv7S1mxbTB7y5qDpz4P+4pgkty2juxB1Xu508GXnzSSpcn2IqcZMsYxz0W9JX tYXgZqUgI6S5JIQn/a4UCip81qgqe4mJgyfXClxSwllhv2M1z6xb+2tt0DC2x2lzMsJumx2f3Wpj QM2mLKJAJpo52s+2dU5Lm9H1ERBD4GWp3sC7D9zrONRvQqzhDdYRnaGUUgtKuxfL70g70H3203l+ AB/po5gew1Am6vT+765ASGfJMmdd0kK8opp83847JHg++eqA2L8UZAsvf6DtrPFQvtIsz7PJu/UW ozpPoBwTGh8ZxBefQoSSKPLRi1C4z9haOuJzXLLYItTpYadzkjyHmLhfMjMUmW6bGMBbT+kR+SQt yaU7L7u4mN9NQJjdB+z5uMQPN+RI4GKPB9SifJrvGxqdzAw1RjGuUL1e9mCDGCv6b2HS/dIcqvP5 gCAgA+HMhvO5LwXRHUUJVnx78fq1YelEPnbWQH1mUa8ImbQIB8C4HCgvvr360rDbjhJZTsWqNkNM l4JeqU973d+aU3xTM1ypoMoPGh54IyDWFdzlzu1XUxO1LbbR5SPRExUzKnprWQOxB8DwPHAZs3/L kQLg0d6DnL8aqgMAZ8g2Clwavdk09rBDyyo1rr4T0tavc5ESsZskAxdheFPUVofO5Wa6xbl7SyHq KTraws+OP69ydjkxXJbZgHsGFIc6a5q7T+Znaxl9Ek0jayAE0zCgP3RcpDH2BPK5ld0H/4EL+UQS gm14o+QOoOnxaQBgMKjeZZLSW/g6xTjUhCnhHVsAyyd3CHXogZQmlGnu/YttR7OJoT0u4YHJB6KM fhnaGQwd3FRavFdY8rsIy6crgX/bmIik3tPMT5QJGKIM5isx0M4ujcDuQtO9wWSnXbujQJIh2can e9e2CfYwF03wvRx/rd1a0JBv9/vcUlK6BC4nIoBSQqLAOOuPYq3bGeuiJMV2YCO+WspJN2KTglYu zBc7wuqTixCGoJhhAFcz8fgdBJuE/ZSGEa083flCpdPM5Hxcd/qVWklnixd7iZBrllE0zKsv+e/g rQwpqTt+va/yVFC+XdTNwqsDSrxsL7Z8JKHGSBVChKfIe8JYceIAIH60hn3wctnu0vGUZcjFutjf mVaWtJk34KuqqpcyckTU+7mz/+NVWfAegX5azmLEShsbl9X1UUgZcXzhREWdKZZGg/Cy6+bgjMAO gdngGy1X5La8+JdQ6kn8aScrFSSsIdVleKYrd8v9IeaJ0FZ7pprsWgdOxx3eKbsvhZ1qXBnmhk0y Do4NssFHhJo+J646iPOat3c1YoAlLj9muQkNF6wqhGFgcL/T1c3SLY+rmwgGK1GAl9deqwjsRQ9G xP6QiqVKgGMSe1FXRolR9oXUyorkxCy3c6W4zv4JuYTpoiWBWiBArevopwLwje4TK2TceJy1VkCh UZ01O4LW8gtjjTTn4DGvyXkEnfw7Z5FfNdSQ3SFp8owb7eeJiwdKxpxKDRC5TPdJuhX/LQJHFsZE h8hifILoBGB5uCmlGuQpPstsYCKkrY7/Abwr/3u1Cz+ofVX53c2SOAGDxGsc0uvAWvM9vpr07GSP ZooGIGLLiS62Qj6yxDOfUOFhyDwDDTswDcS3gGuv7gtCLZ3KDCHXpgBqSg1dLhCx4xgX7ML47RSU 4rvJIbU2kOsAa6AuTaibHzB2nF/qTr21iHTr1oqBuU9MNchWc8ikPH8jxfTs5lUDrztX6+UfmnjW Ke1JXHrXkA9wBvvrIm0S083wC6SjLtvCOooxfG3NtwnLWlffin/OpW8WOUnULaQslYK0CIG6FnS1 El2D+lPmzpQry5xWx0VH1QBnlaQW15byrOLRJWbcQIqQKaUuAUmeJ3KELfb5vCC5DgpPK1fDfynZ Rkp98Hh+3C7agZM0d9/il+ZbNSeLTrAyCa+hWFD6hRLVTc3M6CpWfuhn67CRK6Yl+v6N2yKQ0UT7 Xe6lr6bx4RhJW5MWdbrX1vNGDZWtKP3mkMk25hRIxakr6WzfiypL8+G63/0FU5dvY1ejNSaO+IQ9 e5tKiakb0y4D4afHk+D4Qsm44UNjJKqg67oi7LVqb37ACIpn4qlgckrljEu3qBSO0gaOV0Jk5v+t nDDqbDQ8NyZijJ3tfgGlFo6B8Fcfp7OlVclYekdwpQVFyXMa++cXJOVtjqGIx6NRRPZbmKJdnNh5 8yB34FyCPnTVaNO9F7JcCj4rP7GCZEn6qD1R6UZ+R1NsDikHTGsAkIWsXljeUVKb4FXrVeyMqcnh SzcuQ+OUF/hh4l8Q92Mzech0LjO2zs0b7MPulFxEtOq46BRyLMluS1j9q9DMA3ThRVyxAZTrFbX5 ceJA4uyxkTIGb5xKNkb8v+6kBzma7lufo5CKN9WgWWQjjciUJbNxsxS92Lm5lhfFACVypylYckUK sUbb95mpS3jWxwTZSZ7C0kfKeKCzfzw84Drbe9fin7lMZ46yAKnNRxW/WRZZsmnTuRw8OsVckWk1 Vv0fuBHV4Gxpu7aFu6oC55LT5rwZO/kbh49yZM15LMGzjiJ9q68OR2mFvrP7lZI1eg0verP6xnHL 3n5Vrtddqa9v2HQMDgU4lSD84Uw/HPuPkeHUbX7EwnjwmG9jIqZE90YZi+2FdolZKcTAaJ8yYta/ 5gE1hFc2GJ/Tv+diatUjWZBRkW/z/roIMtsVe8rJaahdan0jpyNBK2kkfTRGTd5Oddqe+NRp1C57 7TNGbFnEKjranvVChj+Ng/ByqyvHy0pcoXfPglFDFFdSannDl43NK+GGeyRctizw9eWTriEnrfz3 o72uAAweTP0ptiW+LYm16L62zcIBfsCiwWE7j3GGHR7FQctCjKhc/YOm3X9AdUh4mvwFDLULTsVL qa+4zrs+NCIHX9i1OIvp1dxn6j3tTzuHhHpx5fsd71pgkZC/0omC0N1FXZfWN/+S11ef6muXRGFj M6hgkPbKOXE7U/UP6n255r96xWSJoHnokgvqpFU/GrzM6R8ynhpkg7lDXoC6ninTu87QnmOqK7JI EGauBg3G0dwetkwImSDeK77M9cZETaxOXCJubj1Cw36lZQdmscTMFLGxhgnCQ61bnFgv/lmvUW75 MoAQZ5b5XWMfLGQywrBIjf3y5io+CKE3oOnW1laZRrhVoJCjY3EsrKQpqrpgXPuU6Fk/HYZxuDrZ 2QyCGe2mgAvVTk8Bel0FBJgGzDiGFMvdoSXhetJFbAf6msJMnmihi66KNdNRlSG1DUl8no5o3VEB K6CDgxKVgsfdLBDZe0KIgaY6RGjhzlZ181jbSWIMTjvcJlb4c2wSPpVsi9l2ZcnaM0MVMmYnIiTI czSx1WBbhTCNNSQFe5cie5yXrX+AaBbkaOSDuRjQ6zZ4hBNwOTH2iB1Ctln94opNkjCCzHLcuQWY p0DXsSQz+pEJT9d/TX5JboVifbjzjbga4HgeHqJarF52Yh6jWpSD6yA1JH8gOQHmEZmhTHUVl319 S3otVlEkdVPMi/CeuUGGkgq7PZxNYdq48LsgIFmWX59G2pWb6UNhfdWZgyRP1NcOv23n7IDZDcrZ m2FdmRh1XHrCSu0NnaPbQMNJ0O5B/p9tL1xcHqDC8019QYoRtW6f7yRfA1wzjWhVGM3He089iwZg qoQAas+0uxIaErCZwkj7mM70Ls9tYQLx0qd+ua7kHQy3LQHjc5PlXgqEMKmqAXi9lvTtv7V/0u+Q E46k4vsIH94xYz/sRE43ehK4hoOPFLWH0W6VlXR/G1BlACP+NzSkHfGxyksnxQ7c2YL7RsF8ySXB Jd9GwGQrmEg6RnrjToJjrCczliCeoCX+pzegL7LXaPVHYShELLYHpnTKIV2jMjzhwTMdhr6TS+49 M/KfhqJDgkBHHCsDDWZ5mW1Beya82f8n9SQMJb+yJ5/oABuN9d/Bh/rfqNtWH6tyKs7HrnKjVlC6 6gp6MXmvjj0n6dxsmCXbE3B6pYFHyhaoZrwfIyYv2JEP8rUIgwYrh6mc6OH3jv0JSkRT4Q4DKhcN oEHGKoVh6og4cg8O/GqrBjPLCGxctIUIkuivXDjIsBEWTPSW1E1nnXMRcg0HaBDSmAHpM0tlppuv kjE6l9WSeo28pUyd//gAjS24XiLBYcbNo9pmkr32AkR/gYY1EdHPcSJzrcSaS5ABykpzUNf/W3Vf QEe/wztBUlCOK2HPeWqluaXCBasKGeh4PuLRt/Dh59C0enAQrBMwL2yc1lvdZiLH3irD9ziflS8I XyZtJizwPoZ7/vqAHA0qmP6L2/3V3n7fRhDF9CCMidNKPtoNESv+g2akFiQ9N9cjySFjWTDyyXQg Zz+sUM8JkYaDNHx4Px/rgWJqzP4COX4L6XISym2+pS1kcERnsWwik6N1+e02jWJkOL6mJKhlRHP5 lbr4g8/7lPaOYoR5PYnuNUZ2tBb+YxbpI6d/v1k4O1gWk2IrrvCEnKjZ+cjNPFXqkF1CujsjjoO7 GZBPSFV0S9I4fwmElbduJcVJ88yaQa3Cw/WAJ/ULWpRdpV3Dspjh4SfWJRwp3VwqkD8u7dSzdqzJ /lS8/rQ5yYwE2b+Y2hpmTRadH5p8jGOp0lGoAc6ZQLANRbUA1+yoF2OM6UhxkrBum9yrkn17iXer eAODZz9ElJkTe3KUCHiofg3J8ANnM3JshDG6yGrX6v33YksWfabaojFDIxWjSe+WtngU7VSRTQDv NrPO0X/xv4Ah2LVVG7lb88gYRYnqhZ5uxs0VUHvQXuDH8kchkYUK/0dOgszgMeaIqAERbaKKG9Bv 4DDta3/y0ut7Rqx4h2Y+nqYD4U35+hP1POS5FpqaGqA+LyX786oE1Q6Fmog8W7qxG3pkmDjup4ck GgnTTGz1vF0eWNWcnwTogPHfq7ZX9zJNlh4xKFBcOy7Nio5B2x1VYX9xARGgw/8ECvos8aqng1s6 y6m+FwXO8KYzWXjHWwc4T6wwwDsfFGX0bVyDM6w4yaw1ffW0vF3yyTFEJD+rEN6uqXKf2NnBoR7U JUuTC6uW7e1Z97kzgXsd3w4ag2fFcwGML4e7gH6cZ5WgJVGoslJVt63hZXz/ZL3ureerS4iGt3RU bxjuJhdLSzZ7jnhpL1xEoLfkYqMqM7b4ablH0pV8ENZYrNZJNz/1wDyMudo3bGMCdf623AeHx8r2 0mI7vvf3Q3l737Mx8lmbXLHOLTnoQZJo+UkdBqm4mezTI7WfsrMb+LQj00a2hKF/JctVOQlXQ/uL gQpMhEDJZhuHU23NzhZGyB4ViwRpyNzVOCR83PFCwVBnhidqm98v6eutW7jmnYQuhpwXbB4I8L7a eugzVsv0pDjanJbE1RPXG0qY0G47UeM/MTWlM1udhGmX7znvPQS9wqVu9EIUaBN3aHjnRBCoLL0v +AVDP1zM+nyQrEhMyYt6SsHWf0ZUj/aQii5MVLNUYeIgB7rVaQnspAIsksxf+YxiDs/D3UTzTR1r Bz5iC8cOQjkxvSlrkOC25pw6oOEI9womQkLUHzy13wfGKyZChd9oGJWif7lSw9371goYymPrk9V7 MfNIWPyYydsKTWzfbeGquwuTSYBH0Kkj3pvKAc6eVKKfb1YlQK8LXQOx9Dc1VnUN4DQTEsrppBv+ wE6EHTXVUYV5FYTwB4g1H+cDSTJEoNNt1tO7ehFDdk4WrpQnRXglcvkhgcc0XN1ssplBwLEVwiL8 GfM90wTZfxiw6BtaSfdrp0jl9InFELROmdm9B2OAh3+9eZ1gwTjFMY9gRdtN+IP8BPD6vZsfHmKH Id+ExJoKrebbiNjBOs4yVrlj0jwyumz1iNYLqAdXdWqaefQZLvnApqsO+MKA/fNXrs5hdR+iX3zw 2NGwVmAATBQC5qgguCG3x7f81PzOrGxKAv9SE5vmX1PBj3UNgEVTrR6EgvU3khvHsMNybcdAviOB 630RGeE2c5+0zHALG6YLKJ3gq9Bzt0U3UMCiVJs/ulP3orvu8yHWQntycb/OW7s4qc3H6fi6pb40 5dgBSrYiyuDylVSYgG+G6EcqZvCAG/ZAv6PZOwWiDYgVNld1ZT0PTdraOvhK5DpSPqQT9RiAewf1 FYvtxVDfsrC8PF/O7Uig+srN+5N2ZgYDtacMMSE5mQYRSWPX0o0/z+qxbJLwL49uCUt5M9dCInZE exGv1j7jTDU8TIHuyhewYFP5Ror6DQ3T5dFiWBwOnV1TS3ch0lK1FDAgXn+4YLqkzaj686Py5v6E OcgQkWBRotsbhK24L8EebTOSVVFobhHSZ98/7qU39H1iMWGyzeVVkJapzxKl/WhZQijMaTEFq8ni /yOiRuAd2W2ZEHU/it7UzJ6BZ7EzD6zRs8tr2+GPzj+MlVJ5059GRFT73vLxjmEJ5/KE9exM8izJ Z3ee0PuE2Z0TPBv1puiGhez+FVCphtaiK5f5jZdyaT5/oVfH31U5VSUXbP+CHT8Z+mJfA38XO9YX ko+x7b2fvv9e18laNKpSXZLMUEF4hdL2XT78AqjdNRmXfpebhCUwdV5KrD0hCGzZmspxNFBtyI4I aiFL0GEx3gVMs7AhbTQcu+tJoQzdFAVYUwekA7sg0LN2YGD0cdW+NYrxRpR8YJqYL9Nm5nEfZ6qE RCbZxLWftxYhnYus2oROwIicv0hs3GlClm62FEPM9R9pIiLnorrI4sjTWgmVx13KlhBzN/ZpS+So RXBdC5H/LrsgHwXoEiHgSPKSJlaeobxmpIe/NT+u5q8W0WFxBUGPHuAdA8IJheMWf6D6DFUfdjrs 92HxpP3vnxmGdeWbs5SaV2WRbCufK4G65Xv2Iz8XqBTUmjxwpwPyFKlTpfyjDcnWeM3kJkAfIar2 0YEyNBW9u4Ff5QUdfhqSIDerCHwcxWr16q5KzMCUdDp6QJJK9fVuXMVOq+r3gpm+qhQKkjTFC+NS lwsPBqJ3Moyelt8gKfA/6xayH8m0iesIu7wfb1m1ASMvM1/vEyeHRazawkHn437w5l9330xpAR9R 2UhuFYJ9xK1IypXGIJStle3CkF6jND6EDbQuSGkuHeteCoFlwxePX/sHE22NxBQJOUb5F8fQSsC+ tfX2DgRutaF6FFaegZF4OHDTVnR0wzqIzX22D3eApZGW6BdxugnFVulLHWQeH2GoSqx2mYs+EIqk 3ngrEByXWynAzS8GFdjQ/IMGVUPMYjy816hvEXijcJ1jdeM7TQ5FBrWs5q5VGsgeRQWPg2sTmxQU 77i4iaI2V9YxKeliWbguv4QWbh3ieHzevTjccn7KwvSq2DzSEfr6IjYAMwIHg+YU6tLBVW9NvRSy iJir8UTKs84SHNyFDbz5zztwk8jzL3USykxaYoaeVbJsIm3xeCERumo0FFNEcQYK830RwtdnglQG pe3atUeAcniCkQwSSH8qqZ6D4N3Ch6q5tMdgzzl/s1YSuyZn4g3myCKvRbu/omra4kgM9uqp4ag8 S2XMD9AOFMK64QonkIw4pUKNmLyPIBqgyspJtduA3zSPGIOPDwGUZ53eUu415BwoMqCL+EkxAFVI m/87CzTPrAMqLX67bmAK5wmK40qOxQy2swBtnesChTnoJpXsncNfRIiOnun4szG+7Qf/gVqczHkl bLLq4ann4dyFvpDj1EddkzY8ZIO2ojxQoOUMc34rtOmmJY9Fy9EV0rOcNuVIYzCPlBRx5xPMcSjK MseQv6h3qDjiDqYKUti7WcuQgTdTAKzswhldu2ivCrtfI5Z8DinHXw1ic9OShXE5qu+cccvdWDIM D01f0lU2S+YuoWKKETLNzKX9T+relh/FYJPq3GOYShbWLyWWoahz02f1TQe2Cg1IGsItaFrYt0Mf jVs6gfkwY9ctmVrm0t4IVyRzSuH13yQ4PkRS6DGeAZXm8Ar7AEPmrJRo52AAemcYf3MZU7Z23G1H 9cNwzN1TmCDbRylPnd7QKVsLvjl8Q3VRkLsDVnnKX+W35ho5tvh30q+496cgP3ZWafbNSQbmq6gf C97V8FV+Q7X8NIUXYOqQ1uyIsnKkXOF2Y/7ng2x7omUGGJvGjjWsQ8Kz+SM9biBs4nDFeZqckf88 gdhHa/jxePvcy6cDaP4S2pKTWFIKpGq0JbB5zrQh1cGyD+jkg3DfyEme20aQLe+6CA6+h1tN0zUs oMSR6y3cdCeW384Q2+lCs6fgdzz6PLOvMjSpRQjVWQvJ6o4dRt4u5hBGMuaCvRSHrWE+zkmhnbB+ Inl0XzXn9rDDescee8RsFdIhbZknzgQVgUcM8n5ykG9+emaLTzUD76TY0uPJXn3dUBQgj3hVWtHd /XX+c5jIKst1dESNtRCA1a7Ov/TlhRmpSnGS5gFPn0voG1wVjiwrYcl/KmY4xDeXzxUlS4PZGWIx pyqxxo0877Yo967bcSpIEfhmlB5noHj7DiFgOycsu/nVvO+CPb4HAc5vu+fpXODajU1E78/JrDp1 kH2agJUP2uO18OX4BRSkV2zQgTOLMtqvitnbwmv3R11uA0tjFwDU073V6rz3gFfEUCjocwWg2wEx /Bf/fXOxIPEmg/uqPqwzTZ0Nrws4+arKwh3CHI7ah4GBg/tyfsRIeOwbr3ETuLsjYm65qpiR6VuN ylTtYZ87Yki5EnMWSx6EIiGo+3X8pH+cE3WDAA1YsBL5W/rGNArjqxAaUgOPLLLKat+3Bk/2RQI3 x3z/vrociKSFFQyV63+xE+y0wsN1mBzubX1F7GOOc6/eUWgVfztL8Clmw5y6ltGrj/2perNR6fsO 17ykj4mx2JU0cm2sYzHYTxas+at2w0HlkCtfERrhidi+QOdb9vWwDcC4Xhceg40dDD/aI4n50thC wX8ytrYDnHCGGnktyJ5G8FL9Xo/zFfNEwFBJ2XkEL5dJ+d6AGf5lAoOwWwPlE1Y5WZeF9I5fWHRq ZZNARgNfsQOECaqNKpQU3wsji2aPFuORlmL3NPvETB9eFanj+JShEOvyRIeUHzLuDh1qCOsWg9QS V5Zw2K2fadPF6ASfY3LLSp/DpdE3ScC52mveQE9jpt5rcqAJzmatUgcOBL0HDKDBPvXjlqH7jKkZ qCt08p9RAc4oqUfNGw53b5Mq4+GzU9R2apOYg0rgtzLcNy+zQp+FfQgCRymvA+PfKRIhcn8k6yLZ sMCYl6kYYG/UXXp8bjyu03chJ/Ptw1v4qStbp/NcGmQZ2XGYfOz0eZWWRgFURmphq8U2KPLagBb8 gwoVBtRMR7WBtsIr5w28/Yylo/Ov1M4ahHABfjAthfEtpCTPyZ4pjlQaA9SXPSIXtohhkduOEZe6 BlBAIn2rseq4KH2wSXJEichQGygrK5j6vP3THj3Yxcat8kmqO8/odLPlZLADA43NSTKMNJCq1BIU BrgNkc+TVpLUTtnA3zYK98Jdd8zV5rikqGvWsrHiMyiBbG3kMzUvBkOCSNigbd7RQE7N8+nI9RHJ W8T5xr7P/reEMOJQYCBHzEiVe/PE4n5AkX+WUuyFO0J4DZqWQuU80GGLMsvgq+IlVMzXD289ot3A YyTx7Ig1X3L/F7MW64cDE8jq3grfToTPpqXB8SUL92gQ0/Mn1hPiOs3GR4Q9AqgKPwaFVmlAWEKn W+8QW85OHt5SoMqruF/JCeSUrDDCsREsPzGAoyfnGfZje459Y+7yuq7uYjYyrbZvhvvFNePDs61r owDd43NBSyUNFSgwvQd1UVxrw6XpsfMLsntkvUzdKPrIesStrVEObc5rxYwlhWbkVAqwDWA127iu CWiDHhS5vvei+V20UKwWYQuWNPZDL7SaRm9ScTHsV/DKcDI6sPvTetEWkU+FspV/hqGbucZS1+/E L13HTe32m+25tJqndfwgMrrKz8uJcnPgBl54L1sq0sdHU87kl8Jyp0iH6qB77JVVz4fgaHo/B7rr +rtzhnhuEUVOaQywdXC1vQuTRasXWZI5UkEqCCMNDZf/FXYImFVj9VPw9rkZ1q6bHmFRCJHLWc8Q GdwsrNdfbNCDvWsgPqCTBjJAA48iMLFSD0qXK6dG7OTSdgZnfBz8EPIkWAn8PYhekknUwRRaiZW6 RdimTdy469lROTU7XV8YYj5gMtBEQzSCNDLspMUiPBlEMBh5hgy1r9o+G/QLHTCqB2VJTxHydhlK iAMi6IBnfSjIQDfs0UmsCE1cEWFdIOjJcmB0QalvqY9u63H3mtu3ctfh77yUObLoyQk5BwODolyv VTRnzH8dXgySlhdZzWSDftE9VFlnpBGHtlpBOVhC648yku5gte2YNfd9DcHosyetCA2Zx9GoDZqj 6dK50iHmXgq4//0Kc5Gkvlo4Qf0LPluKFGmAd8KImQ4HshF23sxLnUhEdv3UYalnwa4dH313Om2m CN1q/zPo83LwHQAarfhcklo4ftae3YPrtGlfYmjcVz8+LEO92TSSkDYcoglf0eJ02BbWa538bSmh Te7hmK5XxYNkba7ZRPsiAPI6vP0SpF99iKwpwxalEO54DXABUsA9d1NbGwG/Hqk/hj/YpE6fORCd NxHikVH3USfXP8zpEa6VD+bAs7zjbXnEqwr0fcPUQH39Na9dUyU2lnTS2963UTpfF7Jf3jItZ2X6 tBQ/oaexyDr76y86BqM7vcP9BhmZtVq7oZFED4Y6KTQPb3+NAiSm+jZ4N6qOYI5CpV1d2CNZ5PWE QhEjSdNk2pKlSU23dS38K4b9m07t2ilAsejGWWYPIFrIHwHycPvOP8ffB7ElqiBaGbHa0dVLI21u SIsmni5TdMecynpCHQ7zZcuO6GEVnl+0ocyvME6zwGh0UNfm0nIieq4J4FPxxQzaLRdigwh5qBAo g9AjYPd7hvBF8RpS98crc27765o7RnmpQ9T9z+tSR7NaBSnCyikN84kmOjMSVFXvrF4uL1g2SXAw Gi6exOjHKoKKDH3kJPtWMzhuKj0naCBgONun9ofLZzeNT7Jy+5GfhnuIY57kQFDFNSXy1qT++8tS /r/O3HBfLScyH7xDZChWmExnzq9oZ7sgvdtVifHazBxLQ2jZ1Y1yiIexwh0YaQyQonyUYHyhn1PH UE8KjIYHXYV3F75lMAdhcHjc1w7CKFdHIEKeSLP3xqjTMoh3GQGkBWQignj0okJ0yf9RUERcrfue z5n6U1g3n+eZrJKqv6wMr1f7O0X5dHMnVGsmKddJ8Eo/wCgsj6Mg+s12aW9rRy9q1Za1H+VfKCAr HqWju4Y/kYvm8mJgbtd5gOg4w+gvW1oMmMuqHPn7+L0zRCsGsn2YUamP5kTgvlOuGK/rMvG1DMEU Ybs+9PJJcr/rAV8rZRET+1JZ7fqSMmGSqttjIp2GD2RK6FzBEDyv5LXN+cSAKLNT3LeecpS5IveF 55DsGGCnjSODvOYoHZNenQ5u98mIZMCNlEVftu6k1MfzYXAugtf5vnM+LVSeSkS0INbDnNLsR58p jjfY3Ig+HQJ7s/k4bTxW/1XUwhB45Zh8NplxGl3TfDg8RerwaNMKSIaEvLTSVvXq2EKnaePtbVcQ r+hwTlLyoHTFDT0BGxoDGz1TD192R6rknn/hv7QCv8C6Z7lZeNDaNzB/46be/A3GrG+Hl1qvZBnR 2zs9QqEfeyi7R4/mzvSxfcCy4YfMUbBha25N0iDwVDe68YBw4nBNGT0YcWokGwKKwIlHld8Fu4ye CYzzqTI3Hm0VfKINZFWAUbg3N7djKWJpiaVL8wVQHzicYQP02vKpULLaTSe0SlwirEFFhSh/e0IK OkfrGwKNbolMM7l0kqsGCfBEQiO0WRsd5c3L/HJiE+1EgiOfwSEGithyzkZoyS/XO0b4i2Dtr+3t qtVhkazadIgBmGtkBCqdspW023EMd9gOb8j+olqaBArsF2Admwx9Hmf/3SVvkJdBWHmrnTdXJr6Z aAvxxhYnE2yUqTiW2DJdlRerGNLRe7PIhkIoW481orw3vUAqemk+KJ1/bm9eDFfHZBHvZoNMLpy4 o3j+FQ/c3fiKsFtQhyja3yOIOS7YDsP8mmCIlnyjk8AE+reEZgtTv8EIgibRejDdYMhdrniesoxU VTclxwsXr8gzPi8SA9petgSwg/kM0wWzogKd9VTK2+NF+vMeqEn3M5wYkiX011dRzufr3SmGSas+ bv8p/7FJH264keHnIs2i/5LZXoMoRPrbz/V8fPt/2uZWdwZnFSk9ntEnJ2HZZwPM1cUQ1fEOIBrC GQTAUIEFgGRUAJqw6AOFWzvpmejBjJ61OACaisHwy6MWwcxJhS5/d32E57VkIXFsIwKp+1PJNqQ7 5BFhNiaKV/+UTjGNTI/iqLC46dGVj5tdn4LTVqAEf79PADTY4oX9cMQF2KU+pm6tW7SxZQLvk499 0qb1Ft/KkgZf2e4gfjKNO+nFqAZavmZdhfpFKmrQwTlNZGumpXcI1QPayT0CMKgUGComvMjJwoEr ixP/OrMCAsP7HQ7cHYhpB/fyQ1kDeweHoodSxCDRhVBDYLi6A6Iwk/6469X4qZngXhGcprmfNxB2 uQ0bsEYwdaFvK4XnopB6e4qhfxME0wGpGWdLrRTKkGpFy1Mxbb8DsiyYw8fVf2DtwBrnIgkwzCyo 2JiygX1yQ17vjNYZnNUkuraraCltuUc/TJM6zQ549+zLDjvxgPbeX7VAfBF+Nkac9xblANENovXu nWdlG6dOARlvjXkmRv2vww8Dhu2qyv7Kym3pvBIKMjecwRtWp3VwNwtQGmAL1Kpdt2qH26g7HUHL WzxBmdEow3VJE36NJZ0G8U2h3XPh323S4J/hYYgFt912I72Yy/6VNAaFgBwUHnzDwyqzNi45t1Ed 5QJ4NHlk65Tv0TcJO91domUaDhXc7BriGykt4rGF7ZBN21n+HpKRvwQWjsF+H5knji+fHbgU/GDO faTulwHm+jIsb8LkMB5lb0ztbG9ZOfQcIMXCzLKhdML4bFIJzcr1Kbuq21w7oP/HQe93TFb4By/l dTpG5QVOZmLfA+g0RJRnXugUzqWCuXWosM2sROuE1wsxnUxLTQ9NTWGsx7vmhtHezDEJ476z5FFc LB/YK4IwpR1Cy6bUdqnQFzyCKbaPAHJoN6qOIWvuDTGbMRd3q9vlo/WolAIMRGO3BuYGGjqoDNl1 i6m8gVYEtEbYW+sQY7ahULJZhVrMaKnZRw4WTGQIh9CbpND3c3cK1o8meOmZt2QlmIEdz9rFLbVh D9wBaHl43+/YfznkHYg2eCClzlwGA/2rEv2c6EV0gRghjnzZWUemsXVYklWI+DjC3iBJpjFEd2sc zPtfj33krZaVX6QYUVKfu/5E/bnAcQyuG5hbgDPQ4JGCPWYE6YH0SFZcbfpR88AAzrMASZDp5jCZ bIKhxIGQk8ii4I9PTDd3ME1Y9Cikx+Dzi4seIWn2wjT+Q7WK5c6QxhiDBT5v1j77ji0vp5biyUrS 6knfcFHK89yVTKO/sqyvPUOrMc7ovCBaaQeAJ8M+QHtyI7Mhmx1+Xt+H9+4oH+I9vvJT8O+q1kG1 XyZf9wjcbCQ1ZI9VrqlC91R8/V14xdGFwJ6ERRvkUI1PqH3KtZS/GbhADy2eqXs/2cfgjcDBzoPN FFQSwIEOkNC410OyU26xx9adJKXCC04bVBRPVoZ10HKLgr2M80EtF9tbqTAZHjopjRw+RJRGToWq yvhTlvgdqkWA3mYzxXZhybvsrerZx6qYJzQrfm3DI9NFM4hUuJ5ma3c3hi4vOH52Sy6En7yln8NP hB4OrpvACdEWppe7DxZWD+VgLTBXPj71lewhPZxxWx3NH056kzJ3kLQlzFOt9XHsmccD6rw+z6in dllF23nNfQUIiUKBD3s0FjfZ4kAijrPN9sh9bDv5VkKKlDgroefi4e1wJb4yyGVrE/G9oyKIBMpz v5cHHLxJpJpRrNb0ZmWXzdcLgkR/kNHcLF1iizo1yHsEOVPrLx5CAdWLFKHgVDvZg47+tIpw6P4g xUDJsZ75kgT8GyHXUXiV7+ZBAkOIx6cFxGQeWV8ZYxOHgQ4mJR6EX68/j+BwmTtIcXtsbQ+fZbJW JSDdBNO05Y8T4mttubyNdojA/PrN7F9O4BWkQH25QdFZsxp2yxUHRY2ZeOJfORFVCnZPd+6Lt9hU vfxRPhb4z9igyE8SzJnO6KWNOcTY+YI/AkAe9u0zIZNFU+kAFUYRPKOWRykuVI+pEfZOOAaiMIIV Ss/plfAydZ5flWP3BEXZHloeJ7zB2eRu+7SJn5RdWeNSdOhZ1ewMd1AZ7TqzoJYcWP+PY6A0U0Im kOJK/sbhJx2zHjbd8OxVJKPT4AsipndCPGux3sQHUnEErC2TYAY8F8mi9AHK3zc5KY60YTZameTj SOuSBgpD/ddPBiI8zi+czbhEs4ddZbH6Hu+qhq1oS49QnO38WcEe81UYp2GBpzVjRduBgNsHJ/vR 7iNP2CbEk+VMp2Ocxn7kRW+BJ6onLo8e3uUC9xFmmGKfQcrOyr0lOgZ/3wXIKdjnhZ/6XUMWMCdA yWiPOKEBu7XfFL4fe8jts9jWXz4IHAlk22s7DV/jPuIieEcJCKhI8LscN08pH8Ziajv5cVx1RX9A uPVf7Ice5YlTmKoVuPKlPyDFJ3HWqnrrwYaXFgwLpQ+oKWkh6hi57rRx/G1IuPpbBNzdFu/ql1KP l295wf/f0mpQV44hugcrP9ljgS7PjBVG7tjdmojIjW8BG5lEn2o7N1ajPFt49wEUaGEOsb8wr9Bp UtfgP/gAQiKQ0j2pGnXx+oj0KGPd0HTo9Cq/YK7TCzHF60GWTYcDvf62Ftg3X/j6OPNJSAAiErhs UxK5hL4xdM405R54nq4LLmCq8MxT+0ny9kYBwaI8oOesJz3OOl4Uq/qZ8ACK5azFloEN34esgCCA 5fjBmVK5xo8BIBvCu+tPhkLCJ7F3DMuTaTiTZksyo52s0x5mvSlJPF0CgJ+NkAhXjlxVDofioPo2 hhBYC6rYaen57y9GfNbsPUhuBcz5DkfAJ7aaE5Zv+6boPqrmj90I78wfc1nAU95cuQ4zTaJi+j8W yvhVErHybRWN5Tp2khLI0X0TbNpHUc6iG4ghmFb6OXfGs0X7lc8oGBSSo6NU0HYMQNGU7vrhD1/V 7HCqATZX5LeTd6Ai2w2GjwvWZOAG93ZBQgsGM73pYqDKo1dlOtlq7YbkVLpxHw5ONZEaZidSJLh3 tKbphX4NIlEbPbQTjtH6I9SMJc4GwuDJEBC86et55CrpXVGvfCsomCCsLqhS2uo9ellPhYtjO+s9 mCrDA5YEeRhZvzubipkjr39ZGHgeyt451LMW8JXr7qFkwcjKB/dJbFLoKVDGJktOL2jY2P2DBGhr aOVQvWVlzkHowkP5miKB4Jjs2iQ/ix/rqNlto4TMdf7O85qlEnm6fhggdmCNplno1yO6L9CrjWg8 OAhWL7iN1ZDkSrFfvuwhvhF7jXEmFGe30vO7sAxle+mOZoOJ4E9yVeAorm22bm27wfDfk6YWmNBf nL7dYslFCBNjO3ZL9+gaSUbpYqtsY8UeoAu3qt3/Dd4lHM/WrrhVjigOht6OsEOUdKw7O2vZXrKS r96Q471Ri784Nddyc0o8+hkCAamxgBf9DQw2bXKnGVE0fyTNV1dFcvq9WXBFpE02r8Ix5EmtrU9w 1mIW3QVWFD/w7lu0chYaX7WsMQ4lv1nST2Pc94D4800Gkm/S2/b+u4pdCygwZuBSWCu7/bygqGrC jzR88u4MYf9Z0sCsNGcTGVpFI1pUUSet/T5hIKJ1K7Cw2pjCK3jzsQ38omS+kkg+r5/JTMhVibXj 574RNWdt8xZHp5KmzemUCwQBFmgb3eVRiOGRbZRQiN/uiagUfEk8l1Vbtsw7Erb5ViRsMsNBF0JJ o6g9mr7NhNkmA7HsnOdRzmTvGmy5ixGI5ZGoN+NpJtwSIhNZADAz8ZT1CGL/USo3SRBe6pgV/yvt 93Tv5jufcFbbq0iGSlu8cUyLoKtrzxLzn4EJxOjRn5mx7g26G0b1nYyyzkezR46L/F5rVzNaatDV pQDw7SNg53brVXfATOgwFIpUPWFEjBfpoQkZZdKERqWT57+1Q+dJ8gepipRvbX4/vIDZWrzuNEsA MaOkidyqvk8vMNL2oBiqSh2Z1PE1VGEe/7ST9nlPIHxisnVzyD162cJ4/eEs3d5TJIWLZybePW0V VUfmlalzELZ2cFed6rDiAwb18RV0KCTD16tQacDK4TpDQbgcbWIY+5uTP4xKgsgv9pj+0Z8MGLVa +ha9PwTfj3wX/oUSuPyOCMHsHtzR3iQuu0kGXz8KnTQt0nxKm2e9OWszai6W2SpRvjF9yfQMhpwg Og/AqshswocRJ4UlMxTIp5O+hojVjyXqnMGBaoSCcfctTJMiybbO13NluHTbbSe8MjUE9fdXXLf6 rxL2jOLX1l4dRQh6JzuDhBnRO4XFs0qkGI0zK3TwBXcuIRUWmdFufwwKiPgTYeiXv9IFQlifDFlv thbP1fEMZ0FWFBCMK6BvoXRNCn0E0bPdtz+X26bsPDjU+Iv72NxGama/LqOrUAjKBcXYx2rxVQHG P9ggcDl3RmNmeG69RYlgobtKX2AlGxcwJJbGp7Pvnem+2U3n4q+lTaCDJiU1a4LwhF+EFxg5j/p9 HXEWaIdLnM3f2kV/DgVGCUhpN58Sfoefy3i8NVofA/mB20e21BmeyA2WY6fLXphgd1G+3U8J5O+J ZCNUy1ky16ux9IjqLRbnJmzmi3In9lqJ0My75kwp9b8k+O5GP8gqM9JKAtagohHR5YQK9+uexpQX J+GqBNGCEDPlLn2niU3O8qOZxji0wh+8/Lb9x6AcfF7Hbm+khLI5xbbBWv9TpaadhHVcE3pDW7l1 m9vu4EoilwwGg3I9fi/9WgXgrOid+mErEn7s8J9lCII6qEyCS61u1vqhQf/oHMoPXSYrM2eopRqB rWZK1TizTlp2jXGp+NvPcAn+sn7hmmryvOcSAhkb5uLMSq/d1KSsxOwOic1rH0ZoQkA5sCkZELbl spOBZVABO56QvH6D2wo+K7DslowFAzCTqFPfYpoKb0OXe2xDJvbcIcLgSedJC97e6Mhu8mVBMAT+ l0kC68ANey9RlmLiBHmEKB43ukLSIGLG+b9RVMg2+a0fjni5vVxh8SipH3EbV4TXZr8Yn/nmTxoP gFxgOgeADWcmGhVOCPlBewUCJHEjPuP7TcFLpwOW+iNvggp2FXuz1J+ddo3UGeX8XbFgHX4AVEVN mC9j180pii417sJVll+HCgRURlEBqJQZeACXBUekTasYq4u9incvNd+uByRkTDrcPnPlZby8WfnP MtNTu+iqxMoSLgwzBstw+Mdb9ZtKYb9ose/hVZ7zOAKhl6998VlOwp2qqZao1s0BeOvBFjduIvK7 hk98EFZz75zuPJvcYynvPNvSPXVmqPvAnIX6+ob47D4JV7CPC+K3nXmqI32ms3Oo6tlK+y9g2KZe J/uolrDtEC3tj00ZDvlL2b5S+K2x71HAIKAooRlE2ih52UvyE4kHi8bn99bq4SwPbD1KDx/ic7dj luALoHDfM/Cz1mpj5QTbKNiwGQdGreQRkv/ofkJyjx5bwvbFH7BNDtulr30ZbYVo14F2ZkDjxIQB GAyszJ+cZpunqRzUHnlmwaS11c1l1vB38xcEgp5unEaKBlNNphnuK4yM0j0eqDv1Y+slUa5IMhIF YEs+jQuDP0HQNiEefnwj7XV8aXT4nt4r+Sx5im9HMDd/nRHrSeUgnUQQv6Q4KERQBOiuLR4cWGwG i2AtGTpl07uoJuQIsMi2rby60kQhKm++WMe6CMcdkOaKHyxE0Yn2syHEOF7d5wnIO0o237wo2N2r dPpuhBwbqOlZZagysNvBUlPR792RMMYxDx+EgP6d+hUxuHKAiGlGKM4kZ+RX3XRfFrunrFpf7aBs rhqW+l1K17CVP0DM7MLzsA6JSh1qcgkBB/q6S5PXmwtuiaIJ8NvXcGETNdlvB672ju/YjEw0bUaK I0JfoS9h5yeFtveBDxR5mKA6/0KPgHA1JHq5qmBIEgZIlM8L+XgAO81u0lTR9Sy5Y4tCQ7sfQmZK 7RW32zxVYCAEzBCi97FbB22aeTlQvgvkH+9IUyMrLNoQWha54KHLptiFEIW+9fXGLRQdi1/oEq+u bLnhKX3deyDLHaVwgB7syQQiAlzOGRql6BwYeuMnbzE0p+EFh5fBVFOO0Qa3rg04qNCqEw7Svi2v TqBBkfnD2KQ9uUL1CoUndcz1/ef7agQz60T0saV4B7aLzfCdmuw/J6NZSg1NVctAS8KBvITxTCCQ Zhh9s51YJ0cFNFitDGr/nXdAqOTqOqtv6WZErKS6gxpltJmGqRj4zQ2kkqW3lFNIVaU/pPQcF/+o iJvNM9z6s7Edj4Ni7CDYQcgNM+sjMBMG5ZFhsJg7COOwzgfSS+bkpXo08kP0zc4v81baOj+qxIqm v5Cx9ODBQIt1KbD63UmZqmfsJAazDin6RzHcGle17FFCEBLX5/QkC7uToKvxblqIg0DmgAFiZ/fq CeGeijrG6X8Bj0/wmnV2uRItnDNBMvolguZ8xqZxdOGUQXaH3b/Gfa2QMYa65LiM7q4Bh43SO7oU KVCprbW+HEPIBJObh0ILIzzqECghapDGy8zIrCzgkh0UR3oNzmH/asSau43jtisO1En5l3QW1bx/ WYSPecy75OmoC84kAEVVndx+UY1YZ4kT7B2hxQ1vQZFPxFfo35Mm02iVlvOVDMW4e/1FW2663JOO xDnF9ENv3flBp1DVBMGXxz+QPspsSg/fHr9ffKS0NFL0vRXXjlMfDej0UxEm15Cov0i9GkYhxsGf i+dqr/PGO5OwjbiNKlbc92WXj/AX0Hi5AskaGpOoq2mASf9WmSNmxmT0qeyt2oSd+L+kvOTZmDQH a9RWNZ0M4NTgjKa2/MMh9xLwZwp2vETSwuzhFOMTdG4Zg+wJpIuRVPoTcA+0kPUk7HOvIZB4pbga z7Z5nOObBYLAyTN5cwCxdD20kAOktCcol1rUA8QMl6TB+SGAsIBGNKkYF3JRvT0p9S8bcx0GwGDh wMgYRs5FOIk1cuW7geWwMG5VVJ4dpVNIVIu1ioULTEMtzagaHs1xLuePnCz2+pnUjH6p/GkHjG9h FDmCkOO7nYaLTzMyhhD9q9VjLyGlxrz6GYBjMXI5mArsSoRQWMVn85+nmrJKxFsbHy3YXmSkZDGz qjA4UwCC5NQhIjLb+x1fTHOxGMGanZMsNy57oqGjhRm3mcNGOjz5WauWxLIUXfZYAlOkvuIP5ZZD bBFojuqWnnEhE9QmtqU9deZokaPQxG5wOiOSJG+Qv0cYDYD/D1mEFkkqaz7pBSh6QsxPGXjmpWVx t8YyLOmlOJ6eElp9oaFIw2Vv16F6DUW6/SSEdHX2uIwUlqdAN/GKdgyYPM3ratT8cHQwWW1eFr82 Lyur/131Hvj5iNbhHaRJxgOQgW2+ohdCitSm78TwbwsI5sfXvI4a2U+zDSD+ARiE1EOJu3Ts5YdL 5WSqoD4xxzyv8ILhZNXA8wZWqCtf0I8joOE8cmR18R0Zz9gv0K7ujcd7oLsv6yrEcHVno4Zs4W0l 3Z3DCtq/eZJuI29gxi6WGO/rH8oTqxFL9vgbGqd56VT0vol/5Fh4LSmEutqQxOsJU/oaW6R3PEtP VUYm2QjLhnQJGdhVx471chue6AWV0rsgL1EwtKePBReFD49u7iV2UzkL7Hagv3yYzUtC1cN1wUcM /jvPmEor5e/eJ1NbOVsSlMzeDcsvCDTzOWw81LVMl7Rr6w/IMYb+wEsUFGtuwX/zEijuWh5Xtctu MN7450MKUIN3gkau6h8zikAX2fsSBUtn+0ppGkZE9vN7DpNjEaeW2MjQpXDj/qR0GHQ9wNsO9Um1 cb8ByqiltJwl+H6EepnIVv1YnVrnmsmoqb74oQ7cvOR1a7EiOvRdVeIBXv+eVBQUHz3se41lqPCl mAdlhMhwOIVjxNbVTiHxJnV4vjDRYgIf8TLSjlITm4fF2kXHypHNG/68H38midaVkMXSItLjyslO gUdWJZ/w5T9WpA7CGKrCOiSi/X8g+tOjP5lJAtVfGW/sqfIjxagoIO2FyTH59Gpjh1ws52naMLY5 AxdVHp1aHVyN1IERAiNL8XlHi3I27r9pM9V+ZWEqBZmN8bBeVCxhqgBCED83H3VxCp9/83NhH+nj 2dBrReKzOj7e0jBobXJmbT8C+LXqQfB71ObBcU7Nt+Ukk/PXSTClgQZFxV4XgcJL8UQrCr3tORx4 Si1J0pMHPZBfqK8Z4dNCrj/xNH685HBoMVV1W8NES55a9eJNpdq+1ULqfJ//E9Se+453fPc9zf+j RA4ZCRjiyjwrl8xAFi1V3J4+tadq4sblA4d09HQ0Zd7cgW5q5aAg89+MsFxy2Mpwjk3h9TE1Xl/w DcBfTTIUXS7I1PWP/rCHBULh5rA0hykRnPO/OC06NC7mM30DfryHUer7BRQ4KiXpVw0lfz1hQJik 08FQspT/aYrc+H1v6a/xHZY9z6Vu5FYiptZGnj+4RyRZVcql3T2n80pnFG/wEkwr9twDYKBG6fMF ZxBoqMpc+3eNohl8rfJse5IKgR/O6xU8k2bhBfulg39GzzjwjRV2ApkvHIYlRNs5J5Zf1xQKeScN FIRHoJaFXFh6jJaCGzmGrGJrQ+AUIi8YoipXSk9FG9aBON/CadOFN0rYEGP9PuwBkv2De0SFVuHf /0+ml6brmOhD5Ooxx8q0FmjBwipv3j/lipQVoq2YRYPCDyX9wTD+eWQnmeo0zb4fh+sodqgQ2fqd 2gPw05biQkcpNwsL6rv3tJkfdZqTaMMBfZJ2m95+5CObWo8Q02n8OlhqGBJZfo6fpMA3HJ1jI7// PHUS2sODycYHsabcXHml9WYRPHqUGFSCw48yPhFl/EihRh4fnZd58ehYw2KzGnhA27ICshChIFVc cctxZq9XWz05R/g1spjw3Ize5PX5NiV+0LKMdpzdp1l5tlJC0RNBjNT1SfDbj91fr6U4R00reFvX DFje/tRMAzEOhP31UyOF69arxDOkP/DsC2TD34paZHTTpKHs3NeUbO/vnT2d+WanrnKWxqKm/oO6 VUP9JxOY9KkdLn3wlHJWxn02vAPj+oTrY1VoQFWkaMDrkVsAvFQ339QN0Zlmp3cuIXHNqJ7a2UkX EDKvM5xYk2nrmpMhqqodE4/soAGTerAiDZ/u2nTeemFinWl+WwZaysYWMmCzN6zh79ZTSdYBSfBm n6ftxYH1RvF5eFXyRcVSEf8QuVgrtYdJ7Q3lyAyWUylyVumPtDvQzzzxNzFCnGHXwmocUnZihYgK tQ8s1xxLtRcB/Nai5gTadRgym/pT8Fp09D1pojv2k59z3eeT8zeQiwnzAYVGnS9jlAzfdlPI2zv4 19bSK87QKp+k1BCGXZGFsqk/4570CGsFnnCEQPjrZEPY+RAwjOoX1xIeK856IEla1fFUccoQwzZo 9tX797NLxGuKW8HdgbVTnJSBEFz5liaCvhRoBhypshR98QdknD4tJc3UFN+u/eWJCPRfv0yMOvco 0xY+7xlhhiUnoax9YZu9pqEBrEg3DrOCTvDyzkEZVYUVx94dnHDPJQUsaF5BuEboijBuyYZrZWIJ KXJTj8Eju5hT6E3rzoO5mOBe0X4C307NxBLzWDeTH9ieKZ/9TXJfwsHift1hCCLHBbP5Y+kcmxwc 1Ru6TCYj8l8R2VWAM2iXoPAlVFLD9LDHCvTY9kCLblB7VTYoOwFM89J48Ee1cpara12GmHOH9m81 p34+hu3wEtsVEn8N17EqZ1Lq8+Ea/EkRP2igw/T05M2Lv7Hvh1+7Jzy4qvyGJiJSrxXph3gurVNF BQAh0nC16JXwtJVcbVdjKBQxkBzWWT3j2BaJJkkemKY2Ugmzok3ep9VyhezCl6z9hYxoa3bx11I1 1cpZnMGI8xkGQoRTH/CV2sIFT0+wTiOmgbK5feKMApQ8hz0D3RoCxZ9XPh1OemTfg8w9jvy/0iHb phmntvFzg0yq1iivEx3s3z157A3itmMSff7Pl0fCvfbq++W+umC59miRoyQN8F5uVdsJVHrCJb48 K6aJDaHkRjg8AruSA3oGGh1IL9SxenogAI3wWET+xCG0S8MsMqvrKlCuZyM9Yopj/yFIbmZ6O8pZ CaCjMLvXvU8H7wCwloXjickdEPWpGYQzMyImZny77VUjhXEn9VvjWzklDMiWpHrUrU7Duj7xqY8+ vmXFJo2KUkQeYhCyZC57eUtFAI1xrkA7GBK908fXDOw83Qmiz8iVyvTq88MYweavljaJMVn5i7Si 0M+j24WHC0dtbiEso1K8HppLxqTTo8brvszhnxvAIr3zSGCbAdtLteukrt4KaJa5OjnuTmIi+vSU JgSkctIGcL8b2tCl6GXxo09ygJWy6ef2OpE3/NlMGQGsG+76OEkfkOmTxokQe3bL7vxMzYjBNGPs zN3TPazO38vtMC3XgTtdZjFfsqB0aWhw/FV04yGtYdezh8MQDxVh6PFR2/3x2nZbeFJuU9N6opPO 33EpSyCCbYBqq+Tvf2P8H6PmzKvBn6/qSV32/3FIAWUndt1TeqPRmL3subTRew4qq9LX6UEvNUeq 5SSZerzi0NpxkhCEUB6sk85U7+sr3WzwLWKFGMe2HKNwO0qHWWU1uaXEr/iak4cj0FT04+KlNgME DUnSvVeqKXwDRH9FU0wvGOq1yStdd3+PfUheeILNpLfcgfGTXoyMXun95oW/39jjuALrEmrcVr0l An0a41H6LgXqe39jz6ltYwZHtnNdOE69u0AUNQRrUHmXqyrHNnJoKIL6ZL58nLFHhGrLUEXPjGXT ymi+13kCpnwAfYJ9UTjPPmDyCTzBaiMJWLs+XshgOoGIMiCUYmc34JV89vXgCCeji+GPIL45x4bm I+23WDCSKmOWOTrZW6e3Jl6pXwDFoZPhSur9kkvFbfQdm/E0xz0y1GDiMeq+Fu1+zz+FyYtXek2T 1Qly3Jjc5wbrsQanimK1H7wlPg00WU9sHr+RlXF/X2qn6GqIAe+0kU/Tf/JZlJzMvI96bpDQsW6Q PuIhQ7OcYkZeQYkRMMAaRbcGia7n6UeuLwFHW9E3WWvFZ2XNdivCtD6kGB7JYCdcluu+fknpmgrA /tO7uIVLNV8dcaSy1K7R3EtVlgbhpXQZaSLSQnEy/XDsDT2B4sbPRBio8UpbxOOPes1qgZLqzMdB 1/e6Yb4eLtSEy95KcMkOT6lswDcsWgGkK5wvD0Uj4pF/CFeMn7yfztdt4flYuI3nMBptqtdBOD9x cmw5Ep7f/eT3odqYl03icd1tQm7hjYh+UKTED04Pkxc7Uqp88S8Co0oMx2MZjV3VSCaBHgV8kjrE o0Bxjq+cRl8BKic5u4LTUu8lch069qErA/MxAX/lfAixMgKPtWxIo6CHxYuIa0bWnD9yc48Wd0sm GRTwQVlPBK/BxLnIuyivYaxGFfAOV/VcqbycXSpuQid72TFzClbw8rYCYYvhIkHM4c6C9iDWaMNw NnFMQM9Bd2Ogz6VwH3lSt7sGpaMdfX6XqBP5jrCMnQaHpgpJbbagGrlcfk4g9B7c1JqIhPEdOWtA uQokl0IHDOyBBuNN9zC/p/vsYm53jjMZu8SArhzJU+yU3Ftnb7/FG6m3Vn36UVkS9+udBYCMfPbK Nv891jIWcMWf9aSIHRRWL8uM2qeIARYpAbvz8shgoH9p7utPnXglZ25/9wXrna+h6G0oKkzmTZ28 jAFzL3JDKhpRoSH3ty9BrqCe3LtTFgrx0alSyzTOPaQ6Pv+iajnZrYBhun5UwLp9x1ORxiPvN7By ZSiuFNUxfgvEOeGbCoZRp5rx9OC6v8garbB4Q7O6hXw4EzhpqYVVTRu7UMXAFgunuiC1858D/peM KSkKtl44Pui/HG3ZF7KtnFvui8uJsJP6XmfYRDzf4X6L7xZFhpZptcm8yz3TZvvKxlxUIVMqQ7R1 lRiVTg/tnQNBUBX7JfM61y3aPV4VoFNUzga9RMqTvmJrlxVuPVw16f8Pt+mYmt80Xn8Ti5ZQPanH a96JQ45ANbv5pvm/hWzBimY4qVPjMRwlpPiwhvvA4jQkSjm0EDVhQ19gSjpK6fuetwkYOmiJNCKW dSLf3iYnN32bh56jm36vwmFW6ju0Z4UOIkR0/3xO+XIjvpQR2s9l1tk8L/VhgkOHlLMeC8uNd/8t uzd9B8lYWmA5yUmFYIyEnGrnIQXJ+dJWMuk+hIKzdLAXytAT9hi+JbDKnRkii+88EH3jxsObyxEB NIb0eQeORhERxAOBDH+4FCeLphDXF5oSUBEHv7I3oK1rCVIQjNyfuYr+gviNtCmOIsF5zvx01MHU dND92yb86T9yKs+5Lu55atg4O8YGHwz2XRBy2brthliCgJ8A/ivAY0Ydcmxg0Ne+jAeKSeyyrhQw lDsFUxKWtXPydNZ/wmrQB6zNzu4Bq/4mv0XXbegZvxUWn8t1hgu/ZS+XwQXRp70HnuBL3LC7Kt2D Vg+2kEInUNgfTPs4p7oNvicJLwbFFx4RDTwESimc2j5Fpe0Lte/j1zx2VQi0lalnniiWACE2cy0a 271zB/eR1lXB+NX53D4AHoeX8i4FlwsVgiAfVWWb9v3NnujaChCHIluqJ3aPb6Wu5Z2CpczYN1v5 xndnziRHEVwwYiAKATWGznidbZeHp2AdDuDmp++skthtabkhCg/ZSfMY0PvEtXwsrufUeLVm+AnH d0ljbAyEiOeYLAPwzCJJ1h8D3e6tVJIqFJZWj4WL6cKPfqz9iDJIPteaoprSjKFkETz4pWblGHee q60MZmkyNOOqSb9dOpAQv4c2rFiwH0Cvw9UO2ifHz4Ht/0kWDHqp+ObkhWemJgPFkmlO3FNR7khp hNemJ2uCH6+ETkfXtJM7S8+G4j0E57Qs2KFY9TDcD2weFu6Oj3mhsP11JPt8dpGdVaxPvlLF6AQF HhO2ZcDFlYKjVy+6asVYNR/Gpg2sNbWp45sGRzzH0P69uusQ5mZEu/GIo3xfjUMQC+qTos8qX85H gieyEMBIQSRGiXrALWJHfl2AUgNJUfE002ObNKg9fy6i0IZ0H0YHZ7XIJ30s64C/avB38Dn4tiAl 6a4G8jWx7dxy83YUphB5nNnlk+ufI/KRhKeGN4pmcxCC+8XblU3t6nPrVKO61eQRVQronxHIY1Dn +ub7pxnZ7lbw5b6if/oxsxwkBpbwFqaN3lfS8zqPs5nZ6JYv0CtOo1ND+e19iFcHFmrfSDtscZMY b49fMie6kxB/y7ob7jVGvAbtUpCoCjt01Ghnt783P1pRp8VNTWs+XV9gw5puAeiuypg11EPhTsrT CXclGyCKkkgP5pbWM6scuL3gAGPCa4N1241TdNixIDWDGC2GjJJiyoIkpL9ogRrow4n6DqABiKti fm+UKUtd9r18y5VnN3DW1lpaPi0Es8qyr+iKCoEXfLHjrgAZChzCvJVuUGrGWcn/BfDV4STd+P10 6r9NuO7Btaj7YggdX/9rJ3X9JxD86aPaO7EiLt/41dAad5jND0qlkSfuU1Vmb0cYK5sw3DL+3L1+ miJuKjUCRChWYTvVtQvjILUV5YmkAhB4rUz3qBLl9AUa2wfDu1Jd2HtjvKqK5ViGS/SjZgmOKaT2 8+dzjEtQwkws8/XcXIguPasOC30fQyTHng8K9oVCE2mUbrGMUnxaRgk/uRAhCyLgBURTEcxwHkjr NN2KKkoU3Ih6tR6RR+aae61NXIS5YHWsANZtcOAFreBdAmXiXts9DgkwlW1HqQQTeBi2TU3IN2F5 S+zlYlUEMnnjrhrMBIf5prTo2niE8x31dUJ6B0y1VHOTkmk+ISojsbyHZchYtgox24vGoOhetP+c tecJm0cVk2PCEzvTOMfesSAj6QrwJ6qIIwFMhqDf9ozJE7Um8gL9kSLsnNl4prhqp24x7QXOzwCg s+CP1HSt+gnedmg9FerhB3wiqEaiZP2zMKhCUCCpPlwGCaIrZzTOrc3BT/7dhULtnm7Gvr9ShpzJ UiaZMJ5z9Nk91U+r9+Ff5GgIn1ydKWttSSluuKN2bEfwBEG2bwO/V9SyWs6yLq/URN5ZX7RAyUTK pfN2htw9CTm+6Yy36xTkIlbvsE6jwPN/PTDhv2uot8thqQxzrVYEXCaQhSiFF410IWX7Pd9y1JVB tU9HqWj75MpH8OXzFd2+tjuaegcl7TR30Ulj06au9WBr1LtcyVT+0sC3B7vrSBauLd9vZqeuEY0O 4/n3sJnmQWATkgRxXbjZPTMYicnxzSvcakTWfEHmigD+blDDYg254jCVvxRdiFm/TZQe64uPHVqG jKIAMnbN3/urgTd8w2QJIgGuKn/ro80wfGZmvPo0F5v7GFkQwlG/YToJlSQQY5sLRK8sOs1E0m3j bvoy8ngDULr85CLEnCZLAs/fPj/ZZNOfpYEEbv99aTr1S2o49BvICONvX5ooSiowGQcVMp0vPszM nBb652jWpO8XC2DOzeZYBnXvdeZfsCKFgL96TDMRRBi0W9lZnjQLTNzmO+UZOFkiJl0CtX0qHXLF vW6xr0n2cHksU7FULnBcWRDPPYigF7fiObUqSjedIOI9iva/mYmjsZ1/7RIPXWkh0W0Nw/QsNrTV A7oCeHpoPYePM7Xnb4KIw6aVf7xInXFBqLWGB8UXerhS3Atj+6slIjVM4N2jJqkcqUBvoEQ+3JLn xMINlsuzSGxdA7XxAFdLrSCuF+fB7L7Ddmrn+M2rzdLe4grkoM5jd5kfY4up4G6XdESXkJ9KtND0 A3rZPWXH/wLd2Idl8NNFrzmKr7b5Ak8GUJUx79eou5xgaIps47PcIap0NBWMltAaCdPCcdvpKlX9 Zhtr2OkYjmQdYIQLv4uGTVMx2+Gbfyi1WKmG23P8c28T0VeVZ6PGcI65LBRIa6KjZiUwz9gRI1hw T1xon4+aFFFA7gxCRI3338AslTiWf6IiQ12GumVOibYCjQjX2fInh64/7wzKJDKDBv0Rmo38n7oa j5ausZyfackwDKlx5JtnIg6pxaG/Hxm+MXJqxzOZ/+p4PVPamQUEAapttN+oeo+6yyJifdp06P2q Q0IuGKtLiLgd4M+n1vX2qMt+RFTb2n7hA6ZGxs+5csCRUPgk1nCbh0qkvVm99xvDnXh4k2rgRwv/ /LbtlN7JVZNZmlSJguA0AmLImyZa7kWZP2lx2T8XgBCHl7tCsM+KuWBWw3kz6ee3895dJ4QrDHFn e6CI6TNSNNcX0LWDM8BXzIWCX2a1pRaRb3iDJMq2nAb1mMyorfXXHfjWHpZkJ803alhLvTAdnX0+ bitDQizcVkZf1a82cOj9sm/U4+zsW8X/Qn4SAboCKzx5qL1skVu8sDtQZnm0ghLt6NCRkhawAZ2e mIEpGYGq/VqvTkrmI6t6lfq9z6Bpaz5EXQUsah/A69ng9Dj1fHLPDXRHtZ1M6HYwLxXFKnQ0n9+/ KyN5QPz+M+60pmrifuwALwIyzJ8nrTsufeaypgi3T/hFvpdXi8KvbfO2vrIUXKGAQNJw6ZJgzc9t sy3CPkQTHXjx5AXqFmMx3kpigOaCI4fwfmseOuQXcsAnGnvi5mHq2k9oxHTGrpWixBV7wWuDXLjv TSgbr/z5x41sD1LmjZCjDxTAYGX0judotlH3gWkD40sDE2mvlqDjJkbmhJNCh+yKwK7fS/JHazk7 gKYHMwNHMpT3E/jK17KUim/yV8PHtKquN+YsD5Rmhj8OLX3mZ0PYjUCqh3Y8873MeHJ86uADe4m5 2G4RJ1keogS/vaBk0l8Tv0zFzzD35gVsRXCt4YzFpyFeN1gN09OfLyUgX+CioxS2cgUDEoh7t8+A a+nqSfzpjMTLmMW2FLcO7Mmz0mSqPk9LcRc0tdcmjkR8RohqVbDLJiFVwxGd/4V5sXK5yFUyFmo1 jkHNY6VcINx/h1VbP8MhI9QiaBrzkOn/6xAR/NzmKbO+xorslA9ZYPO8lQNppuM4pLZ9OHnjXkEK rYoZV9OWWGTt9PeSQ8iTi3a1WWeE6JfsXht+7a8ujp9rhLPrqky+l20YfmmO0FAHZ3zf7gUrNnkx y/0bx/xoyASfrdBkuWOur/Ig650JZQT6GP5/t4MQv8+s0rt71bkiRztlc6BwdVeBbCvdnazMIzxb l6VI21spRo10OuMi2UZ/hAIyZ1F2RO3h3Tcv/Aw5jiBI9T45rpi57ruMIMpe6vnfyf0cAS3XvJTS EDR0ZnWjvTlLLbmBA78G9bNO+T5zawWvPHC6to6FJBWLONLofwR7CvUHjFOmogEwcGRmZmAd0wl7 8Qf7LpFI91C9FHvrQATRXoI6joYmINVFgvsvBuW7u7Pv2qUZyq3nEuRtj5/uO3X5ITIdX755JOsN vm+8XYsAFTnSnUjHvsydH75/C/Udl61bw7oW2yVGn/hfJCJyxfhoek/oRroDYdYrzby4uNhzXPfF V4/BwTaTcM1SBkhZ5pYxwFHFHbe9ZrLahSo91b8GGVBYVjxKVonyo144Q8UWQbt/ahOB4BECLVis RH+ctn5Me8qWLor4kZSRe1Roa6vRPODeISYuekJ2GTbs8vYBpTxdlwnv8GU0LXGyQoaLrHXpadE0 Fo0Wa9vewIDlhRDdTP6WUiEbs5b3m5nKzx2B5LwecOq0OBSdBCjYVxgkdpX9HrsJvoJAQvEGKwg4 Nq2fD3Sccd4UVsMJCTvcuBu0gc9mAYFSMr6j5/llW3+n7BtFQFH7iEvkLEz8kgrnz2svf/r7x0VH 17YIrDmQqVIqDFyv9EPOxE5funOo7BXGwGnHUMQJmZLGgaLRjRD3zW3v/i2hk1bLKMoSDAzqHpmY nP9NmcFPAvTmIdPov/Vjlp6LdWZlGrE4WxjOoPxPAqHCM5XtTu1FW0F415goQV5Zd3U4yfPiiup1 2m5GfM+fMiY7c7/aOjoL7EiKDmvyn23NLfzarJNbWoaJcWxhGDr2IFfO6E5QK/AszmpVoxF7l1mC TV4z5kr0Swmrn0puFze7dUwzEg6kIL/xDCZWxLeE614suUzpouwXUfhFuj2avakolhvaJTty5h3q QMnlWOOP9YhHp/EFKmXQUhNz6Yxa25YwbacVZIpEowO4xOwJzAf7oJWg4WK10iqW2dR0Ms3OjAYM XudCoVGU9O9Ef35tCKGc7hIE+ItNnD9N47EDvI605S/Z+ulYmULGlL1sPVffUbZ09luuzhHy0y8H 66Y6PNYdSHfoijhyxC/juHwjRB1T5PCgGzUMc9WuaOA8nD2qYGbBpduKZiTeLYt/S2lxYebVM66z B9OmsGfXfuqq5EOL7anTd2mSQ29HRK7/tjzZhfyIRBvHju8xS7quknOwQ6EP3D2arTBbE2v1nOW9 ilANO445QidabOexTEE+BQzl3UaaGv2keCotGjKrNrQJFFH2yPvgsimI5ga7gUdDg2o2SlpPuLHF h4rDB/xgFPQ4enzjDfl3d7osA8wjJr4tH+LFVMmwcPwyj7LL1Fc0ic6jE9dVZpRXqyGaFaDCa8/b jfzPsSZDhrRdNrpDYKUUgrxUkafhgCfwGa9YGdsPSSexReuZfbGm+pVuVmbGCJkW4nLyOZIeRT3E +nVjg1KVx23Ta+RPCuZiVld0f6fz+PFKqxSaIf9B3dyaOEye2wXMSZcu0S8fDrc+IuqK4P7XMEeX 5w/2zihdbc0Agm6QEBve3vBeJmSYUo0gg/86/cKBhwGeUOXMWfZMc59vrHe1gVFkeqjRF8OJ4xAG Nj9zyJSezS9G7Kz5bi4g6tAq4Z1BvSa0+2T0ME2I2jOg+Fx6a+hsC8Kg/drnhkX9W9OZPOQykxfu o/6bQYb0Rxv5Q81eUeeFobgL/jaPixSywwgbRsTHwbhZmYPWYdnZpVGaq33vldiyfNU5nxHgFtlF tsZiTGg3UtBWeC+K2Vfv23uO7VKo2QrlJqOBvRYjPEivnTmgnR5HA4+k2M4AlVQJI1Vb5ukFsTTz T4fx5aWYLLCbBMZWa2nCkgVBhVRvPegf+xo9WASr8Q/1Y9gYeYvpX9YZ6X5jIPcE7D0fJ9KTvl7c ORsHZNdNAFOvUhrbb8ecuhcGZISWHjlwWsZ+TE6Ab+6p8rcojImI02HHe6mpW2jq8dJ0yA80Qq+X NixXj9iEOnslRRqPs16MO0ttvlsUk5jecQvzm5QgrgIOVSHK714/j2LckuYj1/Z1pcjwRIGs9JYG 5MEC6Y+MCsidML7zmGFLh6+E+INIU4BL9XM+QJwsa9lHHZYfv8PVqKH3cya+WqbkmLNzujIHd8e9 ji/JMttIsAE7xSp2CLs+Pdf73FsACo3BiaFNNlLBnMsrpHHePOf2JuXxGW7OJPMLOzePym4YT5Jk iXUaZrz3jy4sL3WI1Mh5qah/j43pKOqi/+YvaZQ/u4KY91O0TNmfZRpWQJWtwPk5hzwyIWpETZ1B 4xIKss7MXrXB9ibqc8uI0ORfRK9uA7iVBnkVqzQNVUDASSZ4m6GVO+yumBIegtSy7/oLxbRLVJzH lYiGJYhWMi+RE+uJ/Ej57Fz5pheDKqgPWgmdIYYnnhQ3hu2E8t4SfadZtPWnD0BsmFFP5Jvq2NU0 sGRKV3A3YN6elEPnV8fsm533RYFvbPHgoGtdYU3iV7zvlzkRok6MxTcmoPAzxMNktzpGpxHxUhvU dB/IO6C2Ap5hqdqj4nKVDghtdx+uneebHnsQy+eL9XC6/7aZUnhJDvIQlfsac1dtkhzdhmDBytwR NiZN/+34t/nAxJZQGJqHG8ESG/zYjTQI6gdX29mx2Zh+Fw59j4zBm7oYSE6sKWqCajkKafL3AyI6 kYfjokvZGFJpY2oYtBztHNUQ3j2jpAXBZFoyIQo/dCcoP3yXrhw9sGRANIvDcYNVMDLa/PjeDprv pAw3cCXuTp4OuIcoS1B3C+JLPt30U8oZHLmXFlPpJFzrOm/9KosXB052jhnrcmIT6cbMFnRL81Ib VH6jTONVAgzMLXbwJ1WVw9cqyYhYdmWKCOHuHrCtw/strV0SQQEZelx/EJSP/NN6G8RJ/uaZ881U 1KIwS3OPIcxZKlVU9zq1aZwMdB/wHRxcvHZ6xA3N6nRvIsYs1wCKU8F864XTZ+by1oj4JilGHjTH MySyLpzZL/12xegM1Z2eyihQs3RJyl05NdVnu4uBsPdSM/lhTVMOUP2e82w2mzsIw99mS7lrq5F8 kpfyMgAa5igvE6oED7ZmXuHObL6CpCCp6CPsbO2PqZ3lT8qmS5RBq4KwqfsO9rEfCsoptmp0hjmg TEF6hcEhcO5eUfe6rUzeHhiRsUKVzhnGd97ZQqNX8P0YFFyNRNKv6gvpoJB3bRcX8deTVTJIuzj0 nQg7lfb1Uby39P9PWzVgGLZF8ikbvMWhUWSQmBJ3BLdhovv4J+19yT6j5TswYqhOC9sH4xohr/Qt vlQA4qi6dpM0362cMmftironlqQdkqlv+gc1Oa0JU6hxhEV1ic6pll6BUm47WpdYNvOnkQzl2dJF fvliXM3SNSWUR0SbA2eRBZUyeYzclZPOeTZyMmjJ85Veie0T745J1pcX8CD2X1q6XG+F1w1tKMK5 ZwQod1BVTPYmVIMZef3qdfapUVuUcPW7Iu3Mpy1WCMOy0fM97TzzbAglh2xe4ueWfZ4lYqDK7iHT FKmrhE2TWnD6Q2oxkeQrh+WbdNzhNnEMhPC/mYmWqukWEa/6DDoJGpzHisaM4E9y4F2ePH+mnwpS 1NfbnvRC88VG1/vJvZ1pp2NMJ9SUceAnTpE3coTXDW8J70zNuwP2sPX6w9wIB2QALXKchzMwdUN1 gvXFQAZxOKKsvjpEVYWhEE7eUnK+7oC05cG9vobfc2quDkaefiL3nrwmQwz0uixRhQkltkD/YUx2 ugZ5mZ8+8YGSPPb/hs9g2KE6kyd1eWox11TnwUFM4C926ShGDr0NCUcAXkEuNVeMq50Eh11dGaYG 29h71iWR83TqLchmFqr2wqa8QjFEv/sG6oG8KqCS5xyUrGQv4rkvg+t0c9GtyBHAR5kHXuY6qven itIWMxsZQ/7BxKTERghr1YSn3Z1gPjTijrdPhLiol9bypmn31FJssffBRR057bbk3X/ZOjiZMNDi OBQibWAGxNN6iHdUNMLHTBftvC2LzuC7cuP/eYBicUZbDDzpUXWYwF3+aCO8wy/OeIo5ewJg/mT0 CUEB1fW2p/43aZhb2SNqvpKMzsNHrzDsckDpGOKtCSC3/B3cXvhRW5V83PlAjYJWi/WLaBGnfJXn q+s8FoKmKtUef6bx3oif7T/Sn0vXVL6JUft9614MOXACM7J+eC3ekrMQFHpkoexBEC48fnNKC3Xg kKQVE9M21OLbCPdIfUs5IyVxCU4xo+kf7GNBiNG8BgQsAskRYqv66dzNCIpqTq/9nyFRwn8fG/Hi ugjtxJboG9y37GIoz1jB4kDzI+PJ2aBbTRl/cZI8xAM0XFh54zIzoeIRv+IoRZ6/zbD5NKaxmFkJ jk7QFY/GyzVSRdNB082Do7zOgH5b29QpGWTCKMLsBXuD7p9XnagS5ufOcPK0XUJCChezES7tyGC/ jpeMfhlYFqwck0b2cjUmZtp0UzJT2m17rHXaA0MCFhr01IySVYhvU8mipbNyR4N+7BbGzfcBZq5+ w023g04XF1Gd3TT7FWug1onGnboVhqxFEhFMaFiW89B8R/QgM9oMXc+RHq0OHviBX6b+rLmtUr2j u6zTbDNmpHR+/L+LxZFjgQ1h4IPQPYFHYJDbXGSAxUQdHQpwQPtXq6b0yS/019/JXrGewhF3aSV9 Z8iXPTODIYHUFOXz3N9k9h6VrczrBRecWuXYsUpliOtJPgMG7oWCLlLt5v8JcxVE9DxrrSVu0s3v /3AqqeE3drAHawCAaf2Q86PDSnKfRzQ9m/F8txpm9boVcTIGP5wzhldJMhZl3KxtA3jWxGuZkDyC 6PFHaCIOYRow3URpYCaB2lQA3w6bGRMhGvOENTjCdfnK1+jOgmXmgQ0m+CVbOTcP99Fcq9gClZrc U9Y3k4Jh6xDonOIPVyCQraVTqPzIlAIYqZ6sSRX0T7JxMSmaVLN9utAcR/eqNuyY4wbe60SA0XbQ cwhrQ6mZj/L0/WgSwfuZBfLQQiwASpecB2c3RxRbCu1Q9YlDfAR/Hv7BB7KZRW6n0Wr7EFAmGaqK GjrVYvN7IKXc2kRQPaBr3rhgZxaM9XpL1djYhWtd6ldDfUl/YgVZYBmNcBgWadXFS+9ztC3Za1To i3uoDk1HL6NjIp5kHiMnenfCHhaDWIA2BgK0SD6eZvUq2Ll+v6kBV/WXJeSgWDG1Es+U2WLZEjdv mor6/rQUXY+88DKQeZ/x+FLM+JaSAYxd6uJ3fESXzLV19E7/mF/8mionbIGfswStjV/SgJoi8KvK 6kBObQRL2FGxKUt7RmjdmnG5IzjRykkJEe+JmLOCb/S9iL0RWbBnXcOHBFh2bVZH13tklk199DHk nt8s7uvbLmohradpA9ne2Vq6JXfLpD0QaYrxSzn6SOF1j431K/7eS158eqybh+3uJH4Y/XHJ5vf/ gFynC0S6Vbec9hLfVxuMdgTAxDrbLAMxLmn6gHIAZgRa1hhiGZoS/ZijcjdV1SVV/GMPFRLpnjC6 2jtqKk4B6zU6kHirr8Y2RBLZ7tyWT4VHw/eB7nqqlOWb/IIsPLQupKdfwAomD0XAe4HCaLgWcejf u43q/toaOcM0m5QOFuLs7Zrap/js6fSsBNmZ34692pKnQHGTwelPegkM9IN5fNKX0yftWv5cRNDX qrpK4R5GY5cl5V8ZAmOmQVE7UffdciYvg7exnOYUw+TD3bLywzotOtESKMIE54ntaUnPXV15igf3 4GFKLVBRJgqCRePI85ko2gmDAeeSaAzcSgDAcJUYbBVg6kFgoffbOpSrte74l4wUi/FYOsnx0T5J +EUiK7KF4Cg5pqusgPk8CgXesBgIcx7Ha4ShMog5aiBGYaTff4WBnaD3EcJno9/0QujrrIgbKTZ6 ApoT+4ma4mB2dcZhL4Yu4eaZpibb+OSldoQ7CABODCzyizSv+WP4djCKjss6n/5USfEOwI+Rpq7f NbdD3WPK7fCcyH4/mYf1Sq+PuEFyZ4ld+d3BeI0vTIC+9XW5aoNUsYP0FeFgFfyomlOAqiwbAxGr ce8eolsqo3EKeID6CypAmP83X8c4e9Il2UaMo96wmpwKyJyWR+hLVGqL9ND2oWjft9S0B/E2fwBU PFK+VqQQyp7GfCQyUI9zdxwB8YsJwqxwKKFmdP7jlXmmNv/z1ZtgVBPTa7bwmyw6fNwNqymouYxE klJZN6Thz8Gj5bSLSBBdHv5HASWZKaR8DgQVcrTitQUgPBDWd4ezhg1E+T0KK4xiLIZGPn54MMjR boroES3OJJRqVYiOwSV2WcxlB5WSPJ5mWQuD140s3jF9b+HBQqzGvVMAn+ZLsbNNXTOKjmQM/p5A ECEtAvqJBnmcahw2lHGrbTX84s7EaUg8bTLxUGLAZ+OHk+p9UjIQJ66toG0GfCY3KnHm/kqXay7Q o51HGaWDQg2prNfsbPWXlGz1XXGg/U+L6bpmYS2hrjajxY7LUVQUWWDwHfAbY+78wQs5VnC5XPoN r/UrarZdhgFSTInB/R+oqW63Qff3vRGlcelco8qkCl9QHk3T17A1SfVrh1XJyCZrO7sjpe5aoaLC nmqRLktUJP8PTSgbJXH82m8PyLCPdvHcBa8q9oiJTmzcZk5o5T6jhhRnfSe2arQvRemQHG4ScdfJ xpx70BRcZR8+ytZtems9d9p+r3Rn9C3hxbrG0uDds0O6p13BZF7ivcY6u1XacLZsHCYxW0Qn8Wmm jc5zsk8mJ+9wFJ49YFUCf9XWG6ZYyrgsJe2Vdfr2UzDJ+a9XiKAy+rwnBiH2oQMC57Q3TNWBMsJC O5UY8hr+7SpAjDas7rlDexUII1wti1vbXdO7OVBAbyvmqVPKKOwphaMskn18EqgKW0zEPC40KMI3 i0OxqjBkjAxEUE7SWlX8X0cclfkuYktIpR7U5wOcdjgThhcSE+iO1qDvObV/VSd0UtD3ou3LXjbN K5IqrM60lEwNOIdv+3l2w1z3ACavitawgb7h5ZelvAIS4HZKObd54HNQCm1ew7SSCOSowmdEzvbp KpbGocKXRuwkeJBwiLTtrPypd9tkq3uxxK1c3Q2t6j/8zKG/33N4LNmSVjy/Kj9DPnUr2lAK5Gaf iHEwv3/pdGZH21q9DKjFGCvFZwI1l/aGyS4YyYAfNr8p8BkelIVrNBfwzqFnMfRLJMwFH5eMDBtR PmuX0AGwL9IeymO5aJ/dVK1w2e+XrSDYb6pTIvyQLCqelrm/1bCkIKsIpUuvkCwFm2NwZXqZ7WpQ K2qPa+ayZA12RkseWAgYvU7y+gtzgZvKiKighYzkIvlmWKnZREFbSuvBkC0GMjL3eOFYLzJj0qMT 0LjaVSfT5GOuXlMuNAFujuUnSslOlIvB0op6yCWYdaf822PPMa4CrIFnzAoXtZTsitohs2o3JpPP 7lDgoL9FUlB5s+GbvBCKICrME3r80qYyiZ/ik6VRxD3qMVjZNYJD7zW8CuElNqzYWBW1I3zrurNV eiRYi33OFiTMdVOyzWIchwRCyVcoBwgTzL9Uzg9l8TWSSty8o2pA6PEpspc5iqmE3Tvmflo2UCa1 SYKLYgEnAfFYfh2/VKsQPOKNGSsDKrBgkJgyaR+l4Xg7xbBjiGIu0M7odwLla2tF545BfyDTgaE8 tKeS0UFCLNwqeK4dJ+8tUXBOTeEA9080Sz9hgTyJYdS1ikZLXu0dbokzEPrv4gjrd5+5gfO9Fdjf xw9u0t3oNJ3dbCXnaSoE/u3zp5YNcTX/LJzNhzuioWaljLCxeuGW7eaqEf7JSx9oFAsSYIgPAYzV NDHraRYHclga20jcrxPBqwEepHZSOZG0a/lEDKcuIQgb0rDCQjk+DxSLkX1K3H3189arjSe15u8M NcfXcqLYfiEKRpbs531FeQ4sX/dIl3XNR8bm+pgAqEscqbriugjqvO4drdSz1fDxjnhNEiVcVfG/ 8Ov85NuYK1m4vfK69f0DUsGANZxUuwUDRySkeooMTao4c32iIB6a1q5IJ34r0wWOIg970tjgCsmh yfb+ILV403KlpYFV3/+g25D+2qHXdYusIasBdixJK/PA4+HfOVQFQsMYO9R3TlfumKADINEM1SQ/ QoDXWfI0V+x1fL5UGexeNu7+ZX63UTULm49GD9s0rpHrcJq8/zitszH2Ul1ErvMn4OBlNIR9zDfF kGkPaTJkHFt7LH89XB1CYeRIc6ohcNsUnmR4QGi1JumHiE8ZNbIFwk2wOBs3ePv55+iOXNIMrvoO x94gM8vFo03eZ7ZrwFbXuVPTTpOEXOnOWEy4IpS0rY61uqW9SA6z03liNmLVxi1pgoJVp3Z1UBD4 dcSRDVTOaHPYJ/T42vOQVFK2V6k28z79tmYpbje8KYwWS7CFyJNnU8bt2c+sKaScqVtHlRAC6cu2 du3gKzST4sZeNNjQcU/6QUgv8X36RqUGBdJlYXJT3QyrgacBinspW9fmpzAhRAG2h/XlgN3njEk+ wDNyV+D1Jq7nHY6qE4YQ35+LPrvZX1OF/dI+qqeBnOVCEauMxqxyaVvk/c3IdoDUO5jSgZd/N21F 94J21xZEAu7nkREEwurqm5RPTOBJkWIDwiiyGfkcqgcx0B9zGsg2t95F+6sUYMUIR8dzc+e3Ddmg ewL9sU8ElE5NlBtuvagyEPMnIYIMVoBagLTFGtFmpq8tgxcQKE9k1vViSg8PY/hZXY1zskNhDdet yx5k99UEieGBhNeIdDdqHMgftccCYoEDXhK11I2E4KnlS+xIyK+jE0u5CTQQUzNcc6xa7YJ5VG17 dSS3cVXxxo80eQ04ChbremoK8M4MiVa6ddgI2+4etVYT04Ta3u5lbpOh8pXPYZqAD6Ig2zA4Bl6f 9FyrBTWWHtIQVYn1yiF6c/yNWoEScOtASyieh12gWSxPX8dmORvUOqoKrLhxodgbwNFjsBLnC/53 IOcg4d3PlCmYTwAtLR9UQVeYNqURmm1JQlpIIgRB8gBG3fBw53iHvRxtQNJl6Vm11ciWn5azOB6M wbURLY8SP7AOyec4ysGsldSxvkJB5X/zFzFiTG+e/ozBrXwaE0tsX7VAWa7VdPYHHt2BULLZcQX1 5+Ia8+qVzHER43jilaf5K2n+xaALM84VJEVyt2awQ0kn7Yu1hbOQRBgmI9bgcLtCkXPQY1KiRxpZ IHU7OsmvdTSVSxa/hgaxwcPuD6MCQFU23/4A9UIqWp8qo8QdpLnkBTuI/q0nh1bqlHuivSaooeAX MbdK5EtRoDwIYlrmldCRbxQX8KJ5jPIwz8oTId9hTsMt0D86EXKorEZwO+YpRywYg7BCPn0C3wxl wDw0lo1fIl1aGVNCRx+hkisKxuRLu5Ed5g9orYb6H9Qb8ITuDXike0RvLP5y3STMkP6IozCxrQL3 rda0PSxWvhghivDBxsUOg81gVWO8mLJ7izXRCW7gB5cHkPfrvUpoHwoMKTX19lyW+zR31+A7xNSh KNPa+SxLx/ojYF9x56YalGR8G3zbGD9Hz3tJzKww7a8ktgN6ff5gk4QLpmdKPDMj3LfOCP6Vt6I1 yLEcmEAHra8FtSV9FgEn9NUyCCeoTLdVgiyLnSKeqJxFRsoD2Swl0sdniX5zNYAMNz92IWJe1st3 +5P3vvumN5HnJbI4hY8AgiEEtNGRtIjBM9F/3ontcU0emV96+57fi+Kt3I1moy2uIvT56mgITR/l Ju+5KW4a0arZY6pM6g4Aa/ar5bdH45xdX2nzLwLMeRpMvY7DtdaasQqG6Ym7S/gOzw4mpD6iQ45Q H4SbQs0uKDxx2Xj9PiXn9rFzlGNc/7BCggQ57ZhzH+yUh7ipUwNI7WPV6pbUgdOroQaHKCp1nN6x Ixlcu8sbDK8As7ch/yC0SHM8SEir2FJiNwLbk7Li2hveLLjRTm9kyCsnuXIp1lrhkYRpAx4veqBd c23eiKVjLzmzvzfOMoDHEOQidam/NqYX7HiWnfGQuWAulgklnHNBU/wi1gF3aoIyB7hYfovqU2+P a/MKNcKoPBOHLexEXJ3+D7iHIcSnKzLau2428Sh9xTBLMSTx2h9jjsJ2eDGMiwPA5cXc9+g7U6Q3 8Og6Gsy3BDWX3f7RTcO/V7dKAHVmr4rfaBQrFnWj69MmlyyB2D6qZi94GOkYYbrVjmxNgKEj2zgS Z3atYdA2N5aZsxpW7/vkTXU/bUydW7/wffPNXlTmVTgL/3UkQAlZSM/0vM0ko3i6ZIIZC5JIIAP1 POkcFkhobieYTlAFn0w8ImNEdzom98kmL2ZC0C8efWc8o4oOhRaQmubUtL6S09/Sy1NiZn1mmpRc pML5yfnHRRtdQbwR7TRpasjV2KIbD3L9Dq7w/EhkC3p1yuPPBPZLPouf8Yn3Vok0Gc45Owi8t/FW sV/je7H954IQGa7wE/VUxVuKLp+A6YZHmc46BUXkMai7WdDRcH/kLZirZWpunXDGirJnBTcdIUQm Z/3LauTLi0bdB3w/ghYq+wD3NQCmsSh6qm6vq4WD44K7/dPIRUhrS7pPaqHxmdkxxCQ2jnOKVAMO bkbKTSe9MxD3TRqKz564oguU1XTDISjqQwpToOL5KerRwkiFhbJIVPDcBQFrFGdXx1treR6fM4cQ 1850u1vjP0YChHwWSPPBS7RYR/pLkYrx4x6C575KF+Z7ghOxKVJfJn8HfvdMWsJBlOxNW09fGtYy 07o2sEUvdTE29As3BsuvT9I4M8tBIFh2Ks/UV4c/9tpht05AVc7BSy8Z8FGtoNbBkP8IGN06xvdr ssPYXjQj25sAObRbcpukh8DllepLkiLX9TWyKurnNdtpgVz1GZhL1co2pTX+w1YfqNLt4XhgFFe1 tH0ZcFOX4BZ0/ZCCfn+OGJ2ZjmsZsdU3uy5C1hJAd+dfKRmbjRCxvCdWA7eqn1Ao5uSC5DTox9sh YkSppdX1JSRbtW2vnjscmSLRbQDuIDqha/e8nRlSWFcyPKYfVRhztdv0+d1n8UwQTLR5D1Mf8qsz jjBjuD5d/yJdiYJgEDbNYizYSERs3gLtkOaUpwHDB6luAZFKohWR8XYXFjeJrskaPeqCCSj/m6Vj gpdtvBsqJjBtUczRh6xKxWLyvrnFuBRspd+JTSpJLxv0gbjNM2KuPwDNVUVjrG/iGdooPb+lLHXM u4+/Wb5BFjCeaPUXqWmgUFv77sd02SgRisbgQC5+elKP0KAe6zqmiRZYaOTKvq0cSJz6MFF0+46s yd9mLsIZqBAaS0vdkfAjhtYofndbYbpiqsEzU0xQfdrWdScz80D/5yadc8VkHmaqOfMhP6wMcax6 mt0M7nhcbew3SeOkKdBsL461ET+VEgN/3/q8Z6pdGlarUO3L7fXV+5yNfYMziHnGuNUdQW2fKqW8 vw35E7SslES98zx4FKFvJ3zI2zHHb7s2rtzwI3ymP27BMZ8m20x5Ou3O6tI1SRUj/HIBISfcwYMt Al59LwYte9dry2WFi3mG4xr/A9wWxJT4firqC9cNY5dUlj7KVJHQ6Tgq9cLuoV5IZHe6J3g8PPRx g92ZO67tw80kp0tubLLHs2998qh1qQCjXzcOOys38XCaW+MWvTUGY7CrS08kdEwY6Fqi9kOYKusY vmWeX3AnaJYZyW5J2RBbzC7KiYf7ZapBydomsyiwi3PXr2rMg5YDU+uZXbS/JqgYSng5qw0X9kfj 0f2z2khgO6EVwPZYD0yghhoeUW/WtX6Tts1lm7KpsXEY8cvG4A8xtSMzfyxeFxi9cbxOXF7Yii+u 9P5l+3IaNbow90Jkx19feDIvbCCdNSSpiDl0rdwtoEV9rUXU9tokHb3RCFqgYfhkxSOrBhl1lCjr nBb0fYxZX/s9IpbcSRWkFAGKvRrGTnNbynT9fNpHk253rtoBIYbz4gE6sQ9487GtlYDn72+YpMfn vQKLS69PN/CeOyvpTG5x7X6DkyJ3DwtToi0/DbkzVV6aRxBxx9AxNnVWGoxMwrhmscNGPuG024W6 RQmmLkfC9oKBLvzl3o8COqby1EYOKzSp3lkphrxKJ52AFdjVGh96+ud6COyG57ZSXBvGmBwwQX7r 3NaYLkuLVnJ/+1q7o9X/2aJIRgcNp39D39pkSmVp4na/GMPBDgQF31LwhwEP5y3UNjzTUCHuXqka rDjKnP+06oqGEFzm8AviZ0Hh9ekL+ePY9jjSg909dE4lIp1Os0OHXKaDX1arbfxCrdgwItdkVOeZ yt504sxnriiaJE4UgsB5gtZCMVZZJz8L68/BD84ygZ8XnzjX1EHPqIaVq9ISK/QayD131y/cjwIx 1U5oMUrrnsFGbO40XUp5EzxH3QxBDmFl8Ri3A6E07HDqhy4EaY97hwelxGftGO48rBMz1lITr00j M81UpdzPAwNeKr83xSQuYou36MF7YBWfeBjE0a289NGImdg+SCEEgu2spqy0IdkTWd/PjC5BCR8W HF6V3WKtNLfVNYb2pp1duxzjjxjer1sUZWmZo2xDwrgw7H8T0KF0eb9r0KxZT8rBeNrXr2Njb1+I EYr0tYEHRSMRXRhCt+dAWYP9mPcnG9DddWt2VYXJO3xDOzPmKulcJeMieIUTKmOkuUawGIqWcL6W 2/4uRdKtZjJ8r8fUSzAmlNXwSm2ps8ENpohaJJ9cy2ZXTtAMv3xucRqie0NpWK2j8SKsTwbWrUx5 FvpqnN/gq/HReRgEHYSL4rkZrvbjzlMeJ1Vee3ti3MQlPvH26oc0i4vsgpbI/Ga79gPB4SYFtcEy y0e5LR3YHBRwOB/aXyO1+9Rp83Bjs7gKKiL3AI53wW7i+ae7ffyQGR6tpvKo6AFtG6ir0DR0FUx9 wWThgYJF/WE4ydT+Cokg2gnF9p47XGNw5kKkRdKSfDapbobPVEyprvAWuEhDeIeR+cWcaOqqxzYF vUAvIHG87zj+EL76NDL4zg4N1HYsYwmkZEoESJoYMegtYRLCYhsJ5EBXxiXi9tWmCw1LBadCF9Ed hAS66mvSX6qiK0UpvePBWRRqE12fTw4BHHLBWyuExP4efXen3u1rn/GIDhWdJQyC4dX+gmMVXL8p 7bpSHmv8V+MZi2+qGYILeG4Z84FOfUOFVjgFI/hrtPxbU8smI5eK2Z27dBuodeaQ12a91KjEdASe hq8M+dwsdyy5Nj5PTjtfE+nk/TCqoKOBq3JGBDRsExW17nPU26b/lx+Sw3H1WCGBwrWLeWarrSIg acR+n8/gN/Emdp15qCABWd1dOhAT9bbY202gOsPqB13O3pOhYZ+pVLTU0LN2itGZkNHF1wRpo5DU RpSzdvrj1r8M1aPYHhobhKCH4z2/F14rxCSqTMXkwi8wgM5Zp6E81eKtPzEbQ7U+vOKp/es1nQcY d9DLWzSMKkFskDcA1heUcGPh6JdZ8u63HAeSj1v89/RcuZB3W3A/Mc4UJzvCxZUsx0Yd/J53me5O v2nbKYu9VlHCD69ysym9cCdRD650SHT4/a8m7Ag4wfJ4BQeSre4HoPzNkP0GoRdKKJyXRPG9kVg1 7hISxg4tb8mnBHtCQwgnLAJTIIWKu0wV4v2FfJyG9O4WL/5EnKx0NbneA5brggIqJQ9AfVl9Vyrt JSojVt4++zGdL3aIZn+mjHabx4/24nOEWFcD7ILgq3MryOxTFDZHSQMUlRJEP10q+hfU4ibsucMK D+m7gU+tYO6UsO9xsErcBzpLLdEtuUtEteqA3OEDbwdAcAs5AeR6MFrMttui57L/A2Ev83kvVR7f 2HIunjVtboOEvsFrrbz0iHliNf/GxCveXif4queYOXqQ2sMZU6S4l2xL17Eu4lXK9qK572go/DS6 DBTOkecBIbUG9MFulzr1mRLoZXE0ydqoflmDkuTqzN8YjgdPl5RQUh4SD521aEos6arrj/0hm/vD 1dVzEV0gL3SunAusT+39/H54Z3u/CGU43Er5hCI11wKEj/KiEKI1gcxYRCpO1OHGV+uTL955VuBX 6clqaD2FMk+HOgf4qRM10AOnYv1sIgJqdyKo6wAemWEfBXFs5WT7TUiXSbWmtUWJ7etOrgoOR8x4 /sxy4M2at1irr3ucZK/zbIOvFrTREpUHBXvvy7KLS/IiXIp55f/7doNhwqe50x0YDORI/EYK/NVp PPaBBuZKh3MiKhmvuezwxKJKb8pdQ6LqC2+yGgt4QRdwWw1aukVzd0msDNLtl4Kt0qVOyNlsx+Dr 1N/jPkWJKyia3t5hRLIvQJzPLihZxizaigs+Hdcy7LgXtFPh4BUCSPwK2Jng7mQvqtml2evxSDjV k5iDlnK6E5ODDibWGGPbcwJJRxshvp+jTKCVEbo5jRt7jCXmBl+HDpRolDXA3vwTyRIFr4oKXonN hgD9SKWspgjVLoOAnqOJffqsVpn6d331JeXnI9hERomGF9+lR82fOqAvF4dSzgWYag/lBPuS3Wwq 8A30npjihD5XKqSAm65v1iZxfiSDR22j1q6WvUSIIz4JkeC7+bfVfC++JU7NQVpLeJENNzf51du8 3QRDpuSY1nEsBSCUDlzzYMQRQRKyoOdgVCDscm4F0aCTzcLlANXGBgqTUzD+EGeyqeXMevnw5zzx iXPNrL8pXlQFQ/Nbcdnk/z92L5vaSd0JSlC+IIFxTYNgbKV8+eqLXAGgY9d0qu7mT95WBixXUXXL PiPUJ+boaxw6BQvD+pDOfTTsnmFVuy9mZxtHMmIB8t0h1i5KOojWaTXoBvSztS0KUtiu0LXF2uy7 i8c+TVRWjkSj72vgjn2/NxPLbZOOiu70mdlmWmEzPhweSB7P5iUDz+U7d0Put9mc6YxQCYP1C+oN +iVGqnZklIz9+5wMepmgM+rbyy1zM7XWaCm6m+8ksr9H1BVLMQslZRWUkMqMgt+3b0AQuuYfpFU7 V+L6ynhSFTy4zTJ3noj5/fGulUu8EFBMMa/AsyL7Wheoq3czjR+/bU3sR6kONv9GBuy4fwPHtezd T+8MGKbTQ3aKo2Zqy3cYAlA7P1dRZnlRBdEiyrl3zXQkKdhfiT1kyMWf9NVokOx3fy9zZmXuEXmP MkMx7Erv9itYLyBFzEFPVxs9LAIId8HUNup9RG6JOhMgqnSG90q27mp9sfAaL0xy7ai/wMIDRK3K rkSayUQGPi4ZTnpk681SooVhiWpyFDwxU/ai8LDCwE1uDXZTvVJozHmZ80a7sExIheqaHMRPM2mJ I7Af6Nf/YCY3g5weOzMivpu7jkE1ibxPkwTx+XAkdu26o2iBjCiTy9qUABNOkszU7fK0IbUqeGOr c0d+gO+yQqcJS6Am3eVfPICHmOJubzAM1EBdjplZP6vf7pn31bFaKn6QLxS7eNy0Dj83DlG9QC2h QIHIX+5IDTAcjZWKbqF/NXXlbsa8k10RwMibi51fC+0PE+oCiDruQ6kbQsHMN1r3SUpNCyTRf5UZ SHEl4OwtS4/H5QL0adWIetdMjN2KqQI/IUTpv6Z8N79g99cq8/o6SFMckEAFknJan0f6UQ9+SY5B M6CqeOPrTJaLF3o8pF9cYBJrJmCAu+D5yFZZ6ztwFgcDOO+YnKv3BRI0eqzhVLQVEvX7xwjD7JKB +gISMS1C6+ou+e9IrVlbNC4Tl77zeyPwFyKB+D3rQynUqN2GbZu9Y+vJyJzKdxkbG0XrcgL89qtX eq6iFNvV0Wt3Nd5kLmw5Lgmxt+G2X4yE6zEAzehg9Hw2JQEr1cgpQqbB+bmCEzM+wKorgAG87Mvb MUI2Nm4qMlhGjjToQdQcJqORwn6N2I9r/bRta1P8BOMOXYvYQbIqN3zfmcxTKq4g13M34LJycgp3 vbrEQ/aKuHF7/CaznmF9Rm6TcYrvdsm9StCVqCPy00tE+UBocAGfTziOWw0on1mOLK098mneAl3w J7xgzJUsB6cA/1Ifi3V2PebO6RVwSRMuk1Nf9XCaPQr+8naKgh2o9eWgYeFnkJWqrl0ksoC+SBoB SCYNhvIhZNyGg3PQPZG/+9KyqKZTop1L6l4xow7Taur0hVR8OGurpStR8iaq6jGti/02DCAtIhOW UUGn8dCUnLv15GcuTjHdOUqGJ/sjF2u8Svtp2TBQgTEPJX72UbgwQnz9y3wr+9/Xzg8QhM0QclFt EBAOsI3IuTcP+37e8QPfxa2hsrnDFlmZsySL8tipa3oVYlvuXjyrU7aMQzPoXgzJ2wYi2ryHoXIV rNTnmOuvRgUpd0BV0DpsC1ZI3A0xfDM6ox1yRXpA44hp9VcL7XDGdFMTMmBm+WBg7EeZGKK+AnMo TWUMDpApl+idXPG3XsL409zPZtZTbIDNJzckFDYERGoOjC2THcjEgacx4QkHn57nnPGMIv6mqK5D grlZXIgA2pSMNj0L8OqLApO6d4TCkBzdFI+sBbLMXRF/Eths6RQ1EfX4bcxKK9lSWLIZegimDiu8 C2YQe8BD9Ik6kGTdShmkJulknc9Mw28LMKR6R9sGd1JvalZg38Yh3ZV+9nmhA/5Zwsg2+vaw2NNd FV8V3xC8StFuDImt8i/03PWpPJx4fZHMH8jUvENzfp+S8EomiaY/rQ0dlsapuCtXsBY00b7iELDM rqHaHFc510Y/AEKIg4bzsCrwiwSs9eHDBuFHKOSTAOuWAEFTTCwskQyIb5IjGnA/RVggnYsq1V1J Jo78UPUr9f8uQSnaz/3isXzjtkrx4aBMKnrGKJeqmP5GqqcB/oxjbbppSfcS2f0L6x4PJj5WpZbb 2mdsy1pf7jg+kMQjr6tjs74dF3Vfs2FXcLAT9/X+pD9tTPF+l0yQZEp6wldA4OP9eTopM1L0ibpg fGRMzenGJM6+dio+xjxjg+lC/Ts/Ii97lyrVkgGs8A66t0dwXYjMoorXnuiWQA3GV+q/fco/ZDUr 8CtS4L2aFD2viD2TSecqNOyVaqNbiONxvQVZIku1p4/Uxym6FYFfE5OSbWcaZztGgv4kHD+WQWi1 aUDy3AcJqAxl385n/95w5OBKZ9CPks9n3Wuy1cSW30zvrHk6oLMEWtVRZbqNHD/tzXQbQVEXHWut dpU5gFTkuq8uxQLvd8aNM5zM38QUDIQZVMmV36zh88vPKCEB9uzu/3k6zPr0yNgPjghk6RmqcSjW oP3bgYnoDsE9pOOTZecYjhHpSQZt8JP3arwHTOYODdHAXZygbFMzP7Y/vZmOm/H21jQtqzkXgS9c H7ays94rXgb3yzz5tsMXi0a/XX/8TJHsNYhbpjSrpPIfM5175fhNuQXFaaRkpJQhu9zDE/l94BwH nVLcyKqok0rMl2m4u58HzWUSoV49N8b6GNz+ePweGzfGFKfx7PbIjlvTyuuW9FyZ+vLi27MLpWsx jYOdzotn2BJY6oH115xDPYp8rzjHUn/siNjFqVvWmyKCahM5MJiIHRRz2maeJie39v0fHrXIPbzF 7Gd7mDn780a5dw1KsQvnvIqyYpTXuYxNBlo5cezexUuUz+AW/uCGlwPD/ynAPkAgZn1iSlspqSlo 9ElTljpM1kiKaalGAvBQRrVuOsI37De7tWSch1I+5NbUXExE4mMjMyfFcJYmrfpEE6darwQVog6V +AaB6st9mt4d4LEQV1Nlm2Hpf2V6T1Vxtc+/x39x00dsxXu1go1lJ7ibbS9folaD62SAn+l4l28D Q+FvDdAP2XP7hoQqgatcG0SijWdLacPDJ5Zxjt6gKrDWH+dxGdu2Vsc6EFd3jwBKUerWIHDy+DLH w/5Q21UeXjlqMzMbEIk2TzFp/flubFzJ8jR8/fm/GeX6j3H5e5pNANx4kv36mix35hEJyvNf+ohu WIlNL/3P9Dou0CAfJ3KYo99R8ohLvnYaqlns7ZxHepDbbn951mFjMqRMP3aVFmXnaL5AWksw1PyO m8sLUMXq9gHoceSMA2iOq1x5Oek7i/bWFUP6F0N+77MXCS+hAP6DpEjI49E1cUZP8OwCeTqZ5qXH e8mmKnzjnIAEWccVoXKoCUJn94OTx85ytpsS9E8B6Sy2Vyll5+pFSZhqUleNZUE2DLyFNbHDhyXT qziLjcYt/8LsvwDsjy71mQ852ChWgtNKUPNwbAHv55xNMrSvFDN+z48taJ3MDrZT2DnqiudlvwkX nm0xsuSUmpZl+q2zHhVde0gFOrc6RpcZ4E8RuyCEgptYMuJmHlVF9h7hO8x2JvE6eh9tUg3fpxDl K2Lmq6ucXAsVJwZlvFnPMSh8NCy8XffhqT7T4zS+orijYTZIRVVrBWgyyrCCVVNaozc7A6TXjx2r Tu/0JAJXplC8cYryvf0x8S533xndCoI8tTqDVSdFLmH5m8J97yz6jXp2zi5uNfIsOiqdJ95656yz j54R9MAixnRTiZg553iYsvNIYLFkGsYR87D3OeUUk0/hqvk9ky7+UNPIdK4NhBb8Lz5isDDS/TBL /KzXjDSBMoHWpkOtEe0ZOqMq/9V34fYrWXiKb7rN0BFAzTyHFne4UjC9eIC/lK0oDUYHyTbqabx9 w+0Cutojx44v36wun117TiFF3TWKVQp8OKe5gVNFFVRa2kwcng4w7vaEQfJBZhYjecGHsm7SptLJ BojLrfjzbDWokKvcIzPCsx/OOaVQkmRzTdyj66zE3mskV26hO3N9ZDW3s9lSrJFWdxFRchCVVLNs ZBVUkx9su8y1gYlV5Keuo/AF9VI+5y5BQWmERXZlIAyKLO0U3FYv8Tsyr8T3Vs44thIkz5V7ssJ6 FY+NtkMFlKb9GJ0OTaS3+9DyqjIS5PTC0ruyvHPIZwB/MkFw+WZaCTLM+VSzLJllvjGvsOIBebze 4mNMX3gnq0rUq5LloTNiNG/5rfV96eAcGfH483YIBMhcInKv/Rjzt2/LFbciFJyoI2XuvSE8g9hR v81GGH3Pm9TJR258BUFcw1sys0RfJBM9k3pYPrbgUWKZUQ1y4KWlohDCceicmdK26oXjS8V9s1uI ZyRXSlFNCG6b3n5sAtmUWbqW4QKSF4pnWEiuj0oUoVqn/zqATRWOahV/xQNFeFrEMw6ZdI6pbGxR J3wGELRJpCuzfrrr+T3/tmG1VtnQ9rDD5AwjAHEz2gULA70BAU6l/pX7D9uqI2/0DSFISyEnV/vD PoVkv7s4oZ55YScO9JZPsArpz4tVVvgdS3zy/S0X8pHbZ2wftq4oXEUegGzlxvV7pLGDjb4AtURO BaVt9+SB2HLO8NuAfk5/ScV2q2glcaTKKoxEo03e6x6KEtc44BJPacVsbDhQEnA+BfPEYQvPSzzC uHeEV0KjbOcCXE6c3h9DxgiWlPaOYOyWt6ygYph2m4OFGYmjAGFr3phRn5JKLZ4NJnzLmqWSC9D5 aPibcjpOdGuyFUBCUcRAXA5zHDGr+KUxJxY44VPeFF3qnl50FXX8AgsO3FAkYjT+B961QCrrQyPH dvicNuskod3AssAX9nrbqLvSyQa2xOEIWV/q3qVYwNeTDJN7Glb3ZeoUuZugdtVPenkrLQ7GoxeX bOPpWvwSw7261S9wZ/A9YXiii4Y1bTmTpO8TUdacA6P5GOpS2wyxoFcbCdVSU8tRvFeF+mqc0cBG 37VMT4kqwtjPilcmk7HSnU8Gjqu9IBN1n/QoVpU+Tp6iYc6Is/qS1axch2EKK1Q6a3TDAyakVaR4 QyxYpAdUIm8i5elu9wlx1VDTkOSGTU/w5n2daYVGUHBZdsSIzckrEawFTfrpa9l49OFQFbB0fg7e fXeEWPbLBMHRpOLLfrht+j8d/uLPnr6ua3JXdO3yVSuS55Ou7a0P/lAcuqpDxDephwmekrzjCxxQ f1AQiwG2KdYpFJTtfyaioOeUt4uY23sbft9pJMWa59W7Bh1q0B6lAjy+nzjltXqd63LZpEN5+hO4 hmoh/mGgNgcD/JN/xjQZXa2AKpFmhZ7bBV6Em1knpwbF6L/VVkt5thXzcDrC/7wyPjERXZaZ9Wqw c+ZnJpZOvzI8NewW5SqB5lMspM5JAPJ89LoSHyf3VxLQOtdxC5Lg471Z4pEDajnLOTvK0UMZ3TND 1fCqeowO23u07zvJU9yGEjiVonDzpFb4YRWJFTB3IqsdzIqfvGbfU82p+GcXB0aV9TkIhCxucKof QTAkfN4pSmzyi3I3rZOGc8RVCNF/mUxG8wLBcY4XU9XLTDhXvN/GuYWueR20nS1WLa63DobRNqTS 45EqHlvXhgvMZ+sn7cqA5X6v8CamdmMVbQjdq1bpGt8duoZHnwvvOnyPo1bo8fWVewuFzq2Zoloq pZTu+lNsoTRTMKPH/EFKCHiWZ640PdT86+nsNIB6K/0tpKj3oJgtLM//HgoH0c9gEY0+jE2OdoSN e0pldRl/5MXhMIYPa2/EQFDKNNfduMptu7X9LOLfP6km2pfIrJPemDw0c0S2J2sBoPRxMu04dAgf 3N+5Ws4RGdQpNCbIIssCwhHscT49M4O+HZ2dQa+CkE+1Y5bFwzhLxnRznM8t1uMZIIGkGgyS4je0 ps57dLzBCuFKsbvE/EDF6bnsNdphHyRS0SEkJoSTnG1nGphD73un2247ieneNjwCKe8izUI2pEt/ hQ/X7AhQfcWiCcG8Cq0s3JqxJrqgaSM54u6dBUjAxoLhAS2TyGMEZyDJUWnRAtBB3DPMRpeoZKL3 uGfO7vWq68i6d444ShQjCN9ReWudujU9L9842QpKikjr/wTW0Eu8g/KQWpeOx0duTTPsBnHIsKh2 JFrFFmq5LkDe1gljHtBz1zeyBNPWIzQNeJ8unttAPHUvK+lR/DLccbj5PumEKGQAKxIxNFYPEtpx ux8yTejXjGvbAL6FfPprxKlqclo2OcOO9Vo8i6yCTDBKVRc5qsCMmbPkVb0Wc+gUh2IYJROnw/sG qmUT70tmTXWISgKKICrPEeYAX/V/+JvNQWHquoIQZ5TfW3haO6+dTd+ajtCZbx9KWF0DCjwfsIWH eysUjXxeQsFymQ7jvT9BM7bOqxvTxo7+al6on8dI1IMxB6y1fugF3wrMohYq4jFd9HaeNie6GAeV 4eZKN5Rud+WZ1lccLPsUPr1dhmuyxZ2HOf9tsuBDBo5ROIywnu6oBy2EUpdSLw8JM16fU6y4Mhm5 7yDsthitgSsZ+SUyMzBjJohaTLQxUPYH/XQ8bLtSwlKk28czAtGhD4wl8KURQcvBSBTWp8Xch6iZ RvtzYXBJCV+I3a3FFVr9EumO1B0T6t4Z6f4RyX1chkMculqkKE1sbWQPJCL2SAoHcYs3feqBfwMT p++sDcwgsMuAMk/xpzXyLbYa/yWhRVCJLCBHBf6zjBm5fOhPx1xrcjAqYXn9neQV01x+3f0bfX0v PxBv7B3b3dVlBQNPCRhYzON/wGXmJ8/omPQY/vyKI4kSRuZbGccmD1u1kEqIUctNm7v8RvpYOmsW rhmA3TvAx2fEud98auClEHrNr5kAhYU+Je5i7rWW9+i0/w25wDYPljtUgiAcQE8vtZZe5k3f8yC7 qgm0uvFPV0PSigExBRJ3kgvIDAZb9QtylJdNCU+Lk1I0Xoy0DGOuFJMgtNl2FYuWIAxicQikTMRm BTcbX3mwq1EIcuZumm+unBGuW5nBHajDf9ZsX9U3nqihODBO3759RR88QJ827qnLpUFySXKeAgk8 vSJyfNn17xbgIOakLOCFElZfDmyBwX3e03H4NYIiPfYPltgGUKDZ0U6518YPp4QlLrw1tg9XGULB qr0hLvwyOJuaD7G+2usDyHX8etNukvpemMvyS9zVOAl2cmf3XRAHV3kIZ8mhjNC/M+fqYPwT3hzi 6ARfrYSGsZQXVmOunnyy7xgA1/fupRgxhZ/k5yGQLRj6FXLSw6tANDK4mZuCuNVHRC9zlIfwGoIX LJshMUSzyoH9A4V5TvyjkzJz4HCIRdgjC3QT/2XjXXAdmN8IiTnD1dsy+dehn5Dt8MCppME+U+GJ WL2DpTEcCetTWiG6AxdPwGU+BK3aVpESvsoU6gt3W0TkfkD09e4dXWk8zXJQirf6kbsmzBNQpz6N SoMPMWVZNuWAgX/tSKP+DCDRDoRto5cB+8J0z2qoequGcwAzKlU2LPQOc1yv3psSBomk8QGgh4Ch izVjkaX2pWu0KB5RLDig9ilYiTLFU7c6V7IU+Jz5tpDDjyMp1wNHtIG+MZuHccIaWuc8ybULYw+g g4DQicrvJK7lJKEVEd2lLICPWfRc0w5IXr1gFMaWk3rnBbOxWoVOKB+7Kbi/oFd2pST4H++dupqD SmDgOD0UALZOrONocZCOo9s3rg0efGtP8NVh+4gTH+acoKfTMITtba5lEJMRe6GatPn8VAZcpvwZ U4FxwpQFakxLhQFTTWdS/L34FJ2sgXwOpi5CpbiEV6A/Oiee/0J/EB62UdRNd7FIw2DozanJ4LwS FFEFaYOZaGezVFZBUP6w1X0Q6X5twfCpoSdnz0ECrZs7kDo1m6siaYEwIPvC3KtQjJ5jW3Uucc/1 PXufIXdt/Hg0wZMuS5KXLngE8RRJBLUkbq9kcbfQiDqJtP15NXbS7ow/e9K9lAN5XncPCFgZVAON ucZmgRzOyoHjJLpC5cGuZD9i2/hdnEWzTyN6bz+bPZESPan5XF4psS22oepRxv9Qc/y1CR2+VrM0 sljkLslhGk2zW9D/S7SGszwyKhv6JyvD+sdNASJNK1KLeSbffHX7eMHznN6QR7kRz2TxblAX/Nbt LW2X7/aIY36mpgTHp8WakEmMz+7n7R4If26XvofZ9RfFuP5tLQ2BrBNCBx3wWwym+7ciHlAQhgz1 E88l4ObJpxA26uK6sZ+4xjR/N2YCJGjAgCpXJECg7T2gXVECS7q2S2IHne2lqLRhZnC80TNMbX1U iwVd5oKQ2Xfx+WoMml7XTuPWAdsNhbcvzvzSt+0tgAqGXdFleRaANEhTTQLG0WQuJ8tbsEpl70cu mE9vQlKSsiXvJ8eJXwST02PBlgISr+5APJVwv53w6aIp5DvrLabNRjxQYkLhNTIkj+KjXwk5yZMm Hgzgq1GHihLEFRbsxZPo3WAuUWrNY/FltvU7u51a/IJhpV/C7yx882moFKMgAcAPtLw63MouwNPs BL0t+pixCgEOqEjDKovwMgVla/PNRZyiIIgNVgXzD0F2P7waz+wRPvNs3YmgVEJHc3pTOZiq/UTm 5S7hI19uuUCXIqXkhjgACzvVrIqD54TUPrCkTG8RJt5aWpkfl4O1wl08NAN5aZiGnmTF36asc1fy JIQe7IFoaVVYAmf7oJotLpaH2G8wjdEhHwTOx4NL8FnKdw0YEZ9lhQiruYq4YgHbpEXHp4c+7wZT 3dNfRgrr/pD0MDHKc5oumPeh9+XtDmPRYcgbpE8gstyLVTFvstOgnBIETjG8p17xXeH/hnCIuGZC 91PyfMVUNVJ5YolStghjD0oi09WZU4A6we/yjWmch6fnHmt5FG7ISBV2J20flRTfbYWhW8eVcZWt 88YDmhXY9EmaQq7+33x8ueyvzBKEGPlhljFuHOgp1xaEPPE48fErGeSAT8pHYlgYoAKAIfdlxOUx Gk9yyQ+MI/mDwys1X7HXvLVVJRp3FYiYxORqQipHNZvJ5iWIIfXxHN/+zWJAXlRxysA5TFEle+dM ebze8WpFR6BZbpVJMZVPX/lw+zxc2Bcf7dQ8vZuGgU2hIGDCA9zjGufbutfOwfgCn7EfIcu8kQwO myTzb/0L5bGCZ1hfA9ncIAHtHCRdm7CJu+fmehu0fztxBN5uLUO3iGf50g3V24EwpLLI8k8P61aN bdjIXsaLyEsY9dJ9t51fKuJrEzwDgegVDDSrqlnP6OvtlAQiIFMRj227Sz/YWiIp3/Y8jHVH3D8L XZdPcSJhp249PQV8rfBRbPHNh5gaDcwGqjcrfD863dI7RU2M5d0jvz1Dcha//xu/BNu9AtNfHTQ0 Lwj1lAAIoJmwkt6MlPZnuAqZ5Pmfuk44LmYok8utF/KHLWjI3cQPm8TDDwAiXIJUJ6+5YKWEGbiw C4/lIbyv7NVxZvCQps5DqOz2pLbI9NXqbk0+Zr7mO5KRAOLCY1yQkpBfLPb5/UP8AXI3g87Q62lq re56HWVxBpjMTQFDz2B2c3KkETPO7YjJi2uExHEG+MOAt6j6Wx6Y2XGYFlTjaNVOJZKSSAnN04bq W6O2JNY6es93YpvUtR4BuD1t/AboKZjG0Rv5EvSK+YlKFZK3EzSU1h3A6pTrVASC9njCVXx9I4MZ gXimaTxumDziKZ2+Bf/zV8Kp8BliHe12iNYkesKddt4Z8KXseMRw1Q+vvzEMrXrB1VdrYYBOEQKf F5xW22en1+ApKAnX2Cr1g1h7kpMh1wAwDdBOmQKVMrSfkqE7/MEowxCvDJznL4lYo4EkjIjQ/fjA IPXpWwmMsOk2heSU/TczrFovLS9azerbmgXW2qyl9jPoKwC9QFWZ9cy10d3CJ+HwKUBCPfnd/dSy 06UIF+59xcSxNVxVNF+HsaPgat4uSWF4lsvanzgaK5JkzuGfsFrUKTle58+eXjxg1v75i0eqUMQv tFsOi+gy3ovel2IcebN4IJYy4N6RueKdVA8h5i9Xb+jTv68jPLx2EN8kv3/szLcii2RGuoDardrN 6lieBk9ItsdbxSTPIkvb1uYzcWX7uAFL7D5HuAD4YeKd0eeXl6gwYvI96W4sCDRF6uqGbcm4gs8K Jf8blLwAcHg57y7cq5ebaX4cQhXXByvPingdtRbnzYP3GooHiJwuUDZI8ZwRq3EZhcvngNyLhKhy E7gM9y+eNfW/1citMBqmr7RCjMPJPcZBT+0UAl5bm0C5NGBr7mQJv0EEWGthp7TtojKbDcJggw+b hXLmYzRoiyoLFEx518qcLPMYbKb0MPiKHUywDrwJE1tpnSlto64chUOfRZK8m5eA+aEh/amGJKS3 qpTNlbVahjt5G0h7Qmq0ZvFSuWC8FhQjGExww8IXaBGdlqtzAmlllcG+XulG91e/RX5aY7b6RwRZ r46BeS1H4WoOzDgKv7m3x/K9SrdkGzzssPEBuJilWr/WjBtRtN/jjf2XjUj/6t98Cv5K+pyo8dXY uD2be02gka5/irpnB0RYQJbk3vwAVLiVsSk/Q46r9AuhbVscKTyQ1w8myjjOYSa25xuZoa7daFyp 1gV+2cecBlAWYsl7Xly+zjzDTN3KgnW6FvVLiZNVPZuncmW77YVvPjFrdVOrWigI+t1cZbYiY7ax zvaKLXuWyf56O0KlnhsxngZKfx94I7YBMFWOvTMQxa3iSxWOLN/ADcte3QXNOq3vCuF68TgvgY5H FGkukab5G/NVTYOu3+hp4nG2dWcsWcGlG8C4/bXcZmvjj4bUYmamc9Y5ay6MAhS04wwnomVnMswG J1FsZgzHVYvDWa8r8qalo/4q9K1bOUydUl8F2zOlLsO3Skd9YYu/WjFeKqn2CzElHPlbQrBaWFto M9YOo/B7pPizO2oR8p4zthJc6o9xvXT74nwd9qR5Jvza9TMvo3yXzTobX2DIqd59qxphu3sXNlZD o0sC9OybP7JpRPqH3Wnl9KV0+57quDseq3ODdl5UtznnBGD/sFaVvS9jCJcyrmV/XBu7V2iC+UJl yt89oUCc/dXD+PQU08WyA6dyQmGwT8qdwlsvgbWmwKZKjt/Q0M/R6U1fdbL8bEdNXpDkywxx799R LG83+fiKbjpxHqmpJ18z/jMByuoo1yaoZB42I0iG/MDs0+pbOjgPG5D6vxahR/mHUH/cO3z/2MTm 7q4yH8K1Muk9yYYS3eh7YJOQ9agSMnMNQrI7e6t+FWumfw0dV8mAMWg5iUX0RKjpiWb8wDf8mwZ8 lEpmH/ekw5FCkB3tQld0kvZ39WQk2AwUY2iEZILUEsc8wtuHkydCSsLkLlqMAN1kSy5GQ1xsB28c v82h19e8qBtEtcywsaJ0Ek6/vJV3qIdmpSRl9AO4LKBTK0LbVv0weyFOq2wHHOWQHtqeOjAwakrd 9O0HYwcdhRkJmirG0J+PKmT2Z1R5siTlFyCouGyBxUieqjQjDAc6hRPSjgWrMu+b0/SRnXIByyuo ncAsRbZw1kHLurFVdk8V07KtspPA9A1UAhSRsuBUMBMscf4K0O635FWqZcLEZ9zu+kLzDKQsjcOc 4yX6XvYsP//Ym4MPyYY9E5XxmX4SBETqje5hFNPg4dDrDRi1aFDUws6qyghO0VIS65UauF6N/e5+ TTmkF61pM83TpNlMcQwX0q2V5CT6tMi23UJUJIqxwDwF6Mgemy29hq54gzFr7KAzKj8w/onrKSBO 0IBnSdZXU2qLiAoB3AububrCsWZnXbzqgly11epymfFZRKLmCuxJo1Lp9NX4GCxUEDS+czwFpqlA qZMJoQaIFVXL9yQ9ifS4ePfazYktWHfo8bHpO7fP/9x9VPLN5k8gdMlxud3mmBd8WQ0tkH/n0m55 RvG6IcL6te8VegybGKn9kxuw3bGqoJ0da9KzJ+zGAwC/VnImDLwNdMQZkGeMEA2K1xXmEIFD9rN3 HdN38Uyx94TMxw5yZpHXTVv/MIQw7hNNdfdl9rhlKB4WgQILuANlZIjPKFfjz3204XkMHZXeLj3c UHTTe27HgAS7TJ3/EsNB4lY/hB/nPKjUPQShlExQ44fAojqNKacKRwKV7X9T3GxPpY4bk2Tyf5NW HBMgvY0IAYqFMRoLLafant/3Ps4WPHtqMxRwTMY8SDFdI7FnA3g03y0kIMnFrZ6jjfOklbYTeQT3 aDOM6GQ8vF/WN8R5yQ9ii4DdU2pOJOf5Ekl6wKCrPhjOk4V7TDPXL0cIXzqDfvAasth/Q4Ih3Zc0 v9Bb4q3Csoq3uJX28VldUfJUSxK5yg8GYBWhKcxEMfguia8bttZrG551QEFrlNhzygN1q5pVMrl5 zLmZFd4WLGSEnL4wwJzUSAxtkVsMTWhy/16aLDneLVHp9EL3jj31k9NDeudlTNMFmYoXJyGq3apT 5+hSTq0tgaRLjKZnusBpAOCc0aZ2lZ58wCsS42b6sNKgGxRdUvEEh00NWqhmOUsy04VBMpcj7jRt KbAKA5b0P1rc5rdKIp3irEPLdhasVYbfXYjaSj7H0JL2R/otd/31vhNuDb12UYb9Eku29MUW0GfO R9gWa51SQZcNfMhIeuDHgL8rLNyzhQa+qwwDFLq1jD2kHU3W+Y5/ExMsXW+q4zgVXqMkveRqIqjB vNv8Yb2af9y3/gaqmYvbY93j/ILUm4AtxhD82oYQTZd851nbjDJhn4VXbcnBvfnDCqFImfurPxwc +0CJa2+hXaSFCmCoKwe603vR6ATdbL6KB0OmxaJ0KhFCXMROVzU65UBr40aGIL76dpmIOnWiQcvl gxLio6wL0ou1wkehxrRb+/2v81O/6BKKL/cIp2HcqZy3dYDf1iokhG29QUfTTuvqNFvSaB8jPUsn MPu7zn/NjDeMx2Gc8J/r+apL8f/4yNQbuqotUh4sqSgUO4/SFuw38Zw5IL43Kw/fRA2BXe0ejnBT Aix+67YaNbbJa//zwa2qIc/Zsz+JupKAmJ8ACtkvzFOIpIryvEP/RCn+E4FQgkwG8cTJ/7opbUJ7 V7nF0QbqTp1HnJSvgHCudOfQzRie81F8mr+woBWOvamIPzUpTfSIjFrbCM9iDgJHi9z/KBFIBcqU qTShM/xD5wi1zVsGHDxKW9Q3pUEuqet1Bm2C5awTQheIu1YlexrM1ty1Mm6ljFcbVajqUw3y9GQt LkBJ5MA4jXqpHxdHa3VVyfg3FL16hYl6jzFhpkkQ9VqzshtzDpv694AjxFhVpxzmYKKmthVGkei2 DGh4BLxVqS3CX+/WOKTaExXH0ies7KsT0l3Mk6Ya+duV7gQ0QRptSwb/e5HgP9l4tEubnimlEk0K 4wn7GCpZ/0Le4RBDG5K/MuYmyGZQb+tci/W5eETKbk3Q7uYhAqxitrNwzV59aWsON5Fi1K2/yyml Ybvw7zcNhSp7awYHLGOppVgQHvA0wtoLoZ2RT5hVTFhvFYHS9+Zb3TuTPOLWqiz8aJCc38e7+HjZ 5q0qzHiQMLEj9YUMYEwtjSAgT2U06qzLeFHmSQW8BfjFN5W3iz7vqSrHekWTEtZFiLIM+IRjlKtU t7VIWrRzx+ewigMBGWGUee+IjuS0/NKdigXdpYBYx+Hey3HVHHfjEqeCFQDAmLYhibu0btN+R167 otY64R8KyUaie1ss3SxJjX4dNTZIzzSOldazJipDyeZ3xZj6uWs4U3BLzTmvbA8Hp1Hu02uCcHLT U8opyX0rcZrOVDRcD/1vsgwLIjyF7jAEBZP754+tTap0in/30bOpoPgqxBAqINYYXZ1HpZoKi0Vh iERD10oRaEsWPI6IfghfautP4HaR8x8Q4kygkcnJaBfHR+1uQUVuBVT9vDrxjpThEy0BOtU9P9Zw 5N8Mt1qDqXcrqr0t4rLTAnwxHpodh/22gTAmn59KB9IGVqE21wCOyB3jdxN1RzpdqZ7covX50Ha8 C0XEoZVUGwPgAIXGRptnN07jlgmrIkonPNZSnFLDYM4E8ki9J+V1s3Sl76cI87cMQ4HCIhI/OVV2 S4/pNGE+VKF4uPQlSE0xCOyRoCDL14aeCQWP8NK7A3xMR7ACDaya0eXVUvLXtO5pRSa030nf8RPy pRhGt4JMLPzU6UOYViZk5N/YcFMl6UZfDqgeutTLV/q/UiaW/tsDynMOvQ0Edd3zTYwO+fAwgObH WgEglC/ZFoLChJvHitiihFsllGg7NgJlYGxFKsB6Okjx+CjxQr3/ca8UJpscPVB884zTgge9rZW/ sm26amcj2YrcpIYpAy+P/08XeBVJxwSihcS1sWEQ2HJmdkji/KaMAEyAL2kQSd4Zm3566oj6IMSr VkLJ11T8GqGZpsQdFh2NuYuQSi0gtidKsYxxL9NtHqpk7+igLYotfFnoCI1Rup9oChY0q/FptZW8 d1MausyiDhBwtZdZAyiwnHJz8XSsVtCW9az4Kjp/fBvkZTdrXjQhNQa3d3UN0qx+UX5L/Zz4rfoN yBb32xPXnkhfwiNZ7OkbeK4xU/qUn2ke4Z1BIaWaFw45C5O1HxZzZCroJKyE7wYbbV0oLQECsMDj tussIR8YNnEz1zcK0vX6xGxTNOXeSN5J5akGU/iIW7ah5uV09X90/stS5CpMCRupKHUmp++Cof8B n/FCRmzXNDi6J39FvrSlro6HQQ8PyrwLM7ufRA9LzOaNQYzGcrNep6bBmyU488d8xPrFBr6N7uJj XFuQS+jep6wAOxBPqiLVsfAgtht4E+rWfahJHnkZCqyvJ2qzWXzyKqIR3xQX4P8mqRcwYScUI2ng G8r0QbrLUHGWe4SQY1u8Tq9+YNjqidKtlBElrfpAsjcHXKB3Y5jKIZOSqm3A/JpgCUpJQ8JQsQla zCzKKaYCv8sKeM8l9GUkfPGoUaKPmpooSKTNWS0+qpoeTJV8I4K0oF06gL3D7V9KkQaU0Y/1prJg jns041SUjmdbfWgMdcs148osuini/1DKWhb9C0TQzexfURux8dUhV8GeKGTHwyzm3HAUpeRV0Aye Q5xykiCoAta+4jlX26S/34pJMz0Aoy8/SOrcTHEr4dO8WO3V0w5iXb4cc/q3Bqrvwn6PrPKBcUwY yPe6GDG7WTD6UNdQ+jAvxOkjAPJPgZeA+qV1ggeX9VVABhU/EJ9GHZ0Vats7okQd9O1kMG/VE/jX wevuwSafaFSd82I4Hv33/+rF5SlqPIdtwjpNvaQjZZh13fLHaa5tyHTbnhEzNQDkh3V7a6Uqgclj OsOn6fJAAgOvT2TbQHcw5Yxc1MxjDPLh35wexx0LYJW/ifw+0/6OF2NcM7Ag4eptSRBVbgHZ0WG/ 4mKX5zWkGrFmuJ7i3jeOsKlHqIKU7LKquyN5i1q+xI8917itlWTa7RAQUwJJrICQFilbWr1HTLRK xxbANaJzE5obEZCGZLXuj3wjtzAXTgX4GlWoSdbvD+uLgBetiFfLZC9EPgtGIMqsK4Yx1it8glrJ 4EaaynirpIrJz8tqb12nkh1ghCrFjkRyacCxQZNkJ/bsIxWsOWAi6BEMqZuUnpNWRc2g2ZwrAmvU KvohQ2IVywL+rjhbdcgKJR32i6dygXTPZPQNi/0W93HrtBV5gZoO8p3eBER+r3HAf+0htBGEX1Qc V2MdiS32Fy+XWC6/c4b8DOIMgcWwYqIfM3M+pVzny6/JzJq221PJAbgjrFjhyIVqkbouGPy2qdMF /YY+IJ9Rad4OA8mLlAW4eipGkHVN9LNQr+EHg4OLlBEOqnYGfH2Ab9ufM28Hvrw3HKyBNfJPrqUz ujgq8Af0E9HzOa1yheyrc/yQjRP+X3l7f3zTR3TmuNtyNALuQipnLWDVnp3ylKbbOouZ7j8V5RIY EBUZnc7fkh1oto7oR3rPdqjUPED1hWKZUqezM0ajdLTIL7EDtiWlClCRoNxY1CBCD9uLIHZ931t4 Q2RhzzGh7Q2rUjGIxoQ2zWgsVdtHX4gFlJveJnC3G3mkETnyFInu+PATIfYwakCu74/cWwTYpli2 nzpM/SiRMh9PINwpDfgktB/TIRo68VOaka1YX0IkoQOT/6MTM3ogkGPucy60RfMXSaMv7T87NRr/ WLN52oUKFPXw12lxrvHYRbgx122nwglswH8u30ZK/z2pqXDeJH7EBPl+qcNIHmN1jbjrD1f9P9OT JLrk7tKw2aHc8vp8CyDhnrqyOB1xeGh120SMhLGnu1m6fpP/v5LszxXvAopMHPk9dFZ0MBl7R8Gg uZYsQcdlnibWIcQ+UGbKOGhcbcw7VEQ6S5tUZVpG66hRyATQom+DvgaY2nC/8NnVtKbJ+w3Kayd2 l74jx7XRH7iycaq/cIsuObuHjIbs/3VcjQKNxrir+9nLNx85/hHhK6EYVZsOBn9ndt0Hmfydwca2 QkQqg5cc5QwQon1gXc8LeYevQdqhYmB5Sq2jF2vShdiMGBPJoIv1xgSY9HQABU1fiaglSaNyqF05 aoLMo11p+ue8iHhSFE0HBTj9jnp/HgvWY6Dwp0EQLsbzevkvOCVY91LyB1jhvGzenQBuaYQErst0 arP7QFXQ3uqjWPsP9OVh6x6mZhNWE76q/MMdAAZMTxFb5Z8bbOETSCoZCenYDFKIv5ufgfHPZwBl QJZiIG0mILbuhR4MRLeermeTRAMir3SPLF+Jt8tEgdC5HdpgT744/UXbz9XoPw/iyCXUt5mMg89P bcDin+7WHOoVyN4RBHqchoIgM1bRRfDxqWMOBxDfR07tA6Ddran8VPQA8AJ1SRSDv7QOXEOYU8Aw h2wF42+GS1m+OkymzVvoW5sWazdMfS1tJjBdRrB2UFE6VKxSgp11CBUuh8Psu/ky8foIx4r/+I56 Wth2LLUaIPucZLf157j0jtgOulkGAEPc18kPIPbax7OQn6bzQks4Fdy9W3lNsqh/7Pvd5jd3XrxN M2IJtWcfMMErUkmRVjfC8/BZoL+Y1aZ4GtcuLXicTMQdKIHS6rvNkC8PnhF1L6MAChKWiC63H+iB XcmwVkIIvztAsnJFo4tKy4zUZ4/tt/xPrnxIO4lHEC+SYnPRlrvBKrLVp+nQ7+/99wOX/i/llCDU S6Glz8OHXVD1hZILp0pzSOwfPe171MdcUbysLFvknTDyD5nLCDhFULglxGa1YFnYaMxmO0un2gaR v9iqBR7XBEPiMLVCe7NoIC9agg62PtBJiS/guTv+PF7SS8NEfnkwsxAQsOw79A+QtgGntAabnn6Y /znSx8AYn2ImtrtE6d7ta0dZFsTdFaN2I9C6+Ya7B/VwST8ryIjsycmISqt420ouX+tyQkLLy7hf KvAPYCJAhsR/2m29JPaK+zUJ5igLGDcPURN+b+mMSK7/mSwaMt6PQB3LhAeOCuHN7ulDNWyFYbH7 Vteam+/3jDrhfsvO8jUdwBMsSBN/8GcjiMf9wOFsJXm/UoqwG6djy2Q+aIeQi+YwQzHd/e4e9Lnz 1QASEnPprhhlbLH5Fws3uxW1NJ0/Wks2j2B8/S5HHV9bZdHMDnT7J/g2aiahVYnHbwppUC4DwLRO rVzjYSVT7BIW4uybc8JOehf3LwHhRfXa1ux5BWH/ztTf+SbrtdWpjcNCyz+o8zir7S1ETiZ/tlrl Bs1gAROSPglk7hPBZJSuXUj/g8jMKTlJNPPNIYfQIGuJow9yhAg9fMuBvSzDcmUpO+sM/5u3d36G IyJA3E3GmpfVqzp0UCE2cRNaJ+p9R7pnFNkH+xWLJ76K1sMfhAs4EIZh6yQeRQIVh7F3UkEYg4yU Q/j5B8beHsGNUh+4W178eQa3Fe+qvM2L7u6Hwf9ZxzhBh3CdFOql9NWj8toFQMSkgdsnMZUTDF0k 1VU5eAEhKcH5CrfcUj7cvkp0SIVKVUTJT1Cri/+eEeJl5rrc3gngBFXct7C9Pto8Lu2umFpuGTgb dOBSczBZU75ZxyY2l7Exr8JWXghXdGF6QtmB9bfqI3qGTjNv391pA/KamZ4WXxSE59nyPmSav37y CkiQIVL+1xHkxN8nFnK3+usz+msrlODEbMSBvkUO6fSuXlHZMS6bKq0Vv2spFlacmPUH3auxgEuv 3bYjWp8i6u0LEpMSn/d+a+UOXaUR+0NXBPVGdofFnmxrfW/1lVxuFouFbZWcQbSBMwDD7whn3xod 5fSIKBI6ebnAkr7o+ryqtc0SUcltCAWwBpZwvkEkZXzCvALTgZuF5W9gE3apQiPqnQRNTU+yr0Av Kq5DkcURkXXLQqTrx+BZd5B/LJA2MsND0xbbuhSrgeWyOHxf2JOYKnpsOiPT/eZDo4ebwMjzl+28 VYUVgxBdUZIfsys0XsRWc8ceEn9KUTVhAfovTHuiDmKE6WpNiyFQ5ntt7vvyMmO0J73vB23qeugf aK0A4Al5rFolfRlLQ63eNwD1d9ezZwrvc7ib7vsP7Lof4tg/JD/kYXGEF7QQgdszJIhsN++Hkpe6 7rUJjFNKud00GImBLhTIVtgy0HM0rd0wq5aaARKMsBidNTxIvmssZvM3ZXEf7tEws8JgZnnw3tEL USQ4ewVOvnDy9FY7pdak29TKHYBJY9VBQQYnc0r7IKsM0fhc51tSCLQVIfNTqF1qLYjJLXKO2dCt sCSSrbiCx5zXvQeH60A+fVXUApds3vpelCeNOO0Bw2BNc0RarNfpL2MNrxc9MpwxESKwqz2aBgTU wz71p8ehqgPTQN/3Idfj0BIyZ2vMeOqGwY8fObseNMXnlrGLGqklwWIuwN1as63lJFuP6XvlY44G qFTqVmlvEXTBagVbYxDg1tRypVlrYaKvGss92i1nUSTy7yvE2fC/ZwD9mXTF29ngbcdbSKutUVKO UGIBgiDlzIGhl6QsnU6jn3Wnc3Xi+ZYZJcmfcZ+r/A7rFLzmEHyT3Wmh+98v7lYz66xH4+hFIp9s Srn5CR09bQopKQlbmnw1r98KzuoOuPrtkMx8UmKv/CHJksDdlo6fVBzKXjlPFvKFhO5JmXIpl3FV QNT2qOd/O/IF9OLza+JEHoRCjg91FneFoYJ0ou9sARLcliwrFL+FqK7f5kY4+P6EIuEGC3Y1JZdt /Hsv2+PHEkZp/YrXBkEjaVWG6w7THmreFFBHEmsHSZw9F/ddalZ829BrrR+Y9y7bv/FtkPkPVNGQ oNo7fTMYYo9HIPlkJKNoqGOB1k8rNgKqh4LDgptnTZpVBldxbmbunsVlhh0/q0L+qyZKwyeeWtxX olJm6V+SoZHN3SfMbm9NU10znwVxIX5P6G+3i4ya25cHz/3kr4/tF9Afo0oHoC/B1gBZalJVdbvy rmzAr+IH5H551btiyOQX0S/XyxWHio7sv4rIuKZqybfPnZIxg9MNQWrD4xjjZZxUyHMUAfcmOY6G oRC7AKQLTocADLMmPOY4AHVgfGf1GjNckOGMiGwGDwASyCL+aT54yM6bs+oqz5054EDYEHny93z2 BsV/VzqYjwTDEPemNzt/45u/5ofkn3hP1DiT1w8n5reIhaKFC7gz9HkOhpSfTp5cHuZt/I1vbcY1 Eh+LR1NQUan5C9KEArhPYsDTL617llAfdbXiwoeeU0mjPvJ8o/CHKo/CXlI65R4xvzjstOKpct8F pCiioUVWuMoqMT/4h4NMiEOscuvil+2sg/XBMS7r+Hf2yD+QOShU13LKmfAs8v/ZPvGPLpsMcDkK oFFpEHbodJ+W4q7m/vt4FzwIV6oR8LYy16pYRFsQcxZLKL2YrmibPMKxIKmx+oh8NuvF/deM8Xg8 foD3LgbxiRE43YTOYvgRrmlqQFs4NNiyAtkMPbYZYzeVq2YMXn7tty4kf4TuJxDLjXHUnZTJ29rG ec0T5QzAgErGL8A8Uu1X8gwNgZIhafE9lRA9m0QHVUbWXrKI6hXXbFWLhjsbk+y+QgsFctZG9OAo U/TI6pFszvYjoKJETwlDJy5YVkmgU+2AASwTkVXzIZy8d645GyYae4Np1FMIAKMBOC+5Jgd0bMUv SDfShf5+7slEfcgsIHtOMl9hVnZXQ7DQztXXR2WB22EAgsBFJQ/GIY22QQGaUcFar2AVlDR44h1y uujlFjEG6pFBQqMY+ozph3sPJLaEzPMUKa2BKOTlZKXaunYG2kVRlVHK1cyUiyB8PnMjQQqewItL VyEbTT60hUcegU5vAYTeGU/iW5qI74phO7rND6ZhsZLWUgVWfdkgInGnsKrjb+xUmOncEireO3yB VihngatOZ6uE8F+Ugunt4g+VHDRLeboIkM6z9rth6n4RA51SeqIkK26esXW61CSaBeYasuZIY08l +8IhnF6iZwwi+qGt8XbxRU4cbCaVwSG3kOBZ7zg5qdBc9K5HgEHLp+Fo16+s4j21SZld5wqEYeEh Bx0A6w1vEk4vcehZvCk3LOaBE09LUwZHEG94l7XPjtLTVtE9sVZzSycoGVAP65P5vmutjW6fIPMA EyCy8wGVKex8FP0oGzLTtMTX9EFEEUwJEDOtU8uI1t6zquENL4u1bZQpJXiUiFLV85rtyNbiXdsZ PBSvZhWMDwpyh9LS+kjiJgM82sXNazze/uvUb/o2UdqQ5O26FxyoerQ+haYodqwnxt/4Xap6/JMs c9di9cU8A3cn9HHT5jl26e8rjvUDl4x3D/a2BbDqcARsWId6KFdYSw4rtb9YXNAk6R59Xj54xHxN OSYJtYYQkYDpYCdUx5efra4waH0RuN2rbShBm2a2r8sBtO9qultN/Ibz4an+ir0sDGmLTrFX2+sn M4bGtmZUvCuPfyvvnuSUA9+5mzyVUHmYZeP2F5DeDqRBY3J+0nAEYCbw1BmBE4ZaAINfLiAoAd6C 5dQpBqAXYDIVpoy+24meFZfYyWvcNIzwHsA2S9gqWm3o65q62ra0K9LGDM6ja8rj1/n0/zeUPIx7 hx1Nx/+t0ckPJNH1Wn+DMAu97nXS9qM5jszoQOAxWWpzik4wnsgGapkz925zdUQTYF0UbvHD5qhI uceG24HPBDHXjyMZuoE6rAGy7tfLJPxq78yCMoubWn26jb2dmOINNtg+zzlHYC6xnyZjz3/I13G2 HvzcWXzw1jeKKdWrE3xcUyR2eFp9lRePoATmKbluL6U5f0VvmHNxFI43Q3CrfFC41MQSt2lMLnmW EPxUoe5Go7+xyo4Dp+/gTLM4mlANJEP3t6436UA3ZGkEdvURfoPijO2P6s1m5EMNSGRL8lWWMDhG i31W4kd0iOUmPT2Aao9NU6y0YYw+wgwMctcNS5fHEgKt4jh3U8j4QY931S47laHuqhhvFRUHJN7j mhLn7OTngrENKYKk7GkuX4l5EeFRMsNgZoV9/3s4zC5IS76tHlgvtUwyx4Xnl18REDlUgZqhA4yc bkRA35wi9yL8SlgRV/PY7u7YeqbpIZEzjZx39Le4i1MH+jQNOT7RuLtp0FbCQ/iLh3eTUlmHFcZu P1ezp/Qd4T+tM5UL89MJO8dad/LJ6M5epQLSPh8B/gJkpO33a8GaVwlKM0F47OEVzlFLXEs014Iz G2E/KUh0Vj5wF11BesYYhQeSWlguWkdxUGqodSu+WgZl222FgPAsqQLHh9fGIOMLyrmAvfJiVmCz Z7ILC9OmkGVkEAC0R6hgp6COJBT8KSXI7s0uxig6uMDhIAkPDe49kn7NOgzso+3cHwC6N0pPau7w wrLzlWs4fOsC04JlPjwEGWMLVD4VKgw+/rOu0kIrnN3yBP5M9vBtwlyRuZHG6sCyvZT45fHZw9VT 6xVXUyMn3THo3GeAaiSylIu1Zz4wVAYJKFAOXkIbKHgrt+0rdC4IeCSMYryDOnzFplqlCGdpmGFM 3zTX6NjbYHlhML007okZO0Q4UU1imPTQz1ruqugkkodA2K2wrvANwDjt8lwaJ+VxnMCEStJHyVRM yJBNOonNfCovaOpWfRaDxwLpvvDA1cNJywdM4xzX5qAKqw8UqhJjlIhFyhYb8/JvwPqM12jTC3cU AJZL3/bFJ5DkKQv6DY7tlPa94x2E0lxHt2bKXoQkuMSvUzUZiXYcIEftVoJrYhxYn8OoBlCtIm+j PxfoS6EzlqgGFxGNcI0JT5AT118rHPYec5mitD8LTQEbVKfrdnpLWqvy5rXksgVb4wfQxVjXIN2c x7nIkvocZWnAsfyHDuI1ehjLrlvenvg8mFmhQupg395dwOKlexKoj1Teppdcb95VHj1wJBhWsKYR SzpXYpUXcrWD8N08uZEz3mtKKdUUIThFDMQa7Z9sSpnbZnZDlssDJEj3Y0O0ZQstq0Sny/CnrjqH zCdAPwMu7+MeEwW2zA5UcWFaxEFyQ863u86wbcwSqpLQY+coALoTYd0Xak6TBOWugvIVvuB6SEvt hNxMxcktpZs4VZulBKxaADMvLeO20u0JebXq4O5FZs0z0GgOD5BSeP2FHU1gk7wrThZJQlARbr6Q iILzCTVhmpXg7RPIY7Q9VJqK+zZqNgCp6B9Ec3MzQse8B2M8vequrOmIdSbzuI3ZFLsI7uuyplGw FGc4/wQjTh70VfZSr81HgEFVYoI4W1b8fISGoQf4PsCRYJQqqEw5k/kej9bJ04aVWzKpT7K6Ydjs o127zsUHVUlrpxxh42dDQR8j8N8oKTxxsaCNl/Fczbp4UllaGWBYdrp6i6ZLmcGXPs0b0sPNXxLb /GRWNQ87SmlXrdj6LSWeUfM4nx9Q9qYpeNMlywasDeYs/yltaIHaPveAA1UFxhS+VbYT3zGLCR2h XcvkeHBp2UeHwEV7VK+CH3mDGORQKy1khCfMDi8BUQP6RTwosDEXB5zzdwYNUra/S0WFQ9PN6yKP 3JDJ9mFAmaAQlt8ozvjxMjw7ogf7WiRsHrFtwRRgbDXCW9MgGtLnWauJwEESuqzEuqtDE87238Wu a/lFYB/DpVkEP8iEbqYNXjA2DY7xmyzovcdRqqGZJ7BDfNLrXRNNYwt5yhDcvSYz9aMUEyQpqxSm 276hZpvcd0uihtXujmZSVD7Jye/nho9qgsdFmvICLNh98WcgKfjoJnfDlXR6GsyNj/PpjIaNHVqn Dutk0O/Xlu3VkFtYgNu75RqBFXo3tB31t17wItQ/nXo/3w40vNm4CNEqQhNQPsjlxzaRuNlw/IDu bn5iNNGvyeohGwU/zOc392f4nSyE0RDNvs6M1Fw/wRt8kXdFFMFwpEboBl9furwUYnTb/r7wJMw2 9XkxhcLY2pHPlBfIQvu1JH5VghjXIbQTPFlSucmMMEfn2yTjZ/IeEYFqeZttN0Jywl0qF7Q75sBu OEr9jwSC4Bvp9vcYaU/lMzQ9SQkN/Rjll4XQ/T2LbGjLWqoj3WHEYx+OhASrhFr0Exi5khBL/cCj 1HbsRpZKzl7hLvY+IQSgzOC02aA0wCXtQGyEZcAkvt5RnvGHTJqDExeEcjxkRBDLHdwRYVuXf3tP +vQIL2EFb8qwNm81XMR0ObezyHX6UcImUsG77vWfnCIJOcRuw76LCWmepaUblMRKSpa89/D3ptaW Gf9GOZ8GeCWil6qOYeaQiC8uW6Xpmvkjar5NUxq47Kk2l39vYmdhtKmooq2f9Sw4iuFQbd/0eidC UY39I7Phw+TWyHCl1GOiGL96/huFny3BGeSYZH8UBQ7nr03GsPN3sTFVJvKhIW5KBcCFZpvjEzDR +FxukM2ZVhacQl7DhO1EXAQRK92E/jrBxp+27YvSQgWP/DCkOVJA+eztlqTaIoZbMOtdgbEmjpDq Jd9wNiQ66qqLIOVF5r6+xugSMmw51FnrxpGpKsPkyHgCD1mOerDUBkmbrFj5IO0k1WRmbGoIp9FR liztbeVTtDFQ0uS9igGlqkE4LorHKbzCcbTz+z4LXOLmAK9QEcGhZMOQp4gO6vjnIgWzjavaecrH Kldll13+sPgDPRPv3ZxLmUB6xaDzWVBwad/kMA5+yYZ25u9cXweG4hsa5czzZjFy+1a9Rs6WmXVe TZTI5j6sFHq7zX/M+cpip5jU2NwjSgvEothg2hvpLSpJRCNUvZgVQPBzxaFq5T/iqW/OdlJOGybs PpNO7l94R98qGcTocjNXbc7RwMAp2Sxtgfu8+GjGgubFBU9S4M6AQmJ//BRpZ4Et6ee8LklKrBM4 Ca6CPXOXiMbr4ZBmrvOO9IGXrCS+StnhHlcwdnhWfdI4/ZPQBcd978i/AmPccwsWwGSPPCsW58ge pFPPFMzonrLv8KszqjefTvsJmT7kaGh9XUzdcXukZP/7KcipfhwimVNyPMHSd9jn8H2j3mtWSRb3 HqLCXvVJm3EQblo0KH74ybqyxy6Puk4txITWjy1w+xKtqazRuOr0u3Ib3uccK04m8gtpnfQ9iQRq CYIGcJBr5+bjHdebmHE02vw0Cj4vGjSwHpTZdBOeiGsmfT6BU3U0CTzHtT3X9du/zsLzcLiT0Icf Thtjp4p9LbWsgR6UO6ggBtQwb7ZHyQ8j9OIUVyeq2dsS/17DzF56+9v9TZiFoyTzp2XB+8VXj3Ze RVWoVpPQwyugBt/ZTaUcWx6AQ7Ed0lqIG4idHoOgZHmQXcNr2G4+Q6bCLQ6ybLWNPjvW+l3TKQlS gkb8r8DxQNkeRxQOleN0epqPCm8p6G4tTevOtUL5HF7ZSJoAW5PliRgLwrZGKzHtmlwYI+3m/SUR aPOkTokjE1TwJJhKC/xxpKPOE8MmwK9vQ/3n3MfWVYjbHwH6Ehl+kzdxge9F9bjf0Ndw8v02tVhS XVV0F2r6pAuwqyvTCLqx+5f/fKTgbGcMDMjxlXsUaC0KI7meWgboG9aDKqf+dBOuxK7jc8EgQmiB uGaIUexTDXR7CB3Ju44pHfv8LHgbRW20/UwMBLQfYPkMc7UwyIO3xZkpDcBdg6sxW8xbvVvA6lzd /Ic3l7T4hr/S7gA9bOe8yNl5+45tXkRYL4z1y/oN3zXbrIacOk68jTTAOP92JZDObZNJNqu/EGXu Gyaxpp3PWl7ioUnm/if9kPhUoykKw1eWDRAt33bZPXMW4DkCnxHAJMZoMW+z9DFtsUlzlTLyHEPa JGzc7vFOeuCWntGLYs/QTxtQc3wnDdfafnVpAw2uNPbC5+lh0Az7iq89E/LMMxrwizrGfCGhDBoE dKbaJBcVXal6ykM3ZjO6ggWK7gP1x5TfE0HqJmV1EHD5kTfVI5OeJOD+LpDiTlKYYGtsmDGel30M h62d82MKnnmVbyAThC/pioU83yPHLxUU99K+IAqQYDB+AYvKBGNTogqq+cJeOHAP4TCp5ZQPXd7I Kf/lp4JP/1gtqte84Uyyax620zJWdlIfmQrIu2VbW+SyqT0JcU9MpuVQzQ/BHZKlJ4RuZQjM6ENp DBg2yb8HBY1xGoFuLIXJ3NCSEavr2tv2wzwWcuV7zepSkinWNmCJ11nj09znv3kl1n2csWsTrmGF /yr0ztotdc6kF1t0kGfDxGWw6CcUO+Noy9xETBSeLZ6V/XqTBA5j92DUWbdmZv16cJxPDGN5RdpP 6McuF5VNRXzOnuRnrxT9YoV5ufVmTFh1fY+Be2QZc8P9zMZX8+5M421I8rHODT+Y534P7MuJN8sV Vr5SG3BW8dke9/R/bgBXvE2PMak7/fprzCsZDHJmJEd7FlCo0C9/qpnUOj8pP1ra6NvVqHSWmuHj POLS/BnFs01Wy14SRtgFEbgAhQR8ipnOagOkWlS2oc/4G0bIJuwn9auXFBhuZj4trbsBndZeE4y7 T/Yl/FLE9GXCxqrv6QpFN7EFjw9lr+yW1gAPsxL7wk1PFzWiqRgfgWlrvBCIIcz0Sm0B/W5qhllC AkP7ElJPc4vTqCWHO0Lm/V5xvF5m/h9Bq/qSOFgFthDU+sfNsBvN5vrvmHyxaTwjz2EgOUjy27eK s7RrSQ1+AZWx8+I2amUGOrUhZFvgnU3AzMxy+a60JqUy1uGeWdMQYza1LNgRaacfW0yy/zgVVm73 fAcm8qPb0FYMtujlS7bGisnOCZer/QljiU5IBOMU3iQBx1aRJyA03G6hlM2QizHFRTxDncYi/IRV Y8IMrU82Isrb6e5p9L8pZohkHfISR+oIyYJx5h3SBXP91b8MYcYc9Y+VGP2yHQBTON0erebm+hoe ZrmYFlh5ppVqu6LC7vx+nSD5PqIxWk8UxZWvga+oBOrlQNTg/1s4vwp6l47PHe6nWZEjKo4mi1xB 6DNOhGy62ZM0ZkhhCxkdRHZ56XBB1oBfbtKVe06vNvrdpiXxF1Ifx7+2KfR9BWwrnjvg2cbaFrOM We1Lt77ygKDFsRBgrI6VBNyPhDTbBKpmB5um3A/QRZ5HHXjlJGBF9zuq/DN2P8uS2lfU4NuaGqvT bKjkIDg2301AWIVyv8DEkGhw7x2PaBD1qhNrBg+kNJGl7IvOD3wrwfAZmAgNfiGyRwCE7HKchg7A ZADI+VNpk10ZI/AVNFgzaCAdgTZ2b7SW9owVY7cDFDzcw4DHQ+lPzR1Tz9WKnK0u/wqzWFMpo71d qckRAZRT9xBRBzL8YHhMYvQJqcXjEiBoQvN67aQOoSl63Z5WCgeAv+fAfNYZAMzWyfgEY29SkCZv tbY72rBxX91uHUJi14OLDm4CGzhPHmDdYqacgxo4v7vBg8dUI7QyS7tEX17t9VCOhfzVMwEzBV3x XSnGHSnYp7M9bFYtgP4FvMIx2v/j6vgSnnEg6jbT2Bmjvye1x1sJ4zUpPUlYGUfe7Mp/cWHPWsdb 8n9X87YNsJZkZBbNEg+kbGYKGlUhhMpzM8xbNzgN7HUVqljbliyvMq/NmMkjK/tSVCkK0BGkC3jy L9t2RSI2hjJTqdvMhW93v+6P3VXPq8Xv9LuRAfwvMgr2JYzHO2dgATs6Qrl12KxbkpF1dZizXXOp jQ6BJrmUE+kYxmBrJWOOn0wJZnSJb9E+RjPez4w9K2ALH6JYavRWKiAlc7cD9o6ZI6ND+Q97Qztt BpJ+8OZYKfARdbfbj4Yii0vK9ZYrQXbyFcUbN5ZN8nITWwJNjEYVNRJYZtUbJ6f630L0LqJ1ti0b j/NFtP+NfJ8dDvJjxg7FhDp61iP5Ykl+rNLc0vWsiYuPC11onFbXjS8JGyT46FaVG0KW1MC05tlF L+Bs4wOvYf1nthucw1/HC3Gqw65dcbtch/piEPLr2NQb/xIVj4TNetVrsA+Ml0gqv/PRGHSbZB0D Sp6tKvb899Jw7oX3Wv3BoEE5pq5gdYEZAqw6eE1RIubW2iMMBUaJUed3C4oJBzkBXgxjsRe9nHph sJ1lu6971ElCA14d/8w6DQwfWoaE0b9l6Yv4KsbyfgBJaaCotIrdwXQUwJBkQVyNtuxilB94s9NY 76Zr+tkXE33NsY2kwr5w2xgp6xe6LpuKB9l5L61HSVyXVqIRiiaBKckfF2QqreY5k9tVz3VGZysP Q387YmxTxh4RW0Pvnq1aUaJauZ9m6BSTFDhu7Xwtq2UtYdgMOREwfq/FvVgsgZ1YfNA6gGQ+utuY UYg7QXJABI0cnWzRJb/ByvukHFi8Ph+qGe2rHB4nseVWrujlZroMpyOx5nvaaAiHlGb9lWAHj6oV MDaJKZ4pCRal6eNZ3ClN75ZUi+IWlnSqW67edT0zcZMOTSaEcVomVc1aDqgjD9/vVH0leufOkvkK 3akqLdB19IImWFkw8texGBi+qLfArVQK35Hiw2b8GCs7ZpYxJ9x8W3GX+SwWb2UAWv0LjnUXABhp D4absKoB8S5ue7XFG+NiBLgt7jZn9Uzox/x1skIQzPAlVzXQeQf5nBupWDMaDZGdnvAw0zN41JkY gtsENtvw+1D4usBAqE6VF16AygwY90HyFS2qqrvEgeEMaHuNcALHTSqCfcBW4dA913rWWhkn8H4h k++GidKKA84rTT6Szlv+sfFQcMoTlsj12DCY7oBrEkQB3YALN9fXy0f/ZFW96Xi7yMd9a5mXBZ5t WtkF987ySjF/IpovfWjG75WeNXwqe3O3UqYJS44nVK3EPNkCddTI7bUxS/z4CvvlEnxvn5oyFi7R tNGc4+oAntbk1XPzRlFhAZALForpflDoqzVdRH/b/HalicAtUdvD4ESZeKbQn0s0Ky9qD2j8Ex1h pu1zj91RVnTwhD1raaNdzmOS6UkkbY0qG3z1E8T9FSTxBWgKrYcjP84vlN1WBzCLnAFJ1wLof7Fs oPZmQ0CJlFDRaMdWUljmFrNWeDcavrdFyq25hx1Yn8HJN9YGPWPEZAgEGUURriE/uu0+XHjXwTxx OWJj0mzKR6JKm1cBxkmU5YYHljK+xaBvfGczjWIF1JfFFpgAeFDn+mjEAKxO6+hSqCU2/nvN1dEm UXLnZlx4zIHwk7Jjn2HwiX+6ZwybziBUE7YNCgxc1HYdKsPNW7a2lt7Es+sV5pnFN5BOkcuSCT1J DhgeUmCnOVaG89w3DohjrBcf6O1YWOHfy0K3wittqGNR+2q3SCMMewY3Ev/trC4nuQWuxHgOGp25 zIPS5ZD+Drx8JG3VvTftxfcBOCA2ESvj57EKOlO6dPmKxfpXNy0zmXwSm01gAUFYW0JNRuxfJNlg QqVI1ihtphoINPPKfIkFNsXlAxnt1cGO0B/OYhq2ZauGshQi0oQXX1IK50ZEclTf5+jycs6dpeIB 90GIjL7sGsO0lv+M1vkbNjTDKXFxbJTdiu27IQ/ZRrB4/JKRNYucT9Kfk7q/zKspblgGWse1GiVZ l9b9fhY3oIhTUaEFQRjy+6CGtr6Imq0aQKKjIATqNw8ILbbNfmHBhECeYy0GKX6BKId1PM4FWIkJ f5vx7QojhZsE84Cb9KE7UwutU4WwsxGL9BHjwRCchlBw2r++TBfKCImzysidYgvD3vQxDfu7NdT4 qCe943fGGMklWqGbjSM9WVEV5lHXq9MhdGxFt7lSCjLgTA6kimjVGmbRuv2W4PrttDxGGGlrx01X fIzFlBH8or7yVD0KU3FjBYGyFBGS9HC4MDfTvKUON3zb2pvV0Lk9B+0+rzoVk2ebcoeZxKHsCE0I CxCAM6Owe6ddYOOk+r4NBTxhXEG9fyyXN6bZ514diiRyx7arpK5H05TJpxzRWhu5a62kWTWXgkao WSsLJWLjsHxE42VoWVqnYm1gDd7V1mHTF9K40cCt7vEGkEeqsr7stOn/rrtuxhbOFPwo38PHjLOV Cc9VIAqcIaqRWUHSl+ObDYbeblbd3OW2BFkBGtvzGlz4m6DzLdLlQVD+kMyd8VfV0/FwPuetZPKr IfEC5po+HX91UHHtTmQs66vG6NwM+RGT8s+gErOCVIMIj4zVwH+IHS7hbWYk7po1Bacm0nNrXVNC CXTonweib9k5L1BZi/9RCqMdmqtm/8Ih9vGUAtGkQQAshiU3C0qVTwg/xPXWWPO0S6cBYaHOTkH6 9LpBUM902D9WBqfmy3GYmPp6HXTVXH/PgBjx7TB2JR0PtMKhrajqlPR2Sun09actkM4/Nzfu6U5p q6O2ZJozQgKwJB4inAwTgb2QKsBijTrfCYgIQgyjAr9rTrugQcAgaQKd2VN65p5eIxxEvvwqmGes GgEtOAnpLRXjwOQSXGSSKj4WjjHvsapPdQr6JMXZSEKU73Zam+NJ1d7rCz4jwhXGHa3er9mOGlOh yr2xryRC9H1V66avh/mNIF76/O5n7sA6imkZGSIFW0Eh9zBPeVM0ccLlcprueSGbX3COwDK2RRpF smZwa7xfYpjFVOvSI9bxIeZyNhvwlDXUiWWOeuHDHJuN7EIJuvHDZZpro0CiSz1TiyQ24FhEUoNJ c6+3C02OoNSOVot2kTzBl+J6zKhcj8R/AOYLjmakqxnuhH/okErisvFfvYnK68gzwLGPDatecPob amBHvBi3Hu5wyehSv6tQ0u2BOFGn5bD7Ug39mhK9+wDpq9OaVKIycQVmfjRtr3voMjQO4nITIfrN dPyK8/6PGLu4ovRdOfItzjxG/3LN38waHgt270638qt6C4If6BqiM+4cDQuf9BUOthbqJZG1T6cS p5dPFwD3Jd+YQM9/rFyw8hdjPLb4DeWeu05BELlTFCjfqEx2a3+rKqZLT4NnSWnuQ73PguinG7Vz 1femNewHMWDbtzqQ05toU3svilI+FLvHYtU7uatUlligWiGsWI13l03lJbxQ1/bjDRouwjqwZLZD UnWLdbpcsD6dRbzgRVfod3fHgyxVWX1LnJl1+hjA2A5ujNSyT1kT7ZZ64RdU00M3n3oefYdRqiTr RnDjVV1CZagXnB4I4+GeKqHRxTBU9xGKQGzSib3EoxH0eQ0Ryixk8IBifpzDa6ReItNiVpwu1xNZ lUGDmaFJwdAoYDPqVAZJtiT8tUl4p7p9uxNi0sWB9kqYiwIiuUTCiBlgqiIpj8J2c0X7Lzx6oqIJ MSV0KdgmIS0wdp7nk0+ZgHrVgxMTOz50RWaK6PFNrYe+R+5TDVsxNlfaMmzmohPjmGhUJOP1XjN7 tr723IWmnHpvLt+ugnRiLlVEA1gUpmJOwFH1km418CMfwtnUZHpxCIcuAr1oHc9L8CcIm36E40Dq rvKhU8q2VNDu5iOHfBNi3AtnVSX7jM2mL+kNPc9UhQBjpEjnEc1+UkszJ+wbNXAalxxYaTXQwh1P cmaZBs3oHkltc1GAwYsDZ40JYomEB4OGEJDa92GRgYPHiOghPg6GwZQgSBEIVlJBD5z0FSeGsYOE ki++QjM49NhdCO7fTWg+ACAol0aFMBXsP39CCPSIwm2+G4zYTMk+/UQc35+o7giA1AZfHjqIzhFn LOjlz62s+1mCz/z+w8tY1Q+8suZZTNAFlgO9t486/KluyV7iiAI8ZjvaQc+vlhyZNzAeVEK39FFG wOpooFkYdPLC13sxD+OvNSQuIjTa/6ymvsTIbw3Y4nvuwz5Pibp8buaEdD1dX5m4REwzsM8mdkXf lQYSEXefJSwI/xVDUu+Dh+U8zpdCCpM6PRKpW3j8nbyyh6/ZAdYQsvBgI67G32zDHnz6U/adQJ15 Oscm+g7IWLQQ5lYg0b3QQaaoIDZecGP3BTneqvmmMp6NFZf3qg1amUg+RR8NjH4AseDM8NAuMpq7 G5K6FDKY2BRg40reS5NTV2OUTsqlCvZ+Z4d51OB5/tYZeIInz2xb8SYgI8CTuch9QjC16dqVd9D+ kh2MV8Gjg5CW23N0aAmrEsGT8s/tn6hhL6l8+LhUnsEEjZ8tIzP0Ih73EdUjagD8srxd9zCSfo8o BqJ3IBQF48/Y5sln40xkRwBQwOk6Jj/Bg1i0AU56xJi5s+fu3i0NPELvFKN0ephgmPhAqc7vGrqM t8ndtbW5nbZctLxWTDMvh8muvmfOGU/7UywI5UlMi5eP0xg8aSxaZAwxMW2F7EIuf2/qaMEgbKF7 lyH9az0VLX3L1oVpDnUhEErmxTTvnrn4pLOLJ2aounlewq8mICwLDeFXG+NRgN+PYjnZPwphZBsu 31TTUQ4ANdmHHJUh3Fj/Eo0otEsgHco3dEBOG4BNtG+yWDjsNnJ5/iPwJIRZu0mydIaSa6M1boXa YFEZTK6aGj3AMNhS/Euf4dEbELJKV3TSxqav26WvZRicl/Fpt2nb7SPZ0hP15LmlBtfLQ46C0Slp LEcR1seMaQoo0sI74j44JJLE9CjtrODR94Vbl+g3fQlI6skEMN68A+jbh/rv609Wg2Ceky0LkEKm ZAjoXyxbrd5Rehyi83Zmnno0uBWhn6g2G05krk0KWGLeya5hDb7QGq7BlqLPtVa9YP8ZgaU35Ygs omDrM9eylsSwLhSv3GF/a2DW3VtUdEtYBQ6nzStiBIS2ImtwG+X/SBjJ10cV+cjLrvojSMrek/8E xtHLEVObSs19m6dBW6hmMg9f4/sC4RufwMkeW4svWAxP5PKLBoUDSDTtOFO3idtYxyE5vlDYtsf0 CY2BYMZDf1/J6FEVhBJ1V4S/0vIPUKc1+4tV367AdSN2W8qdXqy5Yf6yPbgkNBbUFG2Cn3XSsByJ gibSj+zxSXKW1DrdQTX3qayZ2rkQd6xSlIjMDujwmXmQRDZm3g9VTq5XabcegfWP9kZ0eE5EnSCr sWbCSHmCjOM98R6SpqDxhdt4gN55MKdiici+Q/nZ3Gt/oi/MYmEf3AwRCtfsA6I2spBRBaCMgpnf pcRSb7W31a6zmfKd/WTI8+Ih/FRaXg8jXM88LMsWv7CH+Yo9PCFPRMCVNXyQGCeM61rqFrwMhcaq SFaYLs/h61qpOPq2WvNo1625SfgPbw1nMH22Z0JWFSUXzF4PuDNvmJtyAhQqD430yow+JXOfkma2 rGnn+DQKqQWp8xDbYZXp1sRhApp3UXkDTjHQnzI21DU4e3NNNAw5uh2IMUrhU59zqH157GKzgf2J anEUV2Gfa44F5nS/ejGSe5Y1pFIZg59TMrSNXCO/5RGtHgs2kOW3bm8XkgEyDO+CcffewDkckGpA 1LxAB8OZaAQI8qKm/gpalGPNqmgZo5kEugpcDCs61hOwnLpttH1l3358V4r57AYSSPBDIMWoS/2d vnDBEQrFE7+lRAxbCowxUBKarr8H4fuyEOZewYijOLZ7eZ97TbkyLG9kiniTWU0+0nkBVftCOsiZ FVqX4Pfm7U8eRmzLljyaMT2CNY+yPjo3P63n2MkhrFGtRhyi/YAkM3QVdV8mP+Z7yzU4ON/vM0Fy D+yd8g2PPj4i9za8V5yI3eyNGWUbolJw3yIgwmUA7suYt/LLqD33Y0zPigMlw9BUAWQwMScVfUZT 50GQlJIXJ+GNsidd+fxNoR8pno1+h6eZyvsi2JHMKGOBHnW/YBpEcTiGYpBFLPMF6eWxh0kWgpIl lL9NKkKWQvVonb3jqFuSeZ/edWrFsjjokdjMf78SDE6OF/LF7NHodqAYlirpz5fZ0/M7ykykjrbB rsK/KtcbESrIdk5qACzGORhnh1I+gpCA+bGOE9M9zWWClUO0MLLgk3F/GNwDDEG/2k0/DUOR1JQW Ahafhp3xOCNIfXmP98X7cq2ool84NDRewaRn1nOQSxpYiS+awZQ5EogKSlnuZ5oVy9nYy+NE81Si sAH9YpTUwh+pyAF+z0n+TOxsnP8P0vHmOwDXXZvfcWG4LlzlccjO3isprZjbnwFC6N/pc7uwhLPC xHfs4BLycyu0AcXU4NcXUdrD2Er3u3O2kJQrtUezRXjeuG0zvT+k5SJ0UQ0im/OKaGs4UY3OszXm 91Prtk8DaRp+Y2edVhcreSHgleKv0wkt1BMQPEH+YntqPRu8CqvI48pOUImUXIPm91l3ulanuh9I +jhbwV+QqEKrYdDa+u7uP3oH7oTbT9JGZEkxJkXMs6mGHfypsLV0Q+MUdT1sOSMdWZNPcA+siy+C ndMHQhbDJOq0rRI1Yr9wGEfRGRE9IHCMlQIM0Mj9WovRcetqduhPRz2PV43yjskocOFFGzfLsXWC /WgDCbwAH8XItqklfI5H01cXU8px0nEyGr3b+sRKU9aR6CspcyoP5kg1kQqXckgDS3xp3G1mV6B4 8kjaNc4UVj6cpo+cLE1PQmEoGWBYA6yv0n7q/v9WgPmXo1dbLoI7GQ4TzyEYoRFlF9bXYSkin8Rn weh4RRffFswtjtVjtGWZOLaCVL9+q2+cBXoG4ll5vbvOpJg9VjqWX9dw6tch6SMssufcmVT/JeCl 7HXlf40DB7b4Tt49yNSHBepx7YwDFNF3kjUKEb4MwMW4NIAFo5dzj4J0eMmQlCJzU/bW8FHgYbOO DCzjem7XVwny37ja6qJBBtXY3xXKPMxvM/8b2r958jZXq7Z2KDF82u8QOCVC7hesx16GbJNwFsZb ZwBFhbGbsDMboTFG31u93FM9rI/2+syMAm39UTEcF2Di7bKJWvsV5QCPtlsmLFb37CxxIQKg96eK 5BxMyo5uRG1AbxyblXyjZy6fC/1rnhzQ8CqjizuV79EW+mlnTb+4iPRp+ynedyaIKf1zKpRC2P+5 NBmPYqGqura+tWeNVDt7vUo/yrjKEca0I62ZkBkbGsh5Mycw8Es+7ASSjegCaqyr6dXBz1Teiswo 1HajTepq9/EZH/i1LzkjcCWTzdjyLN8F1bZRFquqoSXTZ1TgrVeKpwixmBXMv7HTK/zhlaT1FVkE ToD5pZOYK/roDwl1GvbRdPbgQWSoG/YF1XrQsD7YrpLZK7/uTxzYtJM2HA77GR99MgSfA8G8AN+D T9lBdI16da77WPShVIHOsoXDTUg/yFssmjmgRPMdRLQu5EwQLqyb2R58MkatvuGo4+w0OdPZAjSK tm5T/ljqb6D2wfSCZzHjdYN739oCsEKEufoN8qwTtfK7jVnP/Axn7lDQeU22vinHfpplDl01e9ts evw5LJWIz0WqTvBxQSJmD1xBO+jwOz2MMzjBKVrIaNj+3NjYTA7x5+fryIGDJulyTThmtQ0uk+j9 8mpFKqT5YIGvN7GAakLPs9QoTagcvnGI0uFbMGBTmbbpRJrtGiBHamqI1ALFqoX4sSTf2KzlUlCu VwgBbcQ9eZBbXaj8GVVQTw/TAroCLE29zg38QMw6rJFX8piSVxgffDrdxHuimvichuIiKq0Er7Ud 2lWk6xQjxiRnKoHaK5XBk8SkrEexTnrt38MfpvKQPb0WDSkyIfAN4RYClHz7MC1ahcX9auiElJEO xMlQmRwovOcnOGjyYb2vTO8/A24YnDRHtLBovKS2fNvm5FIbKu9WDgdVeEDLCTlZrkgKMKfyesEU 7Y1sQwPXCixDB2BH59mnzEn/7UoFGX8JTwCf9kcmknjKsPx7bXrFBrobeNCOfc74exV6ttVVkt4Z GtAhXtzTIAWyCm9IeS8EUEBZITRPnmVZzefQxBD5tKmAzCIzwNB2wsaSY+F4bqdUAbnjkY44nSCI 1NBV0dltF+E2RAPhfxol8/lGIt5HyL3KGFxC88APaf3q1JLyvfDSIK+ZLaTw9cjaRiHl1al0a9Ft uCdRQhjP8ONrh6Dj3bnkYBoSqBbdqgXptjDZrB7y5RAqrA3Z/OiWudg7xWXaFQXi9idfWxvHYkS/ yxRY0Cnjq02fL6MWuybwxroYrO50iDer7iutKitwFYpPUV/v5hqRm8lgCnrS+7F2/FvGUbZkAu8P eF31c6fHcJivvuk4jGj62w+4NX8GV5GcoVEc6DtWVr8Jlf0b7gB3SFG2oC6hHhTC6OAJtdkSY4Rx b+P24q5UZBsZGzPPTSVbLFHiZ388dJWq0pQVJ0zbVs7Y4ANg24v+3MpmXmF6k/sqLbQTHBYxXilZ 73r29XP4oc4TypKX3OpYraANR8F2FzdoeInm/meScGBympzqbYLEJ62jlBrRXtgV/OD7lej/UhAn 1sbN+OEXXxQT7p063jmMHsrLHGXvMgPy1BvYKTeY3EuQUra+hoCvx9uNT83vBrcJD+Hdo162wc/J DVWUR8VkZdeHOYcg610e08i/Pk9UGBPHkayyLpOdXZK61UafEUJAsPM7rEEFLa6O8oOCp4d+qW2O xdVWI0PD3oI6HodA9yQhpTVFEYNrwVbVX3eHNiIyHUduo+EEjaAmE/6AyZuUboUrTLKA67wAn1PS iBocCK1ZkuUElLIHQ2A3mYRSli+wz1tEJckM3f+a1Hxt0O7xkmQohtI3Ui7p3eu7rwc3p1JhaMrT QFbkCuuDi0UxAAhWbsP7mbadx9GxzpWXL+mAt+ft///yuK0Miw+wnGG5GF67FF9xXOv+R0FqPtP8 /pLwKNPJEY/uqpoVgJpoPdsdyKIwCUYiBP9Fa5zv2wKyV4/bwfTVzjzXENwcXe8SLVLsJdUdlKB3 eHXvBQ/CRPrcKu3YVaXs5PGm4Pv0prhofp/A4Ei6u94bMExPIZ6eb/IHE2HmB7DDd1HcOfmXxtQc LZTK6XQsG72o2ofdCL72GuU3pHodJ0T8Llb9cl1o7WDAT3ZdGE5wcrSo+646GpHpWdmDa2bCMfvW HydmqUToKwXpFsxdxGP/joY0AJyKXNwPSB+vRg1oy620MZYrcmWRVP6oQC2DA9BYp1Tzhs9sqRaR LfknfHXwThYq8JHNVLg2JiTSL+xI6Vs4Eh9xE6yQhuVBG5hbt0xAhpbvmIShPoCHdcLRtaF7n2ld PsjgFpPotyDJrZOud36ERoDYYYcBcDa/xCLLha5eOoVuvyHucsIhm7eWS7Bot+Ds3871MWB8OCJI l7S6Q1VRgHnUKans3vyzBGXQfQlqObnijJ7oFZzG4hgr2IVR42Kwjhqc6xEVOaCiotfdSvnkjZu7 GJqXAbvPNU7oldUV5dQZa5DlKuiNsjosSJf7EtVs7w6fcJdCYxnWqDLHQQbEFi4eICpSzDX/sXun tctVACu7IMSAtIWZhmSGjh0Djh1t2j3InBQwyPczrvNQz08Gpt4hqljkTeXXOHx5uHTT+1SE5d3O ReuOL1YQh/qdAn3EzpHEOMwn3nT4Xn/t6eBcqs2DrKNF/yqcZTND0cY8ik58wzwf95I5miEFo0jT iTP8TmMPWnwjyPpmLRdsV8zZQqr/iVvi1whph2kOnbBGuZu1CyCxIwijB4zXvmzO0aoFEcjs06G2 Lwkd1ZC8oqXhencpv9caD7MLMMsjB+73QXGJQ4Q6tSdgFkCxY8OD+ITGxIDzxaaUsyZXcy0j30kA mfuWr6MhmqbRnuh/NODZDxImHcZBnq8pNbY2iO5R3dJmXcC0sdje+N4yw4XAKOzsWtNmo6Rn6qWr xgTFY2VOJIfhu3eHmGE9r4WcbHcJiEFVhMs+fanXBsPzUQGPb3YFGNLWoK5kFNkroD0YUkRloozw L3A7wHEefsqJSUe7oLakaGf9hZXXVdlHOgymmQWDcP/LLTU8+CejADTul3XZqX/9xLTiZfE4KJkK DdcIjkDS6VonhQkh223hHZ9PYymqkWfJbx0d8Sy94LH3htMn8aHJaoYdFSkfsFSOL/vVuxuou1JT HqLZNSe2ga17fCvyjNOsCPUC5JEjiQTG9cpqjcA1C3B6ubSI/bsBEq+oFVETVxjFxdVI3D5TyFrH +RhJvKq21mniD5vvS2hx0GcwbtVWiiFK1vLiLUUEIWr9s11NHnwosS1A3c6Dd7i1PFobmkwJCouv ezd6xFugj18GqPEJlQB6r2qxP+7CjNxZQ1FeGcXGOB+XlXRfamWhnGf4VqkP5n63NX5HUKZ3TDLx lDjFxpqBsZrgtLViwyO77lgk4JvAB50Wr7JtjdHv8panFN97W+U2taV/nkm898BD2v1gcmalHcu0 sBraazcWqkQcy7bcgXfTvpne6FdfRuNOFAE/IfSw8HqMpSiFLm/YHUZ46hh99D89/yCKiZe5/4/e w8fdmFVNDLFUoJD8fwdhib8OmvYSq46poct2LmLAAkGTbI6QlGGDWkpi6q7qsf13xfc37uTtAaqa v2/howh1aE92evx+/A9e5IAr3tYpUDdynl4mIsr3UsZpcmO5afj91uJzi4dQCjcliceHV9wrmV3C pXFkzd/Q/oKUjMCy68Lq/C5+L95lTX5hWaGTNsyc/1AMyy0jc/5H0tU6Q3VHBrAH8Co98EMpd4+A YI/8acBVmmjT+Rzi5lqBlKZIdCJ8VZGyTcbF4ItRXCc8kqCjFV/juAnW3LWJWwAJvcz305lOUZkX xzdRtJMWmTvYwiWbPjgGkT16anKfZYtQBcBWw18mPG+2VprGnI1Wtg3Bm2wWZKZlBMfr3NhJaR5U vgQA8P75qVys3QoT3vJ5dl+QegpihSuX9rBOUJDIcSva4kVbwe1NcVIEo83O/Tzj2hqVbt1yn7DS 5g7lO3vFMxT0Lr4VIoaBUFu8buRx3Qpu4aeyY5WTv/UJJm7hZ14RQnpZF4REcmvwfUVM7VC2Gjo3 S7dC8yqbQVrEXMo6dZh2PHHjYXqcpbSvc85Q9b/egrrqwVA1cdet5zdbc9XsqrhEO5lWZyDpHacN QcHqVu4ePZpAjk7KwCLa20H6XzZJukwI6p7DhcEaVwg+C+tVS4Ik4xguJucuJhvxbZO+KAvYySD7 J/FUMMXFhHHG3/O7/qWoNzqZlQEZowdQHriBvsBXyfgTzsTSnAuIdvusDirVIXz4t+CWQq729cSi y559I9hbxJvB13yd6B20ivzSghg7mzkQeztQDR5G7qoiHCKwWB2PcuXfkuf0YGEwdraFFgdBsQ8q XG0upweiA72soMx0o24mDESFQDusPNoV6wCas++cr4SRlQ6zOBl3Vl2WpJF0+qAWghhccRqRIS6i yqVxFb9oC9f3vDuYUBKGrnOD3PwZgP8xKF2EQGqcGtynYbPhUQABZpAK4eU8SlBlS5sILlxAjFZC 4RpBQYxOB6B/B+mAR8UnsACTkR1EREBiX72SvvLFajdDxVe062vLmYw8ycChsg1Eliz9v4TxCeuV FS2L+ID4opSfPtAvEy+uCmPWPIhqddu0jOh2Tjxwx1haXch8GvwoaumLz/u+cKtT7N60R6kLanoa /dEA905Et4HTzEs42xFO2+iYW+TRuDv1nAQ0Trxpz7EOowHIuBYEXnyzW6DxMpdJH8jyMpOw8mbu TOaP9nckxFkoAvpShm5wz50uN4MRSfUgZ5Ue3YIrDgHcsHtepqCFuWFxTLkZ2MiH0Xte13ftODjh YfLy7BUxmNjrNslM2iEK+wwiaeceFGWYrOogdIG+6t97UNBuMP+3ud8Fu7ehgufE7U7JTHForTAG SeFDDnthxB9G4JAuQv7Tv//tWfSW4HAPcFR+Sh0yDAbzM9M/I4nN5UZbmuezjDitI/P1sZzT5o0s Ay4yyB5va0rLKneueHA4kVfK96iekEiSdSdRwSVMU/v7j5OmTXnr/Bb65YOsi8ki04yAxpkWPNAa 4FyFGtNMW7hMa3lhOsqCaUbbBwiCB93DNBnUSqNfszuKrT0/NOvE3Dx6J5LHtDQQ2rh1gryS3MRJ ggAQKbH/0XwdxAoxKZ6R5AKL+cpkMiuUMVUo4pjTUH3sK4QxiyqoV5CQaBdtbeTb0V5XIff6kUVj xtPkOPUyw/haQK6caot666+JtQHbRIwVrOboyPJJYyhU5X4iGV+TnWMReC7n/90xFOMTV7JBauJX gjV9Bv60sYgje41FqjkX+y4NlJ3b0TYFch/PO7WkRmwm4+vp/Mmv9dWwux0B6jx2Tv0Ea+M2b7aL 2I2oz66vwJbY8YSmyrweKFKIziLYdcSvz0ksilO/ffLZsy9EZ5wZKZctfz5klDrc1IFDQ9hRlASx glIkh2rjvyJIBEJnIclfn+r8jNCZ75yAOFu1MQ/ud88ZcydpH/Gb1cfyqydC3B3L+zbjBS4kqrYq +tBEHSX+anZflPXOGBGmYOp5H+drcXQrKa6y133wokadK8DHiePbaGFGaD5+hKRa98egaofUSPjU pynAuL2MS+GjBM+n2xHGfym4bf++SXZNq5BazKudVxEcL1d/cN3PwXc1bBtZ2oiZtWtxZ3qNeEsJ wZqbyUKj5O86RFFXc8smfPAklmPLMnN6fNlHlcI3fDfJaTlPU6Qngq1CXTbvkdotepIxY5ExSJap gJglV27yI7mpePzBtwG0PaeCWqlvlRrIKDcLfaoCOYhpr2FZ5JCgeSXfWXNXFFVdmnrUv21oN8QD h3j0YvLC218+1wQ4AA8PU0Gmr2vrLWKIzm66+UzZzJJ1Kl3ujYqkFG34j1zPDhVyo5a35tl6vqRE Y5MO8tdbM0QuTlmWc+nA0l00i7lN5QBz2ruYt4nR8NdWuFeEQtsPBoMQvD/Qwp/CuPdyGFgXf+1O gqviTOSMXKhRz9rAFhqoh4b9mbpdmvLTDC/25UW5YhA0R7NjaBpnmphR63KK0O2/a30m6QWwx42j stnnMjS22Ke29xrLS8T2SxASiQuVa+EN/ZVyVUfW4bGYMRq4KN3rL9GqUFT7Hc5Sat3PFuHmIbAK zy4JMhSq+7hRK7O7vRrXJEKWaHFn8Ka6GFZbZM7rkbO67BOB0SEHHu8tBelC0nXBK6uiw+tiEbfY gC8xemUkywE6or5+pHKsF0mKZFM0IgCOyPW3witpoSSqhKIXoZZCU0lDsHovRmR/ZY9J/OS/6BtY 8w4KoaAYtzwxBE12UPdgVxhf9u6QKdrukhpE3UaoR9d3C3V81XC7kFnxb/pivKXOFynFokOF7EcW 8WdVPtMtHmq6aMLJ2326rmCtt0VaJ2XhS3TBpBOD65E+OAoeabbOjzrv2ZRTUuVm717b0nUuD+MR /suw3ZoMLsHmrs86B5WasM1rCSShFNFyt89UUnzqfjdhjn9qZEfiboyqmGcWU3+mJmBfqevnilGo FMIDhdz/VNi38VSdkTMSEtxp0Ln/FzRJfGR/pz9oHH9LUVL0KFU14/jFljZGfAB6tWfse7QV4NHz evtJUzA1WK5+Db5ESMI++UXCG9/gBw8XWryrHd3K7kQuS+1ghkHJS+NhunflwJLFhgke/S6dxjPO gtLU03a6BylKAwKkuAuxSnQLmNt8juEgd6hNcTlqWWR3G5X5qX/SMD5ehCGhKGVO6VTTFEVbnmMe zz3UN8zWGucimHGmg7Z9kiSf3LbQ0iwZuDhcNjBm4jRi3wPe7znTQsbVDaE+GFzmXjZOvh0s90ec iU9COaWqktl983FqKnMKROyY1DxwtML2j4xb3De3Jc2RCKuSl/KUe82G5lzEp1+86xuCWKQH2LC6 TxLB/W44E/R9kpAGBe6La/zUIWOVeop9Cjra2nPtRf3gMfVlFPLm/iVCqHV4t/M0vlIa/IdiG9KR SYzjOrllwBWwGasnputYDfnf98I+ZpIP6+4c5XqbFapOCV2giApe5VzGqw1cIz7d5vVcDF4DgM20 GDZjSlKmP10hA8ULYxhRaa1OuxySwotDjlNZv6pVqhEGrmBuByIal/LjbKjEaLIXkSJrGCY+QTG1 or5XHJVuw8Ydh4vMXVna4nE45Mp7WR0J1m6M9Y2wAxzZ9otO7aQG0osBvncZZt95UA1+e9UtXNnT 4OFpJDOtYpdQzW+IQbc/QENnoXny+F0g5CVBQPki5rCo5nkT/F1Tz1hX2earFWnFfv5JzvG5JYcs dDt4xeFZZ59/GpCc7HVww+jkn4n6tkyrdSd+L3GnG7cX5BBQVg7U9mvrUFKfBkmQMgWtTs3XBMQ/ 8G/di69aHRVfRGC1sMtWq3lBpN8LCQ6qBSgZTbUE/zRKL4uiEzBKJaWYR6i2tNgI7unYOiB6Q2TG Ns7Z2VkilGHIf7m8NXukdcT4raNwvEhEwFKEf9r1u6Qn+raNK3/T4O6homPiGvbWviAXxm0vaEUq FlJT+mz1dfeVIhFAU8zc8TK1kIKgonbzvJBSgdenJwJ0PwJzgEPmsObHalQilSQgWh2yzqPiS67g OQeVGPLIpVObzj64W5mC78ABkDimLsM6cv17Qwiinyj2No6Fkx9UCxpbuJJE5x2n7+wgeYOCzZLg ED7y99o8dRfciZtbxukHcVfdqOXk3m3Wpr65Vdc3ep1MUFR+a6nezJ2eI6UhdOYcxpK9KsLV4hHv 8Wepmy6wT+3XOvbIJCljiUUXzLUAI2zjG7nSY0xzMjBi9/TwT3JgyZPPRKxP9u/XUg5F4lGvbL3o 6EXz+lhMgnYhiarp/2qkBIx8eNDpnRC0PbgvQD+0GiNg6WWmBEPrpmLTqDGCTeCmCUAO1UqnjaBc vffrET1TBOfAKmU9uTqUvshLh5baOgECX43+5cGfDbFogkCgK9Koh3ZHmjrmvspH1b5EMSjFaE3M 2tB6egInFMC/UhqbBCfx3O9Y+JrViDste05Ws8sBBMnOETnXZwLEiMZtiZ3eR4NOfVj/XwE7HOP/ nPLFSvqkE8lc2efqRhu23Ut3LTJLliY7KnwtPuJbAeapUmk7mia08k53yPzCzhphGT0lMnoPTpRb Btj9o3suWlXhtHJHV7Ge5QC7CdpxZKHpwTA0yrkm50/MJzXHswsyyLph6Sl4gL8mm2IQHbFLCwBO TTuSiLjWdasz1ELf6cwt5GOHRLzirq7aAXJj/IJQ+aJz3sC+o9ChVQHhvjVvugx+WxCCt39mr0tF LgWneQxD3rfSY/iuGTX79dYCkUMCXkWWQpEaggUzbhb2ow59rYyhSiQzCyj7Eu12q40d/SvvHmqg VC6kqSnGNvWW06VkuTteZwfQaejWnJYmdxpzGcnJXncMHFO67iRFDz3WoTBSV5BxUrc9WGqzZYmp mYrEJ23j87a9SqMEUOVrGCXx4i3HDT4jg+x9pcoAOiT7yhkyLGvj7Pak9wq3fFKiUuIjLnQBw9bo WxrxBVbUrGJPRY2VdltDPAL7pEOi96lBLMkUZBoufHT+o+rgxg76rddH2SxwbXKL0fX9D2a4jJIO 6K3uKc11n40YrxLdfHO8FuBaWO+EPkc9tmL73dDJTtO3zXRtKfhlmAaq0AFkqI7sbA30HLhEj5nP OgUoyzzFU4rK2Ke6vfe8izJBo9lxnfD9XASa8QC2n0YeQSimDmgPxh+3jVECCjUOBSWW+34kSsFm oq2/Mj++Ix1btklPpEop0dYWxaG2i7AD6YEQzr5MHjLp8U5Wutrg0WqTeksxXT1Y0lhL3X3K70Ul ceMB3DGp9UzprqL8kQ6WeHHK5md7fT9hrugbfMdtSt7uW4XIeMnZ7OVFgTGgs1zsdUlpH+JMS59e +eclT6AwCke6bRRVOD5zNFucvvIirD406IJ+TWU4cN/8l4gWjwQsXVyqg3J79WrzYeLvf9S4ncK7 NNaPVk4abca2ktOJefnus+cH2yRcrT3a/sKkRjcZTEPkCspm3nwnBmrxXAEJqW6HVuhMc6Gb/8RF UGZuAUPymUBl+zTh3O6+Ec+czXlnsr9CXgb4sLPdHXGHSx1BbW5bQtlbPVd3LZ2PAmxGdO/ov7H2 HhoFJSv5ueierz6XszYvZUS6VaRVQoGFCYfrXgrisTB9Ngb5GhS+A2yvrN07aVlEPezBYlFPTETV meeizbqYRyhhkbnkWgJn1GTkoWEFyc/6MwtqYPXu0sO8Y67yC4kuhAGTjMx2qYfSZpwIA1AieMhl kAnXngdQU8SooiGUGMPkJcYmy7ZF6AHwc3fJt8pvKAXxHuF1Yf+HXokHflPhFMXyNt9Mby4/zByk u6HAEa86Y0wcyIYT0mZpMNvLjIl+MDy31OzkItmI5bfBx6jsQv5hNftwNrIJhy+k8C0psE7BqTh4 pmXnxJPrF16dtTuEbM7MRUJmmr1/tEJblWp4AtoOKWyxOVyC6hk4hWkcfvz5MNyOiZNzuVGfqgw1 qE/yShZaERQX7bQ0TXwOTyY18Seakwdk95oNFm391FRbOTaG5DPSvarc9ZYs+4a2mQ2QKT2iFp7B CnN5i+3cuasnty3ZEaPK3pQk3GATKN02qgcPeeTsPsZrZOPoItNWqX0ddxkdMnpQeGoA9y9ZCk0s TcqxE+61ARMRabUqw0yFuGUsVx9vt2svs4roY4xg0adWrGkz/NiNoQ7lcydBbYJL7poFaRljcUG5 0zKgFYXxY7t8CNwhpfpTfOA006iPfTGFvL2qPbJHbFLaPkN481b7fHKSMoPJ9uxlunH8N50I/pix xCfbU4qgUy3XNcMXxXLPb6kBzfakCyIxyNSMzPSzDluXDZhEwPmdvr2fuic2jzCXgeIbXio6/0sQ Oc2HYgk6iHUhXXErjW66YxDWwwXsAPzO3E+TLSUrFyDLFD/gL6nwwv4L+gGF2zhGGQrUGkojivA/ 1KKllpU1P4pqVXALkwulvNVOINuTdsPrsa428HvZxL3cL2GNBg1aFt3aU0Nt7mVkOtPoqmW53lRA rViBGB3KJF0drs+eFR3ZXdQeOA0B3IpJunXZtSE0ssvGZ8d7iGmbnnyPaXp6VfRTTBOZKgHNDDt2 WioiCqeDU5hCDEfc/V0iOUPUsKM2KQGyT3/dnmy8ExzbxczYC8nGTuNhKEbz4UMlQR96OzZF8PGq LcBaL6R5YGaLx28cPk33BHmpvzcMcgGF5AOAse0TmYBJ2DoNruzgCYmsQhCE++ZIOe0PBpwsdtSa DxniCBHhD1ISk4WZdh0mjubxiWofCzGUM2ldjorNgNEFTIDQv72lu0vNccrLkSccREQr62isyC25 6N1kygKCLxJXmBjCRBQakE9n2ceHnHq0wLFH46k9L27O+DK2YwOQToNT+Uqc3g1rbaw4L8EcfiCr J2ZIlFOanu0S4g8cHz6Xt/lm/UyDEtk6MWfEVWqO83nZxLPRMgO7YlG0uxeo7eIUmRkyAA1uAQCe Qig+3X2BmmkVlVHrjJwWTKU0lUOHWEtSOrtpwJo8ii4JkyQ0wpjsRZbhyIyu8zu1cVXzTI5U3hsZ o12i5qCp4tJX2u6xzummsBWZABifIuwSzg9mg4RN4UEnAuTwmmNUu6idqSeP1Fw9grwD/4VG110y +HQPt14ykiR9pW2CPW6x/PM0IXl2BOH1oRwEE4zVSw399d3a9PGB33DMRVHUgCb0xhLVhNzkEZAO hBQ1J0BNrvA3ZBD00LpSwg5H22GcyF5L/TJSfW4I8KzFsA1M2q9WmyIR6LjEGMrEJZhujKKoBN5a 0URvplmYdqD47h4woeOkVAJ7Yn8ha7jO4A4yAq5AexY3r85D7rgvWMmihVpGoyHVU5DVTz4jidD5 D87hDraFNCN1vM0GeNtyOiHR225LiFb1YBtkSes3avX11wxuKVnMCuL8BHWBlOuDI9DrTt4T0Agy /iAlKe67BvXRE1S94RCzn03ovfNpKBz0TNpR0MDDfI658ZzVakuJGXxRdPHMLV5CEaULggRC7lhM GPJ1A1VPoJMjd85kTJ7k4XtyI7sxA5gRakFLj5TSvGtdksaUDcq2+SI5EXae7zkVV2OyyGGUCB1Q mCsXw2XYojNurLL+7oRWUQ7tdxbW8TXhKAPUKxLQgIlQ6QmjksxG67oFzbu37zdv9V0cUXW8Cyga 9hLa/CiyL9oqXbJOJpxeHvHOXdPjhuALsmnkWAtrskOZWC5WbGqUqW+kpUyTEiaAObOA7XzhLVhH mdw/1AdoSXj35Qc3aKzZMCsoc8Xd8QmzvlbOx6TvzX8giu+ZLuoicGDZusSvnB+fOA+ZtQvhX22U cc+qGDWx7EP/aQKJD/ceU83+4/OgJ7SYCb8DdtDSeOHX6KmiXoTI7hJ8TTy1CSE7NYAj2B1GTl5N jmDPzyrEBJjuoIDjw2yK2xg2EUpuxiAWs+FjBcKYtw17HtUfHXX2aFSAIcmzE+jcH1UfRHNOOD+f 43nVVBvcjtfQuuM52ihWOqluh6T4SknC4xKkfLxfMis5zOfA23KhQb8CVabzD7aImdHp82LYene2 3zuVpk2VOqSrsWn9Ke312v1YJVXW48bwTnu2cQK7D5FYJ/HlAuPchrhYt56a+owCOXtUVv7pVujv xCMememaGhA+6FG9Cc3h+HupdEedjXFhXS4N0i1knxkf2sabpEywEac1johhkZS24eRU9cv1QgXm tsuFoTaC2FkleWdlfV781rMXQRc7M3HMlPx7O/MRmwMKqXtBMCP1aLhlBEnmbmCt71oCFcPtl5vo Z+b3QD+YGHSipuf9iMngj2aK/+gdTSOVKndU+9AwZspLU1lkudfTMqOdQVCtXFMrNiIIQFvMItn5 qegkd4lr+U90XyY4JF128UUsoK1xJEI7xrAOAZnZn5LAkXvWuYfFj4yVcG/VyO0nTZNWdOeweMq9 do0SD8unQFf8Hy7miMDobpZ6r+0UZ5CxK/9e6opoq4ypoZbxAId3rHh+Ai7wCu2NoiPkAR4zUTgO DKwPWahiID/2Spw1P5BLjR6iJ8cQsEQdKnkllMFMIJJKdYN+zVbUkxC4ahowVIW7w1M7Z0tV6N/d yh8m0WGy4o3O44KTSgwF5uFMqY/Vyi6ersI77cWrpqcKrDnaigZKtA4DrqtChYIQT6SWrhdg1ae4 X3FJIBFpOS5H7x+t9/n+7osUQHpUJVS5mZqf0P+9W9DEE341/hIV4ew1LytVbrX8KxIJLlGUz/vb JU+B536ErllCPPzEYin0LFPNUqLOPTRC3HrNVZBEN7dXkymliQBiRkUWzmxlXS0N07e+uTi2RsbZ QHD+mzzoqo05J6dqIQEsAt3LGCBULY1/mmXLWUWHsjcE2LkRNRqW7bi2PGt24cHPDpMpWSFqaoyB 6DR7Akxf/Y3bIuxhazISU6qONjm6wIwS16h1Pp1CLsQ2kYp9OPZOV9MnPsgz8AlOWmIjINVWQSuS mJJ5+/4mjwrvh0lzNbHzkcum+9kwfTwHRaDLNTyw6dvSrVojiXbX5zEwMLWQUgNMb5KphffQFEEx 7WpviPzb7ivDrR1nwJE9VmWpAfmaxMDFV40S15Plx9wU913rq7DcEWEFGFqYMVmi+AS0aVmJJqlo gxTIQ2MB3M86OTT/VNbrpmIciy7IXz5Wp4qBwRgpPXgz9KfNmQFCGpnUzeH0GffNHNdDylcM8B6U rspnropn35SQXUelJMVPfAIfYe4+jXaADu3DXFhZ55LHcqkHCyTdxCmVbqsYFDi08g3/Mm9zB3m5 e8f8PZV5j5TwCtKnOJY1goOaoj2VVuS2GiE++NU3YRva29dsXcXbTLywkw4HddH2ti/WocaAkB+F HoHy2bZCg8ecdg+IBi/L7MJlwkmTXEEPjBfpx9Nf+GNQ/kCA1x7rDdTX/0WErqbEexK286o5PWwp UXHf69PzIBynih0dwdnu5bVRDTTNUhZfpXk/fnQ/Z4yvH3GEAu1ZMMcw27FWwMiF9laVUA3omY6H pwKntKtl6Gze+9dr4KtF+hXP+hBN9tynvDzdqLuZCoEuF0BhczOkKshFfjB1L9OQCWBEBAGW68Qm GfkyHfwGqqOeMzjdeWeGDdRgK2WiPELQ28uANzQlOaItUd71ElImJdEe0HYKERijCvLFtKNPPZa4 I+33DFW92f/uinTIXTdFCtVQmnWQSDZax6/3ruXwGmkUHjnx9hgaPrQeicQus6ykAf+RbVtzYGGw XcOLhgJu+M27vn896OYBH1aB3As+3VUP2hgdChT0zB+hGs3I46qR5tJXMWIkD3et0RlGeiyZFQTV kz4kbN41iotYRUgg6B4XKY9OGyVVwenb1kyXAGNKq+9RsnHpVT12pWhyuFmrAguMznQpjIDnga1r a9cYUhk15oPFpS2aMdHfcuTB0JpzEMuQf7fJ08Z2F07o1K6cqFOQAYVD3t3LossWsj67YaWVSNsi Tw63kC8G7DrbI9+eK3voaFH4v6WCmVvhAK/zxT44Yh8a7ASTrBc8QIBXKjSprznQ7fOtt2ramEqF NZVt/06IEuAfOEVYCgkhcTTB0N8+u+/l0bE4PCxRSQGxckKfibFAsDT75CB3dPNdyibXFyQcHI/X m5VRIsYk0PI4pLhWSFOgo+XIwYYRDXSARoFiaGXE2ie1XckrM3ZICwrl9XeNI9a/4SqhRU1INSt8 9yo+3tzazcLAcMweDp54z+kpGm6qoWkuUMIlaGuZTJR009nlNUFDovWWqpbsD62f2fo0PcleNbD3 5JIaSsa06Ljy5OZfa0vGECY2bi4KO8Z3JtIAZUShXgXDlPGw98a8GnIOHzTl5aalPgXx3xgdsVY9 D092yjSv3rfBxujkhStYjls7/wntP9+wPuTTawe4xfHJtEEJ6TXLWWvHuLoYLoRlvRcJOzkgjg2I py/WtIY4eU7u45167Nne5CQQvA18lmDKkMp87BMBgB0QGCHjinFd1sY5fD6g3cFBLi0DgDQ1FqFm D1lHVzlneHfLeNM6S7VwAuGIkUEgrQqxa5Yd29H/LWo1aoXuYjP+PWl30Whm6B6gCpotohHJhBUG GaFGyzlmqxSOWsW69x3touHAXoFdleK3A2PauFTE3MOENKfxVcNQUf61fryWg2pNBR9r4fxbzOD+ xEQPBA4HuTzWSPRnVjjyIyqDjVQNmXetCR14tPTDsKYi9McEPZZavHKS2xkpqn9ndA78/5dRIRPc 0iwdh0uzX4wUOU6K7CTjrkyVQWWZVlEzC+AhQHkkZrrjlpbitVEz7RbXhEJl/uukwqEb3nrt3o2G A+FEjYnBxMj3JcNCktDbxgvUY7ahcgvg8ogz4hBwFLfCJvEGAcE3PUlIeRpEuqpJZxRksgrv+VPb Y/rRVZlOdVfs119Tmppc+IlBlz+RP1hFRR0ccwZPy8kZNi4JTzlNyArVLNr9WWLUyqMTnusr4WeZ A9+RG3WYnp0tDtCBMEPAlbIF1rL729IEHcfNrp1vKhOvs9dzR6QcGaVefklZeHkoBChea6NkRDzK cykklaWz6qxOhq3J4VPFfhv5flHL784pKST9JO/CO8JBKrUDrkpkHXJp+d/Qv6HMGlwNgzKVLR7r L29+DHqScrlQPXUR6w3yof9cGYYq4ZAtBFOyUWifk8vF4JW2hISVUw79+et+N/VbbPTFivFMlWsu eBJ7a2ECztY0+R16BWUabROdkpbO7nCDPYUGE5w0g5k4TzDBb0f8iBZuXhlPhpbRsyN5XorQALQq YWNQMy1jNS09AdRlZdNxaqDZEHIlGIiV+UAyaoLl6/z+QnuGpDDcGjefSH/q5Ig2+BaijRXo4Ql0 EACHh0WYm5nPk/1iwoaMloG3Emo8XfYwuNiziVnqAnRRqvNrY4mfyedRbCCiKj4Z7CaMJgOqc54y GrTP6vsIrMs7ZXzaEGxnZh8ZATQXtX5/244tl0WSQd5rY5hA1gmTQkPOraqPanubLFUPZFGK1kX3 w3rESegP4gtVK8Qi2H4u7yXy/GtS+V2JFvI+zMLbwv1N5MCuHTHwCBY1AyKR8c6e7OaXw3fLFmNK u0t4K3Glzz87jkD4dRMqNJwRDAXz4qale3BQ113l4FBqewInxpaG1qEd01AcTh5vWnSKT0LIJ2Yr ONEXsGeq+Gotqn48exAFGts6Kcp2XC9jjTxXIgUxyWUzcwYEZEtY/ctr4mvmg9Hn5V4sKEQUU4+T gY771QmNy8M3r8mXCoJYhSixXC5wbBDRFWrZyygZH0lmy60Fi6fOGqiXC89kRUN7H4s8lHClIqyI Hb2VM4eZ8eW8pv4EXGhSPTxIYESpSXrQ/D8dql7RfYAfsn+77hg61Ru1G6vqUyGEty1MuDBhIZn+ SJxPl2QFARQsE8qcToIBalqq17eDK1IASdwYV22UEfYZLEB6gxc9RzpLHkYWc63j7BUlNCpXI2DI ZvBHIK/WL00apGRwvWuZ5HhuOS70h7+vrJxg/XiS4EYnnstiJcYD83LFpqjU+Yh0nCNUIT5tEFch x1PbWcEe/JhF7PsMe6f0VestbSIaTBE0GNl9bZnVVgBTVykUOtsRMNkknfVN1fsgEAia2QOtxFDC 4vuhqk2Z7/SLKWVwRuevSey+eAlYeS1D2q4D9COQvIEtdG7zUtfHxcF7K6xFOK6cfgx24FgebTtM 96dQiPzncj4Nxr7UBhN+cvNO6m8fV5OjiUP/LWDj+MnG7P6BPlqGhO6TLtS30oIIqmwexXjW07rH CoMw/nf4u9J8rNKrgQpl1uy4Vy6z5VftO+6PMaltSkREGaBg7gSWV4tS7KX7DarV66YebC7PH4/8 1o11vvtKcCxt488ykaYYMcro+CGqIltYvIWrmafxew08dJGmDyo2wZh0iBW3+DVroHQMSgVcU3EM g3MDMmf9Yz1EWsAZpm4E1tjsBgW1ZkJXZezCUjJuxsMzSgE/91QyLfMzTsghbprqaC/yU1neQxY3 jiYAvHCSnP5zugm/+mAQ7PGLrDyJFkZ2/Jz/6nE78tANc+vwpM4iqHEUJLN0UcYJvBNBdLQ+2PP6 VC2hOnr9dKUoUXk7hvYHchjon0u4TKTsLTx4ecevwGsH3AMv/o+GkxUghvhlTq6XddiT4IxMH7fS TeJJ2aMaaZeMwsR7z2bYPxNvNXkH8ogWxkdDrgiDNu2GV5wLqYXTTMLF0iGKLJVlV4r9pxW/lyzH 9o42QxYipvFyknj8nQEcNEpuK7XNRPYr0StqU4EBf0DUITutNfUrGvgQWmn3Lf9BFzynl15VC7H3 0mCY7TFq51XyiXK0VZJnn+Ef/cNghcbeLRiSXhqP2pTYcnIi5EVM4pkZD1MZqtuISK76yEN8ZAgd suFl1wgG7zIDpyLZ4wai/dntPyhbFhZ7NANmPcFjD/dLAHA428CE7moml10kKs8INBe17kcHzMfi c1MXDRgUXP0S3tamGriOSZivvwIg9kEaATVgn3CZWauBwunFLSVhWQbV4ITsACViYmm/f+nncf4M gtJpd6lTO6UEIEViwGfi5F2M5PgbBLL4shvm62pMYHOL8TvUOjm+g5meYm/6XhPfD+J2HLEhv+Hc e+OuVqfpbB6tiV/nPve7N+AQhgbXAfnUAqbMustqdObCHIsZXBTUu4j/GZnmzUgD4WbZGcg2TBk/ Rd7Sr7dszZHC1aBpJnhynb9Y `protect end_protected
gpl-2.0
1cfb76d1289bd61e3a16e0f338c7520d
0.954294
1.809467
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_mult/fix_mult/fix_mult_dsp48e1_lat_dbl.vhd
3
37,712
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block A7iIufO+3z8pwfbftCQrRj6aeCmEL3oOZ5/J/qOuwfa6oG+9TH9IFstgj8AqJXAJwwsDVboVl9D4 Tp9OvAKWbg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dMwnASpSd6oimHi7A9D54EO+9Vr3n2bPx877dxw/Qa2C3sZsrAlCZjfgG6APrTdMSkPVmDNC0fk4 3JYaLj7MtW0nRjKpUwokF4f+ttzWPEFF192P89+UorGAhYxOGJAlfMJolvyDdGqabFpQAPClQAWs 5zHG7Dx1n/6b3Lpf36M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UZmsLLDUn9D83FPs4yfN//atuQ8nFotM54zcRhbgRwU2qWs2qnNs6Ph6CtgmyevmqzNCI52vchnI 0IEzxfgEARqnQwJ4U7D83jeA7pMrjknHYiq7UuI4vz0JTjKhvDDS+UoIXVOQj1/2Kb0rXeen+PVr TUUKtK3lNpuUhq9XMRiCo+9FysYWebMcKRydETdfldLwksMWIVpp7D57sVeUGz0shDIFmJNEIsLP ErFoCTDszrvWuL9lemzL54cAmvcJS8fDo+UQzvmJR+DVIgMFQ8HXseWmVX4YAwhY3Ka461m/mZkU EFZzN/V7zEGiqx/XKCNQ05GwN0I1K/8pMWjpcA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4DxxXU/78XXFCogpWaygkGJkW9/32OGF1r5Q/VAXx6HWdu91+zrFAJlhaCpPqOAIAvkDJ5S8JCVd vtmFYh0RlxC7guAHuLqgTnTl1QHAiFGxn7BL0LAIc16qwSlj7CF5UGTD+vXLEulgtONWA6u7JoF4 DN0xSrloUP0JBOHudyY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kCB8ITBkw9rdBP+/fAzOCmv4v20hd3wW30dAVXuLOE+TPkqU/LBQ66UkzlYZsniHnX4qaO74amn/ fQdzpeaX8uv/zRjzpi3ZtMWB1LVYy6CuJMMheGUO05ZHyRiBguW1lmy/GfJYA5JYfqOUVItTfhKx 5ons8OSeP2ktVcs3K5kKBwcpDJSDY4HnAnafEVrvhJLTBgcl4hRIa2rAGTMEaeweJHEVte8CJd0L 0QCKXDVuuQh80SnoLtMTNyFSrlVdjQMXVAiv+1CJa5RLia6MzYsEoMc/+Wf1Q3DdKFubXlgT0xhC 1L9IFH3kA4V/xkAze9lwDl/u51iAS57UAniRBA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26176) `protect data_block 2X9gU8ZG6kNU0HdixMlGiz+JrNlCc1177Vki27iZ7YgnRp0s9BH4TI52v4IR8QF/sJLV1ET258gz QkhYBbc45zYEKnbOkSgcvZW+o2ks0NW21euRRVqkrzqsPMwzFneLFmYKivANOrKZRBbOTcERos3N S7nUo2kfJ9gC3iWVSD9JByqwMKZKaIvxYBOvCw4U4vriTf4rRF6PvLLS2x0/Ox9tavUhtSxUjjbz YEhZZyDZRwU2mxF9inG17akSW2A5DB5EF18Fu50mDTNVm9TQ3I/HXALpc3YV2MsBQcSegRlIEpCp hKXSpxOpzSfjQpQRpogi/n53//d2mDQfIbR2sQWzi2dEWdAfyCnUFn76vJnplxN314StFVZtFQif NtUPI4ZNkn+qMJqFOCghDx+sDEtSrRpLONiMi3Foy5eoKGkCp+pGbx13NNy8CiR5wEzJXqyzmK47 gHVUZAfpa+2VNic13bQj4Mw4IkMoZt7KLTQ+tPnvknIu/wypJh9+zHc9H3Axpj0m/gpF7oYJ1VlV DO/7d8pot7L2kNMtuOAcmdPHQaTWLtFaxtt/TXjQJkSzLdjQwpBuJ0zdpm/MLSTaGzaizxbKMlSL PYYFCPisgzNWibvdan1UVqCuRC0gieljsX5eYJxXbkKZiXmqHPRdrnuL9ItC0T5anr6UkQ7cUW6O 8MYuhcohmGa2zV4aK5yh2PP8VhjlJg/otcuKbVooCRah0Xhk8j5A5L1hfQ2vMq0XSga85CtIhAMw rZkBv8/BM9t9k3Kfl4eLXvkHonxxpWZREL5WKYBn84F90Xh80M/AT1ajVv1JEFCR8cUrDSLiaXxr QAoyjnJPHCvqvKTlcU6Y38sKQcH5i9YHhpP+ZEvXDkKH5tIOKls3Q+GXCbPRJ46FTSdUU00xYSBk u9Xo5BTGaH1q8a0yfEOmipRFWalmI3/huGX2Z8mbQgC3DCddz4upPgFJmNhqAEfClnAgMwu3mcT6 d9ujMyXQjCDX7FnjSzSqgnfWm0oVGSOTCbnluNlSKWm3HatLmyJxn8Atnue1sYETBkO3RTkfiGNb +vEmfsq8rSENgEPJVLfCigDlpxP2HNzQ5YHzDEDRJKLalvgmjMMIdy0sMD7iLRXqZO615lBqMt5c rjx3FAleqqecyyYEq4YMoRS1NlRvpyxSmiZ01EO+Z+4G0Tx9SZ5fc/hwKY/17aEog7ah7/gVGGH4 jgMHPNKUWnWLuRO2LJFvZ9okOt8e/zdBOPhW960xCGSxViAgRhkbgBccWcU89XEwfeznQZxW0WOb /o9W8QM2vL0LQD6OqxTqorpHMRMS7WojTCwU+9nffheVLKQLymadN/+5mAFYU0wXPl/nKNKFb4no yyeTya6hEn6HvbVjgUqr0+nvs3ffkuB1FqcTSNj1ans611d9KHaUQOCaDJ9eK8EZanQfqrIdvj7j MGX8veuVKUGmRTrRACq355aI1Ai/iAM1WODkgD25MFmahtyD6lPj8atmgso5/6Y1tx8S3uWS9Nm5 SUSiGlPjs1o15dcMGIceUIfHh7POZGCi0/uBQqfE/ybsHWAAC4SiJJbCjqqMTnQ+427dZMoBJ+C6 bmznxV5bf/RyE6kTl8lKXH0Yhwh5V9X/ZViFWLE2tvvvOlakcsFVsA8BfDoZWPZg7qjtkpFPIK74 ylGOs5c/68PfSxJXtK3AfNaRoNqEIZda3laIO2Ey+WvYYnGjS2N6QBwJ5rccQWplScb4UWVES9Bk A25xQSOFhm0Jh60nVCw281KdE8764gfQALugSk359uClAmpeGWvX0AMBdU24v4CH6GfLiAYm8FE4 VdiMri/fzbVIvjTgy4dxATMET+Kih5rwN1gxfmVh3ALeVanuXQQBKuQ4K4fFb5BSU5YcMxJdDCsW c6wsf8a5xyjmm2d9ffnBOQJsa5HQNktXoJtdqEfSZs6kTAVr+8cxFkKeNS2QUGSbAaDHy2XGlBsp FS/PkcqGmc/ucHCIk0UOND0tSBpmOoYPImmLSMwEMQWhI8jJ5F0HV1+HC0rph0yURiKtquQS7JoF 8G9iddb12Z1+AkNPJYIn0xu4jkuaooA0cs1Rqh3mJLcbCKDVKl1DBKqDGzXXGQhmvRadGGrtiLSJ 3zCYXUg/n3L5O+x5Pgtmir0hsXZix90Q0pgk57WS/+njc3Xd9tZy2MwDZ48RkilpxHL+d8ZIq/ti mXwjjR675bC59oBEeFQbHNa2wI11FcDUraSJDoBJ6dd9FXGw4aBK8KS4crtHC8frnfyYoH+cnKbi CmAMK4ZnrLrAJDAjy912vGeocp6y5g8ygFwYvPEf1l83nfJvvqOG/zH/XDRS4tDtGpwTbliwKQef m8dOu+jro36DFQdDNzOGcBYnlEUhuej+E31/upyx5U7Brr2fihnDS3N+0tJnvzoFA3WWhCaDHhoX BRuH0IM9w40nZSN9eRql/3bMzaDNgziMLEM27C4j5RKlTBNXzH6o21XnRAkveZHC+gOjfcccxl65 xSWqaeZswxFss3jx2lHcdJU8sZIG05TeRfd+9l2N4QpWpV7G2nZMc5V9gy7PR3ad1ixlY3Bia8YH LcMWISGKGUo3ZpOx9PJ2pVqbvTM1DScSbURGemfqP8ZHHTA7za2DZzlVwIQwfb0h3DJxUkJ6XRDm o3jkjNIH1HvxP9jLcXZeJv7QmLm40GQI4e6sOBVruqBT6t1tdeMlrcKv1YAY98Qryc0LEMbnLGuc l+q00XswMMVzcH4eARpsrTp4HTb8G50uE0J1UXtu93GjBRAvhfIj2UFwhgg7eMwQT0nHROF1gTfL jxbGVda0waeJ8QHCaYuXa4P6bxQThtH2q6hyW1MPyoJeoIObEnf7bYrkh9WwkGOHk5tBjmN/9vnT HZel12xYi8E2Xqm7hbP/Tpla4v/swA2HWdThbNrppmaGGRbO5PqfFMoLgZHy7CAN/4bVFJSUF/Ko SeXNOuuaWpskAYyVI9TfSIAaqBZ8lIbqLqznPb0kwIQywJU3MZXRKiM6w7S9Mcc06GjqHG4kotED +6zJMYZCgww3AT40MPoK9y7gQ4FyF2fCES5MHkeHtX+A4z8WdnqV2+YyQI+8Akg/PQivA1CjkDl6 BaK1vXj7qyYC3rdrdyocp5bRn2GuuPX5mg+0UBHmQkFU62keUwuISiVg3Exj0Q+EafJX4iNsLbGV QaldEPiY53Rn0rBfprZplNPX/sNLGwYeKyL34iMiIThDGaA3aqIiZWCMsKbJ5bChah2/OA0ai+9J I9EUYzP0ziBLtZgephGmy6M8IGuVKykwof3xeRaRyyl4PkEL7Dobp51ygfTIziyM/EZk1e2SH3le gmuY6IA0cpF6HE0gf2i8VqSZtr6tIWuWQhmcK5wPkyT0oRl9/AT7RRipHFtd5azCjfsFRyfbh9jA l+Oq85iRt0ILG1tF+/0QGdb3cPk+uJcntfRI8nQbzUIO9ZYd/1qOqWilRwUqIhaHEZ3l5QlNXazz E0gb9vl62020lArywRiH2kS1U8f4Lt2sbyXCS8dy+6uTMw8D7yZpInfbMVYmW7ahLgorWNRIvtLD JkLLptmMnTYF1szO87TrVi/BMrtPHcsdpFwlxRKj8hPcA4ECrlPWTSI8+lHfAFv4XEjxWNy+vph3 8KkMqL7mhzORUDwd5O4YrZ5oZmaPaFgIt8u/w76mOABViPblA9CNw7fptsLbN4GH4VuLrXTosrdj BN0teDiU2T66Ibx3oIXYES3YaCkdTOJquAl9ex06GYDbyjSzGuL3n9Tj6BWKEPfNxMWmxdRUM1Fv VmSYwwmibapQFcCCrW4Q0IPscFVo4b5aaDl0CBAg9/n3DjxwevRgg38G2xC+rBYSfh10dlbLKv06 h5bn/tW00r27ZHp3zmSmpJIRgZaXcyZw1HEYmGXEe66gzrkcN5YSAmr6f9MwL6OWOhuj4igQ0Lz0 iam9XaoHfTeHAmy4SJEQ6q/IVv8FyoIel+g7ACPSntuulQ1vYnZRPPXBlq3G0fJLqrAta6j+/Zvk /yCV/ioHOXKD5wFORt+fa3lnCRCxWg/XzEV1iDXIOBELDmOi4AQB9JiJK7/1ywaKbx3W1mHGZXZo HSjB4dOqClrgXF5YJQVSvWYkNB7mheCwutaPZNFOQO3XcdMsfJ9jYvbE92gLljxIR8a0BsmIrIj3 W6/dGcn9DKgG4ByNT6BRzPY36cmZOj9BArcCvhJFAZUcC58JGp8EQV2cSQmU1cxtWotucjlW9325 x/dBtMjVQkTwq8kMZOFQBMH1OdRTU9c5b0rVJq+LZx8bXNdf9j78U35kI1ipwyQhtN7dOh5qYtB2 FtAMG3uKiyYvkguGlWXduzBoM8fqKeAL0gIfkwbFOeCvAXHYVfgpyvlBdQjmSNriipVaamg5Ekm9 mI18ee1aOJ/x+O+DQ4MhAQrL0BhHRtjoXaC4Rd1jl0ILB54ynbHo/TGjXJwrPSv01femzitFQ6RR gF/ElKqG/OzJu4tPfrj2um3BLizuHckt9cK0n11DpDCh1OvKhKeBq39uUjO6FdXPw/PeBBiphuIo aBsUGk1lMMTpuB9tAcZypJSbg1nim8K2WCZ6Hh312Eb19ih8nqCpWWZvO1jaTJV3yr7eOyG4B8+Z WPSQQNDbf+83nnHVpClprBhNiNLxEJBWIeUs+uBHriN0D4kdfs/BiCN9e1P4klAvpBV/8BYsR98C hRiJGtH4C+4PL0sNWEIKKhnlsAdZOlvIdz8waPVOC35FwyRUMUR8tzMbOrfhsVy+A1f5RMJQWkcc WkOXCi2dXo1p1atO5N4Z4ePzF4SIY6h/8fZGDFnXaZVzYzRn1+LrGTl8fAjygid0iIGO9jx/piZT PmFnbnOj02q1vIo8Qma5UwkYW0amEYQPryeWmbVnOSKtbob2FzohsiBn7Tpjme5E6HMDeU/OY6Tt Rk1r6lpTVDHORG9HS22o/DJarRTp86msq+qHuoUn7J9Scvs4ndOIQypI8Fks2Ls4D48MSwE62YkY vgAWJEXejAiIoFq7J0afmDz4WCpQuMIUlaPnm4aRcfMjbmBTMUjDWd+oeZWXIhKwU12+pZMiKs1i XT1O1Bnm4obqRo38nj3sKr1ooYZqfzk5ailpwuD2xTTme7qG3vhB58mYB4h+WYc0+9o5ou+hI+gC UMWUrkLb8M3sjGczufBe2/k25wqnmUF0hK6WDOXV4yM0X/20KCUDaWF4BXoUDppvYTUyVvuQwzjx D4vYILySwnH0fvhUD5YNIMt211HVkmmLJJOhVqlcgCieBS0WKpbrtJY7yusCSTsJVIV3BB0pP7uc Qw/qShdl8fOzJRp+BsiVGpDF2vqDg9SaUObUasns3SSZdrihUH4ogoFW1AMPs8BZ91LAWWfD7BN1 QrtboMjxDcKfGanZ59KgjEy15U2n6GtywZTuJPHScATiy5WEoH2RGfmxi3aPgK1ryKKRHbUcigsA 3KOTsqGIzEcFuKKKiiG4MJWJvPuwGOpHbg9PKFNzvVLi2ep9WuXQbududSmmsGyFAaJNMPV4ih7W QFW4VcRJVqm+33M2sZAQZ3+T8Er0qskXtkpoguF3BwrSHs8HfqHzUS2bQAXtiqzj0Ip2FAK9i36x I5zn0uZYZw5zhW7pvaUMEhrti0wAb+gm/xVwWsxKhud4ovLVw9ObmcoK7to9MY385sGa1tX3Qfm3 Gaf719lFXbremTtDywDYKRJsaDMwQRA0LTdajGLM6tSZnqxZaSJYpHBN85HNME4BtnMlM2cwmH8T SjPlSbSFYWuV+831PpJo3FlMvde1EyvY3ioHIGYhVR7+GCiKh9UEMVo4B01aCWto3Opu0o+CltEc OevpOiR+yzyyyIHpWZlh3erAJVvDjK/XOzE7jFI6Tsv3/xrPMe2ldrUVok+MKweHpIdT1KhZC7ux tYhCsgfF5fnS5xDc9me216upvLG8D9WrsEU5f4GHqZa9B+nzLzRYE4ZU8YnRWwOGj7gpJRELBtdN Vo3aUppxyeAmwNOTQpTomkFyDoP5s3bc/bqHbBWOwW7iZPpb8bE4ZccWuS/wRVKWGbFx2wLtv5mP QZFhlBUfKmHWCBTf07Gls4wOV2iIrqC+N23386zNuOMIif2rKQp4NDQzd+tkHiQ7WEJkoViDju6J LiYmdBvwPbHOX6cupdR/K0zTnonRAXi+X6cHbF9uV7LC8hWtEQqC+7D0DyiuR4XGWQzESQABIwIm uAAfA8RCJByB0xBDOxpAIZ/sJlzHr+z1SH8CZWmCda6Zj1OS2gzonZmv4OEJLIoo+JPxDSuQGYmJ I6IPUxKn67d1ceB4KoMSkmQU6AFkP6ypUl4/jzdEKmhi32QB8lEPCXUEF9OrEMmY3WMzziiZ9f2V 02cPLC4Ec9y+IVF1Lm9p1oHoDv+gDK7yuVMfqPTA/g515xyK8Ab66tTZhk7MojQp2/9cvIUe3Q5Z U4ZImoTEaapC1fD4i4bgSH58XP2rsylfFU+zYKIF5wlgOkE4XOwdvOmJZS0gML66kxCNF7t8fVfp 9EGCkRpr2m8vWfibEchCdwS7rIDfovhUa37ihDsLU2ZjA0GtLNq9BTV+/3unA6zvQNm6yh2YhDin FRSwM1Ace7TbeED25Myy4ejE7uRTy+Dk4gxRsqqI2Gb+PFg/LnQo5M7NVZ9wDGJKpAue24p2B063 pAW/nPFcm74Mn7cYvlEB15Rj04pwIwIQN0WMDOkNn3OaVnGeCQyxnRcgsPKWbtD+zaFMpZTEEYQm R2Jt4zDUMA0h/JqjsnloeOjx4P6p4eh3zlN7LbkJRMUqm2qmfWtF7e3VxNTec9nR6nl6oqy5adYt cnOHsScl3OuY2ukxNgxc3e1Ydq+o+tzGdc+xYQQufzaA/nMfYCPZOgIqXxmTQLv8LmC9bSiAXMkA Y/UCdYLW2aJHs0NTH3wEbigOFiqUUeDkf+01fQIM6dO4TpSXWFqEbq8qf9gO/AAqyqxl25vwwUPb rl90wDuqs4EFCOwXTn08yx/9FLTsNxvCLghhLPLCVAPqT7vcRp3dcOi53iqjpDcAVcu/YfhPR5/6 l1qhlDZiHsNE/2ly20bhiqZATlvGElN5xsxGlgn6yJbw7wnDpM1me2lJEFHNHB2T8yBW86BjzxvC xM4mBMX/din2LxB9b07nw7VVyzbqihHQo1S3XiZbiJNpiYgDKNAnA4d1ZUvG4lFZ0CJyLk9BIXG6 wxeCeBo2EC1bEw3aT+kb0qawYlB1w6F9bBkhatPHy0EOKlvHcBxhW84cWc/ZtFd0f8NHfailtkbm UKWbzxmK4LsCxnxLF24yyvBIV9r47VtkbT4en0bOcdJ+03fh93Eo53fr8xuVtGKVGnuTnIdrVpJd fGIHcEdtTTzILRyVYeQYNoiIbXX6YuPkFWPrSF9jlu78+JGDGtUOv+8kDJHg12ZXpho54JWDgl59 9AVi674xSeS2efFHlAtLLg6NW5dw/qlTCMFFQbp7AUMV3w2CvVwBzY0u6+B9dygoV+ow1eB++e+s ebdYa/JYvEYMbbgc7FA6DMN9wB8x8XXpJYT2Xom9KLmuhWECuro75ZckgiB3tHpljSEgOlwua/D+ t2yBQ80Wuu5wq6TxnugyZvzRRd1n85af0+5+fbGB+SEB8uHVcxcKs/8Pm/nqM8Z0Hcl8lvRMvNN8 OGJcNsSJwlsydJGl2JKIrrkLMil/WI+OaaSwIpeAIWjjBeh7v/svncQS58LJVWN+mPpxZtBCgAiA iweGbjnauERo+IllBdshbbmtVf11ciNKDrXZKAQmfCAJdObMT92wmseLZYCzVFgzirpl74Hc2rFb Ee6E/xr1DRgKMqBFfWJtf59wy7pEk4AHQBsHQAagJsobuiSFCEHoPdu8oc71O6oI+WXbR8jA1NfX n3d+9cqOuSuvOQUCSmgZ3crIoO6TmVE9Bu4w3Mzrs9VnIFQ3WaFmBxzfrhPwnq4wgb07vmxL0myq Q8wa5glK3uQI11TTSjYvytoGnqQf/U7sWpZA7tpFvrv+kV1h8AVq1NOy00hNo7V89D+GxOuhjJEP vTbVXk5yZADBzgJ/MiglFjfJNFqF/ZdgF1g6FjRkGKgiYMumm21ay4OTf9JrAmYAkjTQPtOSR0Iq neM0YnjSt8WYY9fwiJJvFAQIm3G7yv460/cvQwoYecaPw0PytpUiJpOFyTjaLsQWCeO/dEqxD4Xy GCe624LgH1xnlmxmo2VoWg7NDuMQvmwrDBWsA9Cw3idUx7HpQ+3NT1IUdoc0NuNnqnANQ5+pKhiK 496O8NJm6XhfeT3+qymyyBeuEg58cWzSMWp6VexLHyAH5URG/FT1/ktPlb52g4SiCZ+alCso446f lF5JFJEj5zPAyiGICN51QBwidvjE3sznR4+fKbel++z3ByDqLkpohgF22UJgiKPW1XJu+Og3tfFn tnzQnEQIcNbZj9wJQTZXuC9sgXC8u+GcmkfRcooDWUlbeEKjl0Uf3H+0agD4He+zIkgqJSEcjvje esxogY7z/7XkH6GRmXyOY76IuWTGL1ey0ctIVocD1pD4gv9G4TzwbtMfQJiM965T2Umwh8l1vXcm r55aLOIyyq7dVI1FXcSTvAu8iyuPl2Pjkh5oZomxRcpK06F988GPFrH/HRDA8uZO2QjxvGlTRkTg d40ldCb5pedFupPcXMxoOpDDSA7esy54jSFilWvD+oG4P2fp5aSeCx8QcYNCb8lDCTryZbveA1Du Bv7hkQ2OZBnHnVCBz6sYffXdeZ+bnQAk3V3kromVhXGujTjDdKwTX1HdnFcKofmOdaefef8shKoM lZslJZ6kloqvmO9s95tymqG+vzKw//dMYBwp3tw3mu9BNPLd2uyyW+/4c+VtD5HeccQb5A4XMHOi ZkxDRdXZYg4MvDvq2KWQoPZNaO+OPl8i9gSGnZPqXjvuQdHZ2WlzFCDd6QmjUoAvdkZunxkScRnf pa/QntqCO3s0SUKPbgH/W3SS6GrmEBmvOoeD3YJ5Ki4/+X1GLCJjWoyqQoWk/s+OCHHn+NxuVGJ8 w0kyJxBWA61mu3IRQswTfjCHJP7bEsxNcwhWiJ4S7APWngoHNrPUI3uPB4Jbmqg54yEzG/W5cscK adxeqtnvPFwJKhnRX6ck6j0ZfEzHQtBSloMxSE8/K9V+qGK+G4CGWPNatt1bImISsg5qiHPmuWa9 /4Z7s/1GZQjgOJiNvoEc0cMsCF5+uknz5lYFdxKpc1JKKpbu8+i+RBzWVLhIHVfYlUMEhBgAzkdj ay786CsyXy2o+IaAG65rXyLc7iyUbfHzV3hn78r95ZeCLBJFn1fdOeGg7U/QSn9mTZjscu3oE06z 9my+AK4VGzbf2rHL5sP3fZOVEadpKT4ON4YWgBu7FbA+4dF258OLQcBM3c9TURXVLHDzL9sEtCMN 3jtMmBeuk6RkZHD6644aO3QhdGaaz/8/NRgtGdv1OGzxYKKZBdGyQZLki82CiIeYM3fd9NhlfqQj VbSjWjzXiXqNr3idPV4SBWGFoFFW+qHK7GtMX1vi0WlSCwetWBKfdongr3NJAISdwJKI4XiDn2Ii w1GW42UwscCYcNciiXf/XDLaSg5vDl1vAtsSgYYg5vpx5b/tPmsP5xJwt16dNUcgVfvEoL/Zm/3c Yq2JUsQj/iIQ6rhzRHAnRppEWEJxpkTVjhs9vI6SURLfy4eIVEGdSEf0PlC433zgXWa/tXq794uR QS6JUGfV5+iLbCraMSO6lIBeuD0s0M2bfrJItkqdQ2HhDcMHNyq90r6AwBwAFFCR7AEioqq3FLIp +9OhMG0P4f9Aeaz1LcUXEVmmjeu22FF7a4eMmJ40FY1TFt+10g99HdzAqlf5Ihr/oQIaogvVH33u CNh45JK5+eWuUTOAncky5J7uQKmPsb/LSS70epvB6DPzZUj93C6Higz9WPjf2hH+pD9Nl9hJSW/8 I4sZU19QDk7R3xf4lHBguXFB0Se2RY8pbmtIbOb6CfMTmD/yWDo987cg/T4t4XwNd2/mHzT/kQKB 84VgTdtgUnVkP8BD6m0b4FSXQu+JRxYRFKDsA3lq4OIvGdGH6tXw4GXCyvBlCwl1s5fkrMiNcKRC ZGldrFBWttrzhcqyY0JKR74HRTYTXJiOha+spHsn1BJpWmE8hR1V6VgpYz9/a1dtI2Y4NTrBTFlf b3I0Ghk21iApXxnYqFbvp+0Ay3dZnTQ8N3AmbOPOT6SRgjpx29AMsbgYbxBepghDx5uU4H+U+zs/ 40yHTVfnkaqYcP49ymOhqSESwM9BGatrqnghrupFg0ibagSJ4CoCrvX2bwylF8xmvrRx7MEqaz2U hJvQOnSwjJfOndZ5OM69Zt/X9vSp5lQeH5xQEHfrwC6I+IJ0kdo08fAD9JOUP2lRc9P8vH+VDDVA nWe7xImbx0YbhvTzN8rIkYdUfucyH28uNcSsxXONWq9OXlu3T0YvIMBMQxTppV53vgPjDsOAkGbL A0DLprrLty2RndHJQFXxp8z8if75q0gow8XjOxLsfGwQr9uTxffDtwJjHSycSY/ERQg9OU1ZLaFg 0NHnIoablJh3IyiIZ44aIONoH4jDJcrGxWrtrxX+rMzM4AOZycQFZTLoPr0Pjqp//2wbCFRIhAHg 3aabaC+1cfNLyOHjnHv5PDS+P879bj6lwBb640UdGwZfXx0iFAxVeAZ1kuKZw8BvDiRNszS4Zhj/ sntjNns3+j2sbSpmOwZTa6zE9Skxg11IrmwHP8PvDjOcyxXm3wyj+DO0oKOME9JeOpoU0JkERBcp sD20YdNdvQhKEOpdUG1cML+ucqGCczybNjXEq4gMU3UQyE7m037AHR/k+cIL4reH8ftoz6xOW1ne 9NlyIlf82VM3SuNZevuI89OOi8t/R54lngwhJYPpiF8MlzBx1HmkYauPpq1+kOIDZlueNHyKtz8h bOinzRlfmIiqLzWVggogTMcV4zQYjmoLpa5xe+7q35TgfKUXNEo6NSGyngVlZK0Eh3ad8AG+77bG MTgphyZ5ac/94fdjMZsJbRWgnUHjI1341YJh5nexbXEy7R76D9ocUp8OopbZq3SlAmLwVZQjKE2R eLsR46ZEPK1oGF3eike9UUb5KBvTAtkbF3khI7klB/Nb1ZLNd/kYnCpQ4AMOb9npHscIWWPm+3ht 22FEV/5jav5ZI1h5copYO0sJfgEHDcIyUkUywStPRhe5+rb4FqQMBhwIb2IQCIGpS0L7Q84h0TIN mK0NeLOph791UOhTVPaTiDhQcRjFeOHBJJI5D3WwS0vTcu8Veb29ruQVJE97idObQZjynrwP7wBS BIB1hMq65yhWhP1AZxfwCgrcNLsLgapsO8FdR3ue1eUeto6ek2ZYpZWRyIl5mE0gUy7lqt+pift7 fhxWh+f/Hy+XaG8eYLicWWxMs7ERFkjWjqbUBRT2F77PSm4zssNh/6mVprvH14SifxHDeDTUiVZ7 nl4pBzzopf4hS55jNZSDb+W92EK6I3W1tLFyZzXtoEJUVyfbYcDXyL8DwRBOx5UyIO4uUO8yYTYE njt29hfmVAGPntNGSUZq7/qAIdHZScBUPGi1xtXZTCI9vyG05RiJzZrEt+5IzGQVZwaoItaDZjVq t3R/pP5QjWK0IdCM3zb9oqCHL7YlEI6JykGlBDScwtvFrkNsC+H4R03E581CAJcoDML1MbA/dOAd TE8d/BsIA0GTMNIHChbkGtcDC1n3fX687A5JhO2kauc1sDRq52A3dbQsfKvVA+6bGvL3Qp9ENR6T QaNDLQqzks+tuUFRTXpm5ewEc0cSOi0dLB/WPvjKx/htWZSrEPRaixkQPLLlfTrGJTjg3lJiSq3J CqAb3MT6/5/BGcoMj2Tf/CfNNCPAbeemRHSC64RzZk08UUxpe44UIS9iATKGwQeTUknO+18Fg3iY QkmrsH22YohI2OaSXFYe0Jp1TSmMMQSa46iGFHkMgxOXGfp7UjNCYTJdEaJ+S93trlUFv77oUJ1s u/zipjwzwQBgQYdmkpLutd12RGomSLb83zLigsbhbuGt9JxCBRyEKJrN8t43Q0B6XaEaMPRFCR+N KYn/9+9UY5NqWCpaDTzVbTvyr8GuUbzfjmLCFEwtG6CysuTgyHN1enGfAiGyjCisGxoM+RK+WnoE +jI/C2PylA5r6H0zQ2fFgwfDLhUwQDWgp7rLxyePbu4Gr9N9vD4Dbf0E07iQEafaONcoUNtc93Q2 jECXdiCSogIK5XK3yN8YH8zluKkvwdx/ih4Ghu4kHBIKI7AvQQK19JQSiquNa4dxwa68x9J+ejyr hH4Rs3RYXS17WFEXTJRDoF7p8o9Fl+F876auS8wa2Jy+ZpioO9YJ6QMzobsGXh3LtQfbMSwiLCzg bGena+fwNzWrXzGBfT3XpT3iBVHOZVklFjRbUm+FqV+zVwEIkKm7XeGl5RwphqQN/H39LboUbxbP 0eBEPUYisn2nfpiXYzcd3ng7Bk8KZPUnCRiRFUGdAiVI/MxZyKe8jlTI2jySbAbipDLRNytSt8HA fNcpceiGx5K+VKfwIyVEx6XeXDkP9ou/1BMYBEl4qgOOH9/Cj/d+K2IdW5BjLKWbcZWyCyjkDv1S qrVR6xeb7Ksp/hvukugMCA27cNXGW+6TqeROTzqQzo8xI2W+0V+gX1IqzWk/6pCDKNS7SJGRucDS 27FEAPeFnp826qkrRsaOz80bqlUvzx1LePQ08SPg+X4Ipglws9lvYVHvXxY6dMrX/iCeDhgJ0Aj/ 7yx+jjSu1nZn9MLBK/bvNs4zjZeSx738wR4vHTN2ZN9DjxRj1I6bEgzONkNfTWPoh7ZhUZscZNXh rEK60ZnqLeX5yy0RSbSF2cBomj/EzYrwnGRcvIDWzEM/2n3QsuEvNuijh5dF8m6vOTJ99EBNrpU7 HIR6bFNpCXnGTV8bJwl2ZJ4goNctsOLjry4xn0dKXM3ctIRXu/GllVMdheMuLK6fHT1dMlviYZ3L V7IrlP8DZbIySnYLZEqtVrNqgcBpk9Ta5c/OptanYgCZnyg1U/mK+TCxIHYJbWDkNnjJp9g7gWJw gMjnozrx13AD2GFiM57K+YHvjCFRN5GJY0OSEDGaVwYVf2VvK1UJetZfiwgXjNOaY4f4jExMnxV0 s8lByG2vWuAQ23QNS3hXundPdUAKW8caUJNjTzLD7gYnzYiX8yC583nJd/yx2SAgzOWXhaalA4kt 8Gp6/8TJEpQ+7r8KXuexYzHt7kl2LpHtMHH6L+P02P/iOCOIRxf851NZU3mbn4GbaIjJzQDnmtPj UDGYeSsjQeXjOgLSeWrX4U63ow2kJLq032j5OVlbUhXv5Ky00z8y+1kpjaxz1KX9AvPI6YbCCoMV bw6PISDa0IN8US/TxHDnh6isZkeeourPcjs5UkTSAJm18mqURWtZE6Woe0zAVOwsrsEZSK3ucZHf CBDd/IN5Hk1LOPICyROq/YFykFU44PJrUWTwtZlp21iIQHlQpH9n1xVwk6lD/dtjF4JLUIHn2Zmj 2pu0KSua8D2uYb7uMxd1QOt5BhQNAOWshAh4DjYCrIDqlg238irZcvrzihSZCR/DU1ON80hhYmzz +uUqoxUdRjw7sv94jUjWxME/4FwHKwLtMcWG8FRfrpVg6QfmaySuJAB8gp8VpASfG8eBPoLOwZzp b6zhBbeUfzsqyIDGKk0YJpcALrJff02u/pD1jYZ3DG9YEelU7N29ur/tXAL2p24kTa7Pee6Cnt7X tRKLNnIQmPtagyTakXXuospXTGS9jHT9WLNkV3a0Gstdlapmud0y843hN/ZyYYgek6C+W24O3MUY Nj8zJdbng6lWw8dCdrcthU9XVkdV7bdKytFaefZnQsSPteXIiUhjOlOsYCXag22i4PlIG2H+cBT4 qDUh/ho3W4QittWAfnYZVj3iygQc0elNGO9NgiUI8dkrx5zC5eUv04cICBK5YFO8SjNLbRJVeK6p x4YYw5oEzuJYoha+NUtu3YgpoJ7bOkOkyt39Z6Z1zQICrtpNYfpnaROHz19N/UorNZHZlEcIvMgj T1O9pxt+MA2XICjroa031Z8D1c8m7AKjuFvaVbn7IbfiCtmxOxv6u4snUX6OdeeLKrS95lVk/K7t eHJ6wZksPXeFKxx2Z0w6Dmg8XqH76jOotmpeKVzxKK+hBwXeHohAY2/iYtALyNTPx0dUvYsapJ/h 3VOc+rsmOeJuswS9JWZm1SI2M8tlkBTGClzWCHCIHPAgHjn/BryNG95bhii3HxxUidY3CdgvfgJR oIoMotf5Lxg4gA9CPrxI85DE8AzSNbK5R82xcnR0rTWPp6f8qFaxVtScqhlpd011MEjxO3BYpdX6 Y2oCRZXjuNs+rVLBHko3PBZ4Kt8HYUIlG9+2EazUc++8KSCGWENviLZ7x/E4GALzxRvRH3vOprq8 BEDiZI1y5/vwbWDW2rIcXd6GRajv8VgJ9UAzIzMS4oKLWtKjPuDaDvusTZBzWjMSOe5/eClH2fJe qtR1ci5XOdehwKF34Iv6J7qYzt/5GYvlq98k2qcDNYf7f+iWUuiqQW6H37nu06HqwOAdH0WKxKJN x46cu78F92Be5QYNithi4SJHT7tfS1PsHEArUwF4f96qHnUSB4mcx4iKrN+cByiRbmWXul8oCigi CkJERPUsoYPF0TD0aqhOo7mgdp5qjSZR/s8Rjj24DRzEYmOXfx1T5u4wS8jkUAwwk5S5QVR72oAm IOqlRK5v1TR7D241sM1zwmCHExMN5RpzMeEOXD/gs0Mq3pB43vkK1TxKr7/lj1zQOA8q/TBZ9mnw ScQ2pZP+Eed76FdKJN8qT0GYLHD/vnqoA1vJ/GpDb7GnAXXnQuwQY6erupU1XIttj6wbpvhrakhh JXBVffDkBfY1jtx1JR5Fuhb3nOh3AoT6gaqqCSDhYHyHljFE7JQPD0ZPqcidqwPZqJLFzVJhqTfc pWAIdFgoHkIDnYF7cLsfFSmB/tPGcE3SPgR8/O6QdWWYA0vyJDxLY83mRGvlzagRH/ygo0/cdF9k mQ3aXhxvk6YZywPSnoV14D0bhGI0vOPPUacUkKAIrhSaP7syxnNSyKjjzhEZwN08XYiVhLEOU8XS A1eC0XrcAr1fBYR61y+oManOE7rvOnSIG5LGeYqrnIVhtBT/1KLvKWURPnUTrbIw3vJBngqe13BP zg4eu8yRW671iT/NR7FAC+AY2WamGa0XMiM6vLwri936JuLyHG1PWsRrzAcf2c4S0vzDRgHppJRa lbE7matGwHRTXmIQvy06Ea4Tn3PS9TMZez+VCvv+i2rTO0HNsb1y7emYMu1HSzPOkPnIHm1jeRrs 4BwkUkWfTTLU+3CYtmvPmkYnzpfDw/Jiug38bd+VhFGkyHRlevugFZWqFw78kLhbTEQOso6ujB6t TfRoilj89lMdaCK5T+NIY0aownRe8wGNU85jksHxyw6WoK0VqSKJ7wbGZUnsthnuHzGVfB4QFoVK vy2oHL/e5sf2jv/fB3TvIMn+ijkHzQH/JI6JSzo1U6dlJ9M8Xu9fj8nt9kBU841boHsj7pnbWaja XX9LczREnYNgYsJ3MZraKe/pTiFcXHrtPk4a9pPYCs1PEYqi0IPApRmAam0IuDV+D3tpdPW4U56Q g3VKSWogYv/rRZ47Vi8MzsceCjySERHmjgIzS0DYGnEj/Z1IN7GCrveM3gcZ/p0QFEIlg99rh7Yi G3Yx5kqL6srJLrDGKIm7ipbbek2328nnrXBLrChG6ZffO+R10ccoRY2+q4AwpG9wj0kUaFun5Dy6 3zPCdwdMZO3weotHAHZ903bPBCzv+NZoDxRdkX2rMbKN+o9qKsUqHmbgXaPNc0B/FeKu2GDt/f/E Q1zUJo5002sFY8f4c2G2pxx+deC+wBrAZBBopmQ4AoTTPOFIw+C2MwLCvuSd3R9i0n8n7Ik+WaWt 3Lo78AH8iNOrK4tHYsgp4HUqyJ1f6Or7ins/PcIRIo5hf75kF6BPCz81tzbr58oQ+D7BEF2fc03G C6cnhIVKSW8QFChLVfMumizZjBnT9tv6dsyGJltU3d3CXh1pDNh1IJ07tvkYKSyzE1FPzSPw16UV FNIbaoaKcqtSYo+iIRfn4DNtjR7r1Mn0OlCAd0Jie0OxcoYF7N7W7nLNwtpdhVZtsswIRGaJrHen QiXrdJGOpruJKO1bZjmsC7/W+oXeCvqdg+L35C9AswTe7iH5tYL19HVuczVVs7mD/2D2GNhuuTsw X1OqbZ1sHkxCwfeHIZDumROHJTHs3DkAlvP+ltEbFCVGwfSmMs3xPpdrC2wqxJDtjtUX0U8gw8om QTjobrIZJEX5rNMUe9UqTipGSQ2ZAVYeA6ozYUGgXO293KAcp1Lbk6nKQqvHTqqSORSnGIgF5SRP CF2OGpbeQoMup0D8KczrPhMkRSQeM+Co2duYoVt4xecWMyNJmzNGVqRXPstyyc1bHxNvDFrD6itF 5CiAzyYnRPNR7EJO+9frZolB8IgM9tTCtUK2D+zrYSnzl/7UHUslS4dr9gk9Nh9Xl7c+sJtk8F5e Gapn0ySmpj9kDvBV6Yhvc5xktG5WDa9nyUoyHUcwxO/fJ/vooG5qmSklBbNPrQ+HGqiiCdecqQho gZWTWZ+u1VUim6y3/dMhFKErlKzqRFdHarcs7kUlXCrcp8LvBhGCgFbdaxOr1d1jEoHIREdOup4R iSF9vrcYTh8gbD05Qk4/YQATODgdKU+/IntCSiY+iNfMDHO8i+/0BvnoWKIQAhXup800mraLGDFV 37VShtkoeNH131LH4nEcIkIZwG/Hh8/v+945J5f+4tjqafRwJfTtzeE93fkV4M1+ZhootmpC79Gb xjWtaTvWn27MOXlNIPWoRy14vuM9bmLC1fmma3vWYxArhhZXe6C2LmHwThCDQgzotk59CQkRGh56 Jb9sXUQnDlWcIy76qf9JhDjgukOZPL139remhCzSLy5OtfcxxABjMm8HsTq0HpUVM56ar+2Etqk6 UYxdd6TSKGy9jyg230R/DACgByd9fR0GcAFk6fd6xBAt/MIqj2a568Xf1e9ml9YqiO8flE3k6Hxs pvGc6F9Q5dY2qo8LPIwHTjX+cnBwWY4tw6K3xI5SROJqrQABJAjTZgT5QwBlQwfv3ALgq1eYKRnt AlcILwh8XPka+21MyU95hj7w76EAozcl/EgAfOoSxXaoOFIzKHSa2ZIZGF2+51JrUW58xagDOhl3 82b4spAdPfdSrDzy9h/wrX7HW3EQzrJMTYNKR+x1DXSytGG/6y97I0JpAp9Er3Z212Z/wFeYkk9f OoXiU+L4pykfNwp5OXMsPbjetDKUaq8rodLg244hBOH8RVNrDdIhgQmRMawrcPBVBoE2SH5J+XfM Roqik5VNLMCmERPAuZi2yp1qpIRaDxNOSW5p30vXab1sXhhrAGcK+S858ghyYbJpDUM3MA/Ka6BN h3X6Ti8eR2W6AwC8Kd5qVHVsc3T4D32GjrRrEi5FjG8U3BonisjDsCM8HfLPN3PE+jgWP0gxNnV9 JBSQs95X7ySuMjoG0uDUF1JtRyHZmWCvKtq05Cq4KNwAmh9cUXrQLMqgD8tN4gOOZyw4Y+oVv9Lt lVaO9Y1JQfHePK8kQ79ge8pAg6HlKe9suCR2990A5wKL15wvyTCg6xknc7yPMGDV8GNIaaUFx1Ve xghZwsbvCCa7mwe/FCSvIkgucxBJnXC64xxKw/vfF0ErkFND5+PMOIWuWbIc09eruJM49FXIQWYM U31xfYOJIu0niygjGfYmhnGOEkJm7KUZJufVqWiOLF9RPhxtdOjxniv4+CH9/5FBRPSUKH8iNela C9YUL679NzpLrge5YohaWLKnhonLsq+WPRU77MSozUksPXARvhV5iM8bIfCfsuQjuG/4xC2dLxTq 18RmrJMhFfZ7SkaU6vABc034zw4WGmrhn++bJhZco64IDClEQ8b2ohRLqaZfikYgHbeVfRVUziPh QhfOJWIUt+uMLal1iESMutL4N7zO7CnYllbpUtokDF/dpuLrZiDFvjy9IoxSubNJQA0lxVfZ2zdq osQrg1Fo3mfy5ifXpYq1xi2R88gC4HoxYHY2hYYtiFvE5odByLni6wfTdd9HCBgx1fJHGGg9Fmpw jSFoeklMn0Woc7k/fVKFqzbjb5BDTuSNygeuqG4eDW6hbbLFHB/InvIm9akYEMTx26OgTdEkEV8+ OeD2qXXH4FXc4s49eJ5JONrwcCNr2FaHWlogO8m5ikP6KIBrxKWNSMNDf3yLNMPnfKjgRFkX8UrV WkQWV9MURmhpMgKmPb3rRYl/l7xr687kRsxkws4FNJpC2gj8nF2yGDIozNdayEP3gSHA4HJeNbmc qJcJFn7XyYUc0lU8bMLYugr94cWzPPzX7aXVEXZqNiALNK2JLZKFR83lvACFKKZOAZBlsVWEuSwb lhAlkdMOgqL3JxGgPO4+1lacns9qjFbSx6t9EUSj5RiNzkp3cmBhi6kAIoE73PvsCzYj1JZuo14K cgTIbz2h2qUEcqwy3MOlBFVqDItZ1EtgbV4fSDUyi9j5dCIHmlHpVn3JXvHsZgIJs4ltV6Yt4EgC YcbkY/F6ycMuLMXP+h8sfbjhxzCwwbO2eaM2+YCHzeAOUYi7LqDCDwjbS3crVki7pjjHEoUg5VHg qNs13O9esIzKIbCuPSwGVeIv0ACECWGfm+ois1+ApXBiErS8dMKolYWaW0tjZjwNxSbE/J+dYsXN 5i/e5HzDYb7pupvEv1SEm/VQ2COrTUwnpDDuLNdz1qtsubQTf6q5Gj43VMxViSi7HUCF1V0z9F3O tF6r0/CsCz3Cl96ib5R/m+Gh/+oTFVDAfdmoAuVW1p6TuIeNbjb82UMOS4HeFCglIrLh89IB4OMb Zjkn1GKxnHV18Bw7U1bp7R7lA026Az1EZhN3SEYNCM6YWto9SKWDsNgHJX9hXNWbIQkm/LemF3jU 7oLf7jdwNd2v1XQnOyoQKhSBawy2Sihtv3T+yq6Q9dnZLRITx6UcclUoYz2OeLk83IiNxyf/BAB4 e4XgMi1kZ9BssmE8PWhiKNEFRS2ig5OFVVoZJN9cA8jQU0qp5t3AYZZO7cDXAnOxTWKolgRsPPlc qy4Q0dOMdEcqVSWCPfcMhU1Z110Yuuqr4XbWAMrCvr7O1n2WufgCtCa2EkPrWH8xBttnz3l6dkdW nBvpBDipYiV4+4PFN2MJ4UiT9pvhHyFOtH37uNJJyM2tzvZtQpVPmU2uvVPA2ke0rn1WejuuPAwZ Bxm7paVmwxEJkI6vCZ/sJSw/jyVJx6Ys8SSTw5uhydDtRyRLhzELBC6GfMQAxn3FgJftRjs19p0T cwrmDvMzekFjhCWHWLeJ2uvQHbQDylADHUtjF2s9Gzb2gLjFjdKfklKNMIBZZ1J0mhppUM6Ui0T9 cFA/dMmltXtAB1QRY6N6bZ/7SdG4RzfcgqPsPJ7R7pVYve14NV59VpVfoDXeokEY95S0eT/MS4Bt kqc/spLY7b0WOXOPj7grfkFYnvidOTWl/feRe9NgMnybB93jdaewW+j4Q/o6y4EvCnzL/YpelLZe QtychjkOZWcgu5ZFudn5mWMofEh7i3NtM2Cp5QxOTrphaaO8w6xWPQ0HcAcd+VKHrk1THCq5wpji 3FQAloZOwdhte5mosh+ubGvR8oyifyleqQQ5pc0N3WJomQxxxVXeNn38sjfUCaKMirbCvqBrPp7B FZB2Sy22wfcv6NVnJvFK1hlssHERhoq8uFXE5+V4F18fzOLF6iDjdavFUFfBaT5jyz3IbOS3d8ft E/SMdvYaQSZp2HvpaX8UVTx+bfrzupRYaIwpXcNxMtw1cZGUChaFyVJo2cP1kpxgWUNYXzsMvNsl uZ+6XyqWV6VMgxyUuy0NmmlLzZbHU/72vwDEiAPrdIcZxB5ojIvyRtubR/mzcI+uEgJ3dT2Z6fKE Bs/2rCJe3Y8dcvhC8xG9pLTjFWX55xvOYWg4Zly2z52iCuC3KBGGClra7T1JrR7QF5C+2oF49pTF 7iXHjhemp7bJVf9t9IUNe8qTj3SzoQIeEHwGE1FstPvb1zyJg+WRShs41MTCzFz6cVPx94jKtt5m xQjeSX0G9qs3mtoUzROYlMjKLDo93mU6LeB6Q6YgpMbX9tFPD9x7HPvL4GUwcQlyTFFRt1tdcfuz BDWKYgNkO37Arl16lpyoVht4TRWQK9OocF0DT9xJlbcrNNO2KvPTeDR83dfsj9uOG649V2dh0o9d 60KhO7FtKIXfgG/ZtZDySC0VhC4NcqOx3oQt/OSUTQkO9YHz026txAmWL0ZxDlnGWu5ghl9zjGAl jxqicDF4K7kMTj3IpXDid0FocTqWdR/UvgZA+VD+l9DOaSdd2GV+eXquQxCz2kZk7A04t1bJYzU8 OUY5Z7+I9R9f5oCQOGFSNCGf0wbZsHnlv95PlggFu4h56eU6puwQxzxymD+qgBo6VW5cvEjY4LRr pF7W6nagS24GKjyirH7wt3omdM6cVlxL+Y1CcR4M4w6Rl1TjiEYA7AglJC2V1lDIH+HWAdlwpNUo fq4+DNC34y7WZUJP/us2N/afU5EW7tM5r/9PbsGUDgLPaXuNfl5eSdIohUrFgX98bJjdge9cQcf3 t1i/Je7j70j4bk47HkKSgaqcf5BdTmhgAZOiWOpbHcIZERhgKDVhL+nlMyZPGmJGBCRYsFDo2SyE l+ow5oHMpub/3DMKP2weAe9FJmv7DKDW30A+B0fhFe7pGukjbpIeZ3qo/DySYQs2eZdRJ7oGP13A jPtvfUTYOWIIJ7/rokJO4JNP6KpLOGs2j5odzOQhdPOnAdogrjtvA11KIkpNIkqSVLET/YA+iWJv JWjNGQ3pfcOrC2bm/Zcu7a8tFcmrv76b2/4c5FPycPtMrV6KgzUWgk5O3m8u1nWEIW7Zb7L6bInk WJe48QTg9jhK8jZL/otumT/vILg/m1StgNeNwo/b2hcc4Bgmxc0vNSy/fQqdfdIjpCqK61IsPs1b hVGZchiAf47SSz098WwPVpsyq/7UtT7Kn2zhshWDtnbd1X4MJJ5Cqxpe/xVQ1CVhLl1Er9UKBG0m rlc4wU+4zh/mDyTS++cm5leKwjNrYrRF6Fmy5jNiF2LvXPNjUqEPke9cDELA8tv8w59L4ydtcfJo IZl2aDZwWKkrS4n8uRDqoo6SkJVOsclXkvgEWPJ3vsrUhR0uPMmFJdKHoCWnJLcu9I+zMVJ5RIau fd0uTny0KDH5G23edTFvK3GiTiHFGkYPeyBEF94eDvJaCcdFNaQCiO+uWvWyxcD06f9Wkv16DvnX O7lvubxljTJ7WvJPubSD+9xSSiw+MTfgaraGJ4zlqiXLdHSMXtpRUD2ow+QOoSxb2fbR3EQATDWe DKHCBmnUQv+FgeihhJS8DTste6P+Ai53ek0EMHWv6Uu5m/UbUt1tequuldyPZHYD7MekNynwkl/c 5G1dgWpbvITG7SKpdET/6xSa+6xAmyoeHzF8HP5Bx4jZ5w9XKo2nhA/1EKAbzh9Q6qfJtcyxukTy mR6JGqXH0U9M8sDkX4JCqz9M/1qQSHd31eEqeYKtJh1OwC8i9cmJpkgj4SM0kvvX5ktl18JQ6KDz oAqJJVHwRJ5W+Cxmrr+97Rq19CBEaLUCQBRq6qAiXIe1i1uXolYKMaN6vrN2I9iJyLfRDIG1eRfc TNMr2QrBPqyCmbuhR/bXgwozXpoR9aymPcwbVWkb5N81TDRoLMF3oJBg+Veh2S8qdLdM7iWADzdy zNfNJZVpqM0y/pu8YtkJ0BCD2aRngKZfB7zsJfBdL7dPIOWXQLKn05WDWFtRtv77hQf7aOV1bc0G YaRF/z4u/7K82K+6/y5pVYb9XyisBz4fUK7da9Q1ds/h60MXzypb9N64GH/hkgvwLpJvX/7xEHe3 +xTttKc3ggoTJUyX7pJ/9EtptKPINiNljI/xVjtXa5/Nrci8Mr4COWaH5136/I6t+1qPTvUiXXTe Z2PS39QBa36A2L5QefKT7M4+bmV8DeX5AQ2w5e6csdN01xZFQ2hg7/CGpmWgH9Gv2UJkEJergA15 IpueAjG0A6MVUudTvBRSj3oMY3hwIQKIaVLGogPLaSZOFwpb9Guaexc8yMumI4RY1BeDw+W8wdkA /9N/eWEPjxhTWbXBRNTUbK4953nAXhnCnXTY63ojPHzoq3jH6VNF1aaTvIRS+rRI7tzF3Fz131Qc da+Ki3Z5xAsTEw2xvMHkLM+p9Dw7wfOlPD9rD5ALamLY4S9yQ2uLkTj+3B/U/GM9/j8wdf/5lECx YyvpFC4VbxcZ5OWYOb8BJkbrZ58hJsFrRE3gm+hm1Wy0oKgjJ1wPyc8+4VYvCXf8GmhfwbWwKN6z 3khmSs4kvtPeyc+2sY1zZ2Ep10hp9r4EhtKsA0b0jh9yD3+3I0BTIU6C8bidjYcuZZlQnvMeM79r qyT08QpWR89ihy7DlWHDaKNTNBgytz2sePwvD+1X2f8MxvB0TVD7Cp8RjliZ10VGkj9BBiseUw+4 LvE0uO3YYBfl0BUmBRdH8fa6xiG1ruI65LRuTLkIsRNvdt9/ecynmSg3vMvJGr+ua68Zxw4Fs1db nBpR1fso+DqlDSew2FUCU1kocYhl2158tl3268YQ7hwoqib69VKUwKzLUjVfGsDZxwnTlX2NE80J g2yJMUIF2WCrk5oHh+tOsnDSBPGneIsWy46HGLnKntfrY1dYqCrYw3gJD+I1xDHHZBYlk11a0LDI BfBWzYJh4LL7pY9iqVLxNn+YgixrSZ51yvbxzUvNqQh6b9mjjQxp3JlgjHTc73Ifhc0oFsGpj8IK vNXSvdqPUn04RNakf1Jh2ThFMuIyEIfO8UNOnqVpJLjnilBTqTibbSaEkw2wnFNotj+6ACGkxLRl hOh9F+V/JTw48JZSQwxBmHxfL/ryEU+CRd6I2O+IrME7sIBtFN4ExYKmi4DTeciqD5Fvfr/6k0X5 L7k9iEC5Fb6l3kgnVMFwlPvZus6dd4ZFcB/a4JEv2qMIfDf9L+UpB8owZnrIQj6A8Po9LTFDJ3+v Ea8F1S58/NPLLeYU6qxbDRcwEJ2PeaI4Iw6kVhUhthR4nxOe7oBgcRR2uLwLpgDdB/dgRGb0S9ct jN/cwqxQASrHAvMnnlri9HJ4Ff2xP3OLRtrQdWGKl0qyHAd0+Mmc//jdcI+jmgMrjnDyiKAWYV+R wGPj5KkAlTximtWb6rTp3BLSPt0X7LXWsVCeSWBxtO/5SI8zBDRV7xa1WOCzmKC+SsqVR0OA7fEb U/9hJA5vunDlA5vQRcmYsCS/u+sI8xr+lomC1A0AeH3oikbTN2/tvGX2+n180lF2NzAi8rzfpcmO 7nuS47QPfFwW20uvlGMxnvFFBAdZxJlqUrpGGgt0zUQWmqmLB5uvSvE4WJTuI60ynlYP7cCcjmNm 5xd5YEBJCpZQl62FIsP1UCnmZ3nEWO7nGsDNG1j7YmQwuLzB8Q/Au2r9cEemroQ/3VehqdumA4Wk AZ4hcWuuFu+cZ0HXv6MQSwe1V4ioaZP5xVwm2jkGEs44MRt3f4TF5P1sZSnEPEnmqXywPGwMEhgx vCRe+kgqXkNYgSMfBwcwyZdjCRZ4/iMjkHYGArCct0i04w7pUQfCr6/imnggsO2yZMT/mFL47HVz oilz8MYTuQLGe0uyvhYEHLbDB6r3a62zbqxQgJGsyM/FZ7FIFXhaf+NE8ZqV9ArqC3enqZwnrxXY +94NLZ4XbIyRP/VHNputj3O5cA9Tqe1ijZzYrwwi/i3OYCtD5Z0ohKzzsE3X2JEa0vqSz/z+6VCi iArbkb8IZH1xX199hBIlS+Qp6Nj9wekN7mufPFpZksRaHwiNhb7Z/Bv2FvK4Ln/rT6m1F5vPmS37 9vV+pcA2bpuUOyFrOHBRKNDYY6bC6TYSzJVu1mNfme8gIi+izhZJZyDJ3fdrjmIpEzETZfsZDdXC zgY21RRvj18qCyAje/R/aBu1H5BA1sG+tKte3SQjoNUDgwH4NBGdMJ1Zpm6OSqZmTtiKKvUB3Cg6 G8xsbiin+VVJFJrsvzwcFjN3i70+3NexLkdh5WBWC7y02EZ3Y5VN6JtyOzpd9sANwD4VgEzqqcTA HqKYowJKE8YPzWwRkNkRz/npZf4Zruz6OdhYeAyu7xa7GWOvd1RPPAMZsJMVY3OjvfyNnqwhfuIB +7QNmk5ZsxkMV7aOMuS3Gj7GmQfCVVvRoPJhjeupuMklv7uPEVaGEX3IXStS6zHeYXkhK0dyTMew LW+bDCncHa+LBrHPrMDLGZ2eXYmp+JWaFlWdvXp1nQQMLfqkPnn6IYredoptvWgzluZPOxXFF6/E IYVI8dXfgiJOENombwrsk53nkZF6EJyEaPYV7K58twPpAEmSFTkTdlMhgRcDjUuQ1OmExJOvEne3 xJYWm18buvbO1lssQeicuSSoLsXqZr4bW/WxtiThT1IXyyRr7uFmJdKfSkduVcRR77f6gE8/WMSQ AcTkJIsGRKbAkRkGZdHos7bUnA8r9fdz61WhNJ43L41hPr9kajVh5DmfUZMxE3wte2Wf0cdTXi9W o73kVqvikPomTXpoJAEkyHbHUEadcfXu2nonorlxOasOcZD+k5NnYjuIQ0866inOararvDSkn599 RKgHC718rHQUFx89Pb76fb0Rn8sAFvLEdHJxGb2u++XqIVfIRf41uCOlyVbB2m8xjmqMHqaHyf/c 8q8RWhlq4Lwom3EoXdyRxgK4Aqiw/3ASEoBxBziTNe+WaL75XYVPSs4GEJpFG2wKghSnvDDzOkoq azKdzRNGcP4gMYg1O8NBtvKNpf9VpC16da+mdIHCE71OpHgCT6v7iFAVjGbvxdY+p5NWh6Cdmh8w WltVc2mN77r4olI3uCL8W4kJgzcBxi/L8LqXD/bzyxTx3ShaPZ+4J1a+h0DOH+ikVcch7d3wvipz a7w4tBWZCos+F+ZWcqmA3VVnMJXym0EU7jsLRrzWV0Q1ZjPHzrWz0GlDRJWKLLi/PzxIy1nnWD5q QKl7hiRzqEldIQXLVkisWt5h/lCXcY/YRoWnDLD+wqAh83+xV12VwOZKIbSLdgF8GEAlS3DcLIt3 FJJgD7lQwDtcEPpALgFiqlsDmnGKab6d1lW1D65q/VF1QNhJnEN47WaDnhlnUNtVKXlZrV/vVGhl k6Xd3vkHZB5Kg2Vcj/BCoChk+zcqh0/iV9V90fObkJX/c/NUd9KLEyARE2ju+M/QpOWDV0UHP49E 2PwSXLm75hiqHvxhTFRPVTu6VvWi1FvVPKB65Q3LgNEBv/rKkw0d7aWxdbWoPaPpc6BllATHx2z1 vDGXLSWKy/fG17NhMqa9q7eMXprBq2rYp3K/UNtaOkqO+/Sx3Wf6iSKwTNoZJP0mpmbqjkkrcQB7 pXQGj/6I0zuucHE24a3TL3VQ/acXkbuWv505cL2gSWSQIBqQWko2KvMz/2Ys+ELyeYtfi9tuahJV 5DveyRa9V55XHaW82Gn4TSYdvTPEwG2RwrZObL/5bagjXE14hTWZOW3f7+s3Mj8qjF0oHKjmOvOR bqoPxjm30dt+JwSH73zxAnvki75tKSml56ke5qqB1IhTNszV7wSBohmLw8HevcVPialus9qguqJz HSIRxfgrIbr4BGNxAsJX38/DJvb09P4stnzrhBG7nGCFPh3884bcOb/K5LuFoTuFlzy+lk3UCZNT Go24DUa8TG6eGHzR68Y18QPPQVhcWtGvhjZgnuPkOJabxIhGkJJhbZ2w10stXBcdwsGqGrXOmhja uijPG+4Xr2PHEEHa1TNYqsRAu9vkY5XSP6GHf4ZxdpcSOgWk663U6ARScwnrKDkPxrpAgk92y5P/ boPTRhhGiGRWhMwpE+eLyRPfGGp3vMI2DeiP5zp0LlawYhmYvUn5iPum3jwBCnFHhZaUaTzChWqh jTtt8BuOIC6gA5ltN83jbsRn8ZCB4CSxyhBJAc5g3ld58uWqUubcWON5P+Kx3FG7WbqMgSpHWjA+ xCfoQZzlUAoBEOP/TlyWlXGpsE+n4+557SSMvDcBWasM7jPTpC1xVrm/j6pBDxzp+DuKKLoLPYC+ fUXgnGtnhI8W9XIO/f59LrCT3zczbqlctm4UIwkEpDJ+sUwmfG8f9g3nXZckMVoBxh4Vr8BkQPIS mpjfelEc/9cfZIieXQ61I6qEeES8tFJt0hkUkvulE+CH6X48BbgidNHlT9oVrdzXsHX1Lkxm+rXA E2yyRlvimo93FAyEKexs880eUziiL4xY6SAwd285Cr+4GxZ+X0wD6UV+GnDl7tWLa1/XZVX0P6ib WC/B1fVzg3TTraeb8Ed6kZOJNSjhqC2p1jhN1mmmX9UUC7TPmq2aIs6VR+U4J9d2BMs4f8/Szwab BlyyE+lRyRS7xjGNr3uf0GOXwVVjHZPvQ1MVgQfk4AGMtOeFugdxgfdsuvw7+AnswuyTDTBjisnh KvhcaVi2SDavPPOdXmYvGb7sVGXhgCAMsY3BpSp+TOj4dohtPJCtDFdRwZnB/Icbl9OX1ZtoFU8w r0ffQh5AVQ/+625BJBIHmS1rPMF7l8pyH8SCLb4WC+QFK+d8Yd3mroROi9bE1GXTQWQPTN5T2zZL VBbsZSYqHH5aBGolBdXiUL73CMvqVTV28ogSrXqa4r8WHazo+RulCLNxKhCPTd8Y95kh/rG0AOqC xcZl/IzhbyO4nEKydQd69VhGCmLq7PUn50UYxr8l4R/TgSXgRDSGiNXZRpaRxgtKvF8NEMJxc5/C fIaFi+OnuRIRfniPs/l8j+HK/8+hX95D14lYY3NfV0rgL8hmwotNEmpdPcCu1f59m9wrVxtexWw8 mTZ4x0NdhVNg49E4fyAmR/U/qJ1+L208fBrRGszrgzG57ehtyvQUxYgdAOubx6ma7K0KLLywK1gF 05RWtKT7OgYnjv1omMYUd2g/o5W9ORshbswdYP2CbhkTlYtN66nSmjDcO5CmvW3B8cVhuDW0rqQP dI3+jbAsiG8/sCSlUEltInZa0vN/v3jDrk/qc72XQdGuayIqss2h/WyPglaksPDmJ5FdnJoqjmQg A/Rm6ySwEe9+wK5IbL7qcaoAbN5I3VmuIo959Uml/AqssT5f7/8qet4YqEzEqoW6gzUIivPDGJvM 1NPNwuV3Oq3geW7G6TbSExy3WyBWXo+4407rnXJyCDtiAoeKKhKN5Sj1C5/s9hsYYQsuDLgvIsQ/ q3E3i/AeIGv4woXCtwK/DZztiIUToFtDK2q/NcL9TMIxJ6T3kqMy1rNVOjXSBO5LOsuAWBShceVI 9xPnQawCnCCgN9HlUfbslOqqYThLCiTltUTsFUpyCLmq+gBOZyw99OjyWMwPg4GZs9/AmkBWJUmh HAcy05LOaDspZ1e6aXOllSHsdKb0D1T+L7FAnqZhQFM09Vflv4cIvsNr53D9VEcKCxNKBvH/dx1c KewFfHszTNd+b6lEfOQx5jBP/YTDagbF2z7s8gZRpllSW1G3tWyrLUcZCaxMOF7zvVuqMTZ+zfxS ceTVQNClNr9oUMiPr/9ueg8w3WDR2zMepLTdzahMtztU9D3zIkzaxC9YVPyjmxDvD6Gf9b0s/1N1 jXRVwGq6eJ0YZ5unmpPGscpcrCqY7N9rJZ26AL6GPhikp0V1/IJbldOb3sPUNsMuCxVumRfoww3m 6NpnMYgWaxk8xQZG/LLSpw0BX0lyscBwokZaZyJxIhGw1F5g7PPoaxIANWqKgTKHLQC530x5XuX0 7jolUIcl13QKmBJtMn5umFdwf0ULqPGLoG4LAvFdrELFHANsSim75frOb59ZIJN3emLi32NOj0e9 Uqbm78euJwefVn5CK8UA7jsRjH/uarGzi2e+Fq/5FODoT4mOA+RHTKFaEfZSndGyGvp2CiDQLG/1 nsrknsg+09mJJepMa83GmLo2c395ZfGGuBaBOxJSjrE++3yjea762bDZJr+IvT7FayyZ3KwDEEGt hetRzYDqrccATfjlWKJgVbcf3qY+UJlei4dLiI5NreSyVvAKm880327dAwb7pEfS0fpQFWl2cpRH s40eGe51Kws5iT2aXSmk6wVsDp0UFGC3/r+fl1EqRJevIZvZK48EQP+IXnv2STZAduAKdRQrEeb4 eZ6/BwAnU7IaANfGCSwtS+OenMDoJyiuD5lQ9DG9hp8J/atxuKFQ1kBa81V3hXRi1dgqcYn3qjQm 1l8a+JY9pkAaVXROExcGrEL+TnIxjYzXfktMkqS+N2AYuco+uvtHwQH+LrgKoaUgQlxw+eUn/Qbx W/iQMDaDbUpWtiqoeLF1nwuJp3tPVuqTAFC1QikCwlp1FntmAdX9tqVwmYp6enqsUhK0ao/RcunC jzycKksE6tuO3Kf7SIcAmSpC5K7VNTANkzca3I0A6gnXqDsN1qVFX8LBVKY2QkeJXLv0VUkPsut6 C7C4eJQW8y1Ip74lV0pR1mSMVD05j3O5ifeT7yGYIegjsUZNLxcE4IjrA2Jqq1ic8XigZrsvxX1y P8t7OhSORycjDSyaCTtnaS4UDUk/uWa0QOmcdvPW134PbvPrc7JzuU63G6AGB+cHkcRRjpojHTYr js3ll+2PIgfnrWN5hT+Lr2LLotZ4OlA3o9JvpbwwVlGIGfasLUjwTbIu55gdGpPvVcIfx7IeU43h Ta4ys31ZZB+vCrmDwu+HON+Pm7G227GoTtjW4dT0SF9gA+XTqdf+nw3YvXkucFXMgFH1Qt8chq8E rtft9V4YtOgl1LPx/4Tqm4oRuHLmEwjcXR/+Gqf7XPB7XAJ8m9Qd4XYGoVt9HaYlrJ7kAX9R2g91 /MmxV9BpHSQZEc4Ze4To9DvysL+Ujm4anmHJ7atWffaLLkQfg3KIKNDJqWqA1FBsX6w4DXUfCje9 aiL4b3VkjE4OWNvhx+74OCutviHeyICW3PB+qEy0RkxxR255mwnYtIJVQUXgDY6A/NSKSgJoEiq0 OBWxrjKUBSAMdC/ZZITihBJiV86BJrC1CoLx66qYF/bg2l2s+E+iFT3W1UuMvdeN2/BwHrGgFpQj pG09W2vWjUYgc4ApVBke2LJa69Awat88CYBBXxDoBiBF6X9jsbYA6rfql2fVHhQt6TVkicXeQcND 6KGFYLmVM+MpWi8fa+NPmq7/JZWmjPdVe97v2MSr+90g7mfrRQIt64EjySVqXXSn0GuVFzAj6KsT h3nDJ5y3Ylk+MjLLp8xij+00dor82fUKdHg/GIcWW/UlvpJRndVY0HD7rG5QU8KrrGhOD4n9BQOA wBxGpDtSUfZdPP/5qw91xmoVqv4TXJ7CXfoEW6IAdIiUFt7MRaNLaJhcrP+25TunqdiU59r04t9R oHLZgnty1ZeyOeqyQ1CWwOlpB7usCGhjm2akp4Qhj2r0Md0CXd/PQWKBITmKh4HsRT5dWxISrBBi JhrOiqMVkX4OI1JaGvpiikHkwCZElIYsl25oYM+y54hB7SSfp8siQXmC0EUzfT1bIA5MZ1hxiUKe u2u4C1/5Zz8ttSqm8HdQmCwWJGbUkMTNYZcPoG2+gVfGmBlUBzUuGeZ14KgkZFNrIlSb9+CyGbOm HZiIsEsIhL/qvthdzkFZRY7smXx2s1m4kXcRSjJt2m+hgyvUaen/szSSPbfnO7XL8gTPcJb1b/vM OHd297jYsamzxPoxzOX7sZZ76KJoRko+ICNobjAGkJxaomnNLzK3SP1CKoXr332Rj72wLQRUAmsy ywNs//qkUEd0zoGVkP55J9B513jgqCJSoFzM2cQ9px02R7qWT0sILfCk35TZIoV7U24rpX0AY2Wz Zd+7ul+6UH1aguB2xiVsRdDs/+oKStQlgRGGmDU72ofJWgd9+24S6u4pT+/7rxw20RVBwlxsC036 FabfPgTIlF7p5aHm7KKHp04vEdrwRQdWAi7cLgb/xJWembiiYwklUmmmnUuNy9Bx2zR1ZdiIjupL q1B+wMjcbw2opZoXhtb4+7kvUYaS1XYjO4CDXeFdr7kTp9+oj6evT0N9Gyf5Ix5YBaQwWDk8GPdi 4htbpDKyTyjsxKMpuDFSEsvvYjSy+DFbYEBVNlSYvBEUA9u2iUXPzDuaWKwPUacxAK7UaSjykcyI xGJaIB2U+RLhIpvM2evkm6IjqTtK03iZq6wZU1lQZQRn6BAhVnA8jy+1O66wpEhfGwIuf0fZE5DO qgUzLYg0v7CFdwGKTN0HWM4KsydEY4tP5uUbV386RyK3HA8/0oBBPN88rV0Iz7poAEvfdZJVWdI+ h4eJ8QkCmRqU2wsrGMApBfKgnjj4y8mXq2pzWJkgKBfjH4B0kToDJJisd/88LHW4syMuGXzPF6op ug7XHCb4GiofffqMZu/V0Ln9Kn8uWNODiEvlk8ak7TmZ+9DdUpxj8lf9HnNsQ8qz4uvlWQrLfxsG gTbE5Ev7dwFpFIpueIrE29tyNrSZ6e1SHr9sAwdvn5SlOyYw3rhPHTGQ/Ckdr/j8yj/vcvp8LLOc IC/KCUMmGpUFbduiZWranWTqSfog0K6tbY7HN0sJGVhsGdfylk2h1MOzhvdwFmHQWH+aLR8AOWzh mrPoE2+39630VEf8vHYzDIgpLiSM3ZedqJygtMRrze/BW1yYSgB8R+nw+IilV7T2byh2qXCHIbjo 1v+yPjRIc61gs7rbpfReT5ppMLWSv9AlFegC3fiSxWJCtH0aYvB50ev7uSC0U3P2Se0XSQIFokjQ twhkHo4MQLNpp2jl1N4/yCJDxEMAUPR5/xQt/+xCwUxKXJqfvSZY0jYJzA2Kd90UGJKxkcJdYpUL Pef8mPsFAMHuLnkPk9ORo7z2DsAvSVK3sJ+kvcSWj98WuCnMj/RaUA9TBBLGk7Yp3vCL6wlPJUen A0HuZTC3+PqtPtYjASH866cemHSiRathPcY/ZEb0ZRHwkoxZT4gqIAY3/trIwzDQ8EUEsUN7qmQK ZPnC+5LAXJT4U+PEw2sujxAZLG84Xf/cvN8XBid8NaluKnzYqvSMzEe/Szp6b2GZqWixOMPxYcgS v/w3rUc8xjkQr6sS7E45QuoLnr/S7eymqPImBOqaMH8Oh6KWLery9akcZh7E9c3myYJTgcMkGRwW svuJHLdoUVHwv0PhXu/iXCtp2G7PwDR0wfOO1i7F0CvYjBPsNfTGuK3Xej3JLD2bXC8tAdvZ/Rlc GYPPYStrkuoCbf97CnNqHfgLfeLCNgdgN9BfFLL+K96pTgRWcmhxrAPKRO8YaseSoORAjjsPc4sX oAR6PK/K2V53CO+8GYhO1IfTbPDXr9+MvGl4BusZa0RYzMYoYm5MarBWAqDbU91GxKPqu4AydQLY q6edg2RbOXFDCo/mpTtD+0c0r7oEWIB8MhJQQFMqOaY1QdGae8KvmURkqqjEs9urkZrYyNo2WTr1 BlKEP8PWUKQ75cbc1TN7OhelO+xtDS2DNdCNNTquCr72Bq3GRIHoqSSoj5Wg5NybWiCnDzmHNsw9 9Tblpsi5SLTCZ/b65nXlo8r8TxqfoOKDFgeriJXnJeWzYaUQhyX+XM+RyBcgl9SMbYT/SnYbSExt p0ZaDK40R60D0t4Vdmp9qwOV+qalnEqPushiJOJrvxptijcHBuMfWXpYoTkiztD+ct7zWR/wyO2D geriGxpyqZiL8eVD3lTDvuP5P/Rq/bKUyJ2oE3vLV3SAduHve6yQUAh3fHp5+N1kRhF4p0kvIgy/ fl1cuSjbgcTgvFxwhQT67xX4BjrTfdqleySMTasgQ0elCWJ1rvaBIcoEpRjMnhIufykMwg64WWat u7Pa4mpwkY2I2TWxGAO2cYZGq+OqSGtOT4l5yJ7uNrlXg/coOC3fGqB0TgmyEEyVkxputrdgx2w8 pDtdBzu4zTPNkXb+eyC28MZpxEtfMm5JtxypbmB6Q+Qra6kU6lAgl+nLLlKYcJl5ujDNciwaAIBI n2f6v24Ld+DTxGrcN4Qzp94fSuUnddTZX9GDSF11sSEI2mq77ax++CHDcCzVs0jKjd9A+Nr+wTwl mSyI55F8axK4ld6Ao3IQ9NAeDIajn9NMnhLtDF0/fTbUyC4k0p1xnWRvg5rWlDrtapSyb+DyUoDx kwD6xfO5LkYAIRmwBJFA6RwveIpGrcVaHz9ftPvUoAIfw9C5IYeXDlGUc+7h0X2Mnl2GCOO6ijYC yfmupFZ4xH1SNHYM1kP3rvqu0QR9RALHlRgZCB0AXXaLtzKsb2JfodSBurrxH7yDqZXUveFXq3t5 MIjkqBc51GOI0/zj6I/oUChgIt/EDuI8sRMb+SY5EaQC6se+pHrjJhLfBDs85Ze06rdbf4hC2Lor GcaJSJgg3OYE8pTYBJJhnWJCnVDjnRrgEWawD73rMqTOh9qkt4LwwhSG10Rkk2Zp8QAyaSfsTX2y z+3WbExCSIqZhAYl5KzhUpVbzPENpgzc4BDbW/ZbC9JniK2EU2bdkkalgr+2StrFmVtvEl4xhato EIHA4cJ5EyeO0S7E/TbWY6v/cScRTSerPqQTffLd92uq2cQkeGFGMXvQ7s850YmNvKMx2PyUcKjw RHgWheQuI1TlFcKxhORHLWUdu37V4w6GwOK3YWJ3iZ2VQqyBHweJ2yMj2X9zhHXjhw0bSLqvWDdQ K7iFb4N/O7Gvb+IYb6XsVTDRQGyYs3ZCFAeY3lFH2eWgdto5V++YIFP1jYqqD7JhKolSjSn4uBKs iQeDAAyNhXhzMhI5GxLpg0T/7enqNKFez5L5pp2bgAhUA/zw0A1TyLOKT07bB0YE4hD33LhDYE3D yLwcu2BVpGKq4AJxmiwCBhZwsj2NlGXxjxfx5GCkTMpk3kqcjhjbNZU8pO9NxtKGwmjkocUFETRO wzBejvnX0KD05AIYJ38MgImz1ArZejJIRijrEJiRW8COi2HmARpYwBLEVhBTFdKw1k+0X+BBhtUP vUqktTdh26gbVXJxEBfa0JU9Pqp/cY1Q6IESrRHpU1zvBI06HiRqJLlKIwLKA9clqhttdtT4cgNR uwfQpfdPz0Ehj5vUdy4krAMAtISAgOmvHNVcb+MKsJyUhtFBwftpedZ+2D06gU+zS0k/E5OZWERF rBKmxVpBn4jTRNq9Hq8QPomUO/jljfgVelx9JR3ce1kI0blrS3R5UYMEAuLAzOQ4aYm4qAwIpaS9 v4K6/8f78C24/fL19BTZ6utjToxeNLqj5ZPB7yazDPOnID0FoEYis7OQimGDaVmk+smjPPNldabP UoOYkpc1xq8QOnQFQ5qwkDVz/k/+XUjnUkrGh0Y0uxABKaJTJrvlbxFbczg+cG8GriHrtorNLPmO 4Ex16UhobxTDVEratxvNC3tnRyg0Y75KU7cwMI28XkRc8tFjkjshAd05Jto0de+QmC4iwkhX07eN wVr2KKtR9W+q8NQK41bA8LPg6fC3GKl9E7QNGInE4EN81+w2OuA4/KK3+iepylyN1RvWtUAisUi7 JzdlyPX+QGOrUyTO224bvR/eryD2xORUYmWYtx99E0ziH9pH6+rA96zJ5kWCjjD7F+9hSSf4txuh M367VjkT3fyDMqsJcRyRttxP4yEv7SBEfJTXkOHQwX8VpEeHiIMfBoiogGHqWNDanlOALXaapRt0 V2vbkFuFR/QaPqm2TJkBth34l4WX5PRG7gxiv8F0LntIOwCydY6xXijR8/SiCbEf0j1crioripdN Gys6rfeUWUNVvZU6uM+fsxuvgAlXOS8x6etuzlHuT+SWAT3ZejA5YTYGkDdR6skUom/7toDEJl7o w9bUa+KpHHHppciJ3k6umIilUkKwnwGHReVYVcjTtA/CCs6a0tYo8EvaEe4oJDIZWRXcLWxDoRxe WvbY/l2rAvcT9FoTBlb4YrVoUgRm3xa8mBIQZB7+NmP3Oz7EgOJ6LTRNTjcltoohON7qOOfNCzXC xWeqULf+YJIzZ5NQiSHj2clb/GILZqNrSi/qWjfgBx0EDb0qrh/J6Q0rNhocJU3Vuq+PQvfsCZ2Z ngng2skEl66KDZ4vjotTKBu4DuOqVdALO/WBLEJfvtrMkSf1SPDdVytPyyyn0mY9RsW40MbYM+1O HaDq6SU3fHZnQHn+LGtOgYYljN8LCbJnARGGovA5EskvQCuAf4vOlC924bGdFuNGlz0nUhwUI2xZ sNOlBwyEbxRSjAfVXFRNp7Tttcejnj9Sz23f+eDWYpcBufPZe6MW+ySH7vqdMVKlhkTn/L4I6mBx 4Zv6wZKWcONhGUtdwmpW9FYkPDVlJqwdkE0JBFSlTbdOvVdjKm1rqPN1EDSpEObi0ZnlNj93Y0L3 YXz6WuL9IuSV8p86a40yWaoV8XJeEvwMucSgUS6XxQEvodS20PMVkmQVxKkZpL4YU6HPC6onpUaM CVT3H42Ubecye4j2yS1XNXGBRDwTmodbYDHsJWhGItccW3B+RwfIDtjlhje1WdL6MxUuK3mMKvw1 3vBY6cJRvs0FY79oNh6Xxxe8lWWIMYuRRGmlBmLMp26qeKUgN+ST9w8tXEyrH1wajtAGwEkhgiV1 QoN4i0X5ysDXNia4OJ/vFgfhg1aevAPdlMvMNMrNynh+OAXw/m8ws/HCfsvyf46ZYLmTcCLOfyoz Lb8VDrdKMuPu0hLVbhMfAmyn13sjtglRexlF86o8pk0hoI5WTTvk75gRYUTBCGaz1q45Y5dmHTyE 6sHhBK8EZSiXyBJ3AIYhhOI6ivU9/mazwNBplt6WPXzGUsxIj9yR5nYo8aMz02FgELdFGK3Bo1SF pCTrW7kC4DpzbCFsoao8u7h6UqL1Nm2FFhHTpKsPt86ogOfInyj46Fte0LLedG0sfDeoTGI0k+vH /moeg7DPAEq+BYUn6A== `protect end_protected
gpl-2.0
8014fc6e19494b5ebf5b8ba4138a743e
0.948902
1.826689
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined[old]/ipcore_dir/EX_MEM/example_design/EX_MEM_prod.vhd
1
10,089
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: EX_MEM_prod.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : spartan3e -- C_XDEVICEFAMILY : spartan3e -- C_INTERFACE_TYPE : 0 -- C_ENABLE_32BIT_ADDRESS : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 0 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 0 -- C_INIT_FILE_NAME : no_coe_file_loaded -- C_USE_DEFAULT_DATA : 1 -- C_DEFAULT_DATA : 0 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : WRITE_FIRST -- C_WRITE_WIDTH_A : 16 -- C_READ_WIDTH_A : 16 -- C_WRITE_DEPTH_A : 16384 -- C_READ_DEPTH_A : 16384 -- C_ADDRA_WIDTH : 14 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 16 -- C_READ_WIDTH_B : 16 -- C_WRITE_DEPTH_B : 16384 -- C_READ_DEPTH_B : 16384 -- C_ADDRB_WIDTH : 14 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 0 -- C_DISABLE_WARN_BHV_COLL : 0 -- C_DISABLE_WARN_BHV_RANGE : 0 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY EX_MEM_prod IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(13 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(13 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(13 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(13 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END EX_MEM_prod; ARCHITECTURE xilinx OF EX_MEM_prod IS COMPONENT EX_MEM_exdes IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(13 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : EX_MEM_exdes PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, DOUTA => DOUTA, CLKA => CLKA ); END xilinx;
gpl-3.0
9726e8a5da22afed412e5f1b9886cdda
0.49321
3.825939
false
false
false
false
UVVM/UVVM_All
bitvis_vip_uart/src/uart_vvc.vhd
1
3,966
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; use work.uart_bfm_pkg.all; use work.transaction_pkg.all; --================================================================================================= entity uart_vvc is generic ( GC_DATA_WIDTH : natural := 8; GC_INSTANCE_IDX : natural := 1; GC_UART_CONFIG : t_uart_bfm_config := C_UART_BFM_CONFIG_DEFAULT; GC_CMD_QUEUE_COUNT_MAX : natural := 1000; GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950; GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING ); port ( uart_vvc_rx : in std_logic; uart_vvc_tx : inout std_logic ); end entity uart_vvc; --================================================================================================= --================================================================================================= architecture struct of uart_vvc is begin -- UART RX VVC i1_uart_rx: entity work.uart_rx_vvc generic map( GC_DATA_WIDTH => GC_DATA_WIDTH, GC_INSTANCE_IDX => GC_INSTANCE_IDX, GC_CHANNEL => RX, GC_UART_CONFIG => GC_UART_CONFIG, GC_CMD_QUEUE_COUNT_MAX => GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD => GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY => GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY ) port map( uart_vvc_rx => uart_vvc_rx ); -- UART TX VVC i1_uart_tx: entity work.uart_tx_vvc generic map( GC_DATA_WIDTH => GC_DATA_WIDTH, GC_INSTANCE_IDX => GC_INSTANCE_IDX, GC_CHANNEL => TX, GC_UART_CONFIG => GC_UART_CONFIG, GC_CMD_QUEUE_COUNT_MAX => GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD => GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY => GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY ) port map( uart_vvc_tx => uart_vvc_tx ); end struct;
mit
5316a4d0e9308d4fc894e3af71fb985c
0.422592
5.184314
false
true
false
false
keith-epidev/VHDL-lib
top/lab_4/part_1/top.vhd
1
26,873
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 06.03.2014 15:08:57 -- Design Name: -- Module Name: top - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; --use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; use work.VHDL_lib.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity top is Port ( clk_raw : in STD_LOGIC; sw : in STD_LOGIC_VECTOR (7 downto 0); btn : in STD_LOGIC_VECTOR (4 downto 0); led : out STD_LOGIC_VECTOR (7 downto 0); VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0); VGA_HSYNC : out STD_LOGIC; VGA_VSYNC : out STD_LOGIC ); end top; architecture Behavioral of top is constant vga_width : integer := 1280; constant vga_height : integer := 1024; constant dds_mag : integer := 16; constant delay_length : integer := 14; constant xwidth : integer := log2(vga_width); constant ywidth : integer := log2(vga_height); constant str_chars: integer := 50; signal dbtn : std_logic_vector(4 downto 0); signal clk_100MHz: std_logic; signal clk_250MHz: std_logic; signal ch1_x: std_logic_vector(xwidth-1 downto 0); signal ch1_y: std_logic_vector(ywidth-1 downto 0); signal ch1_trigger: std_logic_vector(ywidth-1 downto 0); signal ch1_update: std_logic; signal ch2_x: std_logic_vector(xwidth-1 downto 0); signal ch2_y: std_logic_vector(ywidth-1 downto 0); signal ch2_trigger: std_logic_vector(ywidth-1 downto 0); signal ch2_update: std_logic; signal mag: std_logic_vector(ywidth-1 downto 0); signal mostsig: std_logic_vector(5 downto 0); signal offset: std_logic_vector(ywidth-1 downto 0); signal trigger1_enable: std_logic; signal str : String(1 to str_chars); signal str_std : std_logic_vector(8*str_chars-1 downto 0); signal vline: std_logic_vector(ywidth-1 downto 0); signal vline_clear: std_logic; signal vline_enb: std_logic; signal vline_enb_buf: std_logic; signal delay_index: integer range 0 to 13 ; signal amplitude : std_logic_vector(1 downto 0); signal phase : std_logic_vector(15 downto 0); signal dds_out: std_logic_vector(31 downto 0); alias sine_raw: std_logic_vector(15 downto 0) is dds_out(15 downto 0); alias cosine_raw: std_logic_vector(15 downto 0) is dds_out(31 downto 16); signal sine_out: std_logic_vector(dds_mag-1 downto 0); signal cosine_out: std_logic_vector(dds_mag-1 downto 0); signal signed_ch1 :std_logic_vector(dds_mag-1 downto 0); signal signed_ch2 :std_logic_vector(ywidth-1 downto 0); signal scaled_ch1 :std_logic_vector(dds_mag-1 downto 0); signal scaled_ch2 :std_logic_vector(ywidth-1 downto 0); signal sw_buffer : std_logic_vector(7 downto 0); signal valid: std_logic; signal nums_of_zeros: integer; signal w: integer; signal fe: integer; signal fir_input: STD_LOGIC_VECTOR(15 DOWNTO 0); signal fir_output: STD_LOGIC_VECTOR(39 DOWNTO 0); signal fir_valid: std_logic; signal fir_ready: std_logic; signal fir_extracted: std_logic_vector(15 downto 0); signal time_val: std_logic_vector(6 downto 0); signal s_axis_active: std_logic; ----------------------------------------------------------------------- -- DUT signals ---------------------------------------------------------------------- -- Config slave channel signals signal s_axis_config_tvalid : std_logic := '0'; -- payload is valid signal s_axis_config_tready : std_logic := '1'; -- slave is ready signal s_axis_config_tdata : std_logic_vector(7 downto 0) := (others => '0'); -- data payload -- Data slave channel signals signal s_axis_data_tvalid : std_logic := '0'; -- payload is valid signal s_axis_data_tready : std_logic := '1'; -- slave is ready signal s_axis_data_tdata : std_logic_vector(31 downto 0) := (others => '0'); -- data payload signal s_axis_data_tlast : std_logic := '0'; -- indicates end of packet -- Data master channel signals signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid signal m_axis_data_tready : std_logic := '1'; -- slave is ready signal m_axis_data_tdata : std_logic_vector(63 downto 0) := (others => '0'); -- data payload signal m_axis_data_tuser : std_logic_vector(15 downto 0) := (others => '0'); -- user-defined payload signal m_axis_data_tlast : std_logic := '0'; -- indicates end of packet -- Event signals signal event_frame_started : std_logic := '0'; signal event_tlast_unexpected : std_logic := '0'; signal event_tlast_missing : std_logic := '0'; signal event_status_channel_halt : std_logic := '0'; signal event_data_in_channel_halt : std_logic := '0'; signal event_data_out_channel_halt : std_logic := '0'; alias fft_out_re : std_logic_vector(28 downto 0) is m_axis_data_tdata(28 downto 0); alias fft_out_im : std_logic_vector(28 downto 0) is m_axis_data_tdata(60 downto 32); alias fft_out_index:std_logic_vector(11 downto 0) is m_axis_data_tuser(11 downto 0); signal fft_out_index_buf:std_logic_vector(11*delay_length-1 downto 0); signal ch1_y_fft_in: std_logic_vector(15 downto 0); signal sqr_re_i, sqr_im_i : std_logic_vector(28 downto 0); signal sqr_re_o, sqr_im_o : std_logic_vector(57 downto 0); signal sqr_summed: std_logic_vector(57 downto 0); signal scale_sig: std_logic_vector(ywidth-1 downto 0); signal top_6: std_logic_vector(5 downto 0); signal mem_out_data,mem_out_data_buf : std_logic_vector(11 downto 0); signal mem_out_address: std_logic_vector(11 downto 0); signal white_noise: std_logic_vector(15 downto 0); component clk_base is port ( clk_raw : in STD_LOGIC; clk_250MHz : out STD_LOGIC; clk_100MHz : out STD_LOGIC; locked : out STD_LOGIC ); end component; COMPONENT fir PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(39 DOWNTO 0) ); END COMPONENT; COMPONENT fft PORT ( aclk : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_data_tuser : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; event_frame_started : OUT STD_LOGIC; event_tlast_unexpected:OUT STD_LOGIC; event_tlast_missing : OUT STD_LOGIC; event_status_channel_halt : OUT STD_LOGIC; event_data_in_channel_halt : OUT STD_LOGIC; event_data_out_channel_halt : OUT STD_LOGIC ); END COMPONENT; COMPONENT multi_fft PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(28 DOWNTO 0); B : IN STD_LOGIC_VECTOR(28 DOWNTO 0); P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0) ); END COMPONENT; COMPONENT blk_mem_gen_0 PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); clkb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; component trigger is generic( vga_width:integer := 1280; vga_height:integer := 1024 ); Port ( clk_100MHz : in STD_LOGIC; enable: in STD_LOGIC; input: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0); value: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0); valid: out STD_LOGIC; output: out STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0); time_val: in STD_LOGIC_VECTOR(6 downto 0) ); end component; component cro is generic( vga_width:integer := 1280; vga_height:integer := 1024 ); Port ( clk_100MHz : in STD_LOGIC; ch1_x: in STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0); ch1_y: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0); ch1_update: in STD_LOGIC; ch2_x: in STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0); ch2_y: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0); ch2_update: in STD_LOGIC; vline: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0); vline_enb: in std_logic; str: in std_logic_vector(8*50-1 downto 0); VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0); VGA_HSYNC : out STD_LOGIC; VGA_VSYNC : out STD_LOGIC ); end component; COMPONENT dds PORT ( aclk : IN STD_LOGIC; s_axis_phase_tvalid : IN STD_LOGIC; s_axis_phase_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT; type modstate is (set_amplitude,set_phase,set_ch1_trigger,set_bits,set_fe,set_delay); signal state : modstate; begin clk_base1: clk_base port map(clk_raw, clk_250MHz, clk_100MHz, open); cro1: cro generic map(vga_width,vga_height) port map(clk_100MHz,ch1_x,ch1_y,ch1_update,ch2_x,ch2_y,ch2_update,vline,vline_enb_buf,str_std,VGA_DATA,VGA_HSYNC,VGA_VSYNC); trigger1: trigger generic map(vga_width,vga_height) port map(clk_100MHz,trigger1_enable,ch1_y,ch1_trigger,ch1_update,ch1_x,(others=>'0')); --trigger2: trigger generic map(vga_width,vga_height) port map(clk_100MHz,ch2_y,ch2_trigger,ch2_update,ch2_x,(others=>'0')); dbounce1: debounce port map(clk_100MHz, btn(0), dbtn(0)); dbounce2: debounce port map(clk_100MHz, btn(4), dbtn(4)); dbounce3: debounce port map(clk_100MHz, btn(1), dbtn(1)); dbounce4: debounce port map(clk_100MHz, btn(3), dbtn(3)); --dbounce5: debounce port map(clk_100MHz, btn(2), dbtn(2)); prn1: prn32 generic map(n=>16) port map(clk_100MHz,white_noise); fir1: fir PORT MAP ( aclk => clk_100MHz, s_axis_data_tvalid => '1', s_axis_data_tready => fir_ready, s_axis_data_tdata => fir_input, m_axis_data_tvalid => fir_valid, m_axis_data_tdata => fir_output ); bitshift_div1: bitshift_div generic map(size=>dds_mag) port map(amplitude,signed_ch1,scaled_ch1); sig_gen: dds PORT MAP ( aclk => clk_100MHz, s_axis_phase_tvalid => '1', s_axis_phase_tdata => phase, m_axis_data_tvalid => valid, m_axis_data_tdata => dds_out ); re_sqr: multi_fft PORT MAP ( CLK => clk_100MHz, A => sqr_re_i, B => sqr_re_i, P => sqr_re_o ); im_sqr: multi_fft PORT MAP ( CLK => clk_100MHz, A => sqr_im_i, B => sqr_im_i, P => sqr_im_o ); fft1: fft PORT MAP ( aclk => clk_100MHz, s_axis_config_tdata => X"01", -- fwd_inv s_axis_config_tvalid => '1', s_axis_config_tready => s_axis_config_tready, s_axis_data_tdata => s_axis_data_tdata, s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => s_axis_data_tlast, m_axis_data_tdata => m_axis_data_tdata, m_axis_data_tuser => m_axis_data_tuser, m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tlast => m_axis_data_tlast, event_frame_started => event_frame_started, event_tlast_unexpected => event_tlast_unexpected, event_tlast_missing => event_tlast_missing, event_status_channel_halt => event_status_channel_halt, event_data_in_channel_halt => event_data_in_channel_halt, event_data_out_channel_halt => event_data_out_channel_halt ); process(clk_100MHz) begin if(clk_100MHz'event and clk_100MHz='1')then end if; end process; process(clk_100MHz) variable TMP : std_logic; begin if(clk_100MHz'event and clk_100MHz='1')then TMP := '0'; for I in 57 downto 0 loop if (TMP = '0' and sqr_summed(I) = '1') then nums_of_zeros <= I; TMP :='1'; mag <= std_logic_vector( to_unsigned( (nums_of_zeros)*20, ywidth)); case I IS when 6 to 57 => mostsig <= sqr_summed(I downto I-5); when 5 => mostsig <= "0"&sqr_summed(I downto I-4); when 4 => mostsig <= "00"&sqr_summed(I downto I-3); when 3 => mostsig <= "000"&sqr_summed(I downto I-2); when 2 => mostsig <= "0000"&sqr_summed(I downto I-1); when 1 => mostsig <= "00000"&sqr_summed(I downto I-0); when 0 => mostsig <= (others=>'0'); end case; end if; end loop; case mostsig is when std_logic_vector(to_unsigned(0,6)) => scale_sig <= std_logic_vector(to_unsigned(0,ywidth)) ; when std_logic_vector(to_unsigned(1,6)) => scale_sig <= std_logic_vector(to_unsigned(0,ywidth)) ; when std_logic_vector(to_unsigned(2,6)) => scale_sig <= std_logic_vector(to_unsigned(1,ywidth)) ; when std_logic_vector(to_unsigned(3,6)) => scale_sig <= std_logic_vector(to_unsigned(1,ywidth)) ; when std_logic_vector(to_unsigned(4,6)) => scale_sig <= std_logic_vector(to_unsigned(1,ywidth)) ; when std_logic_vector(to_unsigned(5,6)) => scale_sig <= std_logic_vector(to_unsigned(2,ywidth)) ; when std_logic_vector(to_unsigned(6,6)) => scale_sig <= std_logic_vector(to_unsigned(2,ywidth)) ; when std_logic_vector(to_unsigned(7,6)) => scale_sig <= std_logic_vector(to_unsigned(2,ywidth)) ; when std_logic_vector(to_unsigned(8,6)) => scale_sig <= std_logic_vector(to_unsigned(3,ywidth)) ; when std_logic_vector(to_unsigned(9,6)) => scale_sig <= std_logic_vector(to_unsigned(3,ywidth)) ; when std_logic_vector(to_unsigned(10,6)) => scale_sig <= std_logic_vector(to_unsigned(3,ywidth)) ; when std_logic_vector(to_unsigned(11,6)) => scale_sig <= std_logic_vector(to_unsigned(3,ywidth)) ; when std_logic_vector(to_unsigned(12,6)) => scale_sig <= std_logic_vector(to_unsigned(4,ywidth)) ; when std_logic_vector(to_unsigned(13,6)) => scale_sig <= std_logic_vector(to_unsigned(4,ywidth)) ; when std_logic_vector(to_unsigned(14,6)) => scale_sig <= std_logic_vector(to_unsigned(4,ywidth)) ; when std_logic_vector(to_unsigned(15,6)) => scale_sig <= std_logic_vector(to_unsigned(5,ywidth)) ; when std_logic_vector(to_unsigned(16,6)) => scale_sig <= std_logic_vector(to_unsigned(5,ywidth)) ; when std_logic_vector(to_unsigned(17,6)) => scale_sig <= std_logic_vector(to_unsigned(5,ywidth)) ; when std_logic_vector(to_unsigned(18,6)) => scale_sig <= std_logic_vector(to_unsigned(6,ywidth)) ; when std_logic_vector(to_unsigned(19,6)) => scale_sig <= std_logic_vector(to_unsigned(6,ywidth)) ; when std_logic_vector(to_unsigned(20,6)) => scale_sig <= std_logic_vector(to_unsigned(6,ywidth)) ; when std_logic_vector(to_unsigned(21,6)) => scale_sig <= std_logic_vector(to_unsigned(7,ywidth)) ; when std_logic_vector(to_unsigned(22,6)) => scale_sig <= std_logic_vector(to_unsigned(7,ywidth)) ; when std_logic_vector(to_unsigned(23,6)) => scale_sig <= std_logic_vector(to_unsigned(7,ywidth)) ; when std_logic_vector(to_unsigned(24,6)) => scale_sig <= std_logic_vector(to_unsigned(8,ywidth)) ; when std_logic_vector(to_unsigned(25,6)) => scale_sig <= std_logic_vector(to_unsigned(8,ywidth)) ; when std_logic_vector(to_unsigned(26,6)) => scale_sig <= std_logic_vector(to_unsigned(8,ywidth)) ; when std_logic_vector(to_unsigned(27,6)) => scale_sig <= std_logic_vector(to_unsigned(9,ywidth)) ; when std_logic_vector(to_unsigned(28,6)) => scale_sig <= std_logic_vector(to_unsigned(9,ywidth)) ; when std_logic_vector(to_unsigned(29,6)) => scale_sig <= std_logic_vector(to_unsigned(9,ywidth)) ; when std_logic_vector(to_unsigned(30,6)) => scale_sig <= std_logic_vector(to_unsigned(10,ywidth)) ; when std_logic_vector(to_unsigned(31,6)) => scale_sig <= std_logic_vector(to_unsigned(10,ywidth)) ; when std_logic_vector(to_unsigned(32,6)) => scale_sig <= std_logic_vector(to_unsigned(10,ywidth)) ; when std_logic_vector(to_unsigned(33,6)) => scale_sig <= std_logic_vector(to_unsigned(10,ywidth)) ; when std_logic_vector(to_unsigned(34,6)) => scale_sig <= std_logic_vector(to_unsigned(11,ywidth)) ; when std_logic_vector(to_unsigned(35,6)) => scale_sig <= std_logic_vector(to_unsigned(11,ywidth)) ; when std_logic_vector(to_unsigned(36,6)) => scale_sig <= std_logic_vector(to_unsigned(11,ywidth)) ; when std_logic_vector(to_unsigned(37,6)) => scale_sig <= std_logic_vector(to_unsigned(12,ywidth)) ; when std_logic_vector(to_unsigned(38,6)) => scale_sig <= std_logic_vector(to_unsigned(12,ywidth)) ; when std_logic_vector(to_unsigned(39,6)) => scale_sig <= std_logic_vector(to_unsigned(12,ywidth)) ; when std_logic_vector(to_unsigned(40,6)) => scale_sig <= std_logic_vector(to_unsigned(13,ywidth)) ; when std_logic_vector(to_unsigned(41,6)) => scale_sig <= std_logic_vector(to_unsigned(13,ywidth)) ; when std_logic_vector(to_unsigned(42,6)) => scale_sig <= std_logic_vector(to_unsigned(13,ywidth)) ; when std_logic_vector(to_unsigned(43,6)) => scale_sig <= std_logic_vector(to_unsigned(14,ywidth)) ; when std_logic_vector(to_unsigned(44,6)) => scale_sig <= std_logic_vector(to_unsigned(14,ywidth)) ; when std_logic_vector(to_unsigned(45,6)) => scale_sig <= std_logic_vector(to_unsigned(14,ywidth)) ; when std_logic_vector(to_unsigned(46,6)) => scale_sig <= std_logic_vector(to_unsigned(15,ywidth)) ; when std_logic_vector(to_unsigned(47,6)) => scale_sig <= std_logic_vector(to_unsigned(15,ywidth)) ; when std_logic_vector(to_unsigned(48,6)) => scale_sig <= std_logic_vector(to_unsigned(15,ywidth)) ; when std_logic_vector(to_unsigned(49,6)) => scale_sig <= std_logic_vector(to_unsigned(16,ywidth)) ; when std_logic_vector(to_unsigned(50,6)) => scale_sig <= std_logic_vector(to_unsigned(16,ywidth)) ; when std_logic_vector(to_unsigned(51,6)) => scale_sig <= std_logic_vector(to_unsigned(16,ywidth)) ; when std_logic_vector(to_unsigned(52,6)) => scale_sig <= std_logic_vector(to_unsigned(17,ywidth)) ; when std_logic_vector(to_unsigned(53,6)) => scale_sig <= std_logic_vector(to_unsigned(17,ywidth)) ; when std_logic_vector(to_unsigned(54,6)) => scale_sig <= std_logic_vector(to_unsigned(17,ywidth)) ; when std_logic_vector(to_unsigned(55,6)) => scale_sig <= std_logic_vector(to_unsigned(17,ywidth)) ; when std_logic_vector(to_unsigned(56,6)) => scale_sig <= std_logic_vector(to_unsigned(18,ywidth)) ; when std_logic_vector(to_unsigned(57,6)) => scale_sig <= std_logic_vector(to_unsigned(18,ywidth)) ; when std_logic_vector(to_unsigned(58,6)) => scale_sig <= std_logic_vector(to_unsigned(18,ywidth)) ; when std_logic_vector(to_unsigned(59,6)) => scale_sig <= std_logic_vector(to_unsigned(19,ywidth)) ; when std_logic_vector(to_unsigned(60,6)) => scale_sig <= std_logic_vector(to_unsigned(19,ywidth)) ; when std_logic_vector(to_unsigned(61,6)) => scale_sig <= std_logic_vector(to_unsigned(19,ywidth)) ; when std_logic_vector(to_unsigned(62,6)) => scale_sig <= std_logic_vector(to_unsigned(20,ywidth)) ; when std_logic_vector(to_unsigned(63,6)) => scale_sig <= std_logic_vector(to_unsigned(20,ywidth)) ; end case; end if; end process; process(clk_100MHz) begin if(clk_100MHz'event and clk_100MHz='1')then fir_input <= white_noise; fir_extracted <= fir_output(fe + 15 downto fe); end if; end process; -- signal str : String(1 to 50) := "hold time violation"; -- signal str_std : std_logic_vector(8*50-1 downto 0); GEN_str_buf: for I in 0 to 49 generate str_std(I*8+7 downto I*8) <= char2std(str(I+1)); end generate; process(clk_100MHz) begin if(clk_100MHz'event and clk_100MHz='1')then --led <= str_test; ch2_update <= '1'; --ch2_y <= vga_height/2; ch2_y <= mag + scale_sig;--(sqr_summed((ywidth-1)+w downto w)); --ch2_x <= fft_out_index(10 downto 0); ch1_y_fft_in <= scaled_ch1; ch1_y <= scaled_ch1(scaled_ch1'length-1 downto (scaled_ch1'length-1)-(ch1_y'length)+1); --ch2_y <= signed_ch2; if(sw(0) = '0')then signed_ch1 <= std_logic_vector(signed(sine_raw)); trigger1_enable <= '1'; else trigger1_enable <= '0'; if(sw(1) = '0')then signed_ch1 <= std_logic_vector(signed(white_noise)); else signed_ch1 <= std_logic_vector(signed(fir_extracted)); end if; end if; --signed_ch2 <= std_logic_vector(resize(signed(cosine_raw),ywidth)); end if; end process; -- input process(clk_100MHz) begin if(clk_100MHz'event and clk_100MHz='1')then -- led(0) <= s_axis_active; -- led(1) <= s_axis_data_tvalid; -- led(2) <= m_axis_data_tvalid; -- led(3) <= m_axis_data_tready; -- led(4) <= s_axis_data_tready; -- led(5) <= event_status_channel_halt; -- led(6) <= event_data_in_channel_halt; --led(7) <= event_data_out_channel_halt; sqr_summed <= sqr_re_o + sqr_im_o; if(s_axis_active = '1')then s_axis_data_tlast <= '0'; end if; if( s_axis_data_tready = '1' and s_axis_active = '0' and ch1_x = "000000000000")then s_axis_data_tvalid <= '1'; s_axis_active <= '1'; s_axis_data_tdata(15 downto 0) <= ch1_y_fft_in; end if; if(s_axis_active = '1' and ch1_x /= "000000000000")then s_axis_data_tdata(15 downto 0) <= ch1_y_fft_in; elsif(s_axis_active = '1' and ch1_x > 4096)then s_axis_data_tvalid <= '0'; s_axis_active <= '0'; elsif(s_axis_active = '1' and ch1_x = 4096)then s_axis_data_tlast <= '1'; end if; end if; end process;-- output fft process(clk_100MHz) begin if(clk_100MHz'event and clk_100MHz='1')then ch2_x <= fft_out_index_buf(11*delay_length-1 downto 11*(delay_length-1)); -- pop --ch2_y <= sqr_summed(57 downto 47); if( m_axis_data_tvalid = '1' )then sqr_re_i <= fft_out_re; sqr_im_i <= fft_out_im; if(fft_out_index < 4096/2)then fft_out_index_buf <= fft_out_index_buf(11*(delay_length-1)-1 downto 0) & (fft_out_index(10 downto 0)); --push else fft_out_index_buf <= (others=>'1'); -- off screen end if; -- if(m_axis_data_tlast = '1')then -- end if; end if; end if; end process; process(clk_100MHz) begin if(clk_100MHz'event and clk_100MHz='1')then vline_enb_buf <= vline_enb; end if; end process; process(clk_100MHz) begin if(clk_100MHz'event and clk_100MHz='1')then --set values case state is when set_amplitude => str <= "set amplitude "; vline_enb <= '0'; if(dbtn(0) = '1')then amplitude <= amplitude + 1; elsif(dbtn(4) = '1')then amplitude <= amplitude - 1; end if; when set_phase => str <= "set phase "; vline_enb <= '0'; if(dbtn(0) = '1')then phase <= phase + 1; elsif(dbtn(4) = '1')then phase <= phase - 1; end if; when set_ch1_trigger => str <= "set ch1 trigger "; vline_enb <= '1'; vline <= ch1_trigger; if(dbtn(0) = '1')then ch1_trigger <= ch1_trigger + 1; elsif(dbtn(4) = '1')then ch1_trigger <= ch1_trigger - 1; end if; when set_bits => str <= "set fft index "; vline_enb <= '0'; if(dbtn(0) = '1')then w <= w + 1; elsif(dbtn(4) = '1')then w <= w - 1; end if; when set_fe => str <= "set filter index "; vline_enb <= '0'; if(dbtn(0) = '1')then fe <= fe + 1; elsif(dbtn(4) = '1')then fe <= fe - 1; end if; when set_delay => str <= "set delay index "; vline_enb <= '0'; if(dbtn(0) = '1')then delay_index <= delay_index + 1; elsif(dbtn(4) = '1')then delay_index <= delay_index - 1; end if; end case; end if; end process; process(clk_100MHz) begin if(clk_100MHz'event and clk_100MHz='1')then --change mode if(dbtn(1) = '1')then case state is when set_amplitude => state <= set_phase; when set_phase => state <= set_ch1_trigger; when set_ch1_trigger => state <= set_bits; when set_bits => state <= set_fe; when set_fe => state <= set_delay; when set_delay => state <= set_amplitude; end case; elsif(dbtn(3) = '1')then case state is when set_amplitude => state <= set_delay; when set_phase => state <= set_amplitude; when set_ch1_trigger => state <= set_phase; when set_bits => state <= set_ch1_trigger; when set_fe => state <= set_bits; when set_delay => state <= set_fe; end case; end if; sw_buffer <= sw; end if; end process; end Behavioral;
gpl-2.0
3b840eb7f363da113a749ea66ddf38d2
0.59156
3.099539
false
false
false
false
amerryfellow/dlx
rocache/testbench.vhd
1
3,048
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use std.textio.all; use work.ROCACHE.all; entity TBCACHE is end TBCACHE; architecture TB_1 of TBCACHE is component IC_MEM port( clk : in std_logic; Reset : in std_logic; -- active high pc_addr : in std_logic_vector(Instr_size - 1 downto 0); instr_from_mem : in std_logic_vector(2*Instr_size - 1 downto 0); iram_ready : in std_logic; enable : in std_logic; stall_pipe : out std_logic; read_mem : out std_logic; addr_to_mem : out std_logic_vector(Instr_size - 1 downto 0); out_instr : out std_logic_vector(Instr_size - 1 downto 0) ); end component; component IRAM generic ( RAM_DEPTH : integer := 48; I_SIZE : integer := 32); port ( clk : in std_logic; Rst : in std_logic; Addr : in std_logic_vector(I_SIZE - 1 downto 0); EN_IRAM : in std_logic; Vout : out std_logic; Dout : out std_logic_vector(2*I_SIZE - 1 downto 0) ); end component; signal reset : std_logic; signal CLK : std_logic := '1'; signal out_i,pc,Read_addr : std_logic_vector(Instr_size-1 downto 0):=X"00000000" ; signal addr_to_ir,instr_from_ir : std_logic_vector(Instr_size-1 downto 0):=X"00000000" ; signal mem_busy : std_logic:= '0'; signal ST_PIPE : std_logic := '1'; signal READ_M : std_logic:='0'; signal instr_from_m : std_logic_vector(2*Instr_size - 1 downto 0); signal ONE : std_logic_vector(Instr_size-1 downto 0):=X"00000001"; signal IR_EN,en : std_logic:= '0'; signal valid_out : std_logic:= '0'; begin reset <= '1' , '0' after 12 ns; --instr_from_m <= X"0001000F0001000A" after 25 ns; --mem_busy <= '1' after 20 ns, '0' after 30 ns; --pc <= X"00000002";--X"00000003" after 40 ns,X"00000004" after 60 ns,X"00000005" after 80 ns; en <= '1';--,'0' after 20 ns,'1' after 30 ns,'0' after 40 ns,'1' after 50 ns,'0' after 60 ns, '1' after 70 ns; p_clock: process (CLK) begin -- process p_clock CLK <= not(CLK) after 10 ns; end process p_clock; pc_ref:process begin pc <= X"00000002"; wait until ST_PIPE = '0' and clk'event and clk='1'; pc <= X"00000003"; wait until ST_PIPE = '0' and clk'event and clk='1'; pc <= X"00000004"; wait until ST_PIPE = '0' and clk'event and clk='1'; pc <= X"00000005"; wait until ST_PIPE = '0' and clk'event and clk='1'; pc <= X"00000006"; wait until ST_PIPE = '0' and clk'event and clk='1'; pc <= X"00000004"; wait until ST_PIPE = '0' and clk'event and clk='1'; pc <= X"00000002"; wait for 20 ns; end process pc_ref; -- MMU_G : MMU port map(CLK,reset,READ_M,read_addr,instr_from_ir,mem_busy,IR_EN,addr_to_ir,instr_from_m); IRAM_G : IRAM port map(clk,reset,read_addr,READ_M,valid_out,instr_from_m); IC_MEM_G : IC_MEM port map (CLK,reset,pc,instr_from_m,valid_out,en,ST_PIPE,READ_M,Read_addr,out_i); end TB_1;
gpl-3.0
e13a2d01378ed93cc1332eadbf0bcbe9
0.596785
2.728738
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_v3_0_comp.vhd
8
9,350
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block M2gl8nnzh9jPPdVqvJdS4nFhoFNdEgbDgf4JnNyvYZmcjmiIf+n1VSgrTzzB1x4K+9mhaiPbVAEN 30OHfEBnHw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CV04l2skA5luWBlQhwAdcjTJsIevQXhHcxMZAf+EFUm3biLy7YlvUkTaL9tV7DMXzzgMlhiZCiz+ aC6IOe8nNVMHy51hu1sG2WCuwODFRm2MJykedjGkgVgyScJgayLjdB8LcwcHUcIJib1UOpjnmZar ljUCo7mgcKoa7Z/zk5g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block meuL3fyu4MyBoQfk4q4dN7fAbF556I1aiKKQyx/No5ddNVDeT8QQGPQwaStxVAps8ZNSCfynwiJY G72v5rBrD/Jm0eufe5n7Ewp4RCBZYe1aezLobNUs1ci4ZqvQZ1SWNfXYuYRuiQ8e4qLRuoO+llEm Y6X73u0AE6i5vqumXdBPVD92w0KDXj/pJTcSmru5l+/dhNDnpqNKcMfln0Bp8iSeY5VeK0HoxjHk PrWt6b6FuXBkkbJsioqQG1rviM3NcG4jaf1vz8i3O40BOE8uIDq+6jiIxNvfe62ROHwcJ2rUkZ3+ i4YeTgbFQO2777H589dIEVEXOgWMEyiy6vkHTw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PyaUdyoF40fQByTA7+fJ9F1CBGCMND45yuIfav5DdlMTMy54LkUpYxVQznMLshFho8W19Bw4G+La KsZJqb/ZtsKA1lGlfPY6+L+IfwbvvnDv/1nMAdxt/2SaPDKGWfDYyzGOOOpl5/GL3GLbL4kssGE5 Y8TrSQwUZXBqdQepgFs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YeZ3P+3OTBX4ykSeo3zPAVlQPKNyCOEqYGiwFSyohYyWAwAl/ErfqYT7OpcvoprNdJbm2+tJAjNk M7ZpAIlapF2d6XCBc9I+7c4twLf3F3NnZHEZ9pYALIGmu8REMB8Om/SVvA3TLmjPaj859otXpERq 1vI0CoAZh6RLS6XIBDDWzgiArR7O3I4dsbd+xI8bnbuBrRP53yYx05Mi0vNu/4PcYWHnE5bb6uy3 09UEL1OlLriRrYHF8CAqEjoWs7NoXmcn1PdII7e9cCexwWWgxSWD3blDTaehuxQEuWKUv3qNRVMt RlcuuUL4d3elqNiPiNkAApw25I/gCSVlhIDb6w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5184) `protect data_block hufT00an4RlLBT3NvtAvQQDrRatgqbGfq066vyVfwK4VHtqiBEbRM0vRbLiJRXwcE0gDMM/d81aw IvSJaveimfWUl6XwY2fbbI/C1YHcgQvq2t8MxUHjlhrxnwh5JyLK/ExQb3FtZDGKUbDa65Mwm1xL zKRRRz7wDu3bEpMBojkTrRN3zNkrEfb6oJxkTQ1ZyuuMKjOMMlb/CPHFDGfI9YEx+hQl6k2S4VUI woWnc/L5Nsp7abKGtBEsoz4O+R9c24cZmHDyTUC28eeyrPUUtBVeJfOgU8/4F6Bb3kEoiUEGKywK nc87azWmNOAiBKyztAWzb94cpthBeq1ZNrVZma+SOk9e60lbgFwjlqJsHevoZqzScLhiFO3os/y9 DYTPcXCsLhWbUl6TefSkVtcun97IK8GUcwRmqQS04QD9SZfOhpj4YK1rlwheNqyECA7FoqfGJCZy 4B+s2iRN+5+ufI6rzFU3KgaIlfQnwvSyEVMyJdA5yVRdh3ibiJNDPj4X2+/AWGSmPQL4DzDyvm1i P6wjK1MKdJtRp8g2xzsgLB1zNEcuLhJQLWx/UhEZ4RUDfO6Ef2BaBQ7gj1vh4nbovS5fnn4Nrj5C hoftvEE+2hj2ayTuZiHx2HTVagWbhJYQ7gKu8HHvYWfaJrLjXCK1T3rePzWKbaRW+RfnTrcYLY8s n628DfSJbEshaXSWVVIbGGNytWKFd6wcB8k8A6cAHk/zxCuSO1HCXYSQZbUyVJmakjkYjsMrV/Fx RxNDjwsPGc5ayO4+s5KfOUjaVBdhkA99rhPgT4KZG2r+0lf3XJ09q1mREdzi1zYWyxMMtQusdfV1 XRmjo1Np9IDZ0MJMDG69l4S142S2yXjwF0OaSsrb9Me2HEnzZy3Jf/zuqbKJuACUaZk0r42GEhzg /Ws7p5fHwcCgz++E8CQB5kYZAzo8M1Y+lr9UcLw10WSR2091hlchXVLuA9NLrDAKgWxAfEnCPGyV LseGnzfOrGLx5WqgUYxkl0+AFMXk6PR4LlcFNAxAsDAdYf6kusJZgpQz2xLOZwblEixQM3VuMOkU r97WziRIr/mWjUXHZwPKx1LXqQBy041A2J4JbJNkOAwnEy31HhY+Czhp8IT2XiCtnmxO1R/dCi0W WYV+WJaD5r4bcHFTr2F28AHDGp+Wdo/v0DBZKRyhRm0XKkcNkYm7TAy3Q/qF8IvBrn4yRGfycPQn vpx4KjV/2jhUHLsxHqzouQNzo8WC1ASWfjE8JYlljot4Nq6fk/roIIlVZe3R0fkVOJ/DiOPcR9Qx CLz7DWbrwCYfRQdL5WjwKDkHnzKG/QoQvLRl8imHbAstwwy277mj3DOjMnfH036yMbrEqTckK9Sz DGzXgTkMl5x3/QBBaGQ50GAGgbmiOJA5yRVXo4fDG8rFkW7SkiwQVhtqDnAOcw1YhLUebdeArP6L wp7uVD47j9mTW5ALNkIwJ4SWbnuhfhmNBsMRlE0Rr1v+0iRXHUH6SoCcexa83fs5LrrWYPGkzqTt BPhStvhVNdP3uKDhbQXipLdWMhEEBnhqTLgHxclKLhHEbPTj+9y1zSCk/E/UxQi60VFSXcZs6lC7 jZHRq2vKAwb/zR2bk3u4nDah/I6ia6/Y6xwBUt31K8OXL1Q9LLPy0v9gvmy0mEFSM/JuUeaaUnJi Nj8tnJIZgHFOkn9gfr6PDRgkz+pWVhaVX3bE61bo37vhPdr8ooGNKyOtc1n7YygATP8z7E+J/FZf zpeKdwIYzNgtx+biDxN8O2K/5JkdoB77yQmqfsn+O2mlA38K8HMvFCDksbbbTjs5O+G9xzce/1MZ DaNPucR4r5UtWtlON/WcyiesyiqfbblWuBvgRMt8VE+4TZ938qdLJggp16cDW9s0PTuu2xGQlqQz t8dN4icTsHQLh7aTq5TteomZ8ekYk+Ido8tZCmIubI2nPQRSqH71KeG0c8qNvej6lwlfbnuCSnbf AyG0a1KHmfOkC7QnBSKxPmZB6wK+mfnvQOBHtkqgQGXwqQq6kni7szBi4Z0uNUYcwEHMo2Xjl4gW tcGFWqJf2wNuTaGL+el8/ujcFDyGCMNUaC/LztyXQnhf9LTAg+WM85ztAl8Q4SNL8YHi3zA86ZmS N9xNbSL9GSm8vVv9iK/JZmbOH8yA2Bv1qFtsmjhVcXO+5b4KocLEzhf5VwT7YDzgc6us+d8/HtfS TIqL3XT/UTXnmZMUHBS85Vp3vMqzRVHSFejdsF6t4rsXHbLCtnumx7mEc9aJembO1nQ+m/ZkdAiL S+1Siu3SUvleHyzY5bE2dAqBlF/bseseRa3UgZywhWYqXHkF6y7rBouNlKnsfZBGvSIWxgg9ASTF gU8Hh3NvtXhgWW75fenIbMsBQ+BipQ6XOK2y7EXTOIVFGsa4AtLDzj/C4CK0brO66mOEKKtiTVhh 8OGWKuIigp882Yk4WfCzvvpwEQuU9O00C4MR0rWtmL51PdvwZK93FCT9FOspO+A6zZf/2ESKfU59 DIHUGbOJMU4EhA4OSDP3zkLSGNKFZZ9IWs5m3XVKL7rz3+5sZS8pf0/AJbVlB6jCW/+35XkSGLFb Fmt3SanxuY3JrRh78LsDWyhaqiIcEOaNTE26PnXBnJkoH/d4bdqokVYagNXTxuZ1DU+LmAo4V5F1 37E4W4Aevw/tseu37D7vQw1oCASp3TcqryyPTJ6GVNc/W2e2vgPbXoEtkvzKXnOriViJg/EMy0Y1 V3ZmISzfVhzvDW1MVs8gjQFbg0CaYxuO8huI4Jl1+e4lHZX8uSuDPJHLj9sNDwS+57nz0m0TK64V vbflxpuT+gn3bSRIl5mT0i9LN98nGfzG6FzwnBr1jwZ9siBtMZsLyW3CHl8efEEImiUdyX+aPLfF YZnRGCneC9weWzFJ2G11pLwpOsb1hIyQT9ldrHNvTGfxWvmq1PYeyeSQf3YFiuIxkeAqVbiQ5dV6 dwp6YJxg9mi8CXTxJSYfDgzHALszySKrZdkQBykbjgOurdGpWefrvpKr/eV34T1j40p1PSNy/Jnn 8vbV7DSC6BqBCTAZ1Vf9nx6lkT3j49k0se6fiW5jLDLpH0Y1ceyZt72w9N11P5J8UpXtlFL2AcQ4 ZuZ63cGYnBdu+i3iyBt8wyPQS/jb2jP2FlZ4KtrBV7GpbrLZu5pUJRM2n4B6C+rICS2wrmXPbZH0 P4/78hwDC7My7n5WZ3CTeJmuT39yWhv96VNAThwAl+/rMAW+YNZ159qU0OzcSsOU8tC5K5kFAJMq 9D5kOsVJ8UkLgeOnQJV8tXPlXPRFB7n82hVmX8DXJno5+G1hm/IzU2ljnH4YvCAJ9zcejX6WGvn5 65J5sxPajqMbv193X3NpY+HM9uIxtHPnSWs1xIoexlCsLc0F8GgsTcsdPd8fzn6EzmVXr88/YZTj vqN1eCTeVVrIKek94nFkvTehug8pwWJRCMYVvINK3BkGOHftj18xTnA8ASupnyiAb52Epd+SvWqV EPsrxnO1iknFQ2MxAw+r2fZLa/3sZFvkfyO9A2DaCjw5ld6I13aR61yS7ZOuVU41D4P5FNPqvry6 LNk21jn7GYtsMFoDFYrR7vgGUieQjNWmOxEiaGk7rdEPwRm45VIvN13lxLHq8WGCzzZ9stBhQylw h7GHy4V44PBC7O0mZDduWuLkVwX3pa/kDKv7XFWkFXcuHQ/t1pwkrY6KxUc285x9GLsYCTNweQL0 DFn/FGOE/D+TH04P4JYQD/jQtrToUpHYEqJ+lzr5vKvlLWquWu5d5S/dTdJs/Ikv84pUqx+MbLy8 Z2m+2BWrVJXOaGBO/YSk8x2XGNkBjxCy1SBsPVqXEQJyj3Cyxpgn3VJpngwdx7Q2KYePUyU+FEiT Qvc8I5eYK0iFPV2tqCaIZ28CfR23aUJXDf8yqmBPtOU/62nIQ0RmhY0CzZdfDYH4pyQQ1R30cuxu Lft6SKTg27MK3MFnC3WHwVtHH2Z3Myd5GmpZljLnqg5vGKxna3rFEOvbvKlgIZmwYE5EzutQsDb8 GPoNdXvm8jWMGrlwIV8kpNm9n6C3kKIyro2Tn8QVOrdbV89Fllcf85sZsfwikHBvRW/2QIhBMCew FKDjJch7exKQvtlW9xVapbRCDVMRF5I9309oIG7mwxt4TmmOZd1Gx6U7HGpIygvFErztlNB9B0Jd xZW64eiolNOXtPY8+5KSrCVdlMntS8gVuDwC5Z0o2rfaQsSt0wIq+gjAiYzwbzb3FGJDuFcZXVi/ pfcBqf1m20mMzA5Esmi3VkGc6AiJg7+/RbvOrY4jLDpXI6YjnTlEaAVeCAK/Lydq767Cf9BahWeR eqSzJZTK2LdmQ3Y5mn2DaauwBo4ABqaYGT6+991sDRIzUmGooStMxrNUFDZX9b0je26UwJWjXH08 XtPTmyOXGwtdd44JmKtQ3+iyrsZVER7hlBv2M0yev8eWSXaV69W9ej3TlrSHrgISsAWZrIXHnYtx rBhFWcPrXWZl6KHKsH3FHgNV+A1YF9FbCGu0LRhEPa/wY8B5gA47YC01RZ2t6c5AvpcmHl1FCpIL p6i41Ju2t3b9h06+97cAt8gl7xYb5c7m0dGf5ZSm7OuJxGMgFFHoGOyy13SCbaz1nle0ZML12spe 3Tv26vFekj4v58yxwtZw7wgRIA//3JtBfuiqHf2sBj2Q3AqTcBEAFoH/eZaOsn9mglULiGxP5PsQ MNmzL9EbSiSG0ZgHsetZKtd9NMA7Q058S39Maix+KBD/Al7Xox4JqBQ8CK01P6S+6Qjh0HDH2L4c fnVnra04pLE3QRdmmFcyWnYRiMM4ZcKVUJDEhzvEZJKKKNe1DFQMEeFXf24PKaRDYArtmPwy5v16 Xov007TeBC6H8IB10ECWO0HKyS/b7ipCvxqwg4zPIb8SfK7t1kKiP0pTCrr+hQjpi/ez1SSaRqXB 97oOZ72zvVNJehB7/kRFp+bEWd7yw59bJDoxLTwFfZvVJUw38nR63PAYlfwBhlFT77kImvA5LK8P tyB1bvS3l0AFcod2kTTw0LDoMm7pJTiO1uwxCdrqfJSFZRB6gvV1c9iHiEJ0Sjk1sMgSugCXeQel T64K3Q0xeL1TWPagoJMxNU3gbiU71EBX8gDoQyJaCvj9YMn5LB4Hi4ko4Bo3HGT8YLcD/Tib0sV9 UBR5bL7UMQJ+QnRQAGVkKy7ZK6TgMINanu+cmeSotUQlj1Z8H5Y1VOodj99A2eSxN3Ol7lBlC/Oj 5XYRv48LzZlLM7V600ESmumDtPdsFS8dOa6LOHfftxx0kkz+eWY86b1EouQwLplFjDwDNlHRcJB5 zksHH3KN3OFWJ3WZuLVtJIrHeNptyK7h4P7XlEpltR2hJYWhRDdjUds1RtJmwz0S/tbwZgzN63Td 3W+erewQJanJNoYHV+/czW245VGxPSdALHtNuLrZ6iVDpcFl4ArKNIXnRrB7DMPJSHd2dLYqgNfv fnbdNhVMlZh7uOCuPvagYzHaawg2HEB4j+TTz3tsrxMn93eR6A6WU7uOYdvo4IyJOj8nxnuiXtud kjkXl5Qm8/lH64g/u6efoAaY12pIY7iE8vBjR9K0YsS6eC/7a8eIoi3xGb2GHdfM0EuTT2c68arQ 8zZRwP+Rkitf0HExc/d+sQ15ENq569SBnlawU+x9rc7LvKowXx1aYB3q1CnbCQXPPCd861poxU4B /ahY05ffnXhh4NEZyK1e8n+P6MNAx+Oi0hJwPHFx4vZqEwwMcio/9jWzOU6bhjq8ZwBkOoxkVhFO Hxr9nvOYth4AfpbhFQtm6UAiPdgXTHQ0/pbBpBK8oq+8A142fyTjfpuKreD6iGMIxE/0+f+W/dHe Gcu2dAM7dreKTg6L54V2eieMcU2jQU+lMN3bbzifaFtfD4oDgjCO9iQP5iCpzVXIQlIblxx0UIl/ 5OX/yW5aIt7EbRe+a61cTgMuYQsbCLtLRQfb6aHseLKUvqPV+qFCOeCHYtMQwSbVz2ktSH9PxyxY k0f87Qoy+eym25i5POrx9XfygSPh/4hbgK6yo7eu43nBl3vqXcS2icF104taVKwt9AI1teGkeywP bMsevMXXjjxrmuiG+FobNv0m4QtgNs4AhDEImsaUKeAj29OnuNpkKFDIvtxAi9teoW6Z64sQZPI/ +qwRogAgYKyAV2gSvSiCRvU0BPXBIa1j+HxCR/wl9zBZ9Dme0IiNLlvQa+c/4NzeFYaWETXTILZ1 MmSL3Md6t3jq4n+5RhpUsVzvDz7rhqLn6EifslqRWcwaUxxAE7TMl1Q/rxWHs/iKa+KZEp444MDu G4ZlRE4L9V5fpwBTeP0sHg3bTd4/2oLxm95r+zYUMeRpi/Ty0qFpXv6y08tc9pb8bfjPGboAfvmy +i2Aqw3Ba9PLo6qs/LCxGiv3mP+I5wRv63uGilcrkORvhYTJ49XLKsdzOJXy9tmcisTEgbNL9i/0 AtOgXsJqUOmZor4BBiHPT/+LcLQOb7xuS9p3OVo3+d5itT9//p45+D0AU8msUZRgZ0oerC8M2F75 9Nqi7+iwgtVFVgdbyOqlklst4DeLqwKJqzxu1DsH8j5rDp5DeZ0TNRKJd+PsSzekyG/oM6xbWXtN kmrmAi2Iv0zhFgySugSIHLAIjA0Ob1g4iAJ7KVtU5RhJHVmwwt/csWeJ7Ea53qIS2ZsvfemP4ssS N1iJzA7qHmqAEv2uydQvRVSP4yXgUxoMO5EadtaIiByU3UtzuKS/1SZ7OrupGm1DB+SAZH6PRbwm paID7Xh1MZCBp6AODV6o1t3Zxfh3RzDUojX9mB+zHDCBqqJC6KStgKzn63TJSeHehkqD1qPKZuov kJaeMhzvlyjUMQt4DFNmlGD7RTJ0EmRD+xXUdZMnulLVT9VfRDVR9eFndVCjXjGNbrJaZZuW `protect end_protected
gpl-2.0
2c757a566833e62ab09a5fcbfcbe0c38
0.923957
1.900407
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/overflow_gen.vhd
3
8,402
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AdKIvBliwC4TwUFUD/YWgFMgY39dujK6KZrySQN7hZbRm5Jan6vcKtPwEz9if2uCQu5aeH5kbOGN 6HQ/48rh9A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ezmuEWP2JQ9OZttQw7Ly2xJEE1Zn003GizFWWSSVZ0L/wWkUCwJ0l8dStbk9qNCCpfnIDwD7lBXi qobitTSEJreXS7queSMg7oNBBVfDVzbvhumzLi3zEgSyUx9W5Kdkh9KsecGw/m0yProxkqdkTIr5 JKOal4dAk3LpDs2Fv60= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LEbYwSNXIQQVAzeE4zbNxtNrM33HLdWPWDbeawTzXNd2+Kk5zXLA8G5wDJzAQ7a2hN71mjuPi508 wffKaglhdRZK2F09Z9ymmjNEFxi6M18Pt3/PpMkXgTeyKirIHKT4o4R6xpDfW12ZUbEqRSHHzjGe ozeCoCziHC9F1D4MFncm8IHVrYD1ZT47Oyn2iFO9Ki9gKJ2pcsul5EV4udSla/eSrgW+tzGo1/iE Xuq6CTQE9yrJ5Xx3mkRQn5xHiKnwkh5ZG1yqub4kifzRWNdyk/2tUX+so2LL/vPBA+Na5L0nZ5nq ix7w6ZPvT6v9WqVTuX67gE2Q6ULKQzP0UECz/g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vvWr3AhRBzY+HoGjZid4pukSHzDesX3HvLee2fEFlz60g2sx+Sc3Yrt2OWSGsg5YQG2RMG+SMWbm JbJIdYoNXwVJ9/NTWLxVkYu7GT/oRtziMqHYfzWNJGNeMeTTaCxXnBkbqYmYn7Sb4aJWBPd8BFLY 6iFF+bgTaNYIUTrA+jA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jgdWTjNeiynqD9qq6omKcrLt+wL4iKkOozBtBhVlSmuo93j8OnN6ZzCK0oevICBesjDlBitnIH4A gQDcwBzGaQdESfJk5nNKJhixwF2FX0ZmoOX5dEdeXVkypIDTUhZl7AX+UIY0RJWgCjel1pB153Hm ff0Wgk2VlTYBpR6zTe5WTKwKwZUbEH2WmDOK8BgRtBM8u8FJ83H5WoOJ8Jby66YGLiZIoidoWDgj vjYt8kDtTLzhP2b6PijpBL4SeixVUBuTSsUXvRB9huXTzhOE1P09OZj6WrdztCHX33rnsV5/LDl4 UJV9oFLN93B4G4jDwSc623e99RM7rzUzX+VqOg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4480) `protect data_block N1K4M3BDEU2/2mA0RqxwER9LiJvJmdgy5xiVmb1O4wOeGs/LSFt7hWEYmp1XXAAjI8mnYIGC0HvZ W2SZbIt7xEitL+kUzJhaYIipg7X8IWUJUlD7q1tEiPpwzgQn9xdne0eQlUCx++SfVdeUimLqNtyW lm46doWiEZDQ8BIK6cMrj8VIiKBJMwjzuKtgipWXNUt+LT6+0o3EtpSLvoAtcuR/hPv3FFYlnQaM KE4W4ifCJLlZbtVjfOKIyUUe7DuIJddyZic/FOddiDoMdkjba6ladwE45LK3l15UAjTYW4jMl75k tkv0LhaK7V7eukfe7t9QBPkUiXsNTSyxkN0td2KmI4qdykdlK02FocSU//aDHumS06/UYWAxummj kXuhY8z7mK9NYyT/u70GKo04Ie+lKJSAcb2QZnHoYtmVsIDHWV8yP24pM2y6JunmhDkBHFFrqnBv V2d5S5J/7RAIcm99D3QWYGiPp9aOyDWvLKX838mR6OM7nhPDeN3dZ9GZfv4Prs0WU9nIPlhpBAh9 8aKQ/0aIpMSPAknA80ECbQl/AjF1TZdYvhZnU4X9l5jGM/mwyFxOMIqGrQSj/f7mJuZoUENiALqq gHsYqwdLkQV9vdYlIbVsPMt+uW44N2sluZH4V1hSSC5Q7YahoHT/IZU8+XDEUaojOhn6p4zlfl6I fKu43utv3SFvH9FpvcZG2JVtdJBaOgalNLvt7nPmY0zWwJjtSVxaAZQM+QrIp62w2GHEzBKTBLWc KO8mHX/a4+FLreSaQuD14XjSHzyPJZF5aeRiP4WQ1A3JjkQw3KD9UPyLUcwTlY+BCFe/tlcgS3rH 7aGj7JWAi+3qPlf4l4zQ08HPrurH/3/f/BRb76A9rkaaQFebqQRJEi9xKKH8cA6xuAHTuD8/joGm GGhkOkeyqZUx3tjU+GqcXBr+5omi25kZ6DXDJEmGfKtYdzK+o0AwXT6JiWPfmO+BVRHpLHv2MH+s 56nqqu8yh7InGj9KJXIdXlZeCbdk2Nj9NR5K+QUw3dgNIgri8WJsmhb5IFU1UiLFrKsgpaaIimj2 oOxRqZ9xWrojpZTp/2DAJJ4kw2cLrN+8ISthMCed50XsqpZG1W/oWlR65TfHxPZJP+fHINM3q5Yu uEtPaavsN5osHJF66BJNgb9fjGKqw405oRzZhT5AojONrLKEtbwTLeYUE+Twj/aO/01iuRoYIrun A2TFnv+t6qZazrmvN+GNRbbgZbwLUJcw6L8AR1RbPeIJvLUvtkYlIx2MfXJiHU/jdF4kMKa3gSDq pIC55ysgpEIG+ER4O2i6YXnS8tCsIk85qnYCHNFJGWQUr0FWyfrEKAnIO0W5r0c1boTcpVsMxdWJ J1qi5cI2nq+h47oSh3V76BVqzmM43TanSI9JW14II82kFBlgEPAZFbrEH10DZHZHQAACrokSle5l RlxizpgV/6fzs6pgixCzH+i5NM4ZgfI3zp35ifuDD9Afx9gFPYHwWGqHDXMgwQrRgu0hFkLfMgum NCQnGgHzf0Wyog5Namv3Efj4i3+jMwsKhi322SJwOocBHY0GFTR2jILNPdE9AgBIJy036qLVZmac SKcpitTd1+VE+36k0lDilVFcbizK1XAs3xGvdE0ZUGPwXlC8Gzjnki473IGOWgnpa0IqLsMNmc8L UuWFBcxkfncHjiSz4lW4+g8FG7qjU1Rov/HyPJPcvXkUOodC4iLsxs0jRh6yFvRAvyFxzjxVb0S4 rEDW1mdWooe8n0eQ7ZgK4799Vur+bO56V1ThMc4MH6vs8sAu3aY29sQYROg5nz0w74/maGeq9GL/ YIT8LSb/4bwFoSLk/f+gDbf9bB2jm8afYwv3gqnHXlECMYc/DPcSBR1YtUbZsD0yxQ4eM7t0OD8a LUK9a6lmiCDr++i7Frabliz6RjsuIgEDGdjnwNcc654EJaR5APGevLldgrhTw2pMM1EBgjv9/iXt kisU6cOPw88K0TgjgF3jfcl2dAT78MbmivytD/LVEWna0Ju7CJVWUBU0X9dJ47ozyA+Esy+4j0LL vmmDGfFMVLuSu63T9pwLeKk+dPRwvoW8bvereuI7wtUfD4bbVtxGL+mqjk1BV1hy1ndjdVMdLHdL DVAEvKsfc7NzgQ0o+G1aCGx5oQ3RqLeZgU6EFfy+z1VhhYcD2IRD6go6UCkdxAg9BrzxZ7MuRc+S pW29jsDg9D9MT5rH3qG7pNKZwmXYnwh2/Ke4edcGNJMea2E564fRfXawR8aO2G7LxAXfpVJu3Dqs /58q9Q0Ou68KH6ltr+J737KvF5C3QzS1ofg2Wgdf95FgpTp5fvZ28aQfdZghd+rA1Iif0Z0mc3oM MpBmvtWbrg1aFrFUjIfiqpEGrgp4u2Wm7688blqTWrn/beXMXMTfoJ3tIDkMzKSjv7+FYOL5xjTX nUs0vBoq6Athi1A/8i76wRrv+avywnn0on2XShVvj6YaGcBq1Vo5mJu46o1HLOYIZeFIZtYzvF4y bt8SkPe5KVVRPv5//WuWBrDd97wJS1HWNI1LWHcNlh3HeOw4jt3Jm5D7oDvIqDc/DDLTZPJ9Etlv boklrXGdm75gfdeHKTSf+fGgLYWJnW7j98NFg518+QI3F20XLt4i/uVX4dZJay9q+GTdNrA1zDTF OpiGZIMyx5QoLdrEVL1NxZot81aqSkhmdeBU4rThAsUNcPO2y1/4DUlpv+P0JdkwIGRazv0RrRgN AAWdbs9SEFdO9fNRdrVS6/vB6tzt3PMYuoI2mYB90bxNMPfKqBJxuqC+UrxppbwZwsQd9iX8T/SZ krZv4YHQvxCKm7qJJT2aj8q9lhnzUfTb1UbTXw4Wz4QHoUYvcX4We98GEWauXKLQX9sFXDm4vCLD 7crLehCdKL9yVBslHlWptGsvOe5iXu/tf+p37mt+NTduqJGO3n11VqEq/4RDg0FnoJL2J5zOk0mv iptrKHVkB33xHt2yzSX99hNIprBhI+9AVqTieIukFZjcsA6OT7RqqKxMxYMWjN+vt71bPnsTUXXC kGl4k5ewhmmGcjfcE951d3Q4WRfTYmGSdGOiJgJ3X+EfgXu1R65oV7XL8lZVaPN17F+CPHzdT8rV nGeiQgBw1zG3CAmxhPibLZ7bTqYV7Ea16ZHNxZSh4GRD0hRe9rP0Qt6zgnPYZkANIWo+I1inF04A Ti0SFFh0NPb3ls3e6HgX8AOt4YUcuarVamnZnOhL4ITu/PalCkqPypLjb0JbHnuar5fVV02Qift7 i6CS9lIJnHFj10DS49qlUxH3jWJuSdY5wXbIld9Tv1RiPi3aL9iLGP9JATFFuHHswucqOAfpddov IoXdGW0pcCQDy6Mm0Bqb76y3WjGxQYOKwHLTJhbO9g6cvmAFBdVhQWNqwxB2HlrSo5uC9Z2uw40Z 5Y1fDdeloCBoU4lHVYXcnBSeJh6mRDAgg8FsaNRlI72v0giKhD2Yy+/hOu6v4P7n4cVpyhMeAr4+ HnfJdL3JQ71pIW4xxRJD290YEF6cCOPDWTyQ+M+7yncIB2J1wK2v2d7DiKBC8H5a01wVRNx5J+yj sN9CeD+rAVFBA0TzXPpnPnjLI82jR3Z4QYJI3CqRNubhqdR6R35BfHFD/yMe7JNNzqopzo0p2vqx Esv2YLiBObNdFvv6ycQZ/IDwoSZJYOBgv0Rras5N0+3rJd9XKMQUp0zHXesc6CJ5NjSfookr9XHC rSVB6ZNacKUUUZO99jLKvJzoNGMw33lQRvlDbitsYvBTm7ZCZ8JkWcdQztftmYiVv7GD580Iai7d vpq0325tAqf1trU/Ow9Dvqg93E7IU5k089mwt6xS+qvakxrLNyKxFpP9zr7qh3OfHD+WYi/DvmX4 9VN+ZgMkSWa+k1aSi/W8mIpobtzqPpHV1ClXZNn7fuQDRJ1uZ+Ysk1XOyOce85SFtWtsCjLWyUu1 L73tXGqrcQ3Yjw89Zup6wJsomAeK1UxVBKhMe9tfheodrUXNr5HWfJY/CZ9NB0xBJTbv0l5BbdMY d6CYkXe/1hKoJAHyn8zxTdB3zXA2jJ9XfQeKiQZTSEBkBPH6NISBqMdgw6sx/PbyQYFEMQ8MmZ+8 /O9hMXyLpFFvLkbCUIlj9VatkBLb1U+Dr+3DWLxNCqoodMdNH9XbPZ0jCIOOYUHkXt1eLteDH7xS XcXC/iCDuIuEPrzZnv5D0L99sThLVHIJ1zlI5Shqnmhng38Ru2BWH/ik3dSFEH8sKfubVTB1y6ko CQCuYu4xj2ZXmJiEjAScrqN+wZttCKMJIphR8rEO9UYPSR4bPnyu4WEnE4Eq6cNFqsRcCFup8G+f foxPZXYHwnURAkelOyAce5ui0mIl+MyxJUsbvhpDhliQuStBDHBH2DUqjyhcc7eiQd9TBeCn34Bu cTwCnrXJUf6cBhr1DBmr6UEsF5DuFTZ/5ZG37pKn07q8ssxJtZeAnhWulf7+AydpGSwLE9YVg35K TusZ5jPoaMvb++EF0XUYvmvGj4Nwzv05gsuiu3T+rgOdvaJw1oDVR20cwa/EhAUl5qnE6LbHDg0X ELu4s+HecpdYlE9P3Q1tKzJSzVQmqi5NzzjAgOIErk285t+62z7laDDdypr40TpIaVh0V8RCKAHA G+z2RjIJ8r6SsvXmDeLQns172fl/X/iMXzuKkAXv8jmjsuhzvz/HdNLY+POL6EfiHw8JyaaG7L9X 5a4m/1ZIP40/xLwmXrrQHhI4Dw0eXv/9RpbMEBdREvDUEDNL4CT1Ps/vwDzMmf3O37o2rqL3l6/X UwPeh59Yrug/t8WZAIf+AOZLIWW94FFbFpGG10aXrBB1kg3H8AGv3bQ9b1VzgQGZ967VdNA+N+PI HVN7ylfsxmQEo5En4CQdTv0UvEPjKJrDYKVzJ783alH8lO0DgQH4ts69eprRvskqLJd7nhu65uhI oxmGnDlrQs5SRYX1jOulHkUhgkLvOwQwdMOyTP6Vs0ykK8Vsp2J7HkdWojW8vkNsuGGY1pOPvsLI ggHVuCtjJKU6Se559QcxN31uxKlI1Lsfd7//yRrEvR2vWncnkzWfYxwI+7LV3mMOUZ887UVXUYmF jLi0eCjizAs71ZvESXmFGmD3VMCkNZbREXAsphJs8xVKNxJw9QBAx+8MrMgn3MHNj/uHyrxM4ZGJ PuJboNBc3wshEU5hi8t58Z2RD5R1al2IVr/h+DcOVLNbWt/Rp5wANlncweRQ4XrCts80npgHHNud nN6NTxhF7jy/jZclRTQgf3FWxRuv0PbliWLf/PKTv0X0D2/+G3m3P5IcIrDjnb3JCyDVNy6ZgaqW KRV+yEpBO0XWOVN4IzPXj+CX5BhjBeSzxCJZOy6lserZXV8TyjLh3L8agc+7e4stZ5dJIvqB4iI4 GJXUJDIdqiiXOkOXDgG3TzyUEmet3EMtCLXM/JGYRdi3fzo8pGES971qvBM8swykqv+nbfc+fjPe jAH+Q2M/LIaWtUZOwfu8snpQha22mPhMYqR5VXu+RQsjDFvoj2qOMn8SnwN4TWLWnk+r7Cdp9GOG O1s7ujzLXYh4A1pFXiHlsyiJ+gEVySlxNj0rxd5fAZJnA6cUigHl7Je8z9oFLk9lJEfwHfa/zYb0 Dt0uenTl5S4zrL5IhiUYnrDuHzaBZWZxkJB03ZjfsaSivCQfQu78gTPB6NepcE0kGQV6Odg5HrXL cl7qBGY4dpol5l0fD7yY+3r9M4LrwuFLN4UE4ZDCEBef6P6xSno6e8H+qKGUrowmFxNwrFFTU5Uz kK7KFxZiOqzLHBcw3nd3xB6gbPAMalKdbNp1ZymeTIUo9S7NOynQM6ZSnr0iND6LsBJSvIu2WM9/ nc9Jm2NuTp+TCpVMDeCNjL/IHSDDRrhghwut4K6RLVbjWhGzuwnl9v4Aijjs9+2XQSwq1p27Wydx 1gcNriWwkDmS7oG4FTDDJSBmzuO3xxVArnoek2B/n/MOmw== `protect end_protected
gpl-2.0
2fe68c95ab3e7c3afb2a8c7d2d775dd1
0.919424
1.910414
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/flt_round_bit.vhd
2
23,746
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WkkbpVjF9xMT0wvBYX4hgkWroufX9jWajVl7Z+9Nm6ojVRGTO94x+I6DtXMSAxX04Pb/8oZzNV7L CfVjZjoWUg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block m3onCRrygn0W7iE3Ttf0jpS9i+0t45gfdnmVpJPSP8qDG4ZQq5+eX49FHQS/TEF3Y9aGHndVemti 57piSDuAmVpB7Gho3zp2bG3C9IunEqjHgfxE19HppYEUW9TG9wnaZttyYfvjiTnqVzyFtYHdLAho UOMZkyZfKQ10s6uGMfw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block vyUIU2rV5Lgh+1TiAYYghnLrUD1RWicjLb/T42+XCAXCs1Y4vLxW/L/15ACoqBP89mVWpHshzmsi qVfWRbtsXxXD9DzROlcrRuVXoo2qcWPzJyNdKw3x58WEfE4ib3MHjGk0XvmAOXETgYNcLz3O8xan 7MRqyRFrC3dEKhlRas4doeok/8App+iNJlJuX8DG5u7wsmyjIspYqN55EtdPv7r1KiCSlA72mmZY hYvAj2H5sDAnsSqKVav5YTuvqCajVAko4mXfLukUqXDshGJBOuUfmRunpdoYTfCy/cD+wT2jU/8T RuHu62NItOMN2Yn6TNOnm6oiIrZXHkbUmw3h1Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block rzg7/p4tdwmi7L/RF04NZJMfCMe9Scr00Vk9ew0OFjxH//eYml+AC/QhJ4ytC4f4BA/z+O/jjPUo 5JXiEZHz5N4Vj+uKkYgxeI8w+JH3bWcyTRyQTvCHH2yOA0U54LF80DpNIH/InXYhal8zlPC9aqPK 12AW4+XGxXCrX0RHLhU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tG8u/TkqSrjIkC/Fs3h7dr2H1hPwO8XnuYpCBBGVMIcT2zxlbp3OeSyWbfN2su5f6Li646MRsjg5 HKsWrQGbj6lEmUl2iO2nnXUpdN4mmheth50PXCDAmEDtEH434YBaH9yac+L0fUIgA0BAxib2BrZX giOXWO+AQsHcSEH+eG5KrvMhPunmy6ADyNER6BQe6gy6bzZ48rwmCP8yQ9U+W0myZPpPxGLtI4RX SgeH9ucjWGqiVg2FGcYJxx1QJD08sjDS/+BI7h01Y9C9kMJ+EnUQ3/dArUYqIPfcPJCtpi5CTxcx jc6TEakO86W7C7yt4fVpl8HAG8OrJPSxwER+xg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15840) `protect data_block t1l/htXaHKZyMoEqCsEz/ldrRncmRPJHdQvrVY22pl60NbpH8R0AnKwJRqK/vNr2gRi944xitndR Y2zFFKSdkvxDc6tXjhfhxR33Ue3fU4cEcFNHPsJV0eLTIEnGGOZB9pjQcnNk0aPp8MbbPN6XaUVh 0ccw60I5kd7bdS619EBAtdYVCUdFz6Mxtcn272ttR4XwTqO6E6RSQ+IfFfwdyHJeITrBOpFURjSd UYfhEG1S6p7JTLwqo8kjeL1hPJKI9T77NZYbY8pjcU9TysibyvvkIEd1NOZWxEMxNPKBrOasam98 cs/lAY0SnSXzZOlnF78JitGy/5gM1+ehGOSlv5+ojT1QkJGZtyW6f5/rAZXEqkvkI2/T7iGQjWVt coWmVR9Fhip/CyJjeFj7uZ5DlzVB69aim9xIuQfLVr+jW1qbCmrGL7bvCwisg84nXzXfJB2MqZ+z 4PfXoUdRELlTX2cSls7XL8s2v4drOE2z5I+rxEnxzbmHLJQ6kuoVQ+Jk1LHLhB0m33COxlBIPrcH 4RmkPClqXzUoWBDtSW9kccJSmY/5TIZufmh1I3HAwsfX1sy+2lD8ZybfzXGMQA7lneUXj6A/vgmZ ijekhRP0EPhPR8PRn2nERkivl5Jcr5mil/9PznexUI55vR9giK+W5ayaa9fBVCJ3pPe9osVC5b1u UkaIK7V59p6lsAQR5ue/DJ5zcE4eHGoUHxxCdz+f+vAFnH6AP5cFMr+DgtiHz29whQ/AfHRgwW31 mIuXszOadIq7YatC8cYx5iHdyU/swkVTDlYOXZOJiUNHajg3VfnP24Z6Hc+nY4QRuRswXC+x8lkg ynXdbNIQnn5gceNzS5pBASSbgeB7MyzEXf92L6QU3YiDGngLveC6W8B730n8ws6ZKeVhymo5Ggzo 9735hb5aXtLJBOWWGtWJ0vk9BZ38r+fNcuL2ZGEkYJb9b5Bc0rszJNt2UUV6RscJayE5WAIYFp5M zYNPsg+2vqS/z50d+gU41HJcvCGA+QU4Y2aUkYVk4tDiC1TOy2hWRBKDsIKM+DglLLrm7CHOwNKp Cjf/IPifk6Qm+zuAR5IT1o89s0IMlALTLRNgFcW7JoG/ThrZAz3fMQPewrQtCiMSKmFhz3/fL7+y 4r1YzxwvuwALPZ7QAE7RyZXs/GGe6JE8yDBc1Od6tpqUaIDh68DTJxY6YgAZcOHo4Qohn/CkCJNZ haO+2ce1Njh/bIEtwJcXGO55VuYfmxC87VxaxWOMht1YEB4mvubuFsQSzRdm4H+3GChvpE5yrM4u 42ybgp1eMLsdygvJn90Yey76pnD+lyCaBkRtFYuJ7A0BeCnaW034qC64s2nSW056iDSEgVOZJsL/ 4+Sx18UMOl33QSQRT5gVdVImznzDBdcbfv7/Q3Cu1o/y3Z/GMDg/AyPvtcFO/ui1TbJur+4cOShF hWfoz4d+ewgovdpU0koDILzsa303Oa6mgLzi0Vf8ypjmziYgFu/J4S2nPq5Z0bgSgrCdQKDVevWJ KVGj61mHg6zwyCggZtIQbArsU5+NY5HGptq60Fzo/3494fRVWZyAva/kJYdeG9627iCbWZRUdr4l 3lnEWQvedSIxVw8ParF1lkxY52EjuKN/J4WgQXBd7ibtkM/WRKmW27edlQwxwC5BH/WcucKEcOhM FgkAAH/YYbWObgAh8s55GhWEDyEGONAk/DYDKNRSeyflcwZJVvhGScfMxXCZliaMoAtQaKEVsFkl E9YCXxxpMDh3PDShPQuhz5sxb9dRIyCeHGyChe9TqyNEBSCZX1uIEieOPoCJIzXT2p4jmXx/TZIj ar5McAgs4Qbo/JVKJDMGRfr6wuxucsACxc15OmK7Mne+DrMW/saipip6Eov9cCLS7gxN1uQbBRxy kvyXYDC8nggYPUw2p2oRCisvW3nl5o7Scv0HKc1A//Bm/aOQt3mIO1hVt139tOU0k2P1+X3uLgvH qSOLVhZCXZFiSv7ngIIlDj7+vZgg1hq7BY7ICg2i+wwo1teS3WLBbdPq0eTqHVqCQOMr5znrUhFK Oi5bRameEDsqqBvUlsTLp2ifw6JH9Nd63ZTTo3xCwuyKfdnpv3VUmdEHDu1WQdOc0gtGbny9gGOK ygNKd17dLzf71Y129UbuWxnpb54svVxnFtVGJRHiNYc54pSzWVWHy6vju3nYxqYpBQRu2TZhFRoz gd3jaahLdLmYqb7+iERMFMIK+1kgkM8D5QDrVv9KQCprvB9rFBssAxXkMJEjjC1H3IPVFbiSLdT9 r1ULez6ki/iQdxNzs+ijAAYmgskFQn9s6mcvklO9hSwhTc4Uw+VRUp0BooupAn4zs6fM/yfPPgfI 91j64EY57nqdIVl4dwtzjBQu6EJ8mmaFSjJDUJ5Wsh5+B2jF6OTvt6ifYNTyidI6GKJQu7eU269Q /rfW2J04ja24Jty6f+MWB2Hy3TPTjC26LgPkHrZtQCNk9vkVJDnaT1qNs6EDAXI3hHIqeGUwJpve LJ+1is8o2CoUft3lwcfwvhiYjvp8MbXAU/QMP1MXPA6EHTVgP8GcP/8k20cIzlTGKecMlzMMNvEZ iHW3vVorLdOAXnOvRkrzuKZNSS3Fc1AyfAAMDzi6ng16ufGnfbET6Vay+GTBav5efkUffFxzJye0 3NFfCMnvfa8KjaQaOsN1s3NYNgMBD3z5Q0gD0Q3Fsib0UXfXmTnAZaXCJS/5RYzCyvKL2d9QmtJT FX9AIeDqImCCk/bjaFU3u7IcD+mYHwVdjH45SXUCL+5exRzjOoE/glQa4ZhdWQ6Ub6jehbWSpLo6 ml/EH4ZOOUmHZW9pKFN8Zd/tBaXxhGko3UNeZxxdZZcNXg/2hwXV6LLmxtZTE6h+kutjKzElSAOv 5reijdVY+NbCItgEtTGEujJdPVyk94E5BwImKSwN9uFdY24DH0Xu/0rlJdI8XUzIinqsn/G2aB4T 7AyhZlg9Ja7GfTVMzMFQ3/pYPW43esjuWrEXC9J2+9MFogf92WaO2nOKa1LTPBbJgnIuerog74qE +tp3s2bsHq79Sd7Rebxkxome9n9TW1n7tc79gg62iq0SVxiH04lQIFeQFkPxq/3ERzdwUaxSZwZx nGzgDJjTd6rqHK09AyiRqfNz76fpizQiLKb+Jr+wx1G5Jpe0j30gHq8KzCTdJHZbly93Yoc6wQ14 uPjUfFheVkGrJafTuiAXX6YO0J1PCRYcSqrkSd4FJcjIBUUL8zsE1XdP0sPrBwwE6TY8BWngb2rM 9dpDhtfTJu9IfTk8UINRYOrdlt+HCwIiVyv0hPUlk8OgPlb0RyTE46WDzhLI4ZLdLFa/Mvw4+Zz2 eqyVuHQFUu5KpYOsd1dTv7VJ6+q46VgL6r8P1v8jwH+Dksw6/xs9kucfTZMWowWbOXM/zISQVNiB 8nXNV4iUDmS6+rs5yM2uxO5Lfgq7YWAPsWgz0vtPMPUuw/UVTrodmNjAaCaaKStbSMSJY4Z2JYZL CWWZ1bef1nfvcc0qX3t3/GHu63lI8EScIF57fU8A76D28p7TjhsXCL6mxuw3VX7o63D2Ze8kT+UU fItOFSdq8yHOVwiXW4QYWcMvG9py9X2hBzqX+WYdksWquOvfcLYSO3flbnrU7N/vwTyW/dH2or/q gTp5jpr73/dXGjFsO/MRxwZD7ghIRCHIppXfOBYkmsYFU5wVV+FV452MrFW1L0FVlX3ef5ba9XSK 3DUN6GGMCyQv2JXdL7utq8Y96qQvQbKxTLW9eihIKUjdB4bnB8Bq86wz9i2Aw2iaRpRe/tExXg5Z V8sGrfpXQ7C8Qt1Wx32B23R+kVoxSWgxVlGOX/Zew0rgM5nCUWvZJgJJrQtBLn3K7AaxP5D/eUdn h7z/cstB1kZye6v40Qf37PXNSqPmrPP4WGOLP9dJVFnZcU7os6O6Ax01elKpjsvF9eCkK7TjV82x yrPdWdfzi+gbiIEKusJXiFZ0jU8r9Xd4lkTuG4Jul8x9HvaL9LS3IL7V46BKQFt6b0toaOBwFgkc 0vDUbNTV6MaIhe0NtP+ORjmxUbdsrCp8qnNyuwQ45ZCm9u5Vn91UFuMUUp6VOOiGFEvsIWI8X4GC 9k356WaRCriVbZc3SCOCNHA0yCMgYs87ShXtigNjeQQXKp/DRpPsksxTGneApEmaB8Ty2cpeXIZI xGO5ug89/gzphTcFhnWAMiKqK2xRLZslJ+DiTe1Yr5bwaXWF/gBvGBP8WHbukRKOYAsEOaSxSZ2/ GldyCDNxPT7RxdxClPVYbmGn5wFMsUc8UqnxW2qgCV4aE8c3UPvw/B4LoMGaV2R3N3gnLv1kc3dR VfuU69/hbkj5hfw2juutcPxMN7dvx3Gyd+jPuMG4KGPQ4SQN1kCWw8ej7kGuDIhz1M8LK7Z1+PSi SwB1Go6EL8xd17KbmDFvAQWrWOfWQ5sZbKkMVWEPz61qyrOALPJi+i9WhRAmODt26KGgyfXCsfFA R3/2zGxDhXbXxewaKq53UeWEV/QqoO44TlDHq/arQkv8a3hxj3USgf8d0eMEeSH/l7MVANGZeQaO psu5eksTVzg3JfORseUDmxN3JguL/ULZy9+FxIfqXhNeS5ULc+umDy2H1qZgvOLSQoykVDFt8xTs 0783jjJWyGeDXzagdbX94yFqmlK/A65Z1qacMY0yJCldQ+6IDpkh/LkIOnoo0RcOU3xapRN1x78u 3/4r96BUxjBrhe8itFMAN4VbA3zOMjDNA8V/y/tRfdqAKaVIM9iAwFQLX23PLsw+7SYRWZ4P5xZw Tiw3CG4ZdsCW9Wf8qhOm49mrbripjjANyisjOKLOsG0xG5dxWHZYjBdzU3mrKMiDVFM2ssoY1HVk Yyo0h7ikopdMLui8ygp3ndznUBwKuD0xU9TI/oRwmQoKLvSbq4aZ/MVZo9/Vz7NYGL9PmzJp8HlG DeoDI9o9GwmQ8P7JjbUUOytB2NmlexQTHEczS1zA/JEDyH/3+SJM/DvRmCAjDa7x3mvO+VmP89ag B9fWu2gsXoGlxbTUhkEUWtxmQsvobgDUjFovKt7VRCslViNK3kKSxd3Cq6V2N7/WHlrUognLfv1Q zVkME2RYvZYgLny2daPtJ6kBSqQgL1EB0f1c5t4dCfAP6ZmZsPV6gtfl6Tb3IYQfUj0WkUrROm05 ieWceZOUeMS0ABtyMrLlUFWlYsZ3/hNw05tn09xXW34xVM49vxJhA6J2kVkN42EJA6V8c0SO47uL jCuYpm0fiehNSQOVDDmKPbDXa2CL189TPl5qvOr6CrKX7CqgHEyLxaS9i7egBBne58FZeWs4cbHp C3Q7kt7eM/APgQCuIfmAaL8zpeN4FmKPJNpzwitQThrlnoPzF/kr2XZh3B+UJoDAfpPDTpVRg5LC azcBhs6AT35ldjdZwFKoW9rJxxKh3KLhyFKzzfa6ypU0U+R+Tt4S13vQiUD5mQqwVRJkBSyn1cMy Pyr6BNwwDGrFKOmtig+0EI8BGIQD//b9bd8TLqEsxaZv1WIf0PxfVr5sXAPTbQpKhsTaN1hCdcpq /VyhvRhLbnC+Fglt2d64aUO9Fcf1xkOOokcLY7zzKp2tFaZvziHDHSrDAIM/tkJb8nv67u0f9Xvj VwvjckBoPefC/EpBaBdmxAhpnRs0J/l5+bsYYpIr+U4x9HMAddSaTJWkv8ghaEeCyAnPPEK56Lpb KoItLhjzLr8vf9CgaKKm9ms3MH3+THAS9Qm52Nr//34oGC3NLfgA11lYCxQ+Q3VPkW0RgBvMQZZR dMAWZChUjmYcl3ZBlEcD+BeBGvGBXaBWw4xYejybaB+OrENIKEYDURZXRebNZY5leRYDv6wbyBEy PoTuYEJY3h90kPzON/Yh8WuLNuYhCg+Mf6oYkiaYGHP3g7NNaM2uB6DIOG18dXzdLBFWiqOTQwDq TQho4tkIObiz8UCiA2S0u3t50HM31sbTuzLhNEujr3IHmtLk09cwIwpm+MfsWmm2w+DaPU1R15Xz bpGCB7eHRdx41gIMJS+tsGU53uoAAug4ILMaWaBIg40kDV03FHN3rliTbh+3To9qdeLLFStjkH26 zShGvyBovThfrJHnydvpsDJRTsrQhItdo9shkIN7wgUXjC7j510rsQITz3sxStpOHKpMpA5O8Zh2 lux1Vif3nWUdUhLOnbFiq5Z+wf5YFa6wTWHkOXJmIwvn7cXU7crhg9mm1VbKugQOTYAGmevN69jY TQlIlryp6zVHYB82ZABpyfGkjcR/WPbfNW8bh8nRV4cYZlccWIPqJGm9ppEbwcnlt49Z3mK/uhRs vmHD6f6Wls5kpIEjkm0+AC4S2rGOMrpnR3t8cnAowULUDtYA2c0f88UDpbOrjihjCvOyA+FkxEvK MSfStKJ+NcDU9s4JIQjpNrfXz8Uau94uEkgDtpB+jR4QEinjzIu9k7983lBgJQ1iSEGx7BVLZwrF aPROU66vr7L4bMg5moCpQGc4sGK7zulT78fUkKmV0pC91un+6k0DFQPTA+EmJsbh3o9YS9ciirN+ GfLX92BeZ+xTlR7mo2GMtmR/AlCg4LZmx3pF0Bkiu368xozoSYtLZ5jFuTodIPrq/f+k5f3MXwg5 kAUmJwHIFttdXc+AZZnrSqTETAb3jdqGAR8fDQFwaw6IrwVSqh06mgOwYQrFASvh5n+UY1g/a0Up gkgNVzMgL+57nf244+YnJksEiDXgXTq5olh3YlwI6GgJItQ9kgbz6AHmvE8rddr3PIUeRwup2jDJ nbVeeA48YTde8rU6LoEZeeda4AhThGwsmzSEpI+A38YIdUOrf6qPMUp9p3e2h0YDeX9PFB1bID7Y QidrQdyfIEoTdukGfVkugnHMdgb10iuM9fJ8sx2q1yf2KcblQZEOjIiZKnw8CWTG65d9LkcjwWsd h6KPT8Nm4NJZy6RKU/5PldEnLWTKYtBwoVVrIRmHnxvCGNopwLWIelNmq9VDzkuP4P2YVfLqY5DV A/vtu3ZmoTYLzAlPEjEbI6b+ZKX3IRWUZ9B33Y9aN7EEr6rogupPHsa7SWFMRCC2iHxauhkGmsqX e9lRtjK4DSOIoVZahQJ+C4H4ly1nAnYX3WlqjTvGSFDvY7XP3QSF3zOYDxgRt/f56o2fT3wxpTfG NqXffCF/eKi/NopQ8tVB+kyUOJjfQDLN5cXfE55VFErYrnjqLIhp0N/iGe+sJjmRcVtS+I3sDvdb f8c+4EuKbMQfH/EA5x5w4f7D7rrt3AKEN4FZJn2M5JJhevaFaToVTrl3CZMO2Q4VZUaLLbPydZDb J4NhIT5nKF+mOH7Oq1OhzwscQ99jgvorozmmWltMDW3zVNYDN6Oq6ikZdyM80WvrYgl6SQc5+HPE bAXsT41GgbIfGJDEEeXV136+Iz5rhUAfcDfNLCnwKxf8liHTafp9mcz0xX/5wCPfxC+ZKIHzz4jW J6lhjQsAlcNtFwaspm9PNDMj4G6kTRTAmMkpVLxJtcccPrm8w3YLL6J+85lKhfGwZYT585g4Ei0n LA+JToCwFxQiDi5A041bR3sp+jnyeCUO7utvrnBDQypf0zHHowGsiIiaAan2Y95y9xzxmz+brUcZ RimqWY++UvqMSZgkxRMdP4OSW/V2EfhtBkr9ro7U+A9kg1qCkftCLarzXcVMdCfaXA+Szk2fyuSL IEEx6kIuoBK3CPuSkF8CP01RkIvcbluezoul+U5gDLQ9zCnafa6HCPTlltH9w3KNJHbBAfiPxrCU EqTgjRuNox48eG00SdLyNrxkTg/T8ANoJDIiuQc9h0QTeutUbb/mpocuaJ37EjhiQgf5T4R4Nmej wUfG4tCcUPRjqYRFLAtewEbehsl5xVTDfoFrVqHZ9uG3IO/o051rx1kJA+eN66UQHrPOY35G0dQT 0E4wx12Mt02Fv6VcgPe0Wmk9ykQr4chGpfg1yrXyIn4+CPOc41a2NjbLYY5RGkUE9PvdfoQTxlOJ qaKvpSfwiytjiYkw9ZPjVWyYPWhl9VbGsONjKdq929FenFo19zJHivVMBIPmEkJZuK6VErolZLxG 01lFkQdtyNOgPeKx7otx3ccFXwknIn65cQgqedlRpB4f+FoyvluMubBcU0RzRhB1QZmn3rxLxfiX WBwXMs8lmHe8nnWY0I0xQAUUHXLNHe6SE35fv650h5qbfBw4ZErCC3BFL3M5uBfBbj3frF63hsdX FnisWx39Bo1VTrBU4PvtxSTbY3BDIvukS1UWgZY1E4KYxgiDg+UzHc/ukSlKf3DAMxjZUsAY0z2r 0FzZxnWVYqwN12Ne6zgMSx7HGE84A94Mjt4Y3OwvUcGLirVpK1rpBfcEqKI3IvDVBS2y/1C7leyP YlkOWSLva7LMaa/IX0tTWb3u+rGee+y0todTZLGvtmdd78qwI2Cs1xdMeOZ8Yk/YNk7punFTbuSd pTUBD+OuHmcAy3LqRBLKb2rzAVppWIktKUgmPoL5DODlzl2wml4q9Uc2tm4xZcKtDi4AIJcCAe7y /j0VFyTFnOXbf6Z+u7qNTNG0upKPIcyUQrjA5lgU8MvuC8tMajfsAn/ajpCdBsVYdorh+Oib5vYe sEWT/WhyFwuIOamSW7qHIwJJApx68+fhuHC/uuvF36nAPTTp0i/ZMJHnViJE0zoUMkJjr4ibnQsH 2dfyFG4NywI8GiT0s2jMuCool9mTnUS8IVoTPCMoQGEJaHKGzfDbQc4bCuVhHQPkEyCjk0/VJ4r7 c7a+0WBQsfN6WGfVMzGELDgf7ZBkKoOs4Z/Krlta+YlfcjUMBZaK64MNxAa8zI5qXY4FO9Ctigfd oCb0DLlW9sy9D37nUL+hvCediB/OGBBEAaXvh3bO/aAS6iUeofgt9IOP5Qp02mIdDZiFIHUxCAtD kq8+tzENsKa0y/e1/rK1z06jSiKge4nkRWRMYpTfldGoyRF5XoW3QLnfsMLRw86wiFovIXnioXiF L4nSN5mIGtiaif6geRgA571cMiqQyIquoKlrh2X8aHlte+mjDsh9XtixhacJyfPyOBjBIFO3mamL XBbrpABVZYiLQQ62g9Ig1VAOQHfHIBhbSjzxC9gUVw6bp3bc1iSdn2ft7ZGhZ2y0/tTCmrmaec4p RcsBKZpjZjWXhJBeIcQSCWjA+tXXmjExIE01ShF8qnFtbuiu7CfvmVPXxkXcpNNOPCs30FnTn2Z3 PGvhudiysrNQpzfcZcjErXcGxjHsYG+kHnyB3yz0/oZP2T/gR5jzXYo1ipdSL/syvENx3TTX8ktm BSgmCLw6I6W/HuuvOxP823RWPPypqtVHpjueGU0PjzUVvRIf45y29M3IimkzFLEyrHffw1jNgosM IpK4wkkQD3uTjoMAUq+olYU99Fmq//HS4LlTF8YrLUJ9+bokTWt/GifAsg+lbfB773LMRkRbZ1/s tZgCn3d4ktpcaj0kmBQLmfXDsdsVFB9Y402mlpwdWDV/iJUHYzV5MeOTIWk2fVB1l4FPu8V8jq+p 0AsJMT6E0o0eRqbhF3fvZgICUG/YaVrRv91ZdmpIyO8Qa0BkeikKaCwbkgafHIfggUfvwADA9ALA 8yvvgnVja7AKSq/x/dUZFE4j3X8cPeaTAzsD7YUFiUkK7I7u+wWEEOS2cwe98nEuEq47m2HTliBD KwZJbpl4EN/AnaPxB/RJd+4rypOs1SAJ/oAcCj9zh3LBTjSSBfRCNJDU/zdlaWQ/mhfb71jsegVV lZd3viEyGb44zYNfPbF6xd/U16QsnptPkRpDik0gm3CbT8uwDDR583oHRs6WJJDaTZFKIu/r15N0 K/Wnzb3tn6cq2s8NVZ5cAeViPsbKIs73+bunmXLuhh6V/MiOlCVVrkaa2kkjPfPvK+kANh/mjGef V+asECOhrBokF3x1QeB7q2/Bzv8Hx/oOoZbrRs47dEVTCCKSOIY4Iyy17LL1RDE0WCg9zV0fqgvL MpkhZBICGP/5tuwS5QIzmAtIeRq/rBg+5ku42ShyxvE0zKYw+1nwXdA/a+Xp9U+g1y8B9c5HT4PA S/IEp4+IxfePZgdhb9cj8gRMVAPOHgpXJNfhUNRc69BiaZGuB5HJEqwP3BG1MsLTCniTi7c10U4V ckJrMHStT6byEhnXE/NUWhJLKEoc+ztag2nFanFtt4HLTJkr1hQdamyLlH6YWjE8FeOOqRm1ANEf rpn3X9dRLigz5z0MmUfDBS9Kt+8lQXdZKiccDhmDsFF7FlgNY30zdpaBCh6XYu36adlI4PTBMnlT kzkEL20VL8BPx0YDwp+1YtKYY+nP+9Cwu2yPBgLGLZgHoKepvlpYnYZo+D24/boGy1x61EbChn7v APJBc1GL+cCMT3chBN/ue2xTywM27+J7tqXMtmwxg0ZPm98YVmbjQghg71H18sygpVObMYUf68OS OZ0vI8iQZ59lmkd5euSut9iluk3BPq7cG4MXYRoyN9oQrVFgWUEKk8Zx2Gwj0uRbKejiw7fsNhKN mRSPxFvavYthRtpcbixuroSl2KHeuOywnBwWPhX4jfU3EN2mZovq3TYUXyvz9luWM89MqBK//Rc8 uFUxNuA7nvkLAG6DyVMx3G4v62S0MGeUjasuaeAw4BW3acJ/j7d23X1Pe0KAWrVBLDcm12mVEoVI Jh+LqK+8JPq4KfXPWGRNQPI31yHG5ZM721oECiQK6I17yqYDeBno6PcnSektUDZ4yBly+SO9QIam OM5l7D+PxjiYqnmmyilp261oJyIU1PjsEsi3t75KObmynZzxeVuyy1R57XVTScS3SKCg7VOYm1gg ZsnT6I+f1ZC5EzERFUjGTgremUPPNXWeX5CZppnMAs0Qun+cLqZ9MfgOtkasl/T+g9TMVVjVkMY8 nifQWsNY66YNVYyROQkJ7ezgalTr/kLyvuVu9QcDOdZw36EumBwi8LPOG9qWbj96lY9Jer+z1/9E mtYdu9sZE2XE9fAEl+aiTA4UfVo7DNc4cKyfZlXCEq65GV+U9J+QPoSxsIqYzXCmcuZCAN3A06E0 qX9vP8lewGZdiAhyxZhjqyjfP08ioysNIPMtGwvUae6tD5aBtLMCCzNs7rgq9GnOXVI0p22z/Liy ltIq7tPK8w33bUjNb/cu4oYA9pvt6+fnsUOTT+NXNpBxRPDY68GpDDiqhFf5L8/c3lQawaZhEpHa gxVGMznbiN8fzNRdif0OIaV6WG6/IYOT5vVK2hJhaH+W/BnOvRi9CcrJNi4Gm5s23Om1uqqmvvKT BU2o7EA/vskQTXlj4Z51ZDXG3nnRFqeQBPqhPDb7yRy0325+VUuXdgtUkarZPgTOeBVyOz7pv1Q5 qpZwi3A0ZGz+vjnT1feEw6bTY9XmVFh5hncd/YUIOoOdUM/bChpnym7GXDFzM5elP8upMIIqBFlF N1N1j0D+eCCo//wsQzfK+geitkx2xM0dzqTg3IXCnBzdl9EyLnbHHfB7sJ7DdpT+S6XbceUYY/yl 4JkYKoAzvXBsQwPe5XgLe22+thUX/IitPjj7PeBoFLqZLEdv56PTrDfrOyjez8zs+z/08MoS5egI z0NWnlgW2IIFaIGjIx3DrlUAUN7i/sTxXMcQO7D8XBXCyrQB5W3T6vxY7JrSj+cSXGVQtrXuTJqA z0AJcvNGfPERTOAwbudHRTnSubBAU5fxav2Gx5uwcfGUNokIsCFldznHsWyledifSpmY4e6UaXPq SFkq6dgNVG1zE/MvuwibzHGXsn4kMgObB70CJ2ca+3m9kLnVZIxJ+uSXiZqOtH6Dv3kYwfSHJWTD DmN47TdbzdrKAhO8XBPX7k0RqKhwKQIVoCNW1/CLiLYziUJI3J4xd0jbnVmV4FWtQtCzob7pht8X kEhZeDTWuHc7o3gpx8g8Um1ClIbKKpKnf89u8JBTqe4s0n8dS2xSJpBuiqpegZ3U51Mj45/pjp+2 bea6xetAzCUDm579E040xvxOrGdMaDrVoJSBD340VWsilCtjAIxy3FLjG48kX42/KftUgkEchtbi HWsHzLUPCYEL6LjAuGkj0K9xfgksyrVq4vaz2+uqdlWhEWyXNc4cVwtAIhndxMes8DsSxHk80NEM q8Irfjj89wkqvdJVaLe1s8mBjvOQdEsRpMsi1FwQ0RfPazZLzAd8Duf0COfbpIbz0jPCDSoRz5L9 MLLvZnbDRIXE3TkYCs90yhcZpSh97njj6Jpf+LN6GkyXBNRyjYKQmUYwBUlvXDbt8Af4/lvuWVUZ JDPcQKYiO4CJt/yb6NhYG90rlC+5stoEUEFzyzwaY/EXSh3duugLJgN7vZevP2yXigXnj+KYUKQw ZCyCcpkewoxXW+iLJybDXgzu9unY0jFGhLhhfEzcACaBVzAwgVOgjDHyNzM+lGZitnlhRmAcXXvp eik69PgvK5XgwKlRwbl6KEneQ77pZtY9FOppmywKPlOyfHgzHJdBgjYm/hfqVSWA2XkDWoYUgDYB Q4vF/Ddl03V4E9981FrbZNwFhU3S0g4Jij7n9+S4tBn2ffjJ3D7MENymYLPhboLfoRutJrZ3egYm OjnhDF84FTOr0LWbdKtyVeziZj33bKdiXurK7uce55YV5Mspr/5VmilEdJahEJTD0wbJMAzDZb5r hVqVVa6QZaedAgnEsgqe9ZwhLTtcX5673DZgYVK+96iCgnRCjmqL09+X78bT6TFGNA/h3vGNj8bu Zg2b73jRcV01VSgx7DYB7AteA78XEfeMons4wZ+MBwQzcUVdRBHNuA+mAYVZQBdZFdoNiYtLnhid ZX5EO8/aCWNmN3PapyrfTI68/W3hNTZ/cKDPdNWMwW9d7/d52H1TWty/ukzSHqECoQdZN8JFQMXs vYCx5hc9Fp5YhsW66hw4u3+t3z5hyNc0MH4z9+b+uD0qmbqA545XIpHydFvm72xttwZe/TPvzyzQ ntCKdzRES8LHEBzGPe9j6LzphSO45Dq+EHoHVdFnv/oJRlPkE3AnipnvVZQoMwlBHnyvPAej3E5k Qd8LdqK5vuBHCalwxb2W0erNGjBgBGEApBmuN8q7sG4Mo2GchQm7Mhm5IkOpm1ZLek8fGCvazODu /QvPRa0rjT+n8ZglrHuQfIBnnKcYI6pypnmNHv+WuKTjT47Ix5hEH8D7y7Luxu5oCvCS+KdexbhA cxDJf7UDISinD8KD6xy0BSbk9ZLNwkMzN28PhXjCdP7g3O5yJzgVH3VMnpsAVAxPEoZkYeW+tdMl iIQk46qVrXgKFgGvcG08GBEOkAWgAGcZoJ+A9wXbCxkSFG/FmPdaKf3cSVmZ8E9Hcre1fjvv4wvh AfI2V7K64TSlZGmzFpmfsCIVOneU5KyztUL8pa2q38At4LssVYTgWrgpncQiHmj79n81kJODq0Hi 3D7ufhKnA2P8XYeId3hfqz9Xei8QkmAXkExSfXOYx23TeEhc0KbvB86+SsitgFe6mUdaWywoPMwa a1mbBbL3hn7yfp+koSXVgI0qlAXCwSyBbb95iBg2vfLD9PI+qQ1zfhzat6d8yqAe1iWVtRcMmdhT pUSy6JodNscr8E37dhiHbaWJthl/XQcE7jamYna36vWxz2uCw1Z4DiftjXu4N9/EGkhIkgePSiyR z66R+R2Jh0FATcQvdjjwrnvNOliFFL1yMiZcKJ5s5VQgAmd7AoiaXU8IG1KRTZ7UmCkbl09vv5vg IyHeD3kOlrLpcN9Tb1EkrV1f9SdqecT/oQogRMXh0Fjd9Q3xCaYerFTzFMeOSAqn4HTyxQtwf9bU vSHdJOYnk4HBQwbpY6SbFrXOk1qx8qJZqo0Uy9NmdDhMuQRcZPYaETP9NiFzGq61zkmEeEP1Lj36 zGu9YdzeR3k2AfD9qju8otdCPzLtyQV5+wpEzO0oC1Q/LLQ+1bN5Q3A4fgsa99Bt53iQhCuLGoEQ cRCPxb/1ox12kQJg81I6X1FoqB+Z63snuCUQV/tdpTZIZeqogIL5y4WPogz3CTDK1UTQfgCYShYI M2dRnTxSXitfOl8rsvVA2VOqYGEu3TD7SMgQm9ycB2XvzX34D0UYi/SB8Z/ZSp61HqdCcM2eDBvn PcMkKnAv0zk0BlGzq3zGbsNAUVjTkD8d+w+hMjQgtxOhd9l340gMkYyn0wv7yK2cmRVL5mQUgacz xiMenlrW4ur9yJN7sQN84nbMTQflSeQwSMHSLa8p2Qc18QWhMNMUIn1maAgsMv+p7YgqiYfjNkno PsJwsVsVx4Xb8OdzaaNvkzmNKCIoLE3Hb2sfWzPVC8GjanWp8MpG22AQ05BezC0GjBpDlq8IgQfl iD+d5oBAgVrH5p5H2ZkipeR1gJrz33DKhkH1rJOUKH9QU1PHTsmAF9Bj1KHbFV6hbc7XcRpMa8QT nOdT37Nq5bEvLHDTJkDJpCzAutlYQQ7mrEwS3H+EYNfiIHIQja5RpNHMNCExetmdxQji7fnNlMNb 5x8LgXHN2Oo5td1nZliH6b/+IxeFPtigMcBqIJ0ytz3cZLyKrRqgwrsMCgJty5suOsMQ8aqU469S hNwjgVpKK2B/+g6WMMhWPJ+Iw34YkBSvHP/jFHoo2tKwCyvVV7Vl6aepj8IhtZiRga7jp3bhcz5j n7EteI0F0a4wzNOX8+/8twDP8Q0ENoHzUq7CXw0WIOCCDB/9yC0u4Cs3VHTRvEC4SorDCahyKp62 2iq1isbAnmJN4V7E1XFfb/HfPi1e1MkAtIU/tQCsjVqYl5CSnERXkkyDVlItBOgDQWFt594ih9iI 624iyEhS2vRU2QnB24MWIwPelhdl1RPRIAS/snXn41mD8VQHtsYeqsKYT/lRDvhwNc3nQ+bV8uUm khuW976Ous9qF324SBBJLW05BtLWA+g7B0s7MRSf77XKwhiWZf27exF+QESg+8F28LsKQWzxS226 kj7gXo8TZDf/FHDIqbWjgubV1goHjTLQGbzlsX+XhGxww+qPk+QGwlAAT4MPj+NczABFBAPmuc1A nntbUg43TjoJutUefD7H7Of1wKuB4nKdHmJqx+beIxNf3vYMUIpJqz6vE7fqfiqqmux2Enan4oQw Yj83lw/HqUXgDwXJ0oycL3fqRR/WTECLvCvBgNmpQKLflgBKmfi0aimzjMcY6HICGClJvbgGXaF5 Y93MKEtDHOnbYvsAUhQHjmF3j4m2QBD5hFzBZDgoFbsm3NMPRmvdJJ88q0LrDvXSDWJjtHLjBeTR yvbf641XFVSO14AfyjS+qJrc6dvzEmiTLG/4xnY6WafGeLHF41MzsoAQUEBNl7Tynv9uKwSrkLYZ pO3d/sgqS3bkLRUXGzmasY6I/SBi/NsWNKAXYUaqozP5xO5uvmxM4chXGi7esNW1pLCOYgwb9QfR 2UPEuskBf0jRbyA5JZXMcLGpzR3rHuMs479LIicaJISO5ST+pPsphYHIPJsCCPkwBQqdgP+i4TOR DR98Pigr/GcHYrjUKB7wqNNZm6Y1xGkXor0BAxNuwBzUnJ7yyBmr6Ssg63ZDAcR7R4n4yuOqbwBG 6AP0ZIWL5I7askhjuKVaX3j5LrBceYiycBdE/VRy2NQMDQaklV4pgQAkNhQ509QbGxlWfyz+m2BA sdtfA256LBV5advg4WGQDy1+BtU/L5HG0SPF4azKBHdqhSlSv2lCOWDXnayHnScOBkgvnIF0haOH 3KKG8+H5jfT4vqtYCeFIkNDwl4UawYjAWXp4RkbDP2XulCKvIM92cyTFTgJE1nxC3aMSsg5/mv9s V5LxWJ7TwhOTrqUWcuCHqUgMQWmq+PvX5DFdZzjPt9Lw6zGQvAnwcSoXBQNr21IiTgsc9gWD5y+z RWlHQMIDGkAw7riGK/Vk2Rpt3mgV78NS6qzhOQ/EsmYxu2EcCV10DKmRahLLB8OdsAAlpuOxfXEH dDGQrcZ83jya3B91zRhwyj6oqzVSiEoqcOH/8A13Bhlb//pHeG7S8dtesLko9M4hZcz55X9TdsKd M4NpOsZRkqAzsKhOxsTdY0AV4GJU5YknAO+UQhs+/HIcCE77UVaZee3rh2zDKissiUTYuHQYVAKA 0wXu8qigsoyI2q66ATn5hU+GvL6Zqnz2+j5vZbYa+FnhkCZeQV2oHp1iDT4llIwYv3JZ/eRnAxhZ zqfJAqZfXkrHig/wsPX0WlaT5U5PE+6gU9hs+Rp7y5cCCtEBbOvYG+vNzQ6/rHjOQCSy3gydP2SD raAjTzU3vthI4UfR96ObA/O3MMvySlzUCucqEFrmqWzMsYIbAw3O11UTqyE94kmw5zk54L40b0bH ZavjqEwlt8vGyFTFaFW0Y694nZqiwkieFJsZx2mG5HGa28G9Lx/yo6XehvpaxqyH4vcA28O1UF8r 5txrdm272Rgwkm001C1oV2DJz+lpp8uCOghw+g1ZnXmTf4bAhHwsE1Oxguaa0kTU2q1e611hQZhG Mc4YkQ4W+PW9AC4etnPHsbN8VmxnayIoilyvs4EWZ5uN7JBKQa0rhyzPELdRtzWY7Z+9B5GiSV+D lnVd1hdoTMvKD1KIJyFqGFYBlESBtDiYBhOSVIbYzC0D/Qcd/IzT73rBck+astDHww+tp9uwF/V5 J19vJnpBv8hlNrWXDA/v/HRMhKzow6NWckhmEOlmeGuXsk30W04Is0C7egajLujQP+pxIBVg2lXD 1YbxGV78sXk1tmxS/T5JRhq+KBXLzoCdBNO3DxE3IQlpuU/QZxy4GE2sQk5mm20GwNZepqoAWCTb Wnyoc+F4wfRIA3AATD9vdRxtsId5wtqxEgx8jCeVdhdWM6agC4Ilbftl6Qp2rgTZ75Xxkgp95Dcx x+li0MveD8JYyTc36ud1nLOuQtc+FVE2Z0YyhioZLlnfDItBw7WNbXxjnIWTExXbqhMHHi8WLORB qX03AmeYiC/wDO5lSA0+6vCA6GdQPkLQgrok27MnHU4Bl4mR5GrKKAqBoHWK37qGLxRqAI2WCydv vtyaoyqnTl4gGxNZWVLsMRuoQXHaslms4N6oORHlgfcfV6ngzzckOaRi9w8T1Ub6S+JdHCPQzqPN 0pB+ZXJfh38cxGxa1d+EIKupeI0tZvC14QVZTzDB/dST9C5e/H5CtuPHDbU+dJvJh8LspPaPYr8a 8HlZF2p87vptv9vJ9Hp0dquhLJAQ3f/pBRGPUtzKVg1avA77RGtSlReWe/PMDk1lZt9P/SEROGAl 6AEPORL3nJHaJVcYgzjuuASRnwLzCVc7IpfFEtIm6jX3b1Bof4m5A7R232eHXfQYZrxC/hQd4bKL c+peujcIUaNTXAIQ6UwmnHmiGDBqluzFVunOqcmquOc4Hkv4uzK294ddgAvJ/B5rUX8SOy0PLi7+ kj//TPTZQvORy598kCAg44iItdJHueoRjM/9Qmtfts0ffTM93NbiGnfBhOCSFE09IgmJJl8pb5/j IoaPhzIfWUfw2C9/FQhMoD+SEFrxc3xlGHkkWknW7l4/moSLoJZqDdwHQ8N6nrHyvcAfaZJorZzV QhfhqBRXGkqfmc7e0By9ZzeW30ClyCg/tDmHHHOFTv75q8NJiT7TqKYIrclTxxxn44CTkhHOQTiG MiRwhzn8uAze7lrAqew7JQhjdSAncQ4ouORqoQn3maecuuZVHc8tEkbXp6c1uQwzJ0N0so75hSqs V4q5xPLgttsuKIwxE5vKI3m7RkG/2rWnWVJ0vrFqPeVM90GjJVI6S7teK8YYuojL8EvTaAlaxD3f pFaEtcXsDCMDFWrUA9Uo2Lj7IvvO4qMwCJtDTYqhUiouiCxoQSMPuuqRty8IBalQsJCxorz74gCz HQK3iEe4UNj1kJ9uTgmpHgQJF1z4zMIlBBO6X6V44vErrrIy4KkLhn/KN9GYceqCfKE9p1uezPfX +kV3F/ADoGaJ/2+7wGlfQEeRXlanXmchZqWKqC34sHH1YxsJ7aiT3UuBfzoO1+SrywqMT6hzC5LH E5mMbZ8fRbWpFyKSBC5OJj1pplazacqTnRaTxFldzZg1U7HTzskJTj64HFEgZPP2iaX43akum1yn L/w+28zN+rOgpOu805XclJ5upEf3sXBz0td+YfGm2IBcKx4Eg2571kePCr0JRbBfV15AhhOZ1zb/ dXozuT7/X7nZ0crT7n1hhE0aNe/lYslyqY4K+ylxMw96bgzxMqdHKTo5QtmpDr5lRGUOlKsWZ9gE SCkj/ST8WH2SORGfUhShOKiABbkUz4GcZiDOLEEC1ZrlzXKM9ejMAW3DTXUfnnV5cvOYGFGVSljG QdBJxDEotRuZtqRklq/WqwzOuwVqJW5d5Z5zayVLf1FRF0aoqfNWruBvcK4ZtFEPS4Ovk7ocSEtL oCXq2rOVKfvwnLZba66hjcijJ9QYMWW1yf2gKf20mdYJ87BnDLULUPaGgHmLP4OCzsqpT1M/FFP6 AdlvR9u2m7n+6Bo3oObUyB8JmKUhNeekS68oQjcLoNzeXj7nvqa3qrjMNvizLqNFkVJCTKv4zu2n FXUAqa7w23XsfgkRJ5AwC8qk9mLCGVk03RoT7cCN5u4EQ1HW40BOiSK/+1mpkYY1i31Ocdx0vidE S+PUPLDI9xuW+zabDEx/9ohY4AnwiybFZVG4zgZ6GXOq/VUeFgy7enB8/yt4P6IhquhyUinWCylN 9tYyCVNzx5BzkJu/K4/cNg4YoMgLQyhfdfGqlDB9H1lz0S4VR9Gj77ulLRq7wsRA0GzUqTbGvris vUifF4bN/DansKt2OYG9ZoiJ3e8aP6Ut4Yk3wAPTxxRQY6zzlko5zumSdTl1ACAIFdwjuJ1OCkhq FX1Xhy80pch0o0CoCvBErMTPVyQM2apF0lzt8BzSdyhASVrPArcRmtfZfc81KwDOSl/VZjAj+/KP ZQk/1Y6Y8zUpNX+iCKQXOGFwn/XkPC+/UPFwxQtIwITOBRWyaLpf11rl/yEYnyKFmXA1USpJdqHf ojz70Q4soVheMPLrz2qUp8jc4F4eORn2Nkz6sR/7hJ0u4UavxLPlEciQMnO+s4tS02o0AYjc+mY8 bV4IHY0qJBtOSu8NW4uPhBmdZyHMDNClm2RWjQ3gcteDQ5bkputXSpT7RGPljBhpvWHRimFdEz8v 2utQZlbrlQKzpsRzzNhaRfqZbKGFOkKXDQBxuP7pA0sATYM0dtLuvVNYe2Up7Xf2bxGXRybSL+Gi TrNavPdLXYmA/bQkz7UTdckZdB3Hx70vEk6tGJvINn3HQAb+TTfQdHqIk/cwKuCj9vyDDS5+umRu 9oGNe3EYKlXmB0QmS/+6l48bdDjnO5IQdwlt2daUUXWN695EI5uZVVaz5ilBQgenJ0EF9h5i65Y9 KEECYGzo4WJM/Lrs5NHlzPiyXSu58bCR5apBwcaRxq2Bh6T6bGsH05JeaDSWLt91XtG7LUQWaTkb gmNQH/IdMrJ9CpEGLt3CVX0+EjFI1d//DnW22iRnePwOV1kmsP/70kjB5SmL7zXRAMlrLWpN/n7D bgONZWmg+Mbjr1j+tN2ZSZljTDN8kPnAaR0kjJPRQoPJHka/WEPZLcnlBYJSietCl5NHa60XPEqj Y4Mtqdo7Xhk3faISW1PCEp6be9fcVJ2PvTGgCIs1drc/sToQwqyfeYO/PQnoqwUrIGmTpLULNpl3 8X7zuVVegjJ2/yQgrLTQzWcUqCIcOLS6rRVYyVFjRpDf/95JgQW+8uUaf8VtYJmaNMK65lFQ0n8O GTURTyIUGMJOl0Lwc3kRurh+O/A8P1jdrtPkvg4a4FCoNRIKYBjADnqeZcScaCEdtbEQvBux8l2T Hem2d529OdF1S/uMJNV5BwMl4oRauCR3Z1brLWe2+Y9VE5xa66IJkTzXuzeBCSzz3Mk66pxBJ0rp X9o6dNn/JS5w8bZK6faVoRxJPyn+nMXpEWTe6HWWDEqVtFBXx7T3uUIxKpUyTHSgQ7U1LD0wSglb KhfTR6KJ4IcgefDR6odWUu1qBzj+1NGjW8ZT3sZvo0iMlV3yU801/DKwM2G4aywcIm6jeiT8pzKK YNBVGzXvBVckJi2UO9PU28ORwfb6WgPOpuLMJc3hcmI74SbY+k/XAYTG7XpaqXtXUjOiVN8b1/Qx RwPYmuTIg/M/RQyFYkoRepd8P0PnxYheu+zcewrKByMjgjqr3Fm9t2Ct3Lb3XMib0Zfe+Ucz5CM6 2IXX8C+X1TV0PBmtPyrJd5m4bSwNGElWiv1FoG8g7aaBaT66SLyW2NwaEPVORVwPLozgQiz9NVv1 PnOtMPTRxTLbw8xkfrisjy9rhFn5SRYXm+q00151wlSSIaYPMfW0EuVGblmodOaighq3cMrrEdEp il8ukG0WyRJxWRZ8p5cNTJgZgRT+yeSb3eMGv8dt6MTlgIhVt/SbTDxTYJymrEl9NIAt601YxAvy 72LzO3XWbok1yulZD/wGcq6DLa79W+2syJ/ufFN0rVMU8nLcsmq5e7odniFNLWQhU4wn7WqBBLUv xCV/w1finIWh92RuGt9Cy9FhYhKd44U8BwEQgrGZ9s4VmzGMLIklH+t5MVV2L8y3MvmSiLn7Q821 ji1VKK3cSwuk0qJK3oUM3/3uQlR+ii2T3eb/4v8tPgkmt8FuRJ3f0DGQFHtsckOZg2xcRbs6bAAQ dZbc1SDvOruDddhrpC7jX3lw1H+iUkTckRuk3XbEs6GydwtSejMpkoAvfOgqIPveVJeMsmd2gthM psP9fKU2JGj1xjd9Rh1AmwW7KLhuuJPJCWM8Hu25OnV9YMzQ0SBuCtdUPkXXyEE11xMQJvicRxV3 ZegLe5olN1604CvmwqA3i2vFHMB6f0zSwD5AAUvkQsG9FGDCKRxQ04ZHf5cIUZb8Pq8f1OocaDC0 Lcl2YF6LMllL3zUGCp9GlsNKyYQJF+0V2itJlOyxJFIs+b+dXCKUMVXSVKCqs61x7nnGFt3Oyedn nDDsEAlPweBcyqpfiQR76ukwUWSNLbeh1++l+T90wijrVxQ1MOQdK+0Cp/DDdw194gCasdSQamhR XfzEDjZcoq4ChfyJV/QCYhmntqvPV8aO/qTH6ZIjo3o9Qij98PMKIhW4JCFSSUtugSf2rJUq5QQy ycjpVx2Xbb3ST4TFvgA+toLh6Vzg89TGRj938VGwfhGEPvYP2FWz3vK0YoLD+h2dAU6i `protect end_protected
gpl-2.0
c8dd6af3aef416854f722222f6894b17
0.943696
1.849521
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/lead_zero_encode_shift.vhd
3
18,668
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RdHTLNptwuCrJ2ZVArWQQpbEow/3o3R4migBrOwX9qQ21gPdDLYDmmabPxFHVoJ5ghd3pe+3OcJC VOGpWgIQMg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CMihs0YJEa5oTzyWspOz4PCc/pS5yHo/qgJTdyD9xvpuRonRww4bWZPADpUxGNMe/x7oybI7X3sX 2J2wc8WKpBDJsxs2jp1DB3pFCKVN9V+slitIYPXp0O2Ov6zZWgNA8Si4ayBovoItGw/qz39+go15 mHzaZ2lMsPezP4FyFPU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block J7cWW/FR0QlbaLGnBcJbUIB7dDp92u4ieFHNfKwmV3RgkxvuDhY+BHVuoFTVvFeSO1lcCowEMTy0 LpHisTUjR1PcTNLygWvoZ+AVTKzQEH2nGlEQKhb10oNTdPZcK8EwgdBfgKjpdGVQEzKbZxp9rvsW mXDYTlKCFnqjeegsvskasG8yKkPXMkrMHlURvQ5a/ORXIKWSWyFl/UOWrm/mOanPLkvII4Zq22cv MIW0+WyIIinOS5oOsOB9cLgcIPdxempM1+vgMUgmtF1joJZf2P54PKZhYVBrzlxC3E+mGdKGHU28 NohsjowDI33l0GH8iHkAwCKpmOb1Ir9OX7ZTGg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fya4SyDzn1pFlwwWWtWi7gYOmYohVRReX3xPePEFR9Ft8RybjmUUPFpBEvyNhthGtq8ywX4WZYjg oeHZUPonCWBLOC0dognGHO1Pejdy96CX7mSlUrahE5/NwlLi7Fo3W8vlszu0KNywlBjLDwra+m4w jTs5sc7iTwjAbK0K/94= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block b0qRoBptqX5HK4Ug8CpMH8HmcaeAFtqEwIeB60jDKRDjkuwNi6scRl2HvZ2aIUHVu9/aCfo826hp 8DiZbq2n7m8+gxDFyv2QGLrkkZ2mVZJ/8aIBbb9qk5FbVFYkBGvPRLzWbGvum6G6wIUZZxQgAzNc /iU6dkhtwKdbwq34R4HUGOBUJfpry7ZQcS967DF2eej8R0BF72DoTZi08PAxK2pVFZdOnSb54ii/ 4CJus93DzxiiDKI0A3GHqoGFBAxfDNE47fbpRFsiRUgUj60Y4zZAnojN4RSxCtTp9+M994vWTKRO B7JA9o9SGYrxaCe6eGxBj9K8YjNDJHutFplUPw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12080) `protect data_block WL4qRE6DlkmEuXocW83Yewit/66QY6hmQVHjkGztdczn8ncwQ51o+HOTumQ6kI4KBEAIxiznKFLo wx9up2Itk+No4iSr8PeMJlF61+BBke3COWkuSI5xSbKfSZqOSOh7l59Qlla4q8C6zOCFpiTlxkQN DpN6c1gHvel1C+jaOPZpiVO75IUw2lTavv+Ss5H44gYvLluWrkK6BJ9muFmXtikN+1Sh0Oiii8yr GDNhR6y6U8s6T/2+vZcvXNI29xcupv6b/bdnsYwLXiM8QosWOIZKfReyTaO0ScLu8ArP3eGtMlc1 jgWPEhBs/TzBwmP0Ipz1dwkSfF3y34axOlewbFEbH7I4ZwwqEvXSmoPvGwZewHAd3ypan8Tnk3ib QcSCUfNvU1GLN0Jr7vhm0ooJZpz3rk6Yxtju0WZQivuv0CnayNw7Otyd0rg62IgGsLbZCTUxqoXT pjndw3ngjmfovxn80hv6/MJqjfdopNAMs2+gXrd7+52mRRoQYD9+KKDOdxC0qp4csWhmjbVW4563 Tx++82DwZmvVzq4H/wjj1GEsD7srd/VGLnctsL6zSTjH+/Ad6vsHQ2B/TI0ub+23ixZZznZPCDFP q4QkbnMbrzPsuYeY67aeGeKt4wCadgvg/q0qKd7xYrtzprVh+7rUYw5f0H4AOuc6Wy0haacDI7GF 9HhlD7fI1aGLExtQaBgLeEztnDVVGDibARC3iKl3eLsfalVVKSMbscsJQLpzZaw07j4wrdBYBhPF BN/BV0sW56hZJuZtle0Xlqizr3IZGdeW50dmpOVBIyWJKkcVfBIjbvB/5mS9ETR7EkrDqofOgsXH j60j+3UMrzXB+eUmLmkjXgwVHmAAQjUx9McOhHAn+sqmihdQhB497p70qC1xEZPpvNsCkKBCaScd cDMonst05inKFVm4yB6L0TnsfUOUkJMQSj/2d9cG1muDaHQPpazLmkYbgpRGPeGbceoW4x9nkXcD dyeQWf5yTNXwgO8ZabB4C8dKgIx+nohVy1wNMz+MVtNPVUfIxfUJg1VUwcCuTKGt/SKyaCEkvemo y+lLY39xVac5VcM2X8AfllFSKnusnvHaoz1RTjq6bzILLVFkxnzfcqJuGmyTykVHCzEhajSdBWdP 6lUE+HbVWqH/F5NqwBrZOCWhPY5VxnOprnX/6McYM7y/vV7FbE7RIHQO0Ltg1y7E42iZiDevZp5n EFcqjfcCo+hMFQlN6ICkEk5dJFHBUUaFkeKBb6lXahEssqa+CA3Md4ot+VQJL778k0TvBvAlZXUQ RthGf2uzknkfBvpZN+RSvjssB46f8ysZnwM48Rp3EPhEHnoClwyXmVrYg9MLBAikDNTaIh+Q+IWw Sbw08FuMJFuIYZ+9D5hy3a3slcBwnNg/j6IEBIaRwEXBUHYjs2o0ENK9x939DAEp6VR0510BBcwv 4lcv0+DrmOInSwOeW6b7wvfPuhhnHE/8fmb8F4dVvYB+5dLRSe7kS+fW98q1kPLl70aMVpQt0TED ErW9H3URAEzefx8gxBLFCvE7x2Wh4TWIdTxg8SRxa/xy9LvHW51//QBH5E6VyTqOD2NsmPTxFybV gnubGs/ch+V33j0i4i3lF/shTA98xAUpZvntndJOwpmx2YqrzPuhXxT/l3S4JQzBqeWb3s0g4xmX kLYIJwHNSRLyoJKxCGkx9g3mF1KoL4hB1VUuXqHdbJ+3Wey0uYBPzZsH3qfd9N6glC6Cto59d9L1 1SZNMOVLsm9bGMXo2EMrH0juoRv5aGocNE92W8MpxNxOkl/1ZAf8phfnz8WkUDObWUynq2llcXw2 IHLTE87jb3d9NG5He350vWgziHd6Sz5L7XbiPdT3465Px/3q/+T1i7mLSak6qOoTlE/kp+6EOVxo Bh5gyXTVA0HebhKZfPyelS2S5bRd7oFaWnLcWrbaUJOpJ74elKhQLNLk9Lf5ZhpUhdJupqbwdr87 FkkG4JjMjoWiYhh90MKLJzs5FwBDEr11TpAtHwB6o4G4Ln/se7vHqaxofkQEax6P8rFBNKLMFwhb dP07wr9hu4ViGTyZ1yg9DDMmG+c1PdyYkpABeGxdsyNSbuX6Lp0+TPmb1MBGty3UYAAnoiTzUdy6 XffClT612P5nkI1M1hMB8K6DKpLwLtr7UsNxY2dOxRzcowdGGWTTErc4h/eX9K8s8ZCHSIBMYysw JXc/qkLE5m4c807yDwDEtNaSWsENNcWlbEU0f4XBGUun8QbA4YG6wm8drk8JN9/cIwKHFRX4J4gx kgzXByW3GEKNTBjj52+zWk/RQd/4tr3YIi6ssLXKwqPDX+VtTq55OVnImbj04nP2W0xWJj23lDLU ayzmfWCF3fRqMk2biQASlx6xpd0VMrliZE8c/S2dOylhrjTnf4vvs+J9DuE1swSFfk4o4nepkfhy f8e1zXQV16g5yJH3MpKmWKfXj8Ie6RBF7JO8kIyJdCKRhCihdLWZr1U+xtymoSu6MMbbsTbOn+2Q d2M8KfQnzM2h8XPrmr/CtleNKv3OS2Y4liv92aSLqsKA9vMqS5jZl4Lum1uBQHNjea650v8R0m3I YL939qsqCswpgD6zYrlpn6CuCoDxmlvrVFZz2Q3G3dVYxB7+TM9Rz6AbKo6/toawdLq2yggsTlvQ TlhpMwVRO+XqvvfGa+nngay9YdxRRWV16J1DkyfoMWlPOq6ffstnp+wRDRfkuUOuuMae8YnH5aeg 4kaarJo2FlmEMgXfOkh8QiKyUcJvzSgNyLykJ0aGaJGU0UtmB0RI8luZEefj0Mi3pK6FhTHMWCaL A7ZFnZs8OTIiW41Qrhzdbypriqk51eJuu79ttazPZV77ipGHzVhFgqCfCTZtXlP1VvVrq/FEKXpy jG2qBGr7us7a/yinLmiorP1PyjR7O+WHhID1exwl6NLJmuAP0+0cDDQnQFxiWdv+Z5wL3PfxYjXQ k88DqJXJdBPbXH5gQ6vpe36OdYzuRmUEz+iQ6MmBJMnOzZ8WAvEixeOyx00GiBmVIBLiVuaVPyXG oL0+OseiexORQ/R3oNlJdqctPhvU5TBs2mL6/rsvFZPItgVlbpYuD55Uhy3fkmg4DIgoDSgKEsPF 659tD1dHKqEzNMP26873bYbS9nDkviFk53S+XCTCK3NfKCk5Y9Jj9MNQLemyr4WlL/2BYlc9ituH fDJTgCfiGLSi+IIu955si4qeO1HlnCYL4UoRP/xiR8hLfmwTy29g+6N4mnk8+Np9bN1pPlQ7hMu4 fY8baKeWwAJxSlQh7UQJ/cYsEoF64VnI7gimNvQQPuBRBTGIHlCgxsn7pwzJrnlGR/rTPfjz+wAi 2Mb4Hk6TWlhQ6Nrqh+q7Hb23aujub8/Sr+EGCorCJbOPUY8lH1Ng6vzk4ex9ngwooPMB+bHK6tg7 v91bmpghq2oZYOAFNJQ+uavOSY4A8NeDunjLg4ke37qXwbJrLJXaW3dJyXTl57JYAiqLv3lm36n+ +J3nplFswbuV20t3tjN2znk+OpFg1Tt3xcpm7kx70CJMyEx1Hhqt8YSVRs2i0/f7x1w5z98sYMa6 1jaSravECRMisELW3+yjw4Z4RXOudOQASvpUyBMo5Rx0gjCmKQK4OogOr6D9SDeVI0EcMKILr2tB P3/gPTk62gqQoEexcLBUGnWcGw22WfMb1/m7p2/LHD8UUwdPaBOePszDtgkHaEpq59lfObmIsxpZ 5hBB1J6sQjDnHUvkaOrKAoCcuuCIb/U7tSRKqDDG/dQ5REO1Drq5n3oFD4DrKjpcbP8DY+PsJLXv IYFWYllP3i6EwVFyzuLvu6ap0i5bO3SphtXCnTS8WN7lq0kQUhaddwmJ7ZlrMAyPulyKl6wutnRG rlpFtTfpG6FashaqGUsfy7VbwnRm4bn9AX1WjzCBpkGsTA+pmkvhdKImkpMyZOUiMEmUqX81wxKZ 0OoImqpNA5fTZAGOXBb4VYxb/lMVmehek0J8qdqQFfsvh6lrRH539iWP24wNnrH26Ec6YlApHntD 5OVwsvRB+1XRJ3IxhpzfFKhNjM2tw7iwryDvGsDS/zwwBm6bwCS3NmjD7wLB+gXPXvr8krRYSX8x 35RunYxyPtlpDxtgpFDW9GsuQ39G18oy4ltkrkkY9x3MZunzYA+gzJ8QV/7W5y5ZfdBUvxKwKqTv ZAilg8bF+O2kt3bK0yoVO3i4izbe6kggP9LDvJ2LgC5hWPZOCsYSFeL4AAMfN54MvzP7us1EdFIK Je7HSUSyMkdNAAUcjoldcuFgdPiKcGq774w4izR/hiHudXnUG3F7BB8EqfJQm8V/V7D58C5cL2fM xTgUvBGoNkrO4FO85pWAKN2Sn3e6CMTLkv/rkNIFqcsZBgOJqT11BjjUFHBm2sAWC76cDWWLb0lp 7EZyqYfqf/32UvWrZ3rRcLRHTog3ZxgYsnlfXb4A9Jihr7d8nKTIkEh9i7pdyGIlt3yODJcVW6pa 0GcIRi2lFF+1fH8Nyl8N/O9or9rO9It34zmYlruZvI7WB4lnoxd4j0Z9oGuyU3vdC1KK67ql5nSq UcO4r/0B9LLBZTZufT+7PA/w0X2/YxMfQx8pCsVygbJqUsomzSMKo0YPaJ4pPHnlJB7Y/7RkIRgx 9J9zkO5Rppz6SZ2Z4k4UY3N/M1jUnZf0h2sguZb2dvBl9uoXlI3PRX4sGq75XNyZtru/uXA/T9PR nkqGFY3mZ+b32eFJMCn+YcpoZ6PseylYgxxln7sJrIiWVDhh7Hdw1p/hlqMXl9g1Zm0+PfwEy1uq cn/LArHBjIHzfnLSgl6ptNXIH+dF0XUz6CvcQfzdtsquf0dqb9o62cxlXQwCY5w+BkZ2O5gBRKod hIv2tSwow3+AE9u82Hxo/0ay5bzm008XF+rco9IZbgDJpeeWahPEruSnscdxf9p82bKTYD3GVePx Ul43LNfTv3rFbJqsEpIZk/TKdzeTrMxIw6sr5OZuByWW88ffOJoqlYcRPmsUICvg2ExDYv3y5KA6 xif9OpmKYMCApKL4ngdsfGG7WDLklejJB0A0ZRuyoi/Wbl81eefrUGU2N/H7ix0gL1/8KpQE595Z BlfWFopk2a0dGWtBNp0OXTewoxYtmlW15A99t7byIbU0yrlwoS0+QnvF0ZsViH8L7FuZjEOWVdbO YAj+x8fOWccJ6XbRBboAn7yhyAEAt6Z7KNRGdAG4238aRjhpA5ZpX7tRIQZBoyU2y6j3fKyslpZZ 4zU+jCitTxcrkKlNQCLQiS8PoOM4Vmor+VJPd+lm0r7jjY9Lt6R5IQvTedswQHarxvwMW/xnX19i S0RMkSAsv2M0yPSNGetd43StiD5HHl+IOfZ3c/5DD26BZAiTSNlX5oRUCNbRV0LhHMwUkAiYCNkD AMWjl0jV5Q1E8YukTodMh/YyYE/FT6ixB+ULjuEDOHCp0P/4YAasqtI6DqzSwn7VPWkqQDv3h5y+ bkbQYq7cKdihm3zFqhSy8HcjaPPyPS4w9jbsMM/BecSj6bAJ1tEqLk4MBT7F0Kczlnif7pb6h7Ze HTbHLFwdNFX039tPaplVFxitfuE2HsFlQtWnUK9J6WOA7PIfn8giFXTSQuZqKX4+gOaAlPUxs2bY BgAjqg6hk4w0wgKp0q9/sh5ROvS3M3qLmLzR2MWTJtS8XmnnFfjqvl94NUjdOe+Uh1K0YlN5QdHl XPWV6B9iyIBmYCDy3H+sFBxrRRwUrAt9wwDo90Y0dAISnLNKmiYSOaBlQHyj91xISIlaMRHPKc8f eZeGWMd+YSLWG+GLGKGx7SQFXzNDU1+WIQ1mdV7evUDcA/1aES/idZS1JwFuKJLYJhgymUhM6aiu lZ9fjLMM+oK9U9eborBJIsN6Uvntn7otdF/S8Wyf6H6W/ucHCAg07Q03aVliNBGAFDm85RST/vB8 iRDRKKLjBCx5aMRtkPwTtz8b9Faz6GRRWV3bgFUDG5a/YQG+y1m8UVixrsNsEOa2j8P6ZOb6cI0I ztuatLSrWRU3r1kiqXcPF3P4OXnDb9zU/pB2BuRxYq1NO0PzbYdFkyIWJySRfE8wk3Xqi1/skqT9 /1ClXM/X/Pwzh/ik86AzQBmv7059lIj75kFZwFVUjp8aEDZTpIj+uGOeYuKMXfPjC/VSfpX4JLRO lSpJTePYsY8ebIPvIbtnIf1RZDs+I8uvxXmkgJAD7Z0LuLyqAkQTrrcy2cLWG+ywI1Iik1tSo81u fkuq9Ryznu2qXbki/ej4gB6SB7I3Luv7IuABDj61QfUBibnbp+M1C4GOOa5D0A4+0vpgR+9KJvF6 4nVS/hN4aN1KOmv+WycXHatc5dV50aOzIfPbL0EuRCoqP/yFlmGJoFM21SM8KB8X9JlyHhjF6MuJ UTNfvgkmR/KvsJkE5EGBYafyOZKcpt+Lgo6i1gg0jaEbmNlt7TA5nJWKjY4SlKSBWwDR9UWDKHab Pcoe36+JxttY0Q6vWTawHy/KzA2Ru9pm5Vv+PHO3WiHY2IvlWL0rocsJZC/NgM4q3+Yj/WRA8bYB RJJ8FKyokN7PN0UbsxUiPLyepXaDXoXgLp8cBsmBDmglABXiWkWIrQ9MkDUmrh1qtr1Pce8r0DlE mrZEGijUZD3RLF3V4zGVIxTdZ6xCeKGUfnFrp/RNa8qp7l/+Go+ExN5uhfjlDr4S+VJZxRycV65g Zak3/KJln7CB6PU97jKmPClbzUzrM5sqC6Xwh1F3VV5zwO80SasnB9t/ahFXKvDfMFEfA8hO7/1J YQf+S6RhAbiUKBKsFilYT2kpq5bBJ4zmMWbf4EZI9owaEV9TPsebvh/dG5nZ0nPP0J0J//a+5iCI yz19PtjUnRL2Jt/NHDdWS4t4JEIcSvbPCO1Ih5q28lmwMNY6swSb6/b6QR02XcEAMH7yKJdUz93G rCgVtu+GasYh1sZVrNWbur33NCy7pT/qL/16kmlAWtarHMdzEqmQSlOI68TQCw8xA1juHy1BWfI+ Y/75XV1H+5r352mMdYj+eBqH/bKKj2+xIBNgLMECq5Ys21VPqZA18SDlOZ48cZ0tHPkeeRwX6wTk VEp+sVoQTO9M43WgrqXvIDvqguUetPDIGh6iF76mox+6LLmtOg+4jCs96IClZjQ2qWdrhbSWwtiN YNN2zCuy5cSyAMixkzlGoNNXHtOvESyznnP9QrLSur1WJ4vWZkHv6NWgLmxKDqji1PPNj1RAktUw n/x5CvfxjDW1bjkwGkha0+mBJdjBSkiwtsw+hyFXrHkNGYutAuXUUFiaC3eyD/sw4uBZ/b7L7XMb fwsfo7FffkXoZxJV0IAbl3G4nKvt2a+PocbXSGbG/pl+S+og+0hZGSGUinpPcHKjEFc9idRL/gM6 hr5KzxcWYqs9TzL/jkwLmzYniyWAu3Goy1bw26IN1giD2Atwo+tIsKmYckBhTQv9gzJ8xrNnTslm SMjNMsupQCM/bSUCiNQSfkVSX7p24XXysTQ5mZQzufzF+pm0VMOjfeVmjRKXjAiLZ9+v3bqx5P4M vGZJGn6LgkXkQWrumL3e2zAJOI/VCO/myHG3c4IJMwIMReBx3KCZ8Fvg0x9BEm3s+C4cfoBWx24/ t96xlxShiv2rN0EO5LFbjhSnY3kh2RVAGUmfml26GRf9wreX8qyKxMowHcKkZrDu9oJ1JwOOtk/K oRwa5cA6fYYX0EMpFqIMKOdpolPRlthWpzw0/oH7v8ShuyDuC/w4keBZZ3LE2v10KagtSNZbpZZ6 wALsHbBiEJczCbRkxJUsrj44mSP2XsC4Z2PXjaq6VUn5f3bUYL7wr4wNswCg5247VOeW+YZiSWx3 2DmCf7h7XHmiznyVTEPNsMJ9bnwM948l1Ot0TY58HD7BoqZxf7Y9H1H9gpf3UN8vOg6MGKlTETUw c452U6mpCANaDA6ZXXtc/0LWB0vJEcUU5M95k3oIBh4RdzbM2MDqodVkl7rU2F0VlijJxeiXta1f bBseWI4KkX34q66q6Esl56dlPC3hCjEZv+GKrhX1jyDV7eVQtbhqK8hyQKkhteDTsy85T7BG/y3a KwwpA0vMAc0GhuNnVl6tBjXRqsQLa+FsuxCoGlYkTBw+fcp37PqizJ7Z9+mYmxp7ckar+NrtQptm NOEXuKZFBbnn9vTXqEIzUxUkDtB0RYFbLjrm3Ms9GmScMp1dpsMWi4lRrkT5QduSFTl4x7IlE97z ZjCOP4rfzRFf9jVaody4XEx50qiJcscJds2TBTyfCHYeDdtNQl5Osy8WODeUZgHdVa2Ytr+RgPsl mIKjc8uGehHu6UnCOQEVQ/ltjbSyMQBJimdl4sBtnuaF+uncqX/f0GFv9nr9EDilnkon3y6MCQg7 tsVP3cAaud5f8eJdRcCMAGRI+POhzaac/+6HzJ+BMscaLTFKUeCjwvSkGDWKPZNhQ1HgHobOornq Wd1mX6ODwES0EnPmzPzQxjZ6/tyWcrpbpS53fVALRgewKRJXzwc/6O8z66nADrAUUdRiCvSR35Wn ddPgpD0rtam+zJ2UOlubFT+iM2pie5fbDaoGb3+HdzH3Ek+6oCONX4587n1/gjouys75yEj38iDN NiEcouGyNAjZ4N3qjcgm3yIJXCaYaOwPo9qx8Ya64OlS8+16lRrT9bDgFdT2tyY1LpoM8DrBsNFE tISlFCj1kyP/sp/d+d7du98B3BPo3wSJyxrrNJqYpWc+A/DhQ/Ifw7J5UGIMK+BwyO/xr/BYOQVr 7CC2aWu2LjmK/4XxcBQVF+6fj9A/8R4asdGEbr9oxK5lYPWA4ljHg97XdznfJyHYbjkbokld+ND9 /i/r+s/2KJgyMt6HUCkuDxdZYSMtw0i5cLIrgOEahDGqEY+E9Q+TvkqyGMKewRBaakJXTW96HasB CnO6YpuctV84A1AWyyWPw+t+3NDuM03XeZoAU+Y9AvMMVllvUo+ucCBu6vdJlVnvb2rOdN5NlA3e asfVH3zkBDELzdlSQg7oUS2U+e4mTl019aZB5mOYfNEP0+HAOH58daAOFCJIQW4BZuyt9RTBMEeE 0KjNXhfjNoRv88jUy1F6HWjrvll0wpi2Gt8/uE5mwiF7hoD9rVO4pLPcxhRA24UeEsqIO9hVOE8p 7QfIEeG/WzYHCg75o/vAsQ0r3U8Giks/M7zq5IK8qQrxHWCOPwGJM/b3gqv42GUvDZ/IpuEbPQGj 0KWMrfThj02CKzPa10T5TNY0u1qEypANR/iNtd1fpZQFur747dMhslL3s6FdFMR5JqvFB5A/WYB4 TWH7jJbJE81ize1ieNgDEB6gX93ZbwZMCcN7wSkLiwpl2NWFqy3j21jJ+oLjDSMvpwgLJcxQ5XKH EWWSY/I4rKmwWMrlGyAYgGBeLkHIN7yCO3p5PLQGJheWccLzXUKUdXVktX7ezlHhhInZyYhCMqPC 7/SbTkz8ak0G+K1K/MtcVGazRsreRIKKePR6Yu9737Po38uv1EZXs3Ia2AhZySrfrRR0Rwn3jP0t 3WjqmcRCxsUEJ3QIzkPTlCQ2RkjYW+6tGbSaqlFuof49ZfGXcmfY6liOsb8a8My3x8Vlxe//47hJ mLdirjsAV/vF1Zoc9cu0JS5Rb7HzxiSlWYg6Duf67/ci02a9bZhk+kmUOl/06AtYc0t2MaZvSIQT sS1EsD56OD4RTFPLjnzcIeY7QpXtfXR5oTmrN5GhsCL2SRaRGOGkxfDVMH9eJMGcDaq+zEiTetI0 MOMTnan8Vz9EvlBu3cubIVVzkDo/UQpChW7mQ1zMhouQWz58aTtzfeCDc/37jQ4/DfqsnweZrmNF j+Yy6uERp8N42Upo4hxEEh4LHxWCYcSG47zoywLZJouUFbBJbOnZSBKfSkuZDDC1Vyp0DHo6NRHQ NnC5fIAX4qFiMB9qPLvSYJYSfeEHmMm88vx6RWKDeioz15nma0EDWudmlim8T1VD1eOGGyhkrFBb yfR1SLalAfEpnyDAePDwyy5bcKCVzjq5hPIQfm/9PW43GhN0hffAh/MCWQ1pI1TX6cZbhDXur55L i39EHTtIqvWwAww0HnR0+wrHKnJ1lGXbHvlLXRrRwUNlKlzqCZrhty5cFXRt4SLV3cqknjFKL5bE Tt7UQ51SDYj8t8vN1pQDxdEv1y9ScXfishuurK3foWn3/WxN2f74XjYbjNbCQHjdz9TofirAuTf0 EI1Tq+m8SFeJt4VEF9tNrsrmYW++nnS+R5KTlOExWXlaEFuIuo1mL2M6X9Ptxn1DIP65TevOTSlw 8KIceRqVg7vjjn8NYKd6mWzorJWuRgPc3himgnxPTnVK3tHMTDGqSqJBlDfUU2xsE7+BOVHCeWdL XtKUN8bxhyFhLLDLrGAjQxrf0l8B16B25d8MzhcCRKMBaGyNe98lp53zOyFIYnIJexopjAMPblK4 3X3ODIowcxggP9OWFGukEPryzA0+mdzkhENMD8P1Q80upi0kdjUw0/FZpLpbHBtTQf527mVo+n9h y5dt00pB6JR5CP4VTufWe0RadxJi0Yd0vEAmnPnz5Grkm+fTQq4DSZ2n0VFK6JZ27QlQvDl6b0r3 KIJcUgJ7pvjMrjfMaCFG0mON2X/n8uoPE0cE5p7mXcudnC463CY1CUianWcl6nxObXNt/8HwYGk/ w2kXlHC1mFAIfljaE7gbn284mwGiRxBMYPpk0eTEwaYfNa6wdDt7F8WzQthu2flZy6fCyEkfgdtA 43Ovdx2jv7go8kKg/p27bi9yEZb/wI9FpRqhwWSQ9QQDBcEafH5nYuCrQCgT07PUxzfkbWL2KiA0 0uOfN7oXzjnkG5jqnoyTm4uTCtK2mXF7/SAi0Wt2GB9oMqUZ2OJPv4oZPOR5w0b497wRCwm+CwQd pH71qOUazyWUipHrpGDQqW/AcTHTM5AXoXfXzJqxx3IKbjNVMGEFkn/CCE7EIrMcYYgyGpuNrPa5 BaNbp/R3jgbpcr1Oscb6g5k9UrNy2xgDT7rqtzTmLBFJUs7lnanQ1+wulbBp5F/MPYkE/H8aKFhC ie7Tg0d0wzzNw/JkuJ3zjisH2ErVz2WGobs4wFQedLz09wvxx3IB2xvbVobs+f2zdgxdtRh5vJep oGvYRkJlux9r1wdhPpm4d6s8+02pkRQReS0v2prDALUbiS824gFlBAPpCFg+ZU2NGtOg48NEclN/ Xw9WtVD3GkIw9kN8E+aQZE6nBe7Os3iCdDzXKCI4sVvZBL1c/6IRQW8DgHweRYyELQNyPZx9lw3Y /Lc1Zj5t2jw/7aF1SjHf6uKaa/xZVa70lNRwAu+M2GMdLdLEjcISyolBG4T21hV9c6n/rvkIdhRm pimvoysFN/Cb5YvOiPUeKlTVE1Z311QbK9YYydAz0hBA8u4cSIesd4+aHxk/o9Mxm3Bwj0DQkjOF VR1fSeYop722PkXuBALepgWR7VNaEDUoikcoW/b0l/b93VWWJXP/5Cc6uI+ywFbwAUSLsj0ggtUJ LvbmqTXfHjjFIbJvuHnTexBWh/uHg80uECZywrg86eqQHChOWj4Oqi5srS7vNUqsinSPWC9zZO9F nhixsEnqZEvb/UOJEE7gZ68rFFSSc6A6P25C89rthqxy9DsKaMTuwMZWCupRNo842hRNdcpqo2Js coBozwq6qSILY0GvU+h9T/uR75JTZQJwf0zduEg084MJvC/QY3kQOwoXYKIiWVXvqD2fCA3D2vbm 9j3R1Tg+deZRUliQOFEvD+J6Tq+sXucbDeMSTXmUjUgKLbu/Dhj60OrYpyTreG9AEFCqg9/za3jA ubs4xUsxJeMULCSoQMpSVARYXcNtB78CtW3yTzG2QtHTV60kz5vaQymMk1t3ArgTN2UlFT2xsvhK NIApjo1NcWd6eFlgTdcLKwq4cCMo35GNSvjsdx1rCsnOEnbiE1wd/SAaPiRngwr+4gR5+aoC3KS7 GR+3Gda4nGGraw6Vf7/gv4mlGQNjVVbfolzXTWR5fmPKi+3UUr3mGKCh0p/TcVEjnhcG8iTW1cK3 h0levl/YUj7KlytR3VsQnlfoF/2r5CwxPkOglP6xFq6/pmEXBH4WgBZF8jXgkBK1eNudKOeE0uTn sELQiGGGw5MB/9pfFloD6uFCAMzIhPy6GEm39veYxYU120kV/xfReFRmgXDU5IGzmPIw3GR5dt8H bMkMwGwm4hbGfZjCKigC8QmaA1gW91AfzcGE5Fh2hNL5eBAQjCFrICRjyxjgp51Fhceki5ailKWa 9eat1YTaaNqzVAj/REcU85sDpec4BVEP5aHQDruiaqNR28/lHup9dTSQAk/Mk6pY409dYbIw/ua6 YZp/25BbVvDNoqj0C2QhXlpR94wn3hvxUrXCAlh5a3xur9KhHTxnmkpwN8ats793GGXgan1fbcSR 3laifSA7tu65gP8qdHvhP1cxbDe/cFMAOb5gWbOr46GOcLiVmg7x61AwN2Jy9N8TsT/V73lPcwSs bmUmBBMzmMKwcSk/wK8OzO1u0OwkYPsN7Y08l/jl43KEvG1b7l1COLOQTYNuO/5GXS+jXzD3IN4y /Gn6LJwrwM2zA6wyNR/Bv2+R+Jet2fzCXN8g9l/67hKUNsvRHYYqpGuy/C1oIZscORobOcOv6JHJ 0rKI0F8nXSOA1q4Jx2pkaGGIh/TOL3A3P7zcFrd2TFiCBjlbinm1h48OVU6ZWU5+I9H/k4heelLM FdKGZZ9emfL7as77ToJT7JfNQwJZk31ksAitKGOAe+3ucUDdWLLvaeHNKanavPW/knFzaGFiIQyk ta36Dvbqx7zvsK/KS7BJTVSLh2Ssp2G69ANqV8k9A0lGK2DFFYNF/wPJ0BMR/zqRgf66wfFQsneo TyHucCIXe/uFYi4Z1gnRHJ4ohHVo4ycTZkbKC5VTWnvOKKuT7JGAOfGXc2nRDhynzjXkpjJcSakL 5Za6IEfwFOZ2mm1DUlBUsYoJO2tPVTJuy8Zq7YMIc8+HWnmESANleHxPpjQuTMDgmBaMw1HU4woJ Ba0Jq1ulE1zEmDyWVwkGR6d2jgd6aVv+Dv5jHqtNGeJ3AXWFoqAOVF8HQQxFSZFxcwu0VVlXArZR fTDq1fsbzqT80MOFSVUi2wZifHQMa6PsIhRqLv7ab0XjIlUIcwgJKOd6Odzt6siDAFiexRkD2oGN Sg1aAiN3BzfWwNrzYmEpK3wVeWgA+iplU3pQp76jkFQewqON+r6/wj1PR2qyr0MGKsOLlxD7SKLP 1ReeW50X5YOPXJxrCKpyoS8IKNcJcAlHGXbxk19+qnR9Qq0oEqqbRjOgFlRMLsZOjThx8BpgJx6y vOxrYJJeWtzDnzqdlx5Wvphr0+K3/+WtxwPrnvvv5VsE11P6B1j6ndjvZ7jiVxjxTrn0+brRGTfA pPwRQ4CLltbgJDRxRyMT/akLEamfJGe88llRh3xvyw9eydSYXadackDmE5zNy5NDhXETjD0SFLvn HkFwlKgt6gtUcwA9PvlvUGyl17KiqOiPiciPZgwsXaHlV3VwOlQ6I6F+dLAmNMFhseD0imr0KhBN eBiurkhW/InC63FvZIuyb0kB5prf1TQ0TCq9FTEzUQQ5uEd0fbCWjjr5bZmTBz5GHigry4zpeXJO 3hmqPVWT8xBMQNxEHoi8UNdp68xmmifuyt/et2Ms/wnCSY4AXbRw2XNYdtJ13591RkGfSkO6+JdZ oxNS5h4s+PnQnbJMXLSi7W+fHYOSAK6Zl2cH9SBhiAwGvmXflWoOnU9MI7R6AzrVZEjzINdP4paF jhYwxEOxsU58tWOuf7Yv3P350C486hY0kGrshVa3HlmYFIFe+6C0kFndXszSOsMcrbGGuELaRLJP vIv0Qg7Hssu2i4jYs2GYFWh+hSHvFi0X1rl1wEkPeQLtYc8rTDphcs9un1gWDEB8C/1heuUnm8IW gI9+x3EBOdFhaysTYCyvAUMFNPFJRge0Cwpm+g4WrSq8vx1M7EJcRqCgt2Ow1CP6mNhCe8rVKBSV zCtiay21BXCqLlXmF1/8uxmvrnphWObFzvK9XqWdmkGzfHny9txTnYRCesDs5DTIkUV5yRQW+se0 aUdHh8YUK/JKcnDk2w0l0Eme91TjTYSE3IyvTCUuLX76rOsCii90B5L1r9WSLwcGjuAlDYmAxBiH mqcmdyzGD2nPa93ZH19Ys+acgdwiSPNx7bBMVOzCs38p/JX59nshdRXw+nLqWuCxSK5YwxcOXdW9 YnbBofRap18DbVjjhYEXHZRsxYEXE2BQtBSNajEVgNQfhf57xx49sCQ8BMsV2758viCil1r0Hmkm I/QR61J82FLhbTP/PZ/MU6CPPva0WdL1ZzuzomcvjjB7Thuwm86G0aTFncYjPJnTx6C86/Z1TTwP AcKxqQVuqe66Zwus2dD9z/VfXl2hIgg1iG/smwdF/dvPyDhaWgmTCwiUrHqjsLeXsFFLdPI+q667 TusAFBtQj7Fulmdr1fKvuM/pDy+m/MVDnvMpncIrGXVLtH0TlsV2Uur2jinCs9OKwHa4FB5j2vsV tluiLYm3yVgWBkgGVqAyzMmQdyrvgsGDiT8ct9W6ZpBSfgI0vcFTutNwRaxqMvnU966zW9Ihqb2j EloXDFjibgZe27quaKJtzEK17Kw9fchrT1+EzbrQbJ3rDrxjCLIXyzp0Le/OvP+OFvHpfqm1vZGO 10BFrSDdhb7FvYVLF/oySKC1ijJy6IFQna48s0rM2yr5wwaMFuXsviVnNi4wGlkfnXCY6qshXPKh L8f+70Bv5FgLk6fqKvnpoX9PWKUVirOjMwQGwYZ6mTrOU/f0TmbaakW357lE1L8K17czmn/GVVTI mQVGKCkCkDJtoy938Znf09L5g0hdQrdIcAJ+y9Sv/3t601ptwxu6nwowpfKwkoqZMkBbM2Dkqev2 Z9Bog01Bgp7sbSYCNejpGvC1mzMmTuawblWqDfwX3U4YFIM/12eIFQMmQkJGVGpSGnt9ber56aBi Pn11LTBvUyrMhKU6Om9vhbxBASMcTxeDrp92+YlU887tsD53nCh6EKyTN8/J10dq4P45enfbR43V 9HxKeb/aKX1G9492KcJ41jw8ZoHr58/vhmA+SWcRNnBdTujEqBT6Y4xBudlJZbRMdK7GBHYOivE6 Kh3qDpy2ndU9RQIsz3ydlHnc0Ku+gYJ8r3Q0tNyZSz7JBVYZI8vQT71A6aNNfajdO8kzgAsk0c8E Ln/hs+a2cb8ohIcKoBaBVGpMp+x/FRBFeLfjhS+tyTJZoU/Hpi7ubJPRiALTlD1P65LPh7D+fux3 xHOliB0MFavSbMrMxLdrZw31CsJO375oLmvJOUB6bmVqOBH+2sQflv5zLWvm3AM38clP3mfAtRxn z0GfSX39nY0aToTDCCUFL/QdGo+vdP08pd58u3Jiz5os1N4g7yB2CREQpIHiAWIVLewDqArZbXHu tSNCTrtwycAnqZhP2BNyPwJ16JgeJJmSM9cP8B1xrp6oY7BQM5fMoF2kKldnxk55GEMCe3DwJLE5 M3anbIrZXtbbjNZFd1DQhCYNDFraWNk3ZrboJK6jueywqRVry8OdcDP0w1xbwr2bX9RNb3s8g0Qd 8soA2u5vf0LO+4cqMKycW/4bh70VBgv5AKwiMGuawW6XSZTU9/JaSlq2VcZ+ZMTLJRrnhyOh+K4Z vW9NGS+ym6PTGG5oELaXSQXYXGeL6q9VAkfvjTq4E0/ImvZR8L15zHr95/U6TIwbXNSI36UNJHFW Hnc8hWdRj7gapI9Bl9atViiqkVNWdst2o0QtwCcirynBJ+Q7hknKrVOowegHzDqukPK9+1qD5b/f X24ItpM6YrPWCVRJnd+nGbelvK2sOzBQWrsKge4KIWms0CtHqoBzJqDCUbvh1xV9j+T21Au+YaO+ 3Ygavf1qvWAl2eF/8QR+Qi2lwR+wimgX8f87b8zdu3eAOskfh3l/jrG2Gs9XZl6Ra14fuVUEWjQi VFQPOG2p6AbjgeptsJt9jYOS6V/nGh21nFEIzLpOl7UJx2WM2c8NiOri/8BAGAgQJs5onPZ9uutB WMcdNwp3UMc+KkxEE8FVHrg9FVwbe7nHRywKrAb2etYaqc2i6ZOQ89YhRcxUDX06VMU1sZc= `protect end_protected
gpl-2.0
dbd53fdb461c476264c4576712a644ad
0.939201
1.847219
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xbip_addsub_v3_0/hdl/xbip_addsub_v3_0_viv.vhd
3
15,600
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JPLVDNRVl8FIY9xVJVfnuBITj4rc9j69rwmPlaztsPFQqMQ0/bnyfEe0YhP+gTmNS8YuE1W7vQDT qMxBL9/dmg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jirg0SkV4RGpFJRnJc/D2ESqewMGHZQBZYqS2s6CdMfSEUyzgCzSIBro8hmmWdrBLXiSRK5GyBj3 r4ObOxjzqvdfM8G2lCaz6WnzizbcXc0CDrYw0W3t5W/qffA9eEItKU6KB9ZvYctp1j9JelMD2qI8 qHd4bPPsLEouKgFSFZc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gDND+EwjMODCQikdYiZ1pbsg9z3R5caIrukbrxBS8NbjKk3Gia3ORJLXKZozosMBoNuZ0/STZUdN TbAhI/e1VPdBYXMgWilE/G4Akj6sTbdO1/xrtLFGbxvCTjgmAnQnGKPMuzODAxhXS3voUHfCmXhe Z1g9/0xqhtqbTljOoKmTA7biTi8fQwgBgADwvdejVsjgYtNCVqozjr+QY+lQ/VAOX25m+saJcx8f 3g7jYb794OOYoQghOTLbjNVgDIkOCLlKqDX8X/X9HS/CbwHkiV5XYkCl7Jl0alafB6+pakoubirG NLGgOx30GMx3gFrYfDWzmuRsjLiI7a+wZCN/PA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block uV5QQnWyQ39m4+JWonN24ERz8lbrqjw2kCWQNa1/cvnzGX1GBTkXHmhiR/96/DYaq9XkspAfSGV5 uznghpCJgLPZ5pDbZzOcv8diF7HACdUwipqlAkMRsjJso4NDejwYT6jC6Z/3yyOILTpwimpI6Wzh znOiAENjwN1FNjfHE4g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Zg9VQuyrWNQckG/7+ClIoCOH7ytuscdBlMwIbUKpufbfCOOrvVc4gsJ4hCjYN5Sh4XZ2EC9FvKeT 0Zz81o5VLgQB7KKdlYB9XLJOD9FI9FVjFG+V+mHt3vg8M6qyQ6cYZxhZ9i8do+bkyfQztO86oU5c 3/+ZPifig5/1Ob+Ry1h7JfJ8500spXmknESChyIfyQmgBCk/QXAz62O2d8SNxTvSbZigKqXMeuP6 oG3MWp5x27FSNoL21xzcxr83b2NFOJiIS07EnMBxOmbhhGTM1n0W3o3+4XsqhN++IY9pqt3H6sig fanTPpgz5DEW91l8ooM7pgf76yq28ezFc+zfOw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9808) `protect data_block vgW7gJRv/hsFfQ8thMfBxPBXW9NMnCBX25AKnqhmq0CwOTokdwSaaqSpJKi6duH8TPxCc3lkYPh5 MAHgbqWI3Sd7fdxmeKElVhawxHplWnZvdSFwkntb9mmBeYAtLsk3wnAxVk7IcThiA0Q0Sk6TJY1V 3I99MI7xHI+NlOuGogABxUjE0CEzWNXrtOSqAmU6O2KPo/SIDXxVsJj3Nk5vUyo3JVK5e+3fyXgY RYgseoamVEGOWjWeGsXNlkfw0oaa8GrJhnsgjAEFZkRWwH3dQ2STRXk9vh40fC7roA/kxqoPKjHG PAkFntVnLiWNA7ucKRgrEJ1X9niXlTg4wqcPNLuf9I561nAzCTUxe/w/T3hdVdeYmv427BjkNbjg uHH8qQ23WpzUAEQUWKZMVid0o/WylVieW8DyrXFcjplnIRi7JKPXsG1LNHSfWXNpdh/9CqcdOsJo 935OXIJrHJ+oViR1H0Dsb6CXBBei+ImxBiLCtLbjxKxKxsnW47P9aey4Z2fFbSx7FXfrRULwzdRb Lxt/A6WnVa4ErxB6OIQNABp9pGurWacB3mQ9nqslx+A6DugXQiiMnxmxYbfPxqfyHFcj2Nhhd3lv 0pk3zorIrY/43U2JzL9H0Mjopv3Cfi07zDCkRwiGsLPvSp4K5RRHiY+VU9+SAdzDJP5nlOwRBUVY KSVLa66hsC7Jrs/30SPn1+whVb+gBvpmq2V/mzWA7bdCxoCJW+U4VxeCejVgYAPLT2QYt4NunjCB TXeu045RqQnzR1u/gY+rx9vUMqTrmZmuK4nRDRaS8nBux8bhPbazpmm7GEYDLCwhN2fJOUl4Rnt4 CgPiYdAAlV8p6YCz7kfKnhMQ04y3CehD6MnwlsuRjegygmt9frB4vhjIjIaIlBkhwwSU5hkaAmt4 x0mfyWnPZ6vG8HPRoiX8nAysRBW8Skm6BIm8zRbUXYvixqWNWhHNmugZJYky/6IvlVAl4mD3RXgZ k8R4g+U2lEZ/Udaaor6QZ05xGd8kruyuega36xEFxxZJnr248Vbp991RJgU4RYxy5lghLjRLvHRE FD6GKjrry5VhqBdmrrxI6/kR02Ivda3MjC0311T+X64g2gzSquLhFvKrUsy0efrYxFCQhveHHLqU lqr7QA4ZFPWv1UGFiimXetgP5xda69YfDHt9OT/L3huXbmrMSiYOuryRGQkZcteawblVKxjA04po dI2+gs3A5Ic6GexaQ0cEoAQYPw5eulFO2a/3bhQelsLPKc5yxfBbZOhy8sROxouiRBrogYAKyHNy h3cwecLLhibOTV7UCVzPXKgtDbOCjlbaScunxGvjJQ7A8HaCj9ZRIvy9wRiC9uZp976x2DmHJwQg MjuuwFeYxS90NaVevVHXrZ8VArUdN539rW8JQGLXJFFrcDYPAdDbaCWx3iJLN2GEH1ve7eXkfkwl VPbIRCGOVyc4YKA0RUT3Nqi7U9qSG5plHxW+ZIaV0ggNbCG/A0Bc0fk7AcQwHUiND0lG27dVh/Cp aUAXqx06SPtoNFBtVvURob3UjaXTocBXVr43lvr0MyLXMZ7pouoaNa0SAjKOHGB26oP4gxs9+zhW feZdhGnt855hunj34ol4dq9D5hspnBW3agt3sAiWrkk+RH4fIkIQihMuk2n88XirkbkpFdIlpSML W1tsETzjeCRq+tvjIgpxkpQEtB51HqH2YRt0OGhmrUTNwA+t7pYkln0+xD3itYnluL/V6z9XVKGv ovbN0XRrgU3Is3rpJcBAQg+d9bno63ozHcbUh5bw+YM+Umj4w4DtYyyqb+dVPKex+03DWf8No+np iLIiQW8BqlyDQLz0lV7vbRdeddfKLHMBsgjrG4/LfbaQLmaddKk3eiDuRkfNyifCxVW8IZd7R7WO cdLrwwD0B+Br63kWCu8VEzz/581HVz/mkQZOyP1fF87cY6IFY10pL3hiBCt8M5TQF88rkP/AWV/r 80WEAdxey7m7FEmj2Mlm0eOGE93//GmMotLfrPk4CddPldYWhom8OzXtx05ZSGlrWntCCRP1nZO3 Ix5nYByNt/KOEQSogjv4OFONr7AViBPm1FHo6jgxVMqAg3QwRt1BJTGXHBF7CSzB1l+exGP/6mzj AjfrPON2UBNrU9X/sL3VNtXGbh2OeyHUB5mdj9YLILp8k3MkUYyVs8nVIRunIFo7fHTsars2eE1K M60EsuydVo51loXbBmdMUpPLCJzlK8F/G1M3019cRfAOGxaRGQaq+w9eIQmzqzlW/12YpzlvAfME kB06ICUK/Govs4HK6QA40q6vuix1ogTvVvCK31yb3WfNgx/QtKKs0hkBszYqUu/zHgoDSphjzIqD mwcEJi14nc20DxKdKJgTLxr2/8msQgIpzqqAO+p5YJ9SHhMXs1fH41JoOkx2g4DX8ijcRz3Abt7+ aR84VLrPuAwfkIZmWqctVo4H88Ll/cwgK7GtEfkj7GFGRR1xAk1uZXDP4TWV5AEyAPu+ASrqKXyA bVLIMBZhTaSlPd4r6xqB3n5D6yoRpxYCHNg6EE37rsDLU5kWEf7Wcb4vz+eXH/yuDYTiDervQOdv lMQmUquO9BH7q2YI3SyKDNbYIRibNhqyvw55ZC+7Do3mRWGRpTW10fTbaa17/nBcA3WlLO5nCrKn Ryz5dUwwr4/tW9UqmC7gvdllDaCWErfd+Pwq8ZUBl86uCCS0sxHxSgwAsSDQqHQkgN47C4g6HOd8 D85lA109J7yurCXO0Qh2FbRjPjIWzL2ydNioqIJh3R2Hz/ckKvStX5JUrTW2qj5ggS76zGekpCUv rt51YyNhO44hGHFysFdspU3FRbTJxAqdNGL6YtBII8vAzjbM7Zh2g2ipd9GZwE90mBkoyq4PDb1k PttaigIQUvYGTy/RazYbQICjIlYvyixYTgLRupaK4gsZm6bv1IGIDyySC1DU+YXbZIzYSBSoCR1l U+1uc0ofIXfUiAJIf75pbBAIXRLY9j4gJ9pBdPWl0gRUOR4qPhqWyrGOEv4NUlUcfcoahLhdH1Le 042Fxv/ZX4df6zyETTeGxV0CBje07LWOwQPjRAc67222sWTH2PyLzF2UidpcCNxBM+OedcOA34fw zcWC1EiSaStU23xh6RdOTJ8OfMclgYMNRPOEWjvZpZ3u/pIFsWM3JxTqcXIk/xNRzMWhMmVpnkNK RjmvssLVP6xSKArM/RzIiIiNxmv05nNXlSlRNDC8tTINz6/yeVUr7s4cnCohLcQb7x4lYnzX4qU5 hcW7bMpt1C2//Bjro6+EEkwyulC+5L7Au/oEp6R3SIPja9jRM84CHtqW+DNzUOCRiKaafsVahYqc JbandR3bp6ZHtyAb6uD6rAGFrMWUIT1xdq2kCn9M7SeQJvFwYUn/U9V04s6QBgcbWXD9qOakWnZc 7154ycLx0EKugJuR6eFcx51PnchLLH0lij3UsMmdP8kcCzAiiIVVetrTOXMxIWJpj0S+hfiWAt8e i66M5vs5Vg/VbqkFSvF8Prf0TMdwWatm9NoMeGejJU5qilRaVbIrlcepfo7b+rMxCFbIuqHznC2F ukizsyn49BJrtoh73g98Y3y8cpySNRqyb67Qm6I85EdiDvBAmSVmzvIYOwmUv+5dHpab1eYTS1gj INhiJm4nKb1g2dGXJ75zUAjnEu3IFr9Uhpxeetnt4FA9GwcoumVAv9uf3S6s517gwk3tCcfu3fbX 6TTD+C+T/PbE9bPfK26sw3wQ1RDliyDG73W/yzCYDFxKdJlv4jGZviWmomAWVnCFeQbQMk6MWp34 SxB0l5fjGcOW2VaxrdngvP6WB78wugt6iKJFUec0xppzHQt2KhoURYMDpc7FSu1ohueExJKSIVl3 hHiL5Jwf8UbGcShHGPlXA4I5S1mkmz03HfR5P9mojeYJCC9yTr769KSR/MUvmtnqYDLF7bNwNYK8 WKX4XMkcZzx86BpUzSrFEEu5HzeSXuCL3VrDT1bIx7ww6Zr1g0MIorSO5ddPWEuewdDBT0/WnWYe 7o4Jk9KLBdMfJfQMHXvVaGo3owGwCL40WEJfQs1tOZ6B15cpA/T5bNbgbICE6EnoI8I0R1aQzoRL /lY/WveCvUmmxIap68ejSxTSBsKr5BZ2mLIP+9FN1Cm+FYiTRBqx9+47l+u5r3hGQsR2RRMmJfJr RBk5ifhAM4b8dEbedOM7Uwu7q0D82iGbgBxf+SSysb0OOv8Zd55LXHY6cGmQa9C5mL1xMp7POWT8 s2ntd7xdA8MWWg8kKigS58T096YX3TyqpewkslZCihWgGN+2k36RRE50lBBwWfBtiC105rNr8p8N PvbXgOwHITJ6VsW0ZDN7VTaoYzr7RiBfCHx+YNVHRaoqnBjsbyRgUNPl/5EH7krx4ehd8zgchAXL 2LWbY82PWelrbtTIyyEbkZVPIEzdqY16UrjlbrQlS57KDo6WEluVpiFaKaBt0LonS7mMrvCo/JaF gckzh03xAzRuTLpT3scpDJv2ylzLMkA41cwiv9tHuy23BC0yz9uPycvNnRLn3qTP8sIzIAKTZoMt 7RQkgpewDTHBSndG4PYQIiYI2DxApewV/I9LyPinetPZEOovFjxT2AV8j+JzQNf5TqpNZ2KcGaQj 2WkB50gng4olPwnLFPZdjpPa02sBheYmlA4Z6EVe1cGLZwFSVgE4V9r5YQv2dJC18EEt6fFm9drE xCWQAmFRsYWkXCKEagOqdEmBmYG8JkZgpQntcitxv9qIjSGLeCNZOL9PyznFZ5vjXeU7Qq1jg5mL QQc4Q5W8TtB89aQdGyxPbw5pFwj+6u6O/CQcRVt7dSShZCibrp4zUbTl8aggUBGfD7RfujUBP32S jHR/yLtOR/whxyV78CNAckJ2miB8eMWTXw1p7dtIf7RGMBMawP/kVYpW+g0rh0ivf6kpKZNovT5M vRuzX+Sb2AzePESr6sVyeoMmuLkWqdAUrY/CjuY5a/SuNNPQQgfOvmO8nWKz1KwUnUPgmNHBh6Pz wyOYKmYdjn0Z7HdonyxznRwphuiclb0pxv+rjtGcjpmcu/dm9MJIDbEBvSEhzMh28eLrCT9aCFGu 4BVBCRaEpJSR5Boc/yfGS2QDCC0loU5SfpyZr1Y+gqIMHE4dCATVfNaqJiJ1gKwSzCRKafhFR6rP NkY9teXokBtvQGpaiEhStBZzqGq77R9gz8BdW3gv20v+kZoFXL4GftxJ+E+3/3/NJaUmzDVTFPZN L5KDTR6Mh/s+RsPfthVnNkJrGAmp+NXQ3oxZi2KoJ21W7Vdr7GzYDF/ExjzQtBqAeb8aW17IJtzW 40PAyp5OaxeYgHuOUX+bBdFRrLgwbn/WC3ovHF68Rax0N7WY1gMjfQCZ0cL5AqRNSEHUuJcNV0iN 36WeZ/gnJAj3lXDJh04DtXTiQfIWzkn0gSfvEZRWUuXB3Qw32DuWxau+f2cWlifnAc16TA9a56zw pBWE6pU85YmVu+mwqXOBg8ohz2jwEDo0wgIXnblKDwqXEL/hFPaRifvOwmgk+P0XG5kAUZUwHtkn 8Ex+Um0NTjAkRLdyQvePWlxKKPsDkMUuoYuGW8TlX6WPQHT6/dNN2e4CWvZJozehVsn0rGWXo5+L r6gXlhQhHAjObZ7cqPP5K3j0gw4W8MH8jidivuCG2Al2t1B0O88r3knBY8CfZMGs+OqUJp1DrbOU jHtQt8JIKjupiXlhBQh8hqfWXuW2IY4rMC/xLgupAJ6LO6qXI6tDW8+yrbL0icoH9dF5rtQud+o9 Kj872jLPh0hC75ZLMrRYmBpWZEBHkJaEYchEdxZbeG5V35/C4J7F/zHiYnD7htY2M5AUTpAHKJQ1 yrRtgPie3pRgwqluheYgHdzlRwrSQ7CjzFS5eN0nRHRsTguywY9J5P3Gvuwp7kjT3jVYylT62iKB cljCF3KLo47/caIepdyGNdDHu5FxSaICpFfdW61JvPYCY3oANnQXbLCsrKWPZZdGwkNa3eje9cfR 1VhF7tvMfpuH1QUSjfEz2VIXOodtito8yJ1o9CQLpSsU2LD6ijukjcMaSPrLp5vMu0COem40pu8Y 0XoiRZi32VVWC7wRtQmg3sNqtk1eGDqSzG4mTfpR6VB6JQLNuj6yWpirFkNKMS66Oaq+0w3abAjp xqN8i+vDEHFoWZ5bFiwnP4jLOHsc4DD4jwIASUoOOV6J9eaJtXnoCb3oooVi6rOeZcGl86T33tTa L/85NL3E0n9JVlQg/hPAvkjd4CU+K/Cj4pLhxbtBtoGMify3w/+Jn9hHLLZl3J6MRqubJpxBt2KA vy3VFDSP1DUJitS1K1a1S++qCVbxKQRqeq1L5s26ukfaYUkPLqUNfN1Gthm5jXGNhoVd03cgessZ sKnyCJ6WE1fLDJNuEk87psFabnMj5qwEbIbU3Xxaqvi4yD0TSpPNRN/o2c4A3Y35C1EX5mTIxuhh 3aIvQ2oYsSHghlEdVgKivuPSmo+yJlbAuhnAnIgeXhEhZrXOk4q+AOBkLrWcPwQ3r5djeCIShhwo 4TszcfMK8cYwwwpiVBPYX/3ITKiC2Nq5Ou2Kf212/GV0o+nJhnl8vz8/2mu9S6iKJMSIXk3vUxJX mbW9I9QYRECAv/Bwviil9ebZ+3ISIA+E9NiXG01puM2kc7h85W5+WQYuROF3WpMEjEZawFh+71Hz 5OSCq68bDXti7cUMabHjdR5YuY9OPV08G6jR2/pKuGDfRe4xBUJRsxR0QvFrAIRbE9p/ScrSc6nA soVfn866SdfyawGTlf0bScx70qfP5+z92jsa/mJ2wzFSwZQYc3Qf64J2p8ac3ZJ+326PwW9bBA7B CtfwVp+VfkMdAlTXBMK9pxudY3EBOWi7C2dnjLCUg078mG7BrdLs0AaID3wROiuLk/AZTYteMqO6 IpHZ/dvqptkCi9jh6cU5r47/ZtQaR5/BDUZHe16kCNkE+wQKcv43YviklR0B59Zfo8CVQhx+BrrL 1KlceBuVgtAUdYOWI6QangGxvgaXwLSKABuaVq6jLk2TqfpXXvgh6DAg4rSNCzKUkItfVJbF2jsv r+2bFmHNvXFLo8FgDHuSsBS5LU2YFooVjqigbshJF8ZBO2z5Pwv/+lnMUYhQ6gQx27fFKyMO9CJY ezFQHMZnSY3CKDykwYFHfE//GSpS/v/oSaKp7U5AzlvFq65giJfhUtRqCCMKk9Ge5RUzWgrTOLOF 4qZhnlYQgOhutUWMZU18qN37937IyDsC0o3B+H+xGtnudswKi/h7L+jwCSCJvlVKzI2Suc6c/HKD RfTwlBBYCIAHwP2n5hv75+79S8ZJoVBecwNv/rLvny2SrpgvpYZjmF2cZcdm5zNiUTdNyauyBcxh zAQRuU/SpJ6vACKqV3aSI9gsTXfx98zHPgFLFH3LFqIOPq0zDq5hWu+bHzJgHUfKEvfBdiKG+cwk 8joz9UL8oOL2HUOd27gH1FhhNGJrGeqFfdg4D3swgHxsOkzEreRexcvdg5FhZZ1ZVNvgEftCdkJV xcjauxcvGTx5W+WeE2xeVxbtOeOzFx3kqN/kF2vovFIhRB3hPzcBPThu/Wc158YEIViUuKDN6CGl jK6d8WXQ6TbMaPoyBhm9tvYq9NrqskXjUhDZlx6rI72ubLz87x4ZGEJPuEXWrI6lBMvxApdL2GLr zKnckG2lD2ker/hgcYP52jVoY79wG3lcGHiG73eiJt21oOROslqXgZKdTylmSxNYyQNYb8yfi1dZ skDjfNKPlAlTV4+rNBHA1m4s0ac3Kh2FR/tFWP1aqGbYZly+pdeB6caPy/nIu/Jv1cLlXI6UpTR4 WOO7p7COZwrJT2aeM+bMVeOb/6NSkjSgUtVtYoutY26RcRJ54DicgnChuUh4j+2ReeytV8o2GmRk MVpVz1gDN8WSaVXbV1UTst4YKZq46FZZeRyHtkTNPlPgwqxF9n3++7hh8ZHF3FIz0gsxyjrRGUc6 mte1y9heI25DcxwU9+bQ2uiHhqB17+v74lLSTdUdQNZWZlZuHCNmNT5wQ8mbbQA+nLf/qRKcjcc1 a7MQkInfcqLE0S+Gkb/P2IhYPZu/HDMBj3umZ2+hKyvgMzmgCidzLWzHQSkCak6sISAIkTGlUxYf w656enzh0r2Gp1CVK2R8k44ZaC/RxCrndTD9dutSKscR1sUXDHEqfa6PuokMA7lQfqlDuFZjDEDX xzDyMd5wQt4QpjhrnpLbyxzM4bBL7mV2P6ayzBtzcGEAqKLy4j4XFJfBxZx25oOpGH1GCpm1W9Yo +s1FIe9tfjtCBm4I5Hp47J6mykdI7qCJo4JexJoL3f33Omf9mNrwLYXDAUJ4uaFbnOZ2oQ6wnuWj wjvCMESf+OdL28EUxZtbeZYILlv+WtA0VrbQ9icNFBf+X3Cwtfas8mww3q/KPyUkf72j4/9awJbt Se+bethYVujB3wkk7wQvj0DvRJfIQex4fLoI1LPwCPqesyBgft4V5NnObTmXQfozos6srCgIolcP YcmRHCXF4Hu2k04dLdo4hi267UD0tvnPMSWOY6NhIVVDOTVyCLUgZEvljlvManZZ4AbolArleGbc eZoKsyNXlsF1qeGEdCLNkMgM1IkzJNgf4AOMEHU6oFJWL5fWQj7Cgorh21qM0CDsM6dmfkgrsn3H tW27pzWnocuKfY2zwiV9RoJ0LO0rmWyvcLHv6C0eK9hjwsXW1Gs6Feecro/61O3z6VjAg/HdQ0bT Qjw36zw869uqlf01fcdQWahIxXas1DooQ95fd3Yi+rWH073T1+ELougaB1Kc8gc1L+ox4nEuKinS S0B+yBwMJU8qFlMEssnJi5bpoFp7U8TzzdNl9rS6Tn0h66b/56Az+49izxImdHP++p1ERt39sOhw +ZFbHRkKNvlT02/H9sw4bp+Nzt3zB1QHrpY1vqgoZyBan8J0tNMJ2UeEYh5BmJOv7nD5jhFW5y3k xnCz7al2LIb1NBSQwKrpr6aHo4/JTd4OAtmxVA6MMEQpjpTv1T13F4EQkhThb59Ckzobo4n+8wiP PM9ER4XDdcf/VZ3NpSt+z78N+AC9e9+vzjxb9cMAYh1deC4U/fczYI4JieyVAy22ub+iyFefocZG sqTVpY669nhQdqv8EEIae2XyvZbUGloXNvMp1rQ1CpKKJM0B9Bq1u8cHUSS1NwZ9d4kjDnH+t6BB HFkn5oSfOHu5wSLemt4ANtFtGeVle3CUgt5zcpkIDQIFKNzuM2JzER/fgLtsK5qW4yG1Vuhj5cAy Pz0ksn63PZql2gqbVYZ3KguS7dL3scIFeKhZwt3ScNB5aLqIVUxVcAdk9dehHhkUpt5ldlnEJbiq xQ1iDz/nNVLJ4VGeB6vRwBD69qwEPTiDoxMug0Atj6U1iRe4m1qglAEENrQY7bZYVjQzvg+oeDge YjkA+DUaDZzl8N/81s4CemuHJWzyQ6aoqgv8wrfupuhFVpb42FVYuOgsx13L28h3piuVFPWGLFOY +QyI51AeH7yDFjfwL0qpoo1a7JONEl3t60N1on/QRWR5gmGxpi3y57phTQo2FOePp17t5bdkrTL6 hE5NmSzbLRktlsZmDDxd1/O4r2zHnXqWBy0S+rVZWCGcDJO50Y556Apc2B/kuCEZ1MeMIyqdDyDd v/xiwZGZAm1yffX2RBrhDeF9n6Su+xkBiaoDZRqvvaF4zt8OD8rK053x9Ltd3gsTGCSf1WFYZ6Vx s9ZqU+eldxSY3m0Fn8tVNL/54iRBnT8k6xIzHAR43RUb6t+KQJRrflW1WaGNqAr34sT1rpmXHp0T j3bo/fVSsu+FjXDze9D8GrFKxDOz2HCBaPFJr33pXjkm4nXYb6hMmgoEDxrhLNUcqV2//E2uGZqZ 9KWmqoMdMYk9qkdu3Y01JN0Q5wuwGmjIrb/ID9R7CA4ATyst8okw1FANkH5CfhnTGXSXOsUQzBxu N9l3+O9I/K59dnx6tR+iIIrDSdJ6Zyp5a5OSUgrjlfrgr+7kLR9WXFaVkn14x68HW9aGrWTcid+M ophF8sFZzRMIdYXPF5P0piE/Go/zp7Nt/jwe+PdcUe8tlkszkend8fmCSLdvgEXnI9toLYT7Uvrh zJE5DW+jfHr0JYnRntRpz0GFw4DXDNdKwgcMtdOvMjqCf9dniIunCkXL6XmlArgSnLq/Obz2iqm1 Q6gLFpbRRJs9TsIFnfqdmpnRY61hOew/K2oN+QAgCbJqvK4N5ebcFWb7KMjUtgbuhoOs3zUcNPrk g9RsrQ7NOCRIX0DHIdXidYIYQxBYsPYeDn04EP4SN5QmUWPm+sbxTv/U5qpZfBllMjG5FzvPs5TP JbReqUCiQvL5g0cestudyr0j1mRyQM/saTe3m13FNuVSnmUxyGb2H/oG2y+0Cc+vDcwAnGJxcUNA cUikyy3AUhOehZyuxlExkfVM9Xg5K4wyevgQc/z8ptNJutOgbTX/CCPQJwvMF/6IEIzYEru93g8d GHvEXGLKybDHwy8++RJnUeRThOVUEsY3vm4UmoVlpk0Wz3tcLqI9rDo3QbcGFrm4j71iTZYVjDna RNy47fSLi0QvB/7L31vJ84GvQ9Sq6YkIlq1C4VLeemdfslObm30/NZ1AH2EdNI9L545fVyvSLFut pv78SeIsXx/d/i7+1DkD0vLFsTrv+WMicOLjwnCn0vAV7FJbgYFw2Kn8PlAcKRc9ihjzWyS9o20e 3qJ1MYWkSD4mUm8CC5sj50StCNSorWdjVxXYsEx0Ev3PqZtxlP55Taa83ntPLBWvOlfbY3Uwyobl 4/UlShoaohalLV0WkZCZsYZWeuLEh8RdFr+e6ZAIe7KNGoyRjklBBRyTZ8SVu14AIw3UlGyO0Q0N dwqPxzMU/sChXB9e/61xTXb1s3WplzxvEmpoqsdNV5ZUnJTbU1e2TLY5dfcEsOint9ANk4yGXgtr cuUGK0vfQh0C85ObTtmQ/ziRZVdHzMYoDQ6LnKdzkjwbpKHEkOEHdvyw02Rb4QL4qTXQRrgHaSPl 6ZXIHP8M7ConYjmXdvpN9XMzO/uHTC0B4Jl0EQOn9Ksjw9yYNoVerAOFtsBV1FOxcMP+wtvxlTqc Cleh5cjijZIRaY/mnwYD3MWlT8DDr7+44ghogRaKeTlxj/4nJak+vvO0FcL8NvliqwkrurhibpKI we+twhW/nVu7oz3P16fcC/SGFZ8AGXggcUkPrZodvM4dP1sBB2BKRcIEFh4Gtj6kVFdxAeeQFQ1X B0aTokGViJ5vbHI6rLOH4WLMTxKTkwHwUdcUVajHLAi2r1mubIauC+woeWZ9vidolzMl93j/xUWR YVzmuIFy7wOJ8F/AMz/vGIm0AIq7GGVaFIYNQ5+zXnzdDSZHWZPKbUHkHI5FxlnPeKgYYX2szats ROgIwVJUFl8o5gGQIx7sQrLEbc5wQv3PjFKdPQDE1VdjVKmLpza0FVMkFuVpGxXOScbUH6IOqBwn bVTgssWFMyceifO8o7nDDoBP8OBvyQrILi0e0eqh1MjcgQ6lmJ2TMUkWIdv3IwrYhXyQwt3HrUXX 0f/fx1DXPcgD9NJdSTrdxAwWTnC8+fGA9FIDfamLYD9QFH/ut2I7RUhYl6DpZNEuzPD4x4UFYzK9 cONa3FP0X1NnzHnmJ/cdnLIczRRr78jOJFtpTaOAk+eJgwsWA8DV3vF/1bNP0g9IZRrjnlDFFpdT nlW03yL0xe34xH7APiUVBirXz6KIufzUHFX2x4OWQVas9ov4/K/IGThmiYd8vvj9llrb52lN6nQI QZ77f22VRQHTXsbUN4DLIw+nSYYOThHPuL1cyPzz306CItWBqmMbrHSZTZPG0EBY0D16QNZHx+ga shMp55nGGMcOBMHr8mct/JfDwjkvKJxc9kaF9nXnIzoVQXi13snM1G1PNmb58TRNm8J0i9A3f13A kpzV2S0OtuL+YpmNEJW9ygSQjOPOAKB72XppZ5aUhANgTDhpzAE60WaH7gEKqGc9oDRlFN41Gplq JiQGWzETuj3JoIld+wuALkdhNuCtm006RZ2ROmPPWLMUok2Hd93Atzc1vumf1ynTR7a9tf5/X/1U FDC/xkXoUWBQyhkVimX0bBEa0JEfeE3Lk1L/iRie+M9mFte8pcTDxpN+wfc2V4WNU9nVE3oOJXc2 0t9L9XsdgmIQl4Dlj9b3/X1rxtn5QL1qPVJt+XzRbti18jeDmKl2cE6ynPSxm0Xjrb1bg43gF3bE 8Qs4BAi7ULladGDi7TkfEZWyOf8whg239pBqFz541AEW+IJ8W3vmK+v9qn2rk30Uor5yQn3NNdZw /643ksW+gtG1MeluSjdrSJxeSKv4H8bJ6zAJmcTZxgUMAnaQDtydvp+p4NeDxOalVHx9QfY7fOIr lRHHXDwA3W6YaxWpnimHoKSlj8baxv7P3Yz7uvYs6Msd53HlXH0kJVvylA0K/iCvk5zTTUPz6DlR /XBXXaJ8i/0zW7W5fdweFP468woWs25VJUf9WhOcwYAYyBRQvu2dhU+JxvOvL8aEsGgfpwgKoCnH nfCrkHuOshejqABoQCaT2DQiJ4nIeYVggjGPMMNvV/Z5mhrr8AsCA4HyNNu7utWtnWelukIQfain v2d40wyLSJ00HLzexITFxC58BYc7DMdOC6GQIKw0XchhUTD7ls0QMEBVvpuxAGQMIxflVzMCLCp2 vAFCAWAGKJ0fj8QyvYXfXPcAkO9DANt9qovhM7wcrNio18TstaFh4u9KAetGf0vU9Wfc9JSon+57 qKo5zbLD458Kc9uLxzxafn1tMsyopUZ7WiIiqKlB1sRTtWZPx7OkHgqQjxU/Zj0mqs/Nr2XlFwwY 5btRUCfcYbG7T5y2FI1N5EDCQZvBDw+3Y1yhDFUE3nRVH6tvbAhz985mppMCLRxcLy4JKyzfci31 rNGOLnEqQnCZ3b9dbfnkt1jNphsDcvP+Yp0SCGOTkG+MZ6nzkVY6SKNMPRnGQ32Sb+xl/lOCASKO RXFTUueoq5yXocLHE1f7r9aKV/9gnybJGMZTlKZg+IbHoOezVRGKGetacWELCyAclSP8Rng1btpE HAwyNw== `protect end_protected
gpl-2.0
c6139270533a7c9303d62a2474042450
0.938205
1.876805
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/ipcore_dir/DATAMEM/simulation/DATAMEM_synth.vhd
1
7,877
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: DATAMEM_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY DATAMEM_synth IS PORT( CLK_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE DATAMEM_synth_ARCH OF DATAMEM_synth IS COMPONENT DATAMEM_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 16, READ_WIDTH => 16 ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => CHECKER_EN_R, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RSTA='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLK => clk_in_i, RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: DATAMEM_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, DOUTA => DOUTA, CLKA => CLKA ); END ARCHITECTURE;
gpl-3.0
cee572aaf4bf555e0112627208e281ed
0.565317
3.7689
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/addsub_mult_add.vhd
8
23,292
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DOItbi7o3dV+FOyBTuToSTrQlRqhKylZI2WkbvHwmYF1isX+Qqb8faZoUFdEDOV7ek3nvvfAr4ZY 9s7Ti191gg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nUYv64ciHUYTxUIAVnmCG1mT1gq3UAIAx0vTsyMlZWFtfEuMWSvemTefMleE0BfFJ0YwmWZ8TPxB KIfd8sKtZCVN3L3Q3sCLRA0K6GYFiyFw6zPalA/+yurixf69tPUJ6hT025SblnmXGfETeTPdQTva VzxD0bFvwWmK+LuEDVU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EFSyTBa1YD2TSoFHMZk58peydlsRM3uWZKVyg6mI9PMfukgd3OPi++Rx9dExZ9koNbljQoQO4/0N iOtqdpFjqXsaiHgCaheMDlZtS1YRTIqMlve+fkHsSmKfCwDpzgbZ4g7Pact18JWaCesa18jVqBIJ C+MBJHKl0fOo0z1wS/SUQT/bDBXWwOxK/bmX1w5k4rZirvL9chlAbb878wSaKA8VdUTvGKPD5NZS 5RMb5dvQbE9AkBhxy3S8xlb6awpk+bznxxk5B0iwPCIpP6YvbHrXFImNzJsA79G67twDXaSbwdjN 0PnS5bxmkV/DmZ/q8oVDUrnHeXJyV/GUSlttbQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block yBMWQBmcIGuj80ty2nzIVixsPNK4fRuBLX/ji9rXIZg/yCNK13nl3BQ9oKqUNjU3s0HLIbGUYB1q EAvOPCvZk8q7ORZ8ncVETuj1fHGbrdE0jvmgKdkxFEuMeND/hRuXtTz5w7nsiAOwpMnPw/FCCLOE ENcZ7okIX/HHWXpFuPo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QfmXUN9c0A4Ypk6JDdVAYEbwFxm7ZFjSye1HRWQA6PmNSjnzT8fiEpYB0KnpyYAKT9io9OXMCPXT +p6gvQtrRi2JP9AMY6goerQueCJD2jnbABG3NAH0g7Ec6AkUZf7/5EOMUil+fDXPNbRAEgz3Afwy awXdISUlE9eyL/d/jKYKs/0DbAjZgcdxUJ8q5Qzo7k6ntHtBQgt4pS8wWOAUyBy9BqY3In251ERb qzGtSM1NuHoitae57vIghpP+V8EIYNUDuiCVE+UddZj2oX4W2Ao79/rCPTW+a88UNYURKEgGwf7n 9qSP3wxltllTZx/Wz4nGoGS9JYYiyhXgEk9NuQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15504) `protect data_block BdyZE6rqM6G6W1GKmlKPM2Fm/qexWkM3rARBBrQgUILRv+aLEPFbZC3b6hzKSmqHlc6lHbtIIR69 d6Uq++/U3f9esEM5JIlQy2Y1mZtDE7T7AEpQbZu6c72h4yXWe2girAW/qxsz1m2ad7v30Pe/rJwP euCHa0uDam8rkZyfUhsRbJgUzZS+FEQvmvbzm2e6Ktn3M79wJmg45Yn3Rz043n5Xe7v/WCFO62Ge keJvqlixhihg+0Mmqnf2l9mMvO49/g+GZXApddo+f/u06+u27aJwfjnq+HlKsi0FskI0wfVgKqQf aBK4z/vRC1ArRNQM9RLgxDvlgDcEpbG48lVgoim9MLkewLw+SOX1rFibGF62iNC3Tq1bjLil0lw9 tXAFQfmBcZu2j+lQmOcdjS2UEXCVXdYBsR/v+egUgPqJvqJLeWjjl38/YIJ5PWjYDe3kJamO91Z7 28CrcocI7LBWxCtrd0D2hPLdcHtKWb5SMr3icRyYM0XLIfRhKHBOOAtaCVQMyj1W7xuJmT05AyZp 0ZluVr72+LQ400cvGSivgjDs7PTedrqCy48wsdKO8eL8uy6RIvBkJgSYcstkrafY+IboNxC3PnOJ W8GoVF/wQ7GY11vK/Cl6uP6am5UbnDaFjaEGLg9dyCdo97lZhc3xnkbYjSvlqhighfcFCx5Ycnkk WYflVQafUqA3OM035OS/pDm4ZN3FTtRu91IVosAMr+1D8X+dZr2HYIg5MSuD63d+e/odYyF40E1z bGdJptDeViJBiXtk+J5oDfGX991xhJmUpFi7Kj/+MQMcD8roxMZ/87Oi1qnN038KyHRB8QQbtM// 009y2HYP4Ja2mshhhKQl+6AjaD/QPepS1SXBDkdu0PVNxPaA4v4HbMY9stMx9QGsOgXeSDDv8iCQ oNw725n4oFMiAY1zmsO6C0fjeTppfQq9EfpKb8O1AYDXLmbRD4sgUh5xvqfHA/Wt3xr0+gGAVAXy EQPQwRlLcAQJBeFtkM5sq3U/VOUHdIL0P0QA6GKISs9Zd+LRoHvoScUnf7imluunaa2wzlf0UgTt g7C6hLOxKvPIU3McJDqrPeDpvDNUkRrKPjFLSDFDbOeg/iaA14cf/d1RkWsL9qIEYGnH83o57eBd i7FhqgpRdd7z54LTFeQ70WgwUSFBvCggQIWBXo03Dg2vWQkbeP/VNpE4mz8PLAe0Odk4kDX5c4wE In4YOLPSy2Z0Eklls3aJdjb8MT6GL8rckPSEYtW8CsOVeU8wLIYrVSA91uAozyF/zWFw/cJqTRVD DA+THP2dXZRvjzodAoRpgabFdDm/G1OmcexzUsR1p7Jam0E3bw/WGmfoVxZaUil4wtKVuQQMy+in bNk9rigDgR4WbmzEOJn+bARTlgclInVeJ5Z9lrnP5wrXZrM8GpltH0EYTlImyw5J/JioIGzjx6cU /mJeLXVGPaBF38G1ZVFQ6uCgHrYRcFEGxZByppESf9CjJcSLGgQ/cZnnomNrI5XvOZBlKLa/Rf+A Mul09yGakNTMFmVg4kPrxrGl+4Wb/Wa8F0nmGOrUuAjNRa2W6+XV+Vl9IoBZ7WKGIxsbJH/aGPcn /L1tniAZQEpowZPNRiDN428wN50NFQlkQWPlZZMTyYKoXs9Q6e2V1JQVWITA+NHJamCaoKxjbocA 1xSNlxnsF4QTVpcB3sAheLWLouhtXBQ2pMNJofHiY0w+txYCUDw/q4IlEoiHYyHTOVJpeIMzIjjr /jvFAmVN01/ave3OEAjoPBDCyw7KHzpDsNF0wkUMfHNu2Kg4Z8BoDCz3iwa6oemrgrqlIGzO/qj1 QRUtqsEL0ySkJCu/Aw8FaCNVh5s3NO7732IyOoYft94q3FaR6KeNpoqjzgNW3cT+vDSyedvUkTrS 5dcSQWvdr4kmg+/jl2FMC9LaLtnCcDBANXLgisF4OdpfxzehKme7viG8LyUb14PxpKfhIK5sqIn5 JtyAOLldcYZ0bIc+YbNaWNWOMYWpmI4/DMgszNEDLHeXs1MHFunZoI9R1kGWeOogZ5gsUpBfGGds iZ6YP3XZ3O56G3pR35CIzN0wcGYaHHLmFjlpkMdeEAoB+MjXOFa6e1YFRWU4zssU44o2T94TTeI8 4dYCFY2huUryCYIJh+iToHd96Gk/7UQlS49cxpJV5JvW5ylf+FZ6OxRm17JzLH+Fac7F/4NLRZ1+ AlKc1eW/KgmexjQNLywDJYWP3SC3CGPuw9HU3Xfu4X+/Y8KyCRrGHyLJ8spZ/8XuFeVHHeNOef+e DgT+QNAEElFqAS1neUEWQeYMvgTK28SvVG7K9MGjAP4S1g9mGMCWqk3UzzyjIyqnr9DNnkXNn8AB SzNEQZWdvZC6LaNNy2JHFsp51nRckQ1MzI7xRadKeEx/p8kJAZwOf9qeaDjDO/EB7HrLLd1uCCJu jA4WSXFSkqsxdeOB7ZDSBaV823gS80mW4XngGA/LkJXm6N2jtBfvONBzmMa3fqDuBmVmMgZkTHYr fsDHT5qfWKXvUghXjN6TKPG5S+1lVI3xfDq6CiCNtdDOPlJJ+TzzvmZn0Wdpf/eEpvhRcyUHEeVe 5xLqawFS+VMTpV31lAL5OX/iJGcWuB9OpY0XOwuyfaVhvUD0oWVI9iVqDeUfFkCrZZvkZgwP2qc9 dEGBnjqT31/mixPcyXx25lLt/0GvewF3Z60PhjhfALfdEds6hGdAjGYakK/NXRGuI1GrdSK2mVN9 L9Quu85YuqP2veSLx0xuHgCNNk8t/Z3T2nmljxBAJPN2RJfSiBEx5+Z4bUo8upcjPwhZOIRrTjjV Vvjl4uQKpWwvIB6H5b9NNkEtLa+r6dPVc1wtxx64eDag4vZSF9H8MdAsKA6GRyawxjc1GP/JmmlP Q17xVs91TTZHpRMqyB/ju3uTiI7sZBXEP5Y5o0zaEFn0/lNaMoQMIMhXsyfvuYFq/9vtzzG98Iga zCvNfZL3IuoeWPEOiJtftVfDaybFGTgb5ZRYl//+mXIztj2FRRn7uDh/3bDFXtWRhK0sEPgqdA5v 6sYQ4gcMtq0+vSa/eV/5NoMb9ad7kZ6fwAwdC0fiqEMHsMUUCL4n7FG6fymvMzw6Lu1HCjB0cVjI Jn5Zute3SoO9OGHJ8ZSRGhxBdjbC1Kd8uWk1tGchduTnsUkAQyHDWPGlGr7llGS/OVHX2bq6einu Bt7YAywbwm3H/kSYTKVN8YEELSaHJ/F38ZREQxALVfsHd0Tyy9MTcVYUoyICB1megQQlDR62Fa2k knVyNLviOns7qEblpLg3GTBZKmqhMeNqvTyGmeFJM0DjH7hMK6Ur4eYRVI0lk1nJv+Dx/HmQX2Yb UoqeGbFbmvDsdY5n+DERnJb8yNKlZ6XJbZiXdT0C2CKZEmBtHQoQ1J1/C2qPJX+8vFDh7qVhRqgS z6Q8w8IItMy8JjzGfG7uBvTBvUgVB6bNyr4KkM4xXWTZEcWYqO5LwLsRER7/hNQRJIwQY1CTp5mt dpea8AT3SWb1zhYeWsTZz97aGz2BRpwD8wr6mEVXb0k6w31/+rUUb8sNIvZTvLE9i15kVtEi5esf +6vd+KHg++gCaadPa5rNrsNS5U5FmubC6wj54NGzzUSMyH6Nr+aEUh2coaqpeVsWDaPEaL9hlOur b5hlrPpZw22B1C+kQzA1gjz28L+FcZyRT2IxBPAJysyp7vXeq68Iu1soVAqRc9lkmgsXw8cdpQMv YP6lupEGBkuFkUxuddHZfVLgajCMmn4cCEV5LoB27mS1CP7vEhs/UvdZjL5OYRv0TTIBXF442qqe zl+K9T+BmLPBAqR1+45FSpGpKAjrX5/lZhXrZ4deRjjMD5TZQXsO8T1k6czE7Xh1JulVKkdh+Vgx lPtgk1QZ0ZowaqvyqGe+pSnmx7clYxCIpPRCpQVbPX3ouN0v3RiBji8bb3X9otZkS4YNSY+5LpfJ 80uXqToy5WsdDwJp4GgQXDfsbnEmVvyHc1zv+Ub+ZXaVUnasb0A0pX8zSyAKSw2P8T3o/AP4TIsG iDVNEV0a/XzP2idoVC48YVu6JsbNks4ZdOpwYyhiSvtuwxxzg7J8Z2gk5K1bXnRN5tY8PygeQONB Nd5RsoR5L/YCyeKcuqFqVlJq23BDV7vWHw+VCFm8L6UNlVCvV7hqjhwqPKCKVsR/HuAQhYAbDVkS uv5bEu7KM8kw9/4UD+u5caJZjbnQSjxKkc6swHNRV3ZuwePssKnKxnW9cyII7oOf/yWrrARx1tWr YQQmaDVN81eQWoOiKr/Mm77TuDIl98SiFrfRJ5vXCrozO5tAtXNy/P4/MMOqMp/s1u5xtsiZWV0r Btnhb6cxNEv2h6JG0Aaxps57wVduZbVHRlPYKUqbySBObIkC4R/LrGEfjh95baKbBBq7uk8phz23 3egu1ammPWI4Sj9mehwl9PDRsfFKh+L0lmtKCxDzYbwhWH6hzO0QXfb0qlYJafv+DMz6MtZwiwpf 2Q0AdM7rnPEhBedCwtFcpc4YNiND0uOGRrtl1PckbAp72MvsBmFqkO0ix+XYe7kl/T+LMgczyjbS Qb808Oi4tA5U3y4ofW7wzEFEp+k49YC+E45Cq8FO+/Td5fSOgA8rRLLBXSpi1Bv0uhq+1vrW67Ns kPxhXnNsO6GEb1Dt6uNyeUOdXe41q9mmkOsTZEJ1/NqBtDw2PogQClnXgjwjY+tSY+CIqfgcwAvs +Mh7BBXUWHSmtasPnn7e2f206TY0uNVUJL04JvAiW7VmvnGs8eXjNuNSNABBpnO8gW+11e3/QdKT qADxO6NrPdpicEVTZVX5hB+ymQ2vniX03YBo2N9aUf8PGlBFGSj6do1BBRDLyS+vpXoYMwy/cIAA FGxabeyMptVGqjcy9PnVYD9LvIvB9rTLiMVeEKwFUwsqNytA+8245L2qvmXnrLu0trdHJ4v+aa44 UJGMfkShmxET9yeXggWwI1tttwckx4nb9lCWLkBwV2WCz7TDiSFFmXmGV83hQsXC+4qKZK42V5// X1x30ukq0Ipdywrfg1uAtlWrjSE6oFnM3eWsHfTS8zhLGSLzYGO1q5od6dSSZcvuLytBOKpt9Be3 GBstGWkngvYYlYbKWJanarGw+LWLLXZrT7xiVlx3N3OZVOKPMCqYPKP5rzNb5haAYWRr9PLJCcHE dZhbibu0koXk5T3SWUO1ZBf2w8eTXj+uU+yUHr93NIroKJermO92dPwHQV1ZpHteoZDX7DKf8t2n 33M9LfL2b0SvTCfCRCwiEfUS39zlBuw64rFcyCicom/lqtoo0sM85hcugHbzWW2TGsEqZOeO8hOk izGJy3jum3jYeDI64KSKTN5ImAf3XMrzajVRd/MFkFW86KYRNTs5pl7lCgpwJpmu7usJ97nYoBTj 5hAJYkWifWzHIHkbx3JfUmp4KV40Zx7LGxFpxjYTvrOAr+yh6mRXCW4uRP9SWgm6eHolu7l9dqP0 btzZZjASTd9j8iN37GGyGA3ZS31vLqtF8jqm81Os8woE2p6GcDPJIokv3JRJ6+zZcr4B1bEpv3NX G69EEpa5WfgN4/To/yOiL+Oxwlc74kmPUuoO+SpLfrKryl5GbSe5r/P+l8Fx5Y8E/MpbKMO26z/b UUchFef0oJljHQlvOV1MAxRyj4+t0BgOn+AbINcOwGchKm9CuUD8tecmXMCCBeDC8yyT4ZHYIWp6 e7eqSwJvrQg+//MwvNotPo7mMJcn7BOKzx/r/jwOoP3silXyhW4Kg/tNCVE9O9hcM4xCLViN/1cs FA/a4RBDLmwmt2WCGGooUNTuHv2G4Ci2v/GJf2kgf1vOyFtT/DS6Tl4OPe7qWXtZGISVafxB29Uy NlsTf40KN4Cj+H4dSTQCZYtlVhusRU30GdNyZCq9h8saOvaeEdbZiDIXeFOWTo5j8VcGCNQVItPj t3esEhVqX35Nsa46W9Y0aclg016h5CVjauRmbNZ7BlYLwHxY0PlIyYjPK+AE0YVQabKRIAVu0AL/ xNZMWuBCp81f529Ah1m001fH5IA5ZnYVX7npXAv+6FBh5BaDLKVBOHRlSeskC/lodDpYoOlDr0YX 0/lRhyeRGtSqv6OstoKhyPeBxC1p2MCv7WCEuBmV6Y6RCvOU1vcc64WpwVjrL6YV7iq146Exn7q8 4YQ2l+Wet0zEC42p2XFWQyVOVkBRXRkhiwyhBswIj20xw6JmV/rK17pKsWqfyLM08Mcm+kvX7bnq hWpeCcW9Lfav5duSObjZy1eS5sqWrhEV48adGuyllbS37V0Lsch3qY/58MU1HgwIu+gZwpTSikwF u98zx4dHvjOqEGOkc86Sa3doo0Ky9RLwQhYDue4BqLgBOOdJz9C7dtrQMjO8H2HUuFT+uKByxmYI lCNP4GPYBvMe+6iafFW1J3W3xfwEDTSa3xW1RqqGk1jwRG/GjPDtGIyJoIPd/x62ZiZRcpm7dfuP YN0g3Ra1b1W7IlMSspGchJ2L3NXCiIiCCPHvC9+dD+N/3fhdoOvkd/TRzJfz3Dw8fS3inAg8O1js kuxBQ67M/hjsuIN9MfY/D/K3ymhPrmA5rTp59y5HO06DSmNjifkUCoRLGMxvXRWpPioqhwCJwrTc tob3vPLMLoJZ7fUMdqHGI+UmoUtArqT9d0cMblDKab5e9ZOVGRrXMANwlYCeGedFJL8bu/ypz6hh HMfjtWExSt3tBuFPnPHueU6Jyh9W7+DkreOeK7xOaZ+UEKhWvtMTiSMe6Je8P4lQF23QsBgE6Dk+ 7zGX+RP4HSSJ6/7bHx02Loz50x4+hqDaZmypP+RBID9Fwp1NN3MDlklE4dQezL19jjgi37TBv+fZ sa7nkaq/TZ06Jd5ATvJ1aLbRzarSmLWS1DMBHMMzWyzDk9PqC01YAvcxNtqiIcZd6FUO0A8Dolbb Zp/8SJMjtzbpiTlYf2YZFR5BPwEgWXigSEprsBA4WHnIvp/PamCO8xcqngRc0KFlvCDWK37bd61A ZObHwhr9xSptcYZkwKk7N9XS6UyW5pSx+t5UMHFloSqNbDoBPtmG5+H+k9yTZbD2obzraKVz0Kdb bdgT04+c8BxPHdtwOCH62Gnvu/SB1St+8QgzCSrC3+znE5rneBVJVjwYxKTHEE8MPKaMKKvxlD/G oOxnNA9NAT8lJKw1XCHCTzyp7faP5oldxUUpvS+lXuo7s8MjJB7u6uchSAvU5PvKu74iqGGgt1QU 6L/qDIaNZICkVlaBBVTat93e2jSMTTGQIg8I3b7ReSZmRpQNk3UR6ZiYbXmUTYEwBh5h1RPj31BG Ks4FzmXMkyZWq/qh1cAfG+xCE3fmPVBPoJNwL1UUvynVBYpPwixc27Wv8psJuO6cScyU44UMfbMe IT9kDm715yfxNNHBLUBZt1047bLA+zClQFHCMsFMx6EouwwFuU8XKr4/m4H882vxRnsvsYoeBCHT wqa6q4mj4EkLbaI8JWYWkwX1xP1j3ctqXJ5mxVq1a2Jy9Gv9v3lR7slpD0KQFxUKZiaxPw3VC75A O2PaMl5g39p26tVNn+MpKEPtsK3XzteRvSJfDS7pUTcdW+clSooHjFbBoWvbs6YIbEiJwslqlh1t 25RbHq/Y8v1QufcwwX587z/lQn6uYYUkESIBmC2LiNF1STogUYE+Feu9Djxkek7gd4tvEl5zYgSW yX6ku2LqGRUCJz1LlvA22v5dor/rR1BDpRKEr9pc/iMFw8G6J2JphfneTx+OKJdRygbAvglfkthw gItJ3ebXZfN8vCwLOKvlFfr7SGozyuNl+ysGuuGRRLd2ATPl7JDPo5WSINxPknwip8nDu49GcaAp DXZPPa/5WgnCsSl0JV9ozjy6LoXMYSaS2EYIYJcrlukaeNwBjkGnp+EJhCi24DmA2Vtha9EkYN6d 3zBbsO/io2RMWy58VETo6h5iPvdhC9KH/flCNf5X6q1kpjtrcuoU979cjuYMPvyU7pOB4n3r/N4j nPYzcJw9niU52joDDYqQPVVBBR4Vx/RebWzyAk2Jc/KYmriQxlB7feDY9lV98+CEWgXSJ5SuiB94 WgCgN/T6OFS+/leHaNe/UtHk7tGkgN3wbrV2CCsjlxFj4iBzEfSbdaO0m4V966J9YRMkLjBxGvui d2AtdN2vsUsdOK31KxGvroHufVALQe7bKo59q4cJBkIUyORHEX42TcZcKeneeYisvdH+9NPJTpQ8 rtjkD87AQ/p3z8N0reFycUqAO9H1YNV01i5L0eo8BSnfgDQL7I2nkqR3pNdTB7A7r188ilMA+YBe Y4kA4YQDMH268LUYIz2A7KSX9d2l9OK2WCf0oFGI8BfkRm+vvPL9bL1oNmyY7h/JDbuuUx1UXEHW etu916+ijvhlqRmu07nxrGQ7/YWZxblq2s5Ql9odrt7l3LsKvZD63Tkdz6g9cfWSmTdCQ8U352Y7 d4RUCG6mVOEAhEiqf9dVrKSrPzPhEtpyOxoCCkpx2Lo02P+R97JUVGgWTahKoBfwZKh2CfR4FgiF Yqo9aiKLR0k59XLIiXQqTResSD5qsiVlpbZbc2QyvQB4zxWAyTnM0zAKMARsPgerMeyPl/2R5eSa iWYOXmU164wDIgcs/Xp3D7h36scSkXrKfdxTwqRT0VoJhXyROxMCavk2g92fLT62YbwhlQQh8U0T s00AfkXB4Mm8BVhgHhdKoXK7scu6jZg1VE5VGUV9hSFerR9G6okNtK10I3eWlc/ZpjUSzvmkuVd6 XyhAdmJsemOuhI9RNRMdaPm5uGJvbeQ9x+kSobGg0oLMwnKAASEzXUU5dz85u5AgfV6IcqJNDOul R6dxfyxvhAnIOsRy4QmHDRGUnt/cepQoXVMUym4UqvxWklmZMiTDN+gFqXH86w+bcHmkQNxXINVw 2kmJyVKO/BI/+WDiHaRiHseuSRqGKdfnMQM5YM/O4dgjHi0BA38RpULyUtlM/g9p0HoIjwBi8KMl U/+zohm2skJP5j1jS/nmgZOPh6MENGjacd4Pqp6zFIbG8YlWuT6qAPsVe1LqDMSW9urVOXT82ceb E9fJ8thM+exRvAi1qK4c9HSqPP1oVlTE0RsRxGLhPVONmrk727SyBar7Xp5BzNNNhww+H9n44nXd 27TzN5Dgl+Gqsrm2e6iNY5b4g+j6R7WMcKRirYZwa5SpEhxZYXpxjaEIeTwDGpth0biySZz+thK/ VGfWKUMqihA6HkZym1SgW349PGIOyNu6kBAO91FKMk9TjpoQ9rwc2aelAw5rpfDAyOL/sMtIe6BX peyODHUVnd87wn7PjINLXzHEBnKUT25yVV8mJNb67SnuXVi2oNOHdr3Qiyh9HYkbQYRin+Z+7onY DSTr/hZW/Qu+o5pJl5x9DQjKpTOoHNKrSXCe6Mtkv9WjxU/xBDQHGQaaakjboCsVf9pkK05Iakc3 ja2/ZUibC2BozSovwbwGq45NLWZpwgmAdl42CxI3K4QdgJtFnpndz8Lp/3o8on/Ork60Z50eapvy 0B6vD/Y1g8LpexDAn9UKXzkCYOghxnU7DuZsuB6UJdlP+D5ShyQ3NrY+qLg5XrBvfuNGbUkbpswp iNctiJyOhe9wS9eN7e5xQPJE4Pekq7/LH2GzAk6Vby5exl8nU+WXYDPl/tsQVQCUOCMK3Vqyy/Wb kMdubRs5U7mVSKhH8xaRp7ns6EVXxNgrkPCs/qrg/LLcY7BsaDQ7L7bLMxaCBJ/ZBZd0vd6+WwJZ rN1eGZyjrHMM34LmP4BsQhoT9+MOsDI8WczwY1XcJn9CC1tabrc7TeUsJ1srIrjcW9z6iGTFCV/E kR6xmnT0ERx8cpiUmviEPSe1Tz8Z16JLMMn6NWjeaG5X13leo07sXW6Ow/OBiL+F+dpJLDx4RxbF vN9Hk3n8wFcRLEeDlhDSY9WbbE5tJJ2Wg4xcOMZtdcI5MX1LiL/4UZUQopLN/YICjC+S+69N6iCj 4OvrJRiSh6RZWWz/xC/foVaPmM99ldG2biXRtF6E+JggoNmif6G2QCIgwBRloN+P81wIuVAfkGDb 56IBLRD46X39AWv5LlDA0jy0GQZ/GLjavw/c5hzgOdjvt6q8OevnyI5/WRHJ4u/icpcYhci+s4i7 9iZKShXtJfdxzc3+0d0GtYs+JRXtzD4MlcxgYh5yF3eyZcGynwLSUtvU7VZ0XnfmorgdHPbG/s52 pR8tqjuUc/MhXiqRrMkyz5R/cVZTwscpc2jgMrkj9uAAC1QN2MqV2AjUZU0jv/yc9ldDo+lsREvx Pw8K9h9RQ7aQI9sT4k17MDKSRAyYN0oUqxONpVmzBXh18ioGSqXgiunVyE6mGP025jp8i9tYMtYp /u/NHklAKGhwdR0EhlhZ5hZkSv9fS9QbylcZ550moRY418P9SU8nAjaZ7KgqBW4LoOvbxmCZqF8m ekAtZZBL4syhQonQHek34kDEdArziI0SjTqSNasHuIMGhSy+iVR2ci2kit9o0kZ2ZcLGJ33BVI6R 2/C1Jf7tImGQ3oOaSJIZE38yhvadmnNBY8dj80YBDJuicQHIGhjRxvde9WYXRRPbWDldsluTOM00 c6T+qZ/4kBvtWgW3UyAQvgERX572Uaj8xuj+4FBioBX79uSl/wE4m0Ow7rOM5Xv/jLn89KvF8tuP +MPd/oAGEg+JmgUyoY+flhuaGz8vJAs9H5yruGBoE5foNBF3Fzfqw7OAT/8o1hOINZ1KezuuxPNC HaZMQrzSYBwYbliA3T3PBIJ0JIrcPcO3iJM/gukEnJKRL5oOO1rszCo6acE0mBnSPquFyfAURrLw 2xWQr41+wqIHnfkkVxrxcfOEkdJ4VpOBKuwG2EIemoJCNV+jVGbZRudNpinfIF4C93Xy3VyEPBb3 dxHnwUV4Jc5yJzEY1Eh2t8VXWJiXNU29C40w7Iwb3CGsVeXxGLJrIeHZaSK5JC7x+SWzIY9X6gEv b76ZMQctWPrzG3JVJummQkPnY0fioiI+ChcxNqG4X6PJc6386NW/aQ1cMhf7IUqBmkPvqqs0Zcot B0XRqQ7iogtl/9q1Ep0CtoJiZdY8kC7nb25q2j7jLbyGMRd1o0ilT24k2ZE7sgQxRjJElXiMbl3d cEGSnwFxM8CcAmrwLjOTeLOtQz82RaXt/IMcL5h52IUYRAcXXWuiGxiPzrgcrTlt6ehKujL8Ra7T MYUzMrxA71N7CgH3CFE5YMn6Nq3lUQ5HrpCvWntp3oTNHNa5LNWo58xo4dsFeIitluT8CZvTl4Kz 3TwEu6IWBvw+nUJN9ARovKdZFbbEvX46AYLNP7UXHTgVCCotTZo8aQyGzPD5OSx43NGiWP42PI/h k6r+IFv1BxFizEMX8zn4kWQv1KsdTexQP5U/CAMex3p48onJ6ESmiT79N7NyNMf2kJRX+Gu60pfl hX123nw/6AOi+xMC8ZJ9DLGy6GbJDpsZbxOfqHLJnurJQYpRt5r+ibf545et3moVyADhumHtW6EO RLET0D71e3+tG4I10hw9HZrIjcTHp3rx+jJ/Jmy/wW/SlRR5VMJYRHroKwo3LHVq0hS13MILiiJj fOglwL/E58tc1O+qYuWKUUQkXlYgZHwzzHJy3AKaEp6rcoAmdwmoHr5xqqsV05/OyLl9U1zlrgRy emjfRFFYWp8cNHoGkhxN+pOUPXBxfudGL3vFcjTvyPpHy/LawdKWIukBCK5J1wYZH5LGya/i6aWw Cu0w3x+6A8KRRHdHdoXLHrYVEum72LWGD/LG1jdVTznCo2+1T/pz0+rZG5UX4bIim99pd8cFdNCa w4QZPvfkjqyahOQCNwXljQnF57oC4exxS2Alf4qFQblhyR587rVid5sKHNytlYSF/FkkPYWQROG+ hfQwxnLpFS6DRjQRGutpOGTdLQDid5TQSvsWjJyYmqQG/aEt90RWxMxE9b9BpL15s+I6JAWyZY2Z nfKyfVLgAg/1V8IKYN+zKb+6hvqAEy1XBBU7aSEKAwAwpu+Giu6szW3LAL0pxz68HPPhTSNA3h6T zJIt1ODJ45r0CraLEzVuRojgKUpk5lDn/qbpv5VFGnGJOFXcQsKvaAj+4Tq0l+Sh9Sd+Z+MM77sK 6XEwAQgR15Mbs1foFy2eyC7jWAsKqcbOvj5mApUwq8P9v9qJkaMaKAJHKfBJholNMVrHDbzIEaaV COLEDWvZcVHaQujma0dwJxz9aPg/aqqZccawzNU7kuAyqoAX/d6uiY/L+031r0pZr1xAIvtLzZs7 kGHWnnEqcbJ6pfMitDGFvKTL8m1PX+0EFWmVfAVb8uSy3ZkL4+2TFXDVllr2Uewz2siuPtrmORyd s5oOSVhfTXEhkAa9SarbVAqTMHbPn+XFistgtu9kcd+8WKnD5ICSsYJ4q8X9TcdLZVu5azVmYTCW HsNzXU9hqkNJq/IG1jYQYPqrEpOMOS2KcOBJBPvMhLdB6PdOXLsApf8AmJPKMXDyWQOvDO1q+cI4 t2lSQEX6ltqRttJIVoJ4ilLiUqVONgO48JxUMulTWQegDt8OnHerhLBwZRrNaTId+U5FWZAtXYuf zosJOd/1Ty0o7doNwflkRkWY0xkgPydUuSZDHwUz9PGKwZHIilF11vHY3WSeAEaMHuj6OO3a8+0z 0TYnTdFeh2TlJzXvAtrzGniQZ+6Mu3K7mOJWadx7wE624iquTtOVIhwnJhpAvlNDV8XO4MZZTTPE Ea8WAiSlIRKnodpXEIS5r/hlwL8Jsxg0IfkYbNy6goY0Xzz70GN3UW2VMZFT0MJnt/mgRh89l0VJ N2tiHBSZQAZsMoHxU7mMDQ8htub/jxlVckRI2OyshN8qJQ8Yf7M+UyvfxW25ZmNsNunl4qWrKHO3 nGL7QIsYCx5YzoJ6WK9DJO8dgpEMNpvrXVL1TyMCU/kr3KLFTE39uylgDMm2bZtUqQYyvaFviRyt 5yBa+TMuZSaQRNMc/PB1BIPAy1J7MuXBaZjL9KmkQaZQJhBqih1tLbpe1AyXir0ELGsr5JbWFf8I 2IUhpvKIUMghZo73UdP98RDayWhqfW5/SfCjxW0PhigCe25e+Uj6089DFJEchzFDvFDW9h6T3bz2 MGghdxWBJV0Q0rByqdKXQKc9b6+PqSdg6K5CEX1LxYssMeMW9hecrfc9lXgYutohSDFzHatvfUOu wjhkNOhMckvghgfvgSGhY23CIq8vVHG9hDa8Ni5aaTaUYfMika2acub/W9qvl185bka0paffQzEv wIofzW4ChdwDE6MjC6p7bstMqfo83pdLQM5vtD8AnWxLjJb/+x9ckn5buA8PlJmMrJyQbOKt08Fe bLix/2If8+I5OYS8C4jlCnLpDsIwP4SOAZgv2eO5hcoqIGOwSM57VR/uFzYUogujIro2YcYVedAI W5lPSGPHRDj6eRNiciIHD4r5iQ3WaCV+LNRwiBrCFfS3cbTtmSec1B8aDVYXzp5dygH76Q35jS5J GBNLFxmvNzLbevkY5DPFM/hRDjNQO0ZMr+v4i//NfHJwfeDcZ5Qf/1WuF40Ihrd0uqbSgPTwMnOW i9rtKWHCBPSlfOW50lcxDXv9CYad9MHYcO+iLSd1rs/Jdm4k5l2NUZzmPWaooV5lgW0NgM7NNOkJ vIbe6V2xOylmDuBQahx/tsAErUAAhMqgXWjbicAcfbaoQVn8s9axAJwPTW1XOCoJDZROy93/u53K pvzSi5rdZzTCdl89qwoCx5/V2HGUhlOei8kvAn12wEvqIJJBcW90Jxivl9TD7kx2UcBnOY7gi2L0 F/JMmxIyNrMXGmv/DIwbJXFAoXu+eLKdaDXyxQ6w4SSI6ZS2zNPW6qweoDvmlp4tVobiuFz+c6nf 6KuOebOBcaDKOdRVRBwB2ZtT/em7ty9Riofu/qdIqlwWjV08+5PSRGDcPrVagnIt4gUtQvFUHEbi Tq0JbILuzA0WAFlXH3v4ZGEG2DtCxn0SVnRBv2ej5fYjU8lKaaX5Ko+cD6sRzsEg8p2IWV7EFeIL 9+0MOSUKcmhqcT9Emwn+niZlYDjfyCSUQW8sk+prLlA2b3NmzP0dq1pE56OJQsMjtmgo9uZqGWm5 lj8SKARZWzakDxAm7Z3syea9jiwfl3dYyEfTJ9TCeCBwNqJebGz7dRxIl7KWxIu+lyQFT9t7b/CZ ptSOQ6JIk1x5zOZlJ+1cKo54yJv1LMpQDz4MsL1mle6je1z+sLaGENeTVOWc4h8aF+T/mEv0f4El 4SvchErV/TOwwVgNIzbTH46ikjJZEKgPZaS2DIF3HccSROmwGu8Y4O8CgekQpzk1ZgkbR1kJ4xgT 4YHv+niQjRsrdgm7fc4WzCWkE8m9CULf5NTxvpysr3coWO43XmO9Hn+0mY8ISuxNQhq8d4xusjwh HVtMD11QAsbKGaFxm+Ejp9lPQLFlMojKKvdCqswIATdScFhR28jI1HEND9cK4RvH1VukeEit3yhe 17wMECD15WWLucLocUK4sTFzuojsZS5yLGT7yf+tKscfKVhBSJ0NifcABvWb16fmU6wVJtuM/px5 Q3echukGy40ABleLHMAUUQpDSOZqn1e7qKhOwOfINxqjvXshfelCJ+AOY4rjb5ke0sCj/M1jxahZ ivQqXCL97tFmCBQRktQSceI1SmG3OlrCmycY/zdNx50q/Fo3+S7OamSZx2WP7meSC8ZTLyBi/nLm IUeJaTUGgenLL9EgX38EdXO6GNsE3Y37FLsfxg6QVBiFlC1D+XpYrSvJ3xyiMfnFCnNGiuM+VcoW 4xV4mKCv3/OWxj46Q9rBwj6GW+kvlnTsokU2jfNyBdfjYH8kJI6KQ7hzcg1pUV5yU8v0iFpUKcgu aSHhK4V2rro5x6DxpbVUNo4paWbivXpXKrxs4viQzGwxA6TIn4eSJbdvpNtXk5u9xzEWfwv5YAi8 ITf1jsqsQ57PNNp2oOC3KKquhsn21qI7lC88EKDbSCEyZBQohMzYObsy8x2Zuo55t5Cqxtdg0PXa pMgSH+SdVAvfiAY+8Q4mMh+Gs25ynUD0XTBwxQQcJIJmt4fdnI4zwWKCHh7x6VKGS4nYNF3bcmEv rAmnrNZxaHB51X7DsEqy7hl1c+s1NQkay2VPLkmQ+vOIpbQEgPK1Zjx0vd/ZUqlpGC9CGsNcginx o9NMVar9HqRY7Kk9+KEMAjQ4U7wNQ/hL22eBFPaNDS6omnJ+ed/HTPapSea7UgAE6mKq+FaleGnC SWJyzkNwz4rdpZyQKOG/wQ0M/v6M3zIxEcJ8vqxmJ37/ov+f1fl0RLL+du33CovJpEKvYHvj3VOY /k+BEWsQkrCEX9A5N+R0W0Fg5u4rMqcFUK4EYvUdqANeWO8BZUEaRLSq0GX/Vw2CpJqtt/oP9ZRR lTu2ze3xaJu5zTUDwGI0NDf6nftRDxekJvSqCfrAKmi5BOpx4yhF+6oEmu2TO49dszhmenScaWOI WWydhlLPq+XlBIkLaBRDFDM9VDzYOWtPVo0oh0Ti6S+J9iCA97f2hQTUDqYqR4zYNZ7gEhyBHsQV UoWn4coC7N6uphcRnPpdeJmSn1AVZQ7nPuWk8cNrvJd9EYUPpTTkRkqoO0k6iMsez6jah+qV+N2H QctNNnanrpqj4O0ze09pSJJqWoyNev2PTCft5Agj6Fy4JtVex94xnljzqh2+S/XPQUePHeq4m9Pz N88Dxd8tr0Axz20Ymly/FJyyUC9rRINULF72ALkcJafrW4L8azb4KTHQFmomt88Tub/PgcpWegRt gtv0d46EX1TBusv7qEqckyOKVc97qgvSIp/jqE7gX7sYY47n01i6PzBeMSn2Qy+YzKLtT1TCg4kW etSnypmER6gnMl2P2Yxg6X+Unf9GDvjT9xJeccv5/HanU28YC2mpUVqDkXRdhlRbqiebL6Ug0qQj ba9aqhg9raqiJx6ZaV6CaC7EOOJabML3NjNI1LDo32hlEbeJzAjDRIuc6q9QWkA0/fC0bVlfNn7A aZDPub2yrJ+5YvqGjlMkeGeglg7W51NDhah4bJzI8XqrWZtU/tB32OvC8PdwkQXvbmJlwnUVYAe/ nfJ5e4ToqfOoLmlQNCt7nLlBXLEpNfbocup9qgE8VSi6g67DSTWslwcR+PT0QBkAR7w1K/JYvdmB 5EmoCV5nTSCraNoSp7X/3IUrpUwUBG3ppgnTvJ6TI1NUWoTnPMYCK67V2JtZnQ/FriQA0leyzxAl 5HPWFx8iG0CD+EYrtxJRaUwUpflUdwZtbIJzx0TfcCWylI5ad1tLcmNXAyK7yzOl2eCJZPayT07P DgdrGkfCAdyxbLQRdm9FCBJ7tR1DatNkd088VfuUned7sX7VPV0MCQjkx35uixcYySK2zRVJCTDJ S1y8qbYmG9TVoACeBpLkyBb16+HTTA0IGjnpNQLNfSUH4kl5k3Eu/vQ3hEdkEdDwLtRaZDM3cR29 M7sEfQ0PBWMKXEbqfVE7VHy0mubjDpr9nbmnzmiE7NaOFxQFeBSKfMWKdLGSBqIAjowmt6VDzu2s FjEb8m1cWhsItU2pfiPHyLkptl5AC1aAnVUBsqr075xHxAAzHIqMJvd2ZTE1HPRL5IW9+iAexrbY NWapYljEm/cLGhT4GPdL8LuYDfkCqYH3ijj92fNS4yECv09xaA9smik/KZLbB3DofxpU6cUrI5+q 3AXzxCldxlONTuYJLsJxqVALMz7ZhZe97ai+NMCNHc7DyuHiWrIJy+VLcbR0NpRZfMgsGK0TIMMz h6gHOOBS5XkhCIZR7uW0Wdpgwz3AMxD/sHBgsVRYtxWP3GyENQIgxnrT/frbKN5c3TiYRaosz2gq FRiiITiWu8plNNVrPEHSab7Apc/UIKSKNx3o+6stbiPUb/Qd6Wa3GhN/34JM3/aJrJi2fBvQHqGY jThlTc93JE9JJQLKWxmZxUsdnQsKyN9Ty20TQFYL+FNfg31Wr9CVR6OPc0Qxt3S8RQluRTQp1a72 lvcQzP3SSNOxpYlTtlGiBpDySxtKUhv7pWEeNnlOokHfOz9+R33VJNKnW74Bawb/AubirR0fja6Y 0mQFrzuAQCv3zwjJaF+4UyHJ+kX+PaTp+WoDPlORUMDgQfRzRzBEKM1+ZUAuFdDiuWsLkTOHo7Fn OfJk19T1qcPFobgueOp0vjDZ9AaIqqBFMzLAsyvgMi57siFOHVSGfzvorUodV2dUKk+LsvL/C8Yc GBaz2YjuHzMxftK8W+Ihx3GlfY6MAAQhdkaiiA3ZryF3YInYFnwkb3/wAHCcBM+SfT9cXsnNNP2d No7BHqpzmlrWv/Kg/+Krx0eQ1fYOMewf0QOZBWwyKDi9zj1jfzSYbaweTvbLswAbVVJnSDggwfTQ K3UhzhaYd4aeLHOb/XyilvZXp6kwCjxA7NhhZpRF2ArscOWJtlWLfv9WI3PPBSE9rkvcrlFCifjB WRb0FHHliKEaGu+nDPBWvRRBDEl4jWiu2EAzOrZBvbeZiI1rdVzvvc0afOAl/VmIC9rJu5VtfmoJ 405MS6s4FO7pZvvtktaHk1vNFEi393J5aS2X9FTppwBbzdCUpHP0PZqmc+p4wfx86E4uuO6kMIYn xsUuYNgqWxue1eBzqON0yQbDzkpZXr8JFXL7010rMDq2bHiEk1cLKNiR2bxjvvtyBenT+VpLRdEO Olir6BihjzXVmAlbkhPS6NLfdBqTBv1BWhs24dmbGj23A/QdhH0vQp3jOqEIcUNu4oNIWVpqPN8B Lo7oyy7psFe6b2+DfXuHZ3gi2c9U6S4VFRfgrrg340FTg7ATey/5G02n8e0nhxef4HZ4QVE7l6E9 smISajMgFWp6IlUZDT5XEbDxAH+7YP2g01JlZzNoz9F1vZ7RA83iBYwH+6c2Me44GFoyZsl8TGtT /9GMdXROZB7V680EvVRof+hhTmpe2RzZI5yhMDJE/2WbVCclGCC8NF5O/d54X1V19TkD/sUyLBV+ NHwJpli16j2Zg3psCjpjMlvWOLoFVAmNXv7WVVb9dqE36LjTtWUDx36q3g8NudZ06TOSV84jit6g XeSQyq3FUFq26LuNWNtEhkOn6KZ/Atus/YQN2d8WTIPYureWceQamA4TrCchF6ldRLQIfakOfxvj 7FKANZYmiG7kUJ7ISpezeQnOlZ2fcnYBB72Qm5toX8uE7bT5wDwCHNwNCJhlEnDxj9LvJi6FbdjT uZhdIqI9WTeIdBaoQBuG6FCQCIGs2rIz7xOz5LmAEt29Bbl++0OozI4AOjb4Zvptkm1bnM15zox5 CwC0ol88IfjIA3DxB8tk6IRFQUdKpbWb16pvD0tNbLaJ3dBcWnvjBy5L8aqu5WqpqR2i1nbe6T3m 5VSyyLU6elmKsrPJNS0ujr7YIRSrOislF4ghSptgf3Q0675k8mK8wHhpopYHgVnB4F+nszqKd3R+ Nki1W4kw5oqHafijpKEb7JC6CZIuqwvWkAVwW4QbhxkQnzxG1jjn5nRloS5KJzEN3//JQNmlrQ0M pQZ2zVSaBamniahXkYMWigba1/pC73qvckaazsqo2CI/Kb0TeHb5EkmH18W6qMO+PwcWlf1iLKfO AItX5D70GsiPACDDYqfj5uvvD9bUk73oy2pdpSxCLqTATCm7CnxrhiUoTUGR31nX7bW5ZVwTb8ja fwYnyKn40sgBmJnLFyCj9qgDKJ6e7sNVsf3p5cioOq/2aQZm/scQK2FnT7VTFL1DRhmNjh4Ziarf oke5FCA/ijK+vmA7AAH8lv9Z4seIsD8VhMTYlF5N8+8ceNtjjHv/DlAPSs1T/lX+8X6zU1dKH+79 ykZ3AXG8nfRZlAJ9SPUIrSARWyOf2dQLt10gTdp3tUYjh1GPu5VsWUoFQ2Trkabk4kycHBI08qkY sP3lruUbrG2Hyyeh21QWlcqqSc/K5GFWIOrhYuSbyMxXTfkZ7yU8RLAC8Fb3Wqy5Qx7WEuCU1hqz 08WiHEiYRSwRFcCnIdisf3turKpHsWEGyWM4uAPrlHNbjXame3rlrjC8BuOiG//rPxLj+JHU6WCs 2dv8VkYj7nIv7VqM/QfmjIa2QGGaWNlsQZpQWLB6784ljOdjivRf/MmVr17U6QPJeyX2RlKL5ba7 ds84P63HG5E2HRYAyTk1gHlX9rShrKca3vmQ6UGD7KMWZo39IULmm6+9ZrgHCM6aoqR5/I3YB5zq rl7D1g8LL7sb76J6x+oAn8izYWNmIqSYqkyo2+WHXAJNWXbMSWCPWFxd0CJfuq1woizI3gr1+mUz b3h/D6WEiNOrgSrMfgoaB84J/Y29a8zDYFNs8PpT8Iqa5ine2BIkvrApOTA+LNg5crfMIltfcrp8 J1j7d4OaKbg+dK0Z03xs0sqR7sJBeLqxcqEiprLL2B2VlJbXQvLdknwkR8qYcUudSC018SHDU6t5 T+WZA9ZPRZ6/ARXJvlIzlJdORX1b4fK3uG2yv6ezwEfVNz3GhHF1VWGIn2lqKI6ksO1Jg5BUNe44 3z0NQK5wTTFYOYwKkqyM52DKgP4oT9Hcs2v6ZWnue1JnkEOTNWqkR2LHJTmTeXRTBXfxv5i5RHkJ OyddvCElpgm+KypdxeZF36Fe89yzM9mglfe7aJGxuiWOP5hMi0avMirhBuY9as+6KbTUPG6LsNmn oUb8osMthmDzwdQLvGxJqyKnPdCskm9TG9A2qrxLPJzq5GsTNanvaPt59bMmCJLysRZ6DbVtMFcS 8/WPE452sgzfVeYy1iYqSMwfhne1Jig7JlxcT6c40N6RD32LxyUGma0lNfdy7zPswEo9+i2bslx+ VwApVFk3cEpVQXbLd3zDKqcWl051twCd6MAi4sZcpUFufFvlH45lwSc2kk7G+mM/fyIv31UtEaRy WohoPl0e0huxpXgyYxuum3w1brJevFIxvbpYL8+8QItmv6vazuKAq6fOyF8Q/1F+7pXzuJ+mco1p LWdoMM2ulFV4MV9H5lIveQ9MDI+JfU4auIBNOSgHmfubJIwMtG4ybIgBGS1nbZAZMfCpJIbW7OjC kDG2492rPBDsky/XTxLsdZ+I0RIQpF5r2qD0WYeHgsVuk6/qpVyiGSfVXLb/2/fZhVtWipJQTpYe wPf2jLLJtdjEy16XhLb+QveRK4qS9OWzECv7fYr55ZmHUtd8CJHKKiZAYnji0MN9vlaZ0dG1ScyG CW8MJ08I49YXsF3+4RHMgf4Sbw21E+LzEUTWQOa0nRE40KfylS2qNyRQgtY7DRmFKgqMTSA6Wziy 1YzD+DfJTuIlvtqtr1gEbX5+T05Sxy858avzMBlh6SNOuSqb571+nZhX6WuL2IFGtMwrcxIwi0BA QrvYFz0UZ3yrw8/3httAnKfu1CIRanawdoKycYImflYC1vcqNhRGYZqmnINEsYkiGop3SDHycTu4 ReStmeyGzKcpibgw8NIykneMvFhrS2Nl6f4SpLVlRohpq9qfB+9IKoTE5hjsXL86jOhIB4KuzeMl U5qRy/iEjxxhtU1ZrqgsgBC5VhxrrAjX17vMGXB+tta/yfCIGaknpx+dxgKRjSiVpMF4ac5TUqj9 EX8Rp0d3l8/TJwEk8U/6Qj5Bo5wq+5yhYb7ZHLMIeksB22awHUZ/tGhGU3PsXyGn3Xl97fNGtjzl K0k1ejWsoMCEe80ZcIRTpaGpGNvRXYh2reJVjmIJtukq6AoCt62veWoyqPEuRJgt10m0/dJbbbd/ `protect end_protected
gpl-2.0
bcfdef0ef41ad8723898eaea813bcda0
0.94277
1.847105
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/mult_gen_v12_0/hdl/mult_gen_v12_0_comp.vhd
12
8,098
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block W8f/qc2qrdavIx2U7Mhf3ZSFqORNFIP5j8w/AHOpvXDOUEHtEkxRIZCo9fi2oSi7xMRTI2kXsIbh aFj8siJGnw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HkyDRyLCEu6STzQL4sJSASr34nv9eU9yqQ2V6W1dCGzZcG1+J/umLTz59veK9/MRw4g7sf0NyuB5 W0D188aR3UTqFQ7qrfBtR4ILaoiI2GYfTD8ZGeOhZPNv3xcKpT+5+GW1egVKTx7y3PbZU317NsOt ZEGbZavff2ZnuQKhqlQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gRUr7OvnT6/ETrGLEWwcf5wmsEf2Hi4Qsi8ViX+WIOih1N3byHevDD+l54lIquIxFvymZjqPZ4ex RhJ3q8MIh6derf+RDcebP9t9+xTBCh5rJNV/zOnRx1P9HIBrKubnv27FFodu167e09Xq+2BO5J+n qu5SguWy+TRFTGD9L68P1PyFVRTuDaEed0fFBH7iChokNJUAXjZrtWI+rJv+CRd172EIzqTjGGji aJzDpmEspVIBzU3gF1hYBdOTOpJFzR8u00CaK49gFeCJMAggxl21tE//ag8lLD5VHefOYnj1G6Do 0E1TiHzu/dAVyVkDQqngoWbnP1J+kkugH/k7IA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MxTBRI1frfMrKbgZLNsMzglLMo3Ubdq+IiX/2EM9v325LeeqJwxr32xeS3wgmRx+RgTVWWZ+SoT1 Cyc5oRPSt57ODiIlmJb2I97Qoo0d7stWC/JZHFqmwjvhOmbx6VYbXxRZl5KpiSgfsyyQ1WsNM+EH 7WcSrwHI0AdSAFUzIpI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HdJS5G91Q5B0eQs+h7uQyUlVxMqclStqMea8nYyQeWpamRkqC2eurlPAQyNWxj2PQk2sUV7HaMr/ POCdGYsWGXUvf5tnGeaydaiQp3ylhCKanOHW8kA8sj5n/n9vhFy7BdbWbFqlGTsNs9ZxWWQzZdDv ljKSPaxFWtihDHRbA0Q+XeuWSlgXGzyEOLtL4L+PJWRYYRScpMiGSET9PzewaztTDsjlJfMbCDth LeOWlOwLC+7f3gCeJExbobuYPzSdAjdeZINszxPHPoa7FcLgQ2TUwTvDDRqrx1o8XpAnX/TaKD+a 5i3mF/BDg5iCfywPaW7/PgqN5mDptLpuGf7qUQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4256) `protect data_block QomzMF9hnHfAjGx1/AxuMpgP/h66OHyuCMclSwPM4EBA5awzW9svNOAJFe7zCJNC8BMAb8ZIs9V2 VaHu/jDjW0ayX9M51hj1uwtgcs6Byo66hqnGbbdgL/G2JN3eHN2VLkjnelUGFpnE6WPtkxyJoVyS XV6yKuoNje7VaM0TMKI7jKUMtmEBECY0mRiAuEF9izj15tfqm1f1fykEqe4r0pqSz3NVwzM2qnH4 tTsAjXbvx9O+4ygWSK95yVZAVBHWSLR2GJp2MForc6iDnI7swcc/VxKI8xwSyLFMUX3r/dekPl2H gzndVjKtfMQ7CTF1rlxcbhJ3HnOMBai4fxHR1elMXnW7lsQl4l0Rhd79MFqfKFiqXrU3Y9huFI4O LoRweuD8p9mrTzVjdWiKDFtcNvJAOd68w4JZqeC9kuq4v8oz9X5mrsNNkGw5W2wOu3DoNc5/bqIQ o7IOLE9Fw1uHfJi9OSYI/PwOtzdcokk0aEwoypobS/ElV4FtBTD3L83lhwWWIxL7iBe96r72NcAg gy4fO8Efe+LIME6+nCuUo0fMeKw2vyl/2KjzSSiRQAXJ5Fu7Es0xUy5XeEmWCXqi4ntxdi/aOTBm cFabmrzYBG0GyCWX0RHae46akL98WbTH1UentoCcT4O8uVxvPWVfSyMblj6z5JtZdtcVupVf0JsF 2woRClgyms4Xge1BI8Vd6ZEMxpfhTtXFjf3ZLJ8yY6IhjVGNt9xDxXbXdGkDe/ps9+qhHKcxuM+3 +/MYqzFxSPG18p35Q68DpXouSwOw/lcr6oQ5LpE6bZjy/cmIxfahyBxvNATnxZNnG0QXI5XQY/ly Q4OsmFTfuJopXpGb1ZYc3bZmtr0a7MSHQJovgBLY4flQdvLXDB94zVwnk3FE7hvZqHMpJRLUC4oR bJzR9aWp5jNF4VhyN9Ml17V0bSlY99pVzc1A109Qyg2Hk2XPRAxFIytnefFR0Fb6Ay2rL7VVMpph /utzu2oo6SyrSZ2UJkYc52X6CofhpHRLsDAeLpCj1WwWPdoG/GpN+6Zl4m2bwYi+TT3tbENH2qzG E7iTVs6n5vkn8KtMV3tJqL+XigOqZon6sBA7lE867kogoCb7+yAOVhsXNDrU4Of7MeUFlzTzcuFX igMU4ZsFElutCdsHEyEa8H8udcwOnyk1epjN1hUcfJt8D+IlWNftLHA63NQslWvxF+78cVuWsHXA hsEULU7+5LA3X/TOWxb/oVGOGLNWyl+ndBb4U40MV3O3OOPNAqoP0LlXUbsdSOiHuvbb3iZPiOB/ f4t9amoPY1dyrYkvXUNEyVqjGncupvJyJAV051rupXjHTcs/82nVF6ejgRCAk2q6kLzf6ry/emy6 tVGlZONmnV0/f8JnUJuXLCWPNBB19dRITIhlwaH1uwF3vx2OiTcpThCPhy7RA7F4YVxHRabqLV32 lAJXO+EzV4eeSVugGedgi04zNZlDqJPmjG02JNe3LSu3FqsdHeWgxlfur6ndeF3fggnVOFbAVPoz aPW+JHJzDCOXTQlOgEmSpq5bisX3j0VwBJXJUHQhUtXV7Ye1I2VdXFxEIHZzMQbxphpUR9j/vY/h 46xDnjgtXBUTBEjEFSTe/i/XyKXNXCvoysIi9ZWFcfYI6Zn1ddn/Ca/XhFNHWln5Zd5DtbfQoPOk ZANxN+SgnWFFvooYNGstoNNKdQ4hkvHixa0ueVIKofRnb7Y7Rr5Kjtzp6WOhMXqZqnXt4tZX6iLs uiZLy6QXxeNVt7pvuhcoLv+4xZEadqcjkdoUggNxEWw8vyLpX+fdxmOKtIyxMXTfCxX8FilEAjU3 PTTDvXvjD19HaeAulTldPprq4KuMAPi2jvux5SYPMROSk5tL+nJ+9kmmHhKHseF0mkGqiKRXUys5 RIP6+UiWuuLHOBglxotlM+d9HEqFyUi1uzbWUtgiNT55MeMuorwZ0Okapx0n5saCaBvWW2WdxPoR dqwvYDPO9obwM2xc58o2ZCEwwBkxXLDNsnhuMjZGBzPSnXRTd2PxtFbM3K+d6McqVlokg7sEAwd8 jQD6yjRg6lxU8gr+MuvOZmRqw6jtbwQTIN5R8HhfcqpfhEsmSBrVpFYzPMPZYEYKc3lu7oKu223x 7V8ZX5CXes8Vvwn7+Yq3zKIQZm+OtfbkJvLgV5+Hog/NQNrMJZ7PKasz4wBzHbuzTgtC/a8EjFgV 6M8X5mUFsQByZ6D2eNVHDG5vURw9oEOkONH3AWMqWiVV/1dVEKLfgXH/jKlKmEU6jH3+L9MA2fl1 0jenO1Tb35u/sx6GIeBVML/JO7bieuqXi7rEXHCAUJShAvGNC8XwRaVyOiDd85pu/VBvJ7y+ZnNr EFhgVjbYjB0BamNaT/bnOwm+dYnkB1QhH4/Zs+zxD61EvCg2rojzWD8Z2DsjPfFlVdyIQQ+4n5HE kzdHbK5uFfkeTv9uVgdw2q4VFAIGcGSdN3vGvVXdkbMy774iqXaG9ZfuC8Dt2CvW9MhpWAL+4i96 2g7jGs2pWjtuFn64inX5hHJCDa4VEPbO3lTEorDa3F3MexVRpzrDFlB0vMShdAtPxWzwuY3Zl23k ywTD6jM7P3NzQsT8EZ6WZdRGKUOFnH66EbMKeqT22cwOKkOrUSzDvvn21xo8OpE0V/ySwXqGeKwo gh3BEVSJRZ3K78Vv8o8zqaEqt7RuhhcwOAkL/pqo15kI1y1wILMVdqBC1poz6ArPqvrMi8TWe1oc eeHmE+uI45WxY+5FwokC1LZtXVkbzdJX5fe2/PVZSpUoaT9WYAQJOyMjvyW5gs/lp6qW0vmhd2Bp cqxTQR2WhCOYb51WzrhUzEiAtidxsXH7SpNqzGam5lwxU9jIQv/nQC/oSJQz+Y8ixXWYOg5eXAvD tSg4zZDT9pLZbQZ8eR+nVWOafw0jj9R1jZcT5eSg8OL+iSGtTbHlmpOQHUfctZjNVZNgxjz6I3dr qAfzntFNYsCHFMIUMJXoXjXUvR2eYGIFStYB0OolIXAuB5I4iUHaRSaek8+LXrsQG41tyNDlGnZr beVW9pa1xT8pA9boc8ym6nfwSUuKItG65fRtGUXFEunaVtxQleBXpNhBLipuec+dQr78Zns+tVCX NW9eXrVeJLN7HR9lPd6qZ+rdEJEz5dWHnp8BqPkbEazdaXv4glBdNWP51L6pxQVydBi3WK3ocQ3y HyPE4aRvlN8I7rV8FlYEjHU1JGQs2Tm8nI/4yEN1wjUUE//WXace/Y2lOyee4lbArTfueDh+enn5 KLk4owyvmckSqS5slg8NqN+iUYGrXsieUCbU6M2MvL/lcxQUqN3qUIIAdmRz64FkYe17Nd8yU07/ T5VzGKuaZNLcSjP4DBAS8nPrGPsEPYOFHfGsi9SYvGVi1Kv5kfssDml7guH/SkwQHEy1qiF1vzsw ySqokVicDbskkvXTEvnsKdnak6DqJZ9bhA8bAh+JMmm3LMF1YquyVaVekpFNOMBNQ5dZKkYRQYD6 9o4YPotc4348kvo3+Ky9W2tt3kP8EsUjPR6TEpzktAhwjE4gau1ecdCfx8V5Ec0n9X6GdbQjQJuA KRda+O3bHgDSkKfXvVcUkUoTyr//Tc38/FywIAx5VjSufZNt8LFLogDxKMr9Q/naZgBYC/hEAdSE 1BeGax1YSXQC3dDpBrDZZZhXRxAONJd5/i8kStc01SGb71QWDxYEyV+nV4KDzflPqv+HIgWLRCnt S/rm/jXNdE1ooFDK2fkq3GxttHnTmPcx+OQmYhOmGdmkBS0uJRYC8BVG3g+rOsO8mgDZpmI9aP9C t9kr4BkkJMCiZWERdY7H67GHrlWDy55cLJlpUyALMZiLmkoLeigXGQ/wUYNQDIA9AapvGzm17e+C dwDLPNY0t0uox/zMTYSCIWaeoffBxv7pgXR5XPFQmtWMNEDnWVcjvUSR6fjMJth2mc8iTbie9cKb qenr9ionbqqa143eiJJTDwSaJ6gI3FgkADKgg2k+2vJP/YsGgtB5UpAAohdUW6oY6ZeUUKbmtyXo i/xs2tkRS86U4e3dGDlhtwX6YwIM3c8Gm1+ZxEWqARalNeT5Nk7B3WM0qGw02hBxuznGrt2GNPgu XYsajgSVNxV2esfFBjL4S6TVrZI1Ksp0tgeP7adEAlugH8QFto2zVrKAqyFtgWWHY3sFh8pSm++n jlkfgpZrYB7hPHKtrTMtbX67qcivf6DqeNxfwxkGGUH7p3lhS4vZb0K5iY+bsEhhD5tbpeuayUkj 0v4EDVe0h/snLs4N4IwSL3IITRNZn9xdAXa4aEnL4coO2GlX/fw9j3H8ISlFF2dVnYKgRGnHlc0d 0dicO8ndI2Td9gr8olBYctY3lvAtfQDIwrMagr5zEgyLmKXpr+gq484ff9wFVANZkSpQTXq2SHEM RrTOQ4DKL9Q7hfavpL2faGXBXumx0Puh8wrB+pK9rnUvfO0+fGiv2v2taw4hZGltaMlfnaIvG8Ug r8X8Czs9KUyu3IoUNSz26zs66ZF0DvF5I6ffcbOKTPAmCwxlsOMChFRYvHUHbeL+qt1rDzl4w+qm cK01vz311MBybaGRM0yUC2lRWfZSC+cT1SIhb5PuFNlxbdMzrzXpE+tywYAqnHKyRVKbBI1S2Cb6 3yyFmBltT0udb8s4Mv8lnakrxynMrvCh5WLhNpxjN+tAQ0E4vCgXvhUJIpT9LaBVyuAvS46GnFlz b+GbxQmH+X4m+/lXcZi8GhNJpC2FMuZEJoT3CZyrJ+Ha1If0G0vAwUiSbF7DdURYjZteIE2i1ATB s8xN+H9ylWAWii/qzQBkhRMb4Ops0mRwUAf47Tz2D0heU7M7oY1939FpSi1XfX6CmrW80nRJsp30 saaWRwk8bw9bTxwTcrtUSPCuw9Z7XE0Fd5jbjTPvaYEaOFDS6T4lZsHlhws0EfB8ycH9BaTpLsR/ f7lOA/3Luf7RrZ71UFmlBRkXPCaBcRbR+t9D3KTy7guHLzFnR/0mAVePA2SX5lBfu+kw73l4s6Q9 RUpaOuI93nKWPo3LSt3MrKJR3VbfGE7hwmZc2cPp2DFDbHmhF5/I1M2AyoF2f0OrqNxB56eG59xO ObcL6yfGvaGGi807cWVcsPOFjCL7rO6kvrWypYj2KOUEhR+mvNRqH4mP+0z1FOs92aal7CuHF2m/ UyuiSBiRF7/wUXAAV9guh9Uo998J1uNPtpmpdPzak4c21avoygZRyIF4dSZwErteXCFh6ppui0Cx gJTeVlFtoO1nWfV1uJZS0EnP3nDgjomnA0RlIqJDadWftw20dfNyI6JoTLW7d3ZhuKf5aEUKG8KF CWVm2xmU67VmkM9seIn+SBi+ICDc5VTrHor720ShUQnNokqyyp2lUmN6QFnYUO0Km4HyTDLwGRD0 qpsizQHFIilbklgQNCXAyUdNsm2lAb8lrVP21hwfmkW4Li5BwSmF5HWR8vkDZz4P9lO809z6AS/X 1RCcGJaVk00COxzsI3ki2X4sRCDWdukOID+Fidv13DsoSVQBkLTOJfT1k5f6pNQ3szQUg2KHHFxx 30sWxJZDCaSi4fFPxVLB0DE6mSaijBZ2HdyCiMYjN84LtroiHPRaDRnF7evoqKv98Hrpr7reBND6 wkEAC1PoL03sRUhXGEryma25vR7BZinD9pfRnHiwSd/Lydh6xaU= `protect end_protected
gpl-2.0
89ac31756e42368a8941f8db70283fc8
0.91961
1.935006
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/xbip_dsp48_multadd_v3_0/hdl/xbip_dsp48_multadd_synth.vhd
6
16,010
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block QHXZLftMI3X2EADKiowve9cyHRguZaviGrkS9D/PHFJxYfkY/BjBdrkTcWjIW8hORbSWsqiGqZWu jgWyqLZQ5w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nwlpvJlSqnHGFgtfGY3dmvGxVrUaOEz+lle3KjDaEnhIynd0ijv+zSmx7qgyIKBcwCzjJHMyGkL3 8k/dE1T0XOJF37HEylcIRbsnvbNCwR4uZLp5BO3MjkDV1QGAUKvwuqW1Y43tHJZLfz28GVMVzJ8j 6Q56wSAhBdKWEWCpu1M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tx+Av+f0quGjWTKL2xKQbauuKbDQSeCeJVvHcFXIQ4pgvIQrEShBxkJOTzkNV6uTaNNISwLTvJyc klpo7oUq5qmJMuQLFdhWUYCpZeyAv5Y04XwrClR+M/M6ehYn44ztNsBKA5vdOoHSO9C9qhKoFtAg yECmiXP8SfLzQkWGGR/7uyqlUHxdmh1epRMnMkO8T4a5mzgHPYNamp29oNOL/WxDuwa5D7/hAc0P 8jVJRZkuNLrwn7PO+/dJqBxDs6ENQm+y+SVBYGvB9JCSZtkX7K4W/Azyvpeq2AuX6spMEaEN49p9 FyBEaz4+WjUvgzzmDv+W8Qm530TIGU+8yId3hQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vAaEdLsVG7Fd4mcPhoLXd58arQiXvQfA7+d8WPw4rp+3HPP20+oQ18kGOWD/n9ddvzAyOYVWl1jP EzzM9SbWul3PsyLI34eMKAG8WsUtuwqlWaINQqmoC171diGDTTP6S/Ji4V8mBMlrcWaGCx6oJR+3 ZNK63T1WRA7T3R4VX2E= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tJ7VaVD3kGyuEH4295pCLYHz9lTwW83Qj1dC9Kur/3iWOKTuWpm6BftPPVa+q+t6ek2O71VP8z1q wR+SmsednnUmIFOkb/u+OTSMJz0cA9tjP+099lpFGE+Gz47Mut4xXBNORS05hDnuMo/14As8s6SS DVT9x6KhHdiE+ZWuHPWGfUdV44Ior8y5hS0ACvsOK/QzKtp7std1w9PY8ghO9FR3Ip133ri054sf EGSCc9PIVSwzLAhv89sn3JvNkeMGtgQxEfr1Lq5zZGLOgtIttmwybh4Z+dRq8Sy6Hqb4xIN35a5k m4b/Zb4aZ401Qqys8/jqekiHAa4yF7vGLXx57A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10112) `protect data_block taTMwYa3qUOb392esibhgOhQlM6E0UEUatJUsohqWnQ6GL1+rBe1syupSUmV0+leHG7rUbFO/J5l BXnXVk5FcKG5+f0FXZuCbY2Cjvp50dOBrEbaO/mdT+zbpC5UfTUNPEtCQpaLzrghaGtFo29T2753 pB8YkqbQusCW5W0wVWitofIe919wBmv+F8aNGa3Alnc2R3izOGqZALA6urIEs9l7Z3p2X/RJLtuu 9Vz3dfo488TAOhCKb+qsLKkqMPyGSyDmtmT9DM2/lQx2IQmHd1g17zVLCbYpwBdJg+scF3OLMj4k 5PYGf39fsyAwuA+MLgLkXJjOPUpiAj71SfxvPcMGa5P8xU70Da+Tt3KGA7WbhWRKklgJ1kdSUODF 6jvgfsmcU/QBRiCHCSgoSW0Oog8OqxdQ6DHf9QeHUd+V5vvt1Ea8ZI+0Bf1gpgfZHZymmhgWlVs/ /WtHLE6s6GH4icjz4u/R8C+GsTkS64sxnaI4nvmsgb9wMiRzNIxVawGtuwqP/VguL8cMPKOfQIgi VE2ljekpmmX9ot4auLytaam97em9ARQKWnMKEFM+ra9WspIPDYFOJ50eGTKpp1QGUJepIEpBJqLQ iyLXrHviMF9qPUHHyNQ+7tSeydrtrGW60YWYPQ4v17PxG0DBMvMmKCX9yIwtLTad0zT8eoJN4wGB uywGMIsKnRhyqHRP5f99MRnQye04jFGhnptDO9mBF6bq9Etvh+levLijDsJgrqMp8pAT0XHaK0Ys Ht/IinWFBZPWAF24MBaLzJb3KuSGrevGzV3rDo3UthRt1UfZDaDRB3mepwUYZj69x8J8GVvXRfcX 8ElCo8Lt07Zj9Mrjbu8en/6WTzUomKFTazQ18vXjrLaNQddwVQJhDLwlaL25jOaGBnW9o/hfaMV/ MuAby0Bc/QxZFnmV8zAz7yq6XBNAnMVaB/xRPUXFD4uoExXysgGPKLt8v8jjwkDChv3xwNdNqDaL Cuawpmt+OOv4xKl+iJxC9o7jZrawAy7v/8AP58pQiiYImN55OltuqONzUiE0gQs7f7p+kCmO/zZ7 LGzAUdOfpEX2owYfT5KCiDm6gDpFI/1SJv7801pJewh3g+eUPv2Deka8SugYyCjm8Xj/tTHLRVn0 N6H2cHq/0LsLKwq6jpVl5oBSOzFqDWUneOCmyT4vLXrcRxlAKhns2DjeslfRegbGDghqlJaDWK/0 dQVeCUyL9pXHlAoky442YInPZWhokgwdiLvrw/qpRxo8ull0Y0J0IhS0FpmIZQKww67yFuZpCME5 EsI58/XnkFNHsisEOsPpcb4DDYpjTA6K47/dZefTcn6fMnQIqFuA+HNysZOkxbyo09qZzbyUoA4W v/doBMdf1Vsm1oyjQaifDYI3nFmRefQlFTV9exZszrt5OkMGKJxdFJ0DGa/PGXxM36MNDpxcgEhc fnMipSkX6WX2mojTrQAjDL5wUWJ6svZ3pPFg8dXMwDzEYG3KZXCym8Xm6V4jPE3Os4q/gOOCv+dH zK9LgFbJCt1FaxCqULvnKQMKRP0clqVYBxyIPExXoVUm30gkNuWiY3JgGLBykXnVXF1/xnt6nZg+ TluLsw8DxqTf/RwTbeb3nGiyXrrdI0Yt200oceZne93mCTqNmsj8T0SiK7ssNB+/OCiY3QQj5WVJ PSS3jJGkb76J4YUF4sl1cVZdoItdHiHCKzlwNaHAlo6vR7iPhBn+Agh+0s67VMOQJRgYhBkiKdup 20oJjsOmwMFdVI6ho6IaJIDv8bc7rSvPkf/2yGC8833UywIuZ53gskX7HhfrEU3wsZIOtp2VtKaM E+mKjwl2S5GdbFUX0FctiGFeJVKQSPGIySN+jQNlAHJEr3WxmY0TSHNluENQ58bqYdNef5iqiGfV XShsn+7TDc7+/clhViXoIUre5wwLK33CaTCUaqN9CPs+A6opBu13jJJMjhCE063H2SzfpHPwfiXV h36JUya6Sco7aaWunuL1TXngnTTQfSmXmNBrOX8aj230LfAhL3Dg8LXQkbaYbhx7AI0twQhCvu5A cw7sVzQB/YFjtB2hivLOPOSfekvyuYmLVbp/+35gzE8sKjAIoIhT3KrPqCZqGXbq9t+4P+7pXoZw xWKltQxmIe2a8KGCzYyKBsgaxawyLRBAw8x1HLjKm3CbpcboShwRJXx85fvJhNu6a0wr1ORLiYEx baSc+ti49LFUb7Q7yK+yYWJALnwYSi5w/t6KqHrdAnhBL0DEbAUD8+rdFiaSDcxYXjBVYtA/nunv vdxmk4dPCdXoKEcqMM+egjW1lAW1k1rxHb1RbXOqDSur2HDBiLQd75/isa9g8ituO+ctnmPuasbA 8qUcAPd7jUggyFwhSxSbO1tlJ0MQu+Rb3cFj7j+/58oF4x2ZpHu955AzQID1KXZgbXS6yqxfb8V9 l1EyeMJ7Q0XL5Y1xjQAvtVl4U9+iiyrgIRgKgofR5R4JBHpLqHNaJtbIDsdwWhk+SzgxpooOx3Wc RdnLuRNJ33lqg0b6r1miLK3X+0GX8weljSpbgKhb83Y/eLEMrTlDEMda+jeWpUACUr0+n5SsrrWK J0JGybji1CooGUH7cOyG3lSifmJAWpmD0ETL/qVk0YFYsYdwg1HXhUJ4xUJ+tmcYQkzoRS5lD8Cc j/DiibClLxtLQW5FIig12NjxW88EheCY2GpUAi5azuSHpYjILyIgZrXcbUGSzv1zbj/NpkKHb32H nU5o32d6N/fmz30hf1fvJIgIHXKE/fe1dcmoJZWlYkiWlGdbQyJyqtMMHgCA0Y4R1lo9aEhK4iBk vRdRM/TGzZgbf48I2XN2dWloB/O1iswdachTpjl4zV8k4/Zbgf+JaUgN3SsgOexy0CuD17z/qPjn tx+SkKbVLFS/3AXTH5n1QqZMOUWqVcnF8YE3cd409FyIYrqX6klQaNRHgpv0EqSTEg0IASzyUq5M s28gn9Qa8biXnFCsKCdMt/9dh/OfrxgixKtE2quCJAStr+vx0N2kEnm/5WjjU76Kl2klCalfSr3x 5i2HGAfM6vqlR9rJyX+7aI4yNus4QLk+ngMmhU5irGOrg6DUxm4n9DQabP/kAQ/yp4yEeAr0F4LH OQ0EMg4um81pH++Um5jIu88MrJBwcauIkps6YCxAuC2iYGLj78epZtSDET2XfCNiXvkw1SWc2/eX Fo4b/K/EHytvW/4g9SuLlJZpBu0o1fpmIlnEino/IoHlrfdHksFsOntIBjIfmA2mMBlPiYNcWTqY 6AUc+PDKbiQg0fSIFHRJj7uB4QixU5rwYtYlJHqVRPriaFI+H3t5Lo8B4y0VOrGJzL+cAT2ghI+5 lZUNjtwGKKHsWAO+bOq8DkWkeWuiCt/NziI8Liyo+EimLwbHu7GOrYVBGf4ioxDXQelKkcSQRdOJ 5Q00no4ZDKQeZhscmneoHJOA1uPjh2dMRUTV+xhyl9MNj00GwPOMBZWrJiLdYdSIMd6wBaVmgiO9 ScaXtyOKv2b5ViEjaCEu9OBkp8yMHwGjZ4wCwEfjTPCbnhLxpblXPeNtqFzdWUDdy/BTK98FWr6R duvhXJquqNDGPMMxQmynG1b/blrzCiWYnewQp7cxL1O/xvvFl8nYrFNt+2L37uYJCsAgAnl7+Bbf 3j8CpceKtr5sZD4nosTYGa4AA2tYGVbStEOCQ88dIxpF5GfoNihFq6qNfS+Ex6nv/4KZngCXwWSy I2odAeO+IxvacVAWzQing/DUpm2bAQ9lV7FHNxUpmwSwpNB2fm2bQc10/uBAm5DYAcb4mOUcizss UbzR5vA0iCtueCwv6gVac9SB86P7aVyoWOLIBIWbBQvC1ElMsSWlZNPs+qQ08XUAng9LyQhQDVQQ RYMHfui02rAh696xyLDlIyxGRD+kJoiFpyei2iS/wl6uvNURpTtbFopxGDh4m5zknvOQQ081kppy 8MvSzTnOc6CLfPHfEP0RQvk7haYJS6/RKfdJXUnFR/qrFvThhesb2V5ZTytM3+sJfLX7+yuOLPo3 +WhTMVJfpP7KoFICRxWqezgxFoSHfFBHU4yJZzw6qUmOB/zaRuYJJmKeqfqaKk8FjmY4ZDUDbqgT Yg5wbSpT6hUT/m4Fy0yfpDGpKQYJ/Y/WOLXSHfrusZTP+4mcC/OLOQFMVfh8NW1AWiRQEVHg7ViF cVSPyQbWCBlOfAFOvxkoxAGTG/eU3KhivVF7nNBhuItts3QkqmDqat4+CtffnyOaKlV9M0eeIaPT dWbnKuHj4zRNgdHi49hFvX3b29urgUY33vv1tMr4DeQVU9voSUJqzEFY9SCgRg20L3aEJjTdjJnF NI4AStng/ao+5KqTESbLZgBmscmD8QdSQBRdE7c169k9E/yyAxiw7HODQ49Epat9U5PlPdPHNuEo rQqcC0YRMdm7boPbfZ9FRZWbfs8dSje9n7xmw0uf7NKXNETGCtr94ioi/MrfQDIOUqjdvWOvIz4j 3ldhFfvUX29dJe9LdM9MGYuoPzODs41Osa0O86AmlS0zHFd8i6hQoofk4sUdeUo+YSpxbq+ZuYvX vwJ3BbZCq0rI71lRpJNa/+DGWq8LgL5wdBU6chkv+9BkUWcpd+06YM7Se/IjtHvT28TMMpLmUx0x LbvDmQoLMOD+GeqZ2fn7zC9p2IpIy5ayUS+Wot735h+3/slIkqH5dNCAKmo/vz1/INpPFeeTsAl7 8rcEhRQSKrtV0ZppYNEviKFS/vsvJNBH5fJzdeyUaExTNueTdpmwwfzOJjxWjdpZnJzcdFi6Es2h ySfab3xqxzbA+gHDI8/N33ne9f4kcpIXOwhImsv/udRy2s7OZQaVkWMDr5uUibJHvn1A796WbbrH 5m6KBUmn0NMcn3mwPjaS6Xcl/Zv7ilGbVWZvhHWoMzc7zYnigC1Yg6AGm46bVMiz9B/lDZRLvAeZ /wt1SNPN637yg+4CdGGoH/yebggeW/Vh0FDr5M3xfC/WNs20lnKQp3Cfv5aNnbpkCoZw9ftj2Mz2 x/KrxNZKANf6jGKS7Pgkdzi1U2mEeQ6bM7yphQuvOsFtChg3L4WDEjuRKzHom4JAnKYnA/K+sMzC T0obmsRzOCDVjcxMNYTGrtnbv7OqxApzO3jIL4WVF2G41+ZyqXzIGXaENM3GHIU8F+GKnkl9sb1T y0hlHsP+g3+9YFyk+oxm/qlZh81UlspmZzRkA46ymu+6+ckBYkPYK3sy8XQtd+LEvkO1nNMRPW5k AOJgDlZzslH6+e4S0iMJHvbsCwPk57xA7XNofsG8lCErL7Pen6LBm1sT8FJaXOwazHbsPI4zczZl zc7hkigh6nYVTEm7kIEF2r/9Os5ippXXscUjN9MGYb9MQX/91TboORXfHgCSF/FGFcFzwluoQ/rm //pMbww5ukQU34nou6v02Gq9nrggOhXXLFXj2yMTx8qiF2JMLMWzHUOdt1Ycq8XBJRlfIwC7BJPW iwZxdGYvHHsF3mVeog7LxA/u+bbEQM8Vy1LCgrNFMx5BxphxtFK4EInrmCqiOABPf+9rtSIi6Ehv 3UQxeu+y8QJaz99DFLiNIj0wVcSbhTLiV+uFCBjtRNnDlI2L1Ahbo8eOgdnjPDfDOwe5Z9+CTMXH WQMWOdvn61jl6Y6QwumIKrmwlDeac1QZH6zdtgnHINnMHr4GbFd0GF2n3RbdaZ0svUuHj2Ly1U/Y ePKBBw8nKS2JJ1L86XiD+fGPo7WWSgVdZ9mzjebQlZ4K1a8x+dqODD7skDyhMcOitL1bakziOCuU rouKnV3G58okbhH7ieWp6r4ZltkpmOgYExXqqriCYcwDtoQ6pxgLMM138bNoXPb4WA75h6KG/0de +BUobHA6SBnnXLErfRU0vKhkUPLB2NWad+tmZF0Jls8e0Fx5eA9Bj7Xu0tz0k/wXq2U/6f033qZl j70GiC05zdzQQR1XIAKJnxMGf070i4/EmawHVWfeWzG86aRKelqESJ6FRTIbskWG22rbpc7K8Yw3 vaBQCcrC/bEbhAp5thH3GJ2G1WoIqXoG13GCU/Kt+6lma6BiFDNefJ1PCLD4XcjT9TpATcASvWb3 di8BChAhNUa6+nMeGYa0IEwOwHlC/Kh3c9FELC7gsMMv/rDPgnYp4qSb/l7Tfrkb80LEaPnwmpgw mO6O51Jrx86WGbNX2hG0+lQAfP2iPJxfu/JLlI0VNynv8gk2B51t6zKb+1TFmHtOr1mfjk9A4MEw H69XF3mKD+nO45aGDRJEQdOd/XSfM0rQqs+C5RlygtquGy5JWMkUZwc7CH7sU7Tyn6u0Ao2cYLb6 dY3RMaMIjOXHTPkXPyBV19ZuzMxGqo7yVhqtwKp+CyvBb0dkozgJTw+U0Qz6wvSNCTBWEkzu//Ej Qk+2ubQ60O8js8JUnflW8nXObNJS2ucJ9Uh1VOKTk7mpdhwblrkN+02aGWUc31wAVeK7Jhvtdcoo jYtpzBn+HA7KHIQYU+JDG3rtvKf50NdFjzU92LqllwqJRsUZP8E0VuJq6dcWYDaJIsrI8UKTS1o/ blZ3zR3WIKFbUmzeC4QJp+m5eSKZfsM2tQzdXWW8smWUs7AqYxKQaw5ah/+jrkxdqj5uZdrs+Jcr bOzyz7TYqGKyhST+q5NpL2jDsVBYTrTpxtXD1UaiH23seGCg19fM1ksafmVCOnrxTF+OTYms200v kfQdAAPjWJa5VxQJxpvWwLtlGXoZUTpM0OaI4dwLYFg6rDv2exrPnkyHu2Jxe1zienxtFIVoGqGX tIIg9x/TgDIfe5BBSK1ktlgjocnGu5Bn1wD4vbQshH83eqS74JGcZ0KY54OuEz5AV9Vm4yviUx6U 4tXZiKoCfKbupTzSbZ6pO64R+y8OwSFoNSoacf/l2QSO4dleUzthuOwepzSAeiY/NB7QMO3BTUDW gt9zyCVUwxkBpZGsZXspCi7VsOK9//m+xXHOIlgWxoxzrCEkrwBsRyjOgwYJvkvFY1uiMpuP1Cd6 b+xjjcUWKmYZ2ccepwDaXZ/TCMakw1ztHcz5XvKlaVkmUoqJD12FeMcIyefA/84l4kNnW+i2pUlN a5WwjjKyG7T3Wuh6QvX9weiinawKVsG78rc9a1GsD6yWWyrqeio9bnXb0zd0+BrsTR5sxG2rcyWU AaBes9PuhXyacvIGUbb7E4dH+NXdZx59ckj8Gp4U3WSvJaFQ5qjVmvmNvidPAx9ewBzvvZOg7fIw AoQwygBPXZauLpN1HfV6OXzxURNjZ5yUgFMREj1eJkYzJSOwKXFzYnSWvuFNf5pir5fyZOI8ms6A PmjhGzNOcH3lKEWw/oYNd64X8PytAr60SjxqV6YjMEA8NhdlXQ/68YSAq14BgHDi7TvXq496iOFF YiUQ5Lih9pJMq2r4jafK2dB1LTgx/6M4u2Td4EZW5/8ImzdKc1b1roWjmXjyOG3W2Y++dqBVvFhu A5xr+neIP/fpVtPGa/1WQKcYqWc+ygy04ElZNhO90YYO200PbHyShqOcCGs4vMagbEh/4aFcTGfp C+R8dSWKnYSUArjZj96lnyvD7YD4ESZUsBW26Xivj2m4lzDmljy3p9Z/5L3hu1JuHzqvNaZvScmV KJk1L5aHVF/skY9T4A028jWjDTJYCBsD40YJJjuIjq9K08TVJ2yGTCr6DsKh2Ce4WWA8tqm0RaFm Aj+ynjpeC57Qq8eRZj3/LiJTdGNcpWmJbi2yKHmOLTTjG18WbxSRErp5UoqKMMsRNtjVVEMhdqhQ Lr5D8ZROrWqLnyuP6UFWGo7DhxREfj5k35GoDP5gRw21+UDWa4tWaOJMdKVLhh/2zPNZsqmV7KP4 q7/bMop6wq8aIPFLba18SOfsWJeuk0hQ/YlrVMlsXf0S3GZ56+l/prP+ZrwO5Zhql15B8jH6hmfB 2wvCzvqdpVUXZr9Dk2NrhzD4MbwH8hldErHVL8ShqUCuUBJSzYaecF/d7+im5pv0ZV0amPZ8Z/Qz hvS8VXIUqz4fBOQMlf1yYnpiqf/1WNXIcMYQhynNQuJ2yPEip+mDpYH4qtDuYwRJoecRZnITYaNn vf6oiaO1geLl4+BO4SNeZm0IOe2hs3A/vtDqhHHfYbV/cjDCZdJN8LxArjrmNxLfs+7GKfSgL7Fq Z31M37q/uFyyhHywp6tAmgRrTpqCVWdz9OhMJag/y7ijj3lb2ZFDJEe7aGwIB7pBxpbQ8EJNSziI THIGEfcH6qE3LaGc/3wmOl/OnDJD+qAKXCHCDRMx4DBiRtCaFyu8uaunmXU0qgqB/CK1V9a7bKkw 3192U2R7EUPjq653ot7JXgcCPs+s9CUrdidlIFfK9Xr2eOgRVvLmMO3/NwpuSM8SlQjhb/INdRDE zp+VAjffprcUlnTgG/2htQkQPGwffAiZU5mHEtXrFsq4t2Ne9ALy7C9tLXjskfX4qNHaRpBiVfgc jNP0WqSGvvd7YaOXeMixVkOGv9AV0AvpGp+3FGY43LaBi9wQnvPxjCrqqjgGleURNJAeKQSocDdn sYUTg7bX3xYPPnrqjNdRXGgDgk6wZSRoOSEOjAsiGFjBFc3yHFUoNrFl1jouq9OgrKh9ogiGDZid u2mniovKkof1Nr+hnueaIoPhBgz3FoaCvrKIH5zGgkILESDpYCBD+yrK1KQQqNQ39Gp7f23XpzcC GuhttsfiSlCGaAc/JGUiAyL8oPQLpaxZYNWwAeo04JCShg5y1wwr5jdABSYoYhaVPB1roTBPmPjR JZhmaOAmhTC4G4aUYC2FkT+k5h+4olgbFUv9+TxgeCEiFpj3x5YPOVBZfD9l3F8M1dULbs/v6O36 AgXKob+Hut4w7dH4PVK6n7SBYoAO+wRjr0ELhuhU+5J079dvq3kxQOtyWWumTc5SXfqU9XfIE+Og sbh+ZX+0U9eQdlEQD1kqYy03UqF6PBvJNteNZgGpKSXTfcrsSk1ObZEZglG/g0Xg4FTxfiTSHYd3 RP2ueGv0geItEp+vDfbC6ukU78VfBF9qPfsMRn1OBYp53MWdHoToi+wVWkPQhEfCx1GvpP9+OQYP fkotvSuL78mVH61zv8eS1FbvNS+mV7iBxvTZGcobkBsdqu0he4k2eEu35p73JdiyoXJqniWS/ENu yDbuH7clIfnKzqW6rlW0D4gkarNPbz56UVBcM1dtPgatDBcpokYlQscv04J5xoRhUu+zYrwSTdVc MVtGGMLVMlYfdwVhS5/z+r7Yd8Cf23VliYjD9RFNDgGP7FeMGwWT/7QLuAdH+GgBbSHSF9QpTmSG mNmrXAYZconyqRqHOyDwCFpPqv3ZmoKCbXzKTcZeQYg/0Fd9iBn9dS2Q1t205filnrdsAZMcxVom an8pueGaLDaZkny8ZZfuDSslIAj05wzDGvvnn7RNw1nJDaTK/u8tKhaBp6wXQsSBA9eJ/I0nIWF/ LSdj3oA/U4TjO4Mw2u5OvST5Nzvik195ZFi49Q93EkhZnUrmtcovCgjqBHc9BCKNVWsxH8gLw+aV uoo/fIScSE39d6GZFn2fJtSaFCF1cN7XjsjTPVt8PhKPw3he7MF7umSoFgWwaWAnUTLfu7FogmJK e7S4W1jImG/6LnkSoKPi/SzBjh20NURT1qkmtp7lN0l9nTvlisNUsvltg4JKAX7x98JjqHGNh6hL Z3U/xQo5uSyzKX6yarrvWxdQoxD74GKxc5IoUgoAPHsqBLe7KjyMgtofYcJfiynfL2FKgxiK0Cnt E+RGwa3fyOH/VkFc/LDpyRlIe1mIjNyFxs/pigaZuj9qtgOwBApSICU2CrrUrXcfKa6COkMay4K5 8Xg4Es4Qb7Ucn+Kyn+LDN/XqG5gCb/iRjuOYupv458LMAAoFux7UT5mu1pdwJP0HgyFq21JU5wig tmTb8QrkoI6POnxib7mwLlXSp0tdv6VXI35QcNTjoAmudArdeigo26D57yhqxpqn4VgjlPeY7RNz tu+AsB9ufO7w9nW7R8zc82sQPadrnS0PA42wlDbXJDENPPD//JoO8dlrafKf+plNPV1jeyT5ap4U aFXdP+VxDzknbieTrf5b3FDcMW4h1x4SDGfopogF0YyNiNhxlVlMZQssAyosUiOMNlFX4ce8m8lw RzfqkqEMgPt5x/vj7XR2zWX+a6GI0G68LMTfYFkP+iMXo6j8WouyQuzp3/3Wp4QcFQ0Y3+qFmNs6 z21x8Hgq3lK4ajOz3/eDLHxqeNibLjxE1YqNppXtlxkrAA20xP0O0jqjTzmSOzjpKbhQTstXidSt +1yakBHQ88ljSuG6sgbnheDsy0HT9xrZwXuKed4MYqrzpAsJbL7zhxB8yjhURnQu7Qd2LoCqlCXs 6zizhhm+fnvOYdp3wkjm7Ftn9bPRq9NG5xWS0VaHQSgOsomS2+AVwkfqJwYDz92FZOS2OpVQAQGe a5J5FCxKuxu7No8wsKustit4xMHcDGyPyCfiT5nbWXLrtM2filQrCDIqI03zpdcjSs25Ef5aCGAh 5FmsCaT8oENx8ZLJwEJrMjUzgLUmUmFBsdSwNYSIXeJC3S4/mumFNGGnmbIKlgCKoLXhrvY6V8jP WKIYZ6FbOq84ZtXAITIgFBW3/rPf8R95wUJnVTKAomyqWd+4stVDkedekfmxIYdz3q5vcmzpZu7P 92rSCob76oub2JgOY9kOUfSTvBhCWbfzW7DDb5kh5SE1m39xMJilrK4FPSk9ku4dTL+QeeSbtTFG /4MIMCgCfx6vRw7FK+SE6YylvrPmQaZy7mEFWM17axLPGbLOuJdmiWtMHvQVSCZD9iHpETan3mFs D0ne/Rv2G/x/kLjtu/MkWhtsAysOPz+iJ7UkTU+OmT84Oyq/TKIVNNNtdFPYvuTHOTy54X/pKP7b BVq1RIwATLLaWmpFsjua8KD5Sa21sAvu3Ernp7OK4KRaoP3rXSXSiOb4lblcwYI1YyoOxz9OfNWT o8YhgPCLrgK+VK5jrJOjqxq1UyBuFC7psJ5VeoPk0iHsB6uAr5uGVUDjTNusZGLjFMcW5eR1wqli C2r/xQpwvDIeYoEvZPh0cIj+h4dJv+BUiYHPlT+rmNBu0BhPp6Ywmt5TnjjRMy6bwe0w2/buR5QR fNEOhOjEoDfixy5Z0xYFjirU0JmvDZ+aN9gWrzxQoUa5L5LEUXUCJPTlMNoi5GTHKjZkfiIGXm/t s5cbYRsYa/UpE/ovXRyszHMSUQPl39S55cOs1zq7yJOSqBOYFV3K3UFpydhKiDAfqY1YYRtCsO8Z dyf6o9xaJz10FasuTHSYdfqTp/YsEq1U4XT8cRUY9l4HEGp9guvFnx636LZSnIWSruef/NkQ3iqs n6kKMXxMIwg7492ReU/wTkn7KRcqy6dtbFJa2g+RIUpm1iC/YTuty6ZKM3ib6oLUWJKshzyGhVWQ T9swTB7IAhc+r+qODHNNDLjJlNCmKkpJDYl/nuqz6Yc3Ro+eYNxT/JKPdi9RdvV85pNpKdhI7PjN zFvebBeNAmMJoFzZZt4iQ18bO0IXa8QSKzCPntRNHKI97FKTdEwZBrBg2WqXuMcMqL6K1pN7qNzE EwC5reZecPJXjtfk4mTy+X406kVrTIqNefqmsyyvWzndKSQJjoWndIyaxNEysJLuPzyHOR5tc8nh l5laW1Y3nuCy8tlKwefSVTjWI98aN5/xuXGUOhP+OVGYfdVGOBl93hOKXdIsyfRm80lznXXrlwbq WP2SKwAYIVTmb0Opwvls11GWzUKj7ztOVtYmVNS0Cdy6npC6toYcoGbIVDTnNwM6LPuaeOEWAtWf hAe7XxRB7P4FXbL+vhEghkPgXHpDl7DUTgm7N/+XMMd9o0LL7P3AeABmXWfm1+mgutuTK0pRC6LE pVL5fQQpiiYBuyAZ21UreWWKCAjnVWk7oy+oRTQfjHUw8iXN3JUxNZQAfxHpqZmdxkH8Vw0trS9F eUeJVyR3mvDPdvALH7E/SHD4HiQ6FmmoOT4iAqsqidh1tpyCk1NAlELXkaEX4gkaiQ5Tdd+7goio CpxRpJ/lZuEsxDR5q31adqIFbULgDPUMVtZZpVPhQJAlajBi/VioT1Ny1IXHbChrTcSld8noJ/SZ 0dpqnNBK+u0nf+YHR5aUVG4aoD20f4gUN3YvNsYIDQ1JJZbuBO4/kmhx8uWL/QhI6As92165eGDO lsgpAm9h4uPqklOm0Zoe9OmDvJosOyweqqkc3Ap2K3wW9OoBpzcpBoIy0QJebitoD9LL1opOr/J9 VHJlkWFypOhJYeLsbIqlFm+NZ+FJA4dPvmsm6stcSdiuPGK02TAD2iC1hIL35Fk+lCDKSUUit4ga RwZI7ZH4xKsd0aPjnXbmE2xuf5INHZMF56lp4itnoz4+vF2JHFSjAEJKJFas3906uybDiflOZv0j zDceSNOrlVZ2CWlpYS+EUJ9DL15B2bxxefkb+I+rir9HU6sQwXrZoRyQHChDQhLpa+0NnGELQRfE e0uIY5FvxSvxy/fO3xvkzJVi2dsYS2ZEDo3aL8fmYDg79FOFcSK7RS3KUuQhMAeedAVZ20gK8+QS t/3+L4UIYGnnIMpa9hCD2G02wZTtXFuRqyP7S1i151r0Vu1Q7CkQePzya9QuwxtMEwZBL26lsbvD ltvoUZCSlF51TRmNn/J1tS+NgmMucjNJOlsqLBjSkhnXjhVpv7CN7g7vTjrVPqQkVXmB//ZfVYZo RXsBB763NLTrXFUF6NJ+r4Zb1YM0UfPamFUZJ6yclryt9bEdwoLvLkuZAuhZAhvJcF2CKawsFL2S PXMU5oyJcXXmt59A16JQ9C8AYoLojw43/BHchihFQVcHs/5n1HejMkI7X4VtqmzNeLeZRMLXtH5Q XDJ3c6tF9TsgTPdzRgTPF4G+rRRhS/DwncSfZ5QZCrWshBbgWsMso1OJiGxjFf3/V3NvB3TboyID CUmYoajMhhAg+1bk8mpTw0J6CR6360LtbvuoIdmyvvUD9i3eHLzXhYKLMg0iWRfNZyEMyvQCRKrq bd3FEPPTJ2O0e7l4fAWTPp2HWfBMBsNVGRhlWrf/dQdaQAu0sKco1DFhaYSW6SNEVvq8TIbGnJkb MRwTgBIwMYNKrsMqNlTwDYVKUc3XFpM0YeAzb761uzLSq1vTqhGF1OrSMdNHRXSc4Sj9mg/GGzuv mmtZ7hCWCQmXv+P0VE2Bw5XKNt8R8OUYCj+Ovaoqgo8yJ2Z8BqUdF9OV/fYr8pdScVh3G04h4V8z oqBGZ7zVF1MRrARZuRoXS+BFCa2R58oMpjzkcNbqFTniM2mfy5DZGVbD0nfcukJWXR2sRrJsRcra pv3aJMZW1jAUDwawVvHy51NvC4Q54Nk20nmeiq/lmASbHxJc10/k6tJ+tqyGkRqmYUFPYJ8Q/BtQ k4BuZK2eOpSijrI2B5JD5oxm3/JhArjVS6N828LkEe+jrhXArRFTXgO1+ZcP22xGlKAgVT1L3UO3 XR4j4pYaHvI6SuMrVwJOg/ExPerI6r8= `protect end_protected
gpl-2.0
62395ca9101738cc53021f07a9bb4a32
0.935103
1.878006
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/xfft_v9_0_e.vhd
3
64,338
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block O0nEzha+ThQox1ZfmCi0o8yoBYjf4+tAZIH/t+nTLT/ptOSXoIMGlzwqhCGm5UG6tfCwPOxNb4Ht 0chxdP3EoA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jT6P1ef8z+2LttOLnrpb6cLbGA4isbk7YcaeeJotX2pVtJJ93qmCSZNXUIgJAjIFi1lBZg4hOam4 s8I+Yg9kqBBuvku2YS5YVzMDhBeCkeo0uVPneRyQuksbFpOwEsAwSuRBAAuEpcZI0xLeH5OeEbwq MVyv6cAYu8PfYdtsIYE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dRsuMFQSN5oRo5BHxwWztJHtZ6VHRfhj0cf9QRGz7Jm+/1OKsXniB99R37WcdwZSXqM4surXVikj j1XerLuLb6q5O2XNHeKfRghiQP6hfyyx/dhKYByqFzjN65hOA+5ka3F0jVrvJlHYsWPQT4WozDA2 TnZDRGPOH4E0EZ7ZBShd0FcCOeHzlc1dqAlPhQAzkX5uG+biZtdLM4Vj3rKvaS24J7eFiSVmxfcy ArLhlnZYsnYHZ0zRPjwr8PN6OCOL5lZrNpFS6tQnXWwbohLrz4Yorlyakq3hU2BgNrxXgiWQprup In+m0gb71uJHE0x8SRXgfwEldOuxGLpUvJaHOw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 3cisCLNByMtHHIqOyO4YwewuNIF+HE4zhPfi/6P96diJ3/xeQoumdjjDsUnk2L2Y2xSv1R5T0EXy esVKxF5nHPK3OzaGl0HiZW/nBY/wPtJQS3zCwFD68rXvkyiv7ydvgnJmg/HRXRUpZ8gFu534jDxJ eogokR+WfsupJLoBCaQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VE+2Y6gEbk5vI5jUreJo02dqXfGk5mmo77R1dr7kJm4aThu54fc2gvDs/UbQhfp+IlhGarv12skM W1oSbImV7v7t6rS+1BQTgEhFw7lYq4yeMwcE75Uh0HoUYHy9LHcvcxA4Naac9vUICmBR+drdmSWx 19AXxy6eUVPkZz01JRaftKgN7ZFML+dEGuRu3CVsA0wCpTxqyNa91RKOq1b+HeZdhOgMJmPKz0wq NnLd2C53fRw/uW2+ffPPrkv8yu4xhGtg663L8lxQxpu6kMEvMg7bFxYMGlMiHR1SBo/AeEGYWNPd aV17FaItfqjW66l5oVDPpiUWsGslWrCQH8+ybg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 45888) `protect data_block l/ioK6TVI25SmKbEHSZwlK1fSi9H3fuBBCmfu/vKzWGmWwla5CvzGneBv4kkHNsQ5zFtb4c37Gu2 I67zd0Bk4oGzzQojqZUXHE7AzeW7ucg8GrjTJ6qxtBGDC6BGpkKdFEMrYuCvzAJOrP3VrSTybewp Lj5BiUSUlOJbsO3IRLLbNFJNFsEnkezwed9SHmONLkgqQFWdCSmLPe6LpWjxkP5D/vfyS1IieCuX fK4bNm6oi0V1nQzxPZQq/BVrq5812blzSIC5/2m4Ks7LtRplmv/74ZWJeVe3Wvkykg+PXSt7UF+q MSWB+gD9t5mNefsIevSJRWb3N3aPN04cP4O8Av0M5C4oEF9yHOVYEMr8JEOg0pd3tS5hoXBWM96N CnCyuCBCjnlEZB8AabfuyGA7bRDclSBQp+IzxbKNs4blcOt0LIlz6rVg+ZOD3f2+537hKmAZE4MC I5B/DnKx8r4ikxOgmQFz55+oyVQlxAfWBo7pVD/uNCTt8I966VBcInOd7dLm94v4mIYffjNgejXR YZdXUyTXXhTCLhDJkHGNpvRWOi+RLOFMXmKYDCcuwi2z1xs00mrYDBQD0fZ3EHszB+x5mhFer+Xf PexNOANjyZfdMq9Cp+EjCB77pTB2w3ueT/NKF6WwAD9v68hr6Tj0yNORnUlzSZAC0rBT6VkCbnrh reseny+EpxCo1WP3q11nQC/wAu3F4vUmfF5vp9GRRj3ZWtCeFvUhvF77jc+mYTaO8s0DZRjkJxxA R80imoQcecI2Rc0TGoGbJHziY76fQ17oZLe15k+9yKS5lVDfZ9hDFbe4B+lZQB0EAPitOhZAErCl hb/rTt/0B7yVNDsnf3h+YSp6zTDYveqee3isfyBgRwiISlK+z9qoBv2iMKoFQIJN4A6ZcZ16FEsN Bz79Lw5J1ECn8JfPoafxLMipY8vsUMj3eAESd3MMRIxbvfWoCAgC3H1SFwim+XfPBVcUn/XbAPyZ 5WVr9wAnZCQw1Tlr3VjRxlSHFJ/SKRNMraFuAiK/G01pwL/5VCV1ROsuzS2CHiwbXfhqpBt9+Aeb fuy6maXw0FwmvqdH4ga3/D4StBZ60mkBi+u9aslQbxer76rTbrDPvG5m3C1Hh9Iz95a63B1OCa5L Ka/kf6HjUFxU7eLEE6Z98kdsy/WaGkmErwrk4pke+Nz8l/6z9BCJ17VmeZYmZB9Qc6GLAj5FnNzp LwrZCPXzywZRaIy8GNzYR7XNamcONfdvHE7jbxSPeM/6g+VclyQliynOV7NxfJCoX/4spzAzIh1T hjKKiwB19V44bAtuEgnD8LSGmkTJMJ9zu3hvJpOOxQuY2Dqjt1MvRPWCbsC6B5GJPZjk3nJdlYnC 5EuaO62jGGld2+0TUOR9h0IMkP43U510lcaPUYkqAGPS5Rt79IVEKUq5R6hDH4XvZx0mED1Gzj1M FZ3scUCR8Xxla+DYRpNpWrQsMnKo1fRCpaAv+XMhuLp+uDTVidVP0etAIR9bJn3HEEfjvkx4rYz7 j3/K/kbiPj9HKh1aHVVTrNAz+pJTQdmxqJcsPvrS5KfOlNf5o/N47yPBJO1ETWN3H5FtS3mIUHF3 ig4zhrqExU70fmO6uWPFHqmsRSc02xaepLVj33iiqVscDSwIwrfRKV3tBlGJ9nSGMdBSGf4pro8x Tmht1dzJi0E7Ab8ETY39GGAHH6UrFwJzrdzLV+8zZT5wlzmU+/kXeGgZ0qp/RCvIBM3UXGzRddBk 1VpqmtH8MG8UqXnFlrLkdvBkjifBpuSSxjW2v0kbb4raxusEsmbgg3sBIzESwV9aUcAqJzXt0xci /Zzh9uc06Vvj86DhnTBuEYk6YbzfBE2VRHOtsa3qWuWL6aLYeUEsI6THuhah5/ieO9Rd+BtK0+PA wMT7pSuqfzfzPFAqeIYI1xtt7xvZSpR9SPnEq164yj6UMbR4h2YAlZg1pgAlJie75/0j2JE1QtKv LpbWUpcTIpJzYGO0EeieJ+S8DfUb4seLo1bTdgFuJ55hrwrkeT9+70N0sAJTytDL9k5NJG6kIYLm Af0/OcC7N/Enpg5cWuJvLsZmSKP8v4zGFuPcrxJLQ31KR4DLzk0vtQXK1EWlz+2vpavPzIiTzJnu Pt7zF8QnKYly7u3hKphj8XVjp3IuzyahtPpN5dt24UqbAUrc7I1TkPaWv6w+RCLePoWEKqfA28/d tYYD58NkNp+tKtda2i8QUOmhqELAYJ5CJjrpdyZ2rSu3gVK/07+/H7uE3vU7YHFx3uwrUpvPwJlu r3GxkMglIMp/G3yUvDVFEFmJbZmia/bpCfZ7k2Lsm/PFjAGA6UR6H79kK9ppJBRB5/OOHdLphfAG 7zbNT5m2UCIDUgGbpqWATfwtBsdSC0I8FvcrbtyeYDNjkHxjr/J23hCqfohpyPpj0SvM8fZ3Rj7U nrFUn69O6tT5s+yBvVIjIfCvdVnVVeDhY/8faCnTraOvEwPSJCbOqFPX/b8zlXCtMOEFEwf+VMYt kWYF3/tUoLchi3Coyc2tdf1QLKlawOn2AoNwBVO/JFu91xbfQxxQB5KFsTsL5ZGyfWV1X0g2/8Y8 h/RrYnd6XHQWbbGUEADR64+VgjoGzEaVO/XAb1wWMuajLyyP8H1pLbGI6ZItEecdGUgU1FAlRjhW hZiwoQLwN3F4/ZGLt0NXpqcQimBBp+q5NyriWIBI2V43RUzyWR4KDSJ3Y1xDH195URBpvMd1627V wEBcFYikj9D3V6j7BiQQrLp6CTZ9CDg9KcBbqJmKjr3KmStUPewkapdQzOwzqSyj51fnH1hWpD+A E04rmfXAuhUnAt+M8FtiIe2gUt3l5okCeycqqQIS1N82l2I3i5p7cg+A5ujoN5P3E8J/gldN5Q1r Wll87ZxQg0FC/HDZZfKf52x5l9N53r+6+GZ/tTZO4lqtNGwGrNVdeuo0YH6GDAaVTemnmpBSe08O vwYljMVGr2nVIpYlWKVU4wMR/+0gfEw/4ANN5r4w+l7Wc1iUh0qX/+Fk4gQGNLmPG2dQDwg0TZQH CAlCnm/Mu5LtgeoJLffVckdLOboy7motCs+E8QZi/pC9Mn/6navHz10gzNB9XeGPt5PNfAi1AAe9 b6KT8nL62MpCwd3Br7V+ZQKIQKA6JXrC2md5GRYMlE7b54+m2fNsLzw2pwHFYbd3Lp+RfZh6OUnv i6I895e/01Jm9dHWxxGf8pDTB/d+MBY2m5D/oFK9kpV3JaawXwbd195Qf27dm6C7yFxkxqbtVOtg BIJ2Jl/6ch2rOt8QdpqqhL0hzYhQ4LIFoWb/mUaVVUIxG67XL/OresPN9GxhahzGaBp51yB0K+ab 5sB0cyxHl6S0giJXLNUTYdCvts16LYLFvEj4cP2X46WT1wyydJLsZaJeUhw62alLzK+2nrIeIrb5 NywfVBTRom47fUi5oNB6dj8miPnFHRWDbTkCZKVlqCN6bGjO2paSBOqAyhF4Z3dEGWnOAUXi5n+3 x06AgQ8MWQenwTNN2UTKVAE8LXjUnQEtZDsXgoUSZBamyqD6cWlZQyQaQrk2xI6kVx/wDBrcoId6 jw/9jZIEfrQxvGJkB2E+uk1PqRG8wgd5ZNtXekczYyXebcspPWBVU+du0ooP3D6Q1QXfJMBKTrIH gK4OHA+RdHV1w9UGz6Xve98Cv4vGdkaN9sh4jaZ3CEo7LA3sU8+a4q5UiV5sQMGo+r6Ru1UnP+zu o3M7az+YHzzsmPbsZXphzpXfvoG3Jclu1MZEDbdUWCBhaTIuzRHHqJrjzRnG0vcoVkoVSEJjx6y+ LlxGauQPgynnF2YKLXpf/byoxvB9XbKMHDIppGWwCK94FQxGgUBDjHDzzGaZ6jhyQ7nSHPUM0qB+ o8Lnglqud8oXAfuAFPv2eyb40w+LCUf3G4Nll1viOz9HCQ2STBKMUVEtXARw/BlsjuYjkD9S5aGH kpq85xO1dHKIrpRmK/CpW2t0KJfyRJa30b9skRWFQ+bXtA0VsrC+EXqsWGK8d7iH57p0G1jSBKWh /7vbNvNnazx3CgLihhJpAguUGvSR4Rq66eTHPNiQ9THwTy6f+WTndzmLIUWfwcV2UBwnJHUYpOmT 0yVDcv/4h2Geh/HYSzpGNHGohxd6JBCyU3TctKWTrBKolRkfrLNUdDj9kocqbqJCe0pLp2Tp5XIx xqCkgkJMCCI2rwjFSrx0BCsZvDNkAPEHtishzDai8mzL3GGUVkvfclXma8Caahrwnq274sLAgIgs KRVUJ5hsp9KRp1TIRox/oOW3NJ44dZ7faEidXleZE8Vzb0komJb0ZTCcjpHlUdV32vkmXx/RZrza vbDiMzv71V432j3WpKNRb8hCxUzzNTTogz6YG+MB2MKUwA1rQrbxbTHKRvFGC5AVmFYZVH62r572 kbO6zJLrA6X2FrRtL56GXuKwNwIcU4VU5mU1Nkris3Oih/qRUoo/jPNGAyStnhC9ZAvNGsaytF+9 ZYCGaiEYleYO+BBfw1MFDPh7tcIwCgB9jBQx3cIbonSjQv+j7PwLZUNc20oMZtbhBqi6TxQompl8 TkfzI8BMyNHfHHghxNQp5EZwSK8QQMlobD8dl5IHVSqnWke2aU7MsQW0XITTWzdZVGvr+YdzT0CB +FahG+TIVsysbit8/jS1TSDVm6OpmJatv/1x10GGb1wDKHKpaFGvNJUBEh3eiaDUlVqkDHb6ng7V 9SZh0dDuwb/ompGYf8RweseZo3Ucd4QOseFWzlVgEj7Jaj8VD0UMNwZsaW68SgXN9kUJLzWbLDIK m2l/7oaenOhnKLBc2b35k7gt0WsFYHVkCYQx73K5CEjss+j4MYSCKw3B+wTUf9IfQGNVgCONpxh+ 7gIqzUQ2Xm0bxBS8Rnj81CYGM+p23noV22rmIR0jDq08NhaAmMeieW1c/vS0g3t1MScCsHBTK9Jy pj3r8JxOOPBSqBsd/3kHiM01mzvftx09Je15gvum24VTNvAihViqvWbQGKw+c0UjqIYVpyj8Dvn9 kJm1wHpETSsAFF+BVJeijmdl7KcLrHS7aGoEwbItew0xdSs8fYBljpM80Gs+3RPVPZ2e6iyfGBu+ e9sH8q8C+VTIfi7Bxpz3EIAuuEY3VX8s36uLnPtL4dPytboVzPUKBDs4nPru9on5laatTXLTFvAm 8SvZphcbjlZljeJA7CkzIrN3ZB4a5wsXCqjLcIZ/vvvsxlKkPyY/ycagHf2Uan89RG8bzc5wlvyr 4AkUaYTek6lTBG3yc5O43w/kiHQilkM+Mk2SjijmDK4rPd10zBEGQLQrvyRJRNHCiwfeDOPOI6go zfbifr3lOHS38pwzRpxa8fs1XOquXDJbAyBFnp2+m1IrCJTZ7K/eH9NOtiOUq6taWaljOFW1NbUr 5dcUZxJ/yOXQM7S+Ug9plJHHrJMbVRdzWJ2X2a/C7tZvPVyPjc3HgDgDWtpEKKQECkL7YfjWlc6+ sVAiwyWyQAVv6fIul6hFQGjO9C88ENJ8L3xfc0fem1MORfGxWOtA33v87JWHI50CO5wgASN6aP3N JpFZ6/2OKv1sNKx+pvGdJ6Vyc6PdH9dfi6MeKrserr2kAl5WT34YE4Wq+KLFqk5pwdH9Lp2IbXcB HcdHlA/jKo8aBPCQTHD/ywti2Fv7fxnJpHcvWW/29jKSzYrKXDe9OfozUehqQkJTRJc0S4cvU4eI eZzutN6fKifKBw7rgPGDmQ4D2kQ5gGD4hcIAZoxRtTK/+5PKKxJZP1S+7sIgIZ7Fdy7f3yhiqHPD 1qaTMV7TU5VLwGJdHH3iMw/iKRZ6j1t4ihnkWsEiIxu+YJOoAtl28zPKXclUN8XsQyAMKZddXG/S Y986+iphZVqXLzO/oRzEDn8QmtFjGCLIiNOWS4XIaF32Vxq3//DJLA+D91SBXSFKaLtIvDauoJh/ peHa37aounaDaM0eYcyJCrquMdwh24yasshT8VEWCbGtdyj9QFGCE0Vo7vbsVM7LR2+gDQB3MFDu Q1XCYvOBVboudE8k249aM3R8/K8/ErVJFIZ7sWSvtP2TL6enCuT4W5aVMi83MQW4YlA+7McUoeXZ 0I/Przq1K+04M85XFpwH/VRzDqZrGrV9S8HTt2NADHdTYZl7AyCIKogN3PT946Np/yl49t8vEGQt CbjCg0UHOE+YLKn8c7yNZubEE9k6IDbjX4ERiyFO5/avngRYX2BtkN+63X56u4oQoQoenXnORAJl G5E/k6IqyYV3Vkf3D3/Yh4r0iaJ8s6GTBVryJYRoFZe7QNjPVG/Xd++kEQk2x7IrzT9R0lehYJZV 7X5ancQxYdxT4cAtVrAYON3bklCsaSj5U4UPc0aURXgrvPEK/UCUPP6bQezR2MSJzp6AIJpb9LQ5 PDq9G4MPOTNaa2hXq0iPgJzsfkdHwG7RaTHgb1TgKs6hdnYKR1ujt/0xbPRQv3tAa30ALupHdzln DdnOoni4Ze5GA3qk+nPYRNR8fEVwXfizpbdmSlC/C7SxJypnnPzC7xknuLO8CJROaIaxdw+2zEXl 1YAh2eC48xUfK/Og60/S3IZpv2Nxj3NHklqNk6CRpnBDLMNJDAvekTXWScMDjJUWmtC7X5imH3RE FchOwD2EPrwlwGimJhTK6Bg7fXlu7iRFN9bhYHzOW63nqTxw7sd2Q7ZZXHh/L0yugZwm44nRcAxY Oxyp4GQjw1IYrccLW8WmVtZBHnQPc5ythxDkViyGkQjQ5CIqj806uE6+jXTarwv6/dPEFZBr5XFN 5n5GND7SzdVn/JbVLVTEDrPTZBv/K199mYE2a9UY2k/euHYjoENn25b0hO+EAZchtIGGhfLOGCxO OpSezpnrX1l1LB448TPsE+sOthLKHcGG2rWeQMeQdMxI32W/4w+Hh1U8O/mwAcXatU08TvEH5PYJ xyq41MpailvRey2Hsq+vqNB3IKOfDCCNQ286CY1qs+M3JBiBOr6LCIvr4APgzPTdtLkddoJvIZuw JoPW5GkaiCe2KJ0ABcZYv80ZAoLIqTGgYnO/aHcNCJYxWsXimiNjMueUSLeIR98SPL/6zngleleb NZJoymsBpE9VmteP/Y6foVpWQLv1B1yroVKSI7ORihNXsap5tjXdLFk36ngg15zZ682SZhF2HF9s 8l3zskB+4pXMEl+6wFShTr0mFqnJAeo/4fidACodx46i02ku74MXd+CxtnP2XtJJ0U9NnH7SXB3Y BHZXFYxP/M6+QV+ln/bHciU9c4kB6kUK2QalSP0+Kj71pDEhutlrwWi6MUVAVaHagqmrxRv1IqpP ApnSILvoNBIbYUzO+eO6gZcOMUCRdlowCD53ioaQHjN88w2A33Cz9rW4OF6TKZ/EXR9bWhCOeow7 dl5uwJRsXkudUYyzvQX6+MKPma74cSozu9X04+cGJlE0t+KfpdWVThR7HxklagAY1ZsnZSHKXPCJ ZspHz05RGA0RGMhicFpi/GJEVJETXjQjL+3VzKdBCTAxtlJ+YYTwgGts+WfnO94qLh8YInw7499q Tp5GLKlYefwdiGgjWPf2DEX8cDn51d5BtTFjEf71msOumtHIyl+3t3eeP2J4X84Yb0DJSu5/HB6B /+3K44lCmyMn25hzh5ciQ9NVGFZp+U8hb5zkssS+gwhLp03/zWxWtOcTmZJha2A33iwvJklNJ7IX /OGs9u9kvjIrodqM67ZJnGYqx+kt3XkYaoTRT4TRcyA5fRLc+fwwjtfHEY5n07BX9uJWK4nQUR96 N2yDGWq86WOUy7R5WcYjX/ikZVOR2nRDPAI9h1YFfLGC8wla7m4qjXefw/VkKdlQ0osLJz03gZqp yOjnM/FPvC1ppF6y9+h+aCurFB/+NbK+vIElYdJPAj8KHibhSgad7h8QFvvRgnUgnGaXideEgO16 3EcnYYpeuRAIgzDCIvgA+EhWrHeIjlYumRs1miU4TfhURVWgSDXGSq2cnCeHNuY+Tfa0snS1NAP6 yrVSw2yg3JoTfJ7xZMAe8nyP+b/ovY+HHETqmEZn+UEsgekp+lExcQhnNCS2UUSSmO69eB8eomVA KaLTbqQS4+pIP/w+7GE/Xm710MkVX0l9wJFqCuO69x2QRy1uocQ9Cdkya28KRkw8n4XFMDVZecky 5jzu3bC9sTZ4qCslJi3BiJy7bXojnQfngC9hApuvqYMii824xfAXn7pa+Os84lVGVtbm/E3+LD+C fjI9HzfbmJZ1L/rvwRtANsggoMBGl0hsnBsKO1ZUep9m/JaLuu0BrVmvryUgJlOtIUXWq+dHrdkN eD2vv4V6djTI/iWW3CdmAmFpDHR9DbYdjOA06aE76o1jQ4KgV2LL5uO4z4VhLLGxZsIXYjxMpMaS rtC+8sZbahruuYH6vue2WHALMMFm4Dc9BqBerSd6/bZnmNppKsvQKxYRArIDWZhWX7UFsOpmiGxZ xmdVnUzjWKY7kmeVI5ZnikW8qiYmdIPhA5OIkA2nH6LCo/Ia8yVy6590zc1z+8I+s889PVjRVrPo 2NlvcV+/eZtF1abxxaU+fVc3Qit2aN/KiOBleq7xDGIjj0c+Fo4NLPjJ2BNoA6gbAhEnPXGiWSCc 9h8AWFz04Itsk0tDCekgmtdt82MUWPoEohrrRaRqHakf6g0Me8Q2FFQUWyNLiagbUx7yXfzJiVrF 5pyKv6Y/QLm6uqGIWx4Nhdaie7TI/hPtPKYegh0Ittj/Ivylh7W2SN73c9f6MK5k7n1uT67Ncq8b +OY21cgGyI+2Wut58UU1APOYgWrsMPoOk/p5KE5/DWGVxlx5vIdXZukGlDXkuGFezaMlBhKvZtNB 7t9qGGjEe+fF+FEeJTOA8r6pszdZ3hZIKma/IW/z1Bi+xQwn+u97InWaq7WJG/bZ7n9e5cTJejU9 loRiKImFIQB0U5zHotPCvQovnAB6FKjcGGKfZN4s+fmtcRfba4AOv2U4llLNvOeSVlk4gzVIJKKP PLpOtDYfcbuwEt/AAz9G0tApr+fN8+6JVM+mWhpM7tvc9KbxJ8Aoi7nN+HwBUSkXOATu9ExkBTxt MONsUoNAGgoFr0J0MiR4zuld5zWaXiWAORLtZYEjNpyYbwSYCUcCeruhI7hOYvinGDRxWykAC5XU RVaqd3efoMxfUrZJSV25h9riPT8mF9E8bYP3zjXQebBKZtmEsQLhn/ahQIKfKIVsCv2Jnh6ffQym 3MvjUT7MFPCuY9TvEdORAR1pYixGZSncgMuG/HvPquNL/RooYhWVKdbgPRvlrQzwKRTyWBClikzj 01P0MvqOrPxhQ14v/X5Dww2iiLw65GnLHwUIcYiaJ+LXbaFrZs3NcO2qSfIu4Tld4YFqef/dG32r rRsPSWJp/BnCD1cIv9jYENPMsd3/P2P/m73j+0N3tR4fYzrqzgyr6cBPBvEzj7BCZjlhkVXBrBjO AM8hQlrxIYrYY0fqLMygVMv/iEvRnCCODGbSPzTcIss0pY8b9jSpdfbthWZqXili7SY9ZaoVomRQ L70TEPxhGxJoIRfmnACfClrCKhQc0yEKvpFbqzYFPY14NfQQKarcti/0kZ3BB5YTrNlir/vZ5/kF SPp/VMLQDrbGUU2ZJq1vUhdgqfTzuueIP239KmHmla6Pb3AaGDbA8u8Tlhz0Cj3l31WzC8diiHIa fJhAS0QWv6T0tquFn7kMeXI5sJw+EFTFfRW9cEnr8qiOZTmfMTKGjIzGAj4TbPqsUIpvONfGF80k rkSQYXKiayYY3xZGRSHtyDmPiXaZMAPt/GM2HM4ivfq9x1r16JI3ot/gW7DPTiMYJBxZbxbmyNlq XzXy5xuUHjqbwTWvLvMdneKNa/+wF6GrJamt4g5Hj6dH7GwY/WY9FPJNGx6R1G6YGQpOSBDXBTfl 8WkQCm7PnwCiRiFOBq/mPg+5R6eA69HH8x0l2EQbMXWpnNomKPWVqR+EKzOIApEBein7Vnk+KL55 LLsR0mrgQV5ZZyPoYfwthxudPYRtGIAbWi3LG0LdRgm3+ov0A8gOsGZ4wbKARCmPLaXPP/aVMFj+ TRQrIwKzQv9HAsAvXcVtxSEP/8o44R5Xc3LdSbfWOLjOKEBZg4JWNRXjyLR4vSZJ7kdurZ4fQ/Rt biJxNsy6JXcTmbMi/HMCo+zWvQazOek11z/KG0TrlKhv4HAsIkOz60Pc3HgrmCWdnFdSQdNx6hVI Z4dybcxeo0iVm/5OFE8rRRMPfMoE6B47Fv3YWSuPIchhkDylFatXHHVobxJD/5pbat4mF7ZQljBA 5SQ9+7hT7F37WSkLxhAi4vB9NApLFEz/IMb7n75spOtN0eEIjxkqnDAIvPqJMKwlrrhzZ5uDhUhm kxnz20GUaEXfmM262PiXYTuZpVNwOz0tcp0zpvP/VQeW3l7I3/6GNCk4sa+3vVaxDKLhACtNBI/S sAW+D2Y8nfVNaGO4OLIHLHbpmlQz81nzaR6kZEKtVRIbfhn5lLk+fInr+nRPrTle/fGorFJq/0kD ipfWNfOXrsxIogUGqUAnJ85ypKfFWSQIU2jfAq5gMgltGPL5KfDKnLHJF59zsLzB1o/I+wHmR6xw AU8RD3KoYfVjkO0IzOuToTB0A3yUWUwmXqF8eFvn18Z9dIY355EKKr4pUN01qqdPkm9BtdUPfHuG t/CF5Ybk/yTG1/vUnXgiEtwlC2vxeWmvFJtgY/gvYQIELqVkzMDim3MP+s3G6NiF5RUunza2cSrz SM9Gp6wHVQS9kP1QlHfY+YuB9uI/Vah0u0+j7b83Dd/1cjL1WJCLhRwj4/QAQ4ka4pLFXbX54I1W PTSy1zMqvT13RT7zR7Ud7Noh6w/d41YgA45kIhYvOVBfCe0p/ec/rKbpBkcQiUjp28cTZyaqutyF Af3Nl40SN8zHNShVdnwzLBRMrOnf9DRmBFfNxrna2pZom4qUQhIBuBrgrUvbkdwptzx0PNwsxrLL v9eBnWokdvpYdHOK25sKG9zlx2X50pSepFt5jeJNHz58MQn8e9Kk9rMr/CvOliC+CZdyPM60sSDH 63M1nnjigL0PBABGc16XNkpkqa92hHVhKZzUn1Bv67l0JZnEIsML2LXEIw49SB3Nb46Lt+logR5c F9B6onaPAxAQoMmVz1GsbQrOYezi/rozYsf0MyniDMORqhSh5eTaLDCJSgUKSDmeyKRuqSrwJF+5 e2gFeyFcuXSxNL9k4jN3l0fCuUToYNikLBDWIdNooTg0sdaz6ZxBBKBl8IoEYwnff0Xklf2dED4W abpZIo6MmRxfGd52KmVKW5s+I1id2SB9IqaXqgsQcf8cQO5TLB2Q1lucXZeoXKP9hdzhDdhMWrCF tQCWHGVnpqfshdvQbJaK17wNhtE3p/e7NjSIFVk6ZOo2q5UeuSOKqWZrPWA1qdbjQts76cQRRfEU 5jhZ14FzzsuE+G6zo+XFj3cPqpaWhhWkLF8Qqq2M5zES6FxJE8Il40YjznpjrYrMKtT5IbXuHpao dFJYTSEJv0lPjdN+9ocUD/2maIVH/JqNE4WdLqiPMx26O4fRiQLnVCruHBOYObSZgnfqlURc9E8V Phvv9YWSlADxR7dTsIOgHRAHSlykX/uCPzv5jw8wuC1F5OecQwYsYrwyCaqI2sU/bK5ZAvr+LVKm rWoH2ZZbm9k7GeRloQy1w8O6KK7mPEhDMu5KrLB7Xe1BD0SM3nHltnPD8IgYF72LqAVgoYQfx6IS q1Gk8pmBCQAeavg092irXbWHADFMib8nrRg4eWQ7P/wXyD/mDyYYIMIyJpRdd1n2WiWM/YIIWVC8 k1iIPDnXJHybFBdAcJVxV0N1DJRLII7TARMJOwCr2urJVzllsGuA1UUNQ8F9gwy35f2+wVwJoHyq EXpE403W/Z3S7tr4nKbVXHDl6/cm4ODDNGxOBiXJfNMlg019oUypJw23rRJ2MVr3bCtawIYrc8AA dOnL+UI0vaMOA0GEqW55ud3/FhmbQOjosPC//EfKTQ6EH6iR7DvR0ckyAagDdCJNtSbAp0z+gTaQ pkB2NrnTwqzQ8W134POQfCUnEV2Z6WWlblL2nrNxk/c67oX3L5WSb5pG3dOO6Wwi2XGSGjxJkk1X HKsTfDCMHBrljOSmatvUJddhqTHBrtkqT9s3JAkTIx5AV3ncMPuk8A2jWBpn5UxaUhYBKKNQClyN bWUWxoNkPK3id6Kcahl3BAh7z87b3uE2dzAvb3+g2f0akMRkra+n2eu0LHT0/XaVlGPzOXzL1tBb Gj3RZHm22IiqbWkWBffwfadADnNggW9P0YTpxQo7Qz/+AHivL4zEiK/NkP1g0aRnnJ1545+KIP+R Jh68olkfLW5VoCHsik36vf7nrHjWZeiw5n30f/J3cDAHx2FVoSxduN2kxPx9UVr7ZuWLR9DmGWYE ZRHjc9g6VK2vohLMvoG3eRMqfPvogKqWUmg9LOuFg8q4andkESzxRMfR4qm+oXVGdsBRFhv9vH+5 MLMqGGMHRoVglOq1AwmyaBzZW3BEvjGM/qs656r9iEvga8/QrPHxFYx6L6nRtMp2DZAoc5pNRka6 XgdXUPiRWHKp6vVtrsuM/FGqRksRFB9XA5btnADXPj4R1hypcSxkqmaYB9rHXBDMXUHWnqB5E+sL WcD527B9l7qQx+LmSc3wkkvCBm8KUTIx7C4YkMyEHnKbBryqhR7fKoQrID7v7d9SmXZ9HxG1CBSf p+ay7tofKfmdR/0EY8S5Bbps8xlUQyWdbpLP5+m+d08WwD9wzCDHdZF5TN3IQg5Fk6POMBcQ5YPL Oj1QetYQzEFIJVKAgIp11SAPGRqSZ7Tc4FqHk5BOVuzl1Tfz4RuwI3RhdnT4O5uXuAmIYY8ZI2Oi szESoVAwQhjlJAtYx1SMeuLlDXcpT9Sr0KpoSJlxExaCIwZ/RkPZ4mVfzguyUeBJu5ZLSOGizCEZ mVg+WugHtuBpNv9lky281iPNkoUK/IFnzdoJsY+i9SGa94gq6+qKkh7mhPdUp76mVtJWGeKR819g qT5foTlOtG4qjTJmdM1wu6250qBfYiuEPX/S3X+i4ve7rANcY1UZLHx3JrGYTw0SjicbiVRiz7m1 g1MlPPLq3KX3r/Wv6h0i76f86NlcELB0XEUSIhh7+6Xa9pzQHk+Huyknay2lr1mz0oa5kUGOcdOI dE8hLR52Mtg9XBS3o/TuPFntQHtwO80DzKUOMLOffroHe+1KbsDKxul3YgdMVkffbbPyfjCFdAsy +S2lykG7s9MWwjJRT5cwqH2NKeQrZoUumKp+VUER3psE2n3319qFbHpTjUE5Yv5tXmT6rPQJY9A1 0POhMtALgpvNrNA+/0/tCdHnNb6DN9N9M8VqWW4Gh/HeOuylDxFQQveZUcYRMH+GWxs5QXhbHtdA P7Tt/dS9McMicMgfxiwYJvlwA4r4uMF2fHMmjj73APQS0P1dGUg9u7fkqNmtJ4rjbBVbYvLuuX86 teJ3zrzeb8bxbbHOuK8a8zqtwMNkUEFUVXEbWH8U1Y7jow6F25N/BGTW1WAHIBOb0wMO1g5O3wG5 dJ3aRe0/DfdBURv4OrkRnqR1KsUwJ5cqqmj0xt9IfMepaZCsi17yX9BmTEnHTQcjfQvorSq9Pns6 pX5UlqqCqb/k7TMX/mOs74U2KRjk6V2birl78Q0RRnbzTQJaJCEMrcmzE54s47+Oskdoo7Ikp6Tx r8GsLQ/Tqd6WhF3eErjpvSFLTyZ+cdoXbyhbrCgfO3xXTL1A/Qm8kgg0pMs3BlWsr4DD1n5IThKe qWGROQ7d41PovPLN066GcN6XU8yuhIrMz9Jne2BWgzBhsNzIzhqryLnRHC7bh23GJsQlxJ7Rg4XY LqtE+2SatnEvvKvJgbZPi69aaTw7F2dvadAyDa1KBw0rM5n/boXHyXkrWMsEUPBrsyFMZKuWofcf jp6rHjs3Rckj3YEAjCsXs9hDmgzQ1RtHEYrhMZX9KfAz4YQCAvSMqtUhA9avv0D0l6+1iMR9Ze6e OSV+mQ1C0b7Yc8pVSjQ9uVTE/LPOOIjjyVkhBxKlf4w0FLs4kHdTQE/udkUmpGQtvPI8zXaPpyXF 2YehCVsbrzFLBhSRuHE9TyR4LsiMCPn7N9DunOdVg5DT2B+L9mT+dNe3IgKW5DXvs4prNfiAXgip Ps1NU43QEQcr+XVu/pn8pGD51zlY842RQu0KWwMDQDt7+DwbS2U/ihFhdGfRD+d8ngDyUthT//3o MbfYcc/7SH57mM2shCUrNJpRep8ldKX/FWgGWGETL1hC5bKpqHQWXjaS1i5PDPrTHi61ZALNEcM4 AExWXlunCn5xNzvD2iU49xRKCIAUci0GUU0b6cW5D+UOf+eqBrhpnfMmiK0lDMZM8MS7RJDk3rsk KpWlx8ayXL5ZJEr0e16fGIDqlBAz9yNwwvgTnGbslCcWUsRCZaY1Mmwe6sTjtKsvcWaLet+wHAEU 4WInlHlRcdkhQf+m+g3NqNxUKcw8v0zKGh/t3wMBCo4oHf1iQaPgh7Umkld2nm3qfEyidcvc1xN6 70RB3kMIwLhsk6jJoqG5yHLh8H7X96Fai0YKBzVUOj4QB8RWPZivncrFgPqZNVWfz0B4T/9v8v1n CXvQEWM/+Gf6wZI34WAjukR4GiD8zXcuUP1aMgWyrZhX9SdyShGeY+BqQH6tjgJ19B91EGlkuWti 8z5fgAdZNh9LjoRiYPWzygtCPKL0p4NppD13KVS7kSFjs0hq4YoXSGdp83OivhDjtcwwhLOjjkbY HrEe1JDBqyIsof2rWlfapUcIdK8bZxlGGjS71xYs2cl7V/cw1eeZQImhFIMAKm3dgezRqNCYsWGz UfyymL6RUAZBqRIFCIroPoxjnxuoo0Bv5gJCo8wHxEk5TMPjMx+qfkCLgb0sqF1bAr6md5T17rJI EK8iqPGHZ07jJ3as8cmY8/ohVvOpark+SRgNSdtmPByhrNzj6XM76OIMDByScQWyuZUjGy5XC75/ fyDsNLEPnDwhpicZVUtPu7VkeW59r70iw5W/Z3ehJMyIStYb7g4tmLlnzvQLe4Yf0vdrLuWnktzY Ix9bJ9FeFSiE5Vnb2hQPUOs7GSsyuvulT+rd2bQbMb5gCVK755E1vxcdzqNwqzpp+JHH3GOOAO4y gPWMtzEloBepS9TVsfVHKU0XmK/bbbSS45aJHmIZ2Dk+Fqzv8Q+lrU4nl+shLOPbibrcETq/Z9bH 6+pEb6bmWXx2WAWfr0QXmVGFE9LjtJ+kDGvM7By+eowWtHhntsW+qq/MVdnBnEpZ0O8P0bcytwu5 goSY0CFNMzf5NCXMI2Ne0uyly+hrSYH/2QPB3fw0+RCy0I1QvWB+9qKJO2N61xh7PNJJXL6Uv1r6 yY3bTibenTSgzVAx60XFIARE18XUp1hHxyQ1KXrEFndJ9arY3w2d7gAAn2sA6bABfEdCVcIZlaT7 /UNwu8J+K2h5cD0a0NfqPlLqsE/TeonxJVweT+F2BWWdu6YA9PrgxhhbqrFZhK353Cmx9vrDr0sF 6xFJi1MW3WrBAwarlE/UkFobLm93ov5BJPzDpu9egQjQZvK06tnXJiWGXGjkVdMcK1chAPDsKrRH MkqGT1WD68KWCRkv8tFBRKiwED2QVlMFRZalCXBk8Vf8bFvtitDvsS5NiK2sYIAA012vixv0/Aqd d3EsKmvO+x7u35LIkrDjB8c5Bd686mxu1E5ksH5nWEad3+TuWld6+s2stcTkVP1b8EZHGMB19+0V SjZaIKflvc1Bne5DfOQhP64Us+0leMuqBhAmIGJMHu7Wzjf5wGX+oPViVun2syze1Z5m1Btky9Ck T34JnZ2OTTycD3YC6QdW4Y6+9OTlD2n2MoAvE2qtJ1dSoJr23euQR4UwvLxEU+yTdQQic2TsT1VB ZAAjGcNHkegOZdIAbwsNo2dz4RJMJms49jvHnamvaeEcHWD8bMmBtx9i2CLK5/TrV9dgI1Qxu19T H8GEJvN4Y42ho8DqSwWxlUauvMEOdy3tXus8Rzuvchc8bX/kr+o97zZ605nH9CRkHBw9RBDb4cxG cYiIty6s565ERVsvAJp57W1UVQ5eWMBrq09XpH8nMm70YFMU4Z3pXffba+Q5IelOBpuP//pm8H6b 2x97nYgK6A9KViG+IQKonKtwtqiitIngOgY727Hqx7Pe9XewNvDA5hhfe0PfasVG7HL5RLmcuybM EWpNE2dsR23FVPzkeYViy7wMnr4GAqIJQ+zRp7r+MFn/9L+Pj4xDC/KleYrKrG9pGKZUve5VD++t NOZYSlWlEa/Z7Sa7EZeRgKpGPMJzXoHOPMvhgciweUpA7N19n5OGWv5cyETb730trm80LEOtYmu/ eGTXjgUdxpYB99ma2e0ac13yK1ObVSkdN1Wm0T+eh9yaMfik6YJm47tYGVdXDhNvJKCzidzMuf7H scfprtJOYtush0PN7Qpa/6vc5OvgMzZC2HoPl+qli624Ac0YeBbrRHFLETuskxQPYi3d4qQfdOSt O2o9hskbTIS6pJj9dZ0N9wXZBhBbYdgzc4iauJp38TPnRvxhtZEHBv+54eRaSm/hXN3oSy2cHH5U gxtjje4vljpQ6b5i/FmKCywuxNqyq7qoXb7O/H+9duR+RCftb1xndmMK7+nbPHf3fTFOCqOX/3wW Z1eevBpZyRa4JY8qqJ3fx7tXZGoSGGT+aRpjVFXvbM7aeBoQxlQZszUP9VRO/16rrXiARemPMWsF gTb4uR5nfmnxJTkh7MHbUOh9vfh/ygZn+0o7A+OkROISZyIhHZfddVpp9kcJ1jUGricYQLGm2bmg yeM5TKbk5ak3hFcidmK6jU3pdGU9OUK811H8t574PFOaoDV+NMaSmElMDAH1Mfypp1pnrOsf6irW G7dOopItRko4OsxN+PLEMPyZK9yn8B8txlY8rJhVfEOQGsrR5nSq3g3KUIrqaVtj0Me6eCxacEKt Ya7DZ52MHf8PR0m+OBnZMXp8c9udilPmZsk4+SzNIODUdqJ4mEEwxb+BxqoKN57aiRwscJDuTvQy yyh+hNt3ugb5WVWnPUREP4OxBopm36nJP2mpOFPiCCGtlm5EG3XrgnCCnJpfF72NbbZLRtR15k4J yFWumfkeZXSyWCRCg49t/BP36kLyJfq2JU5tKxmVa9YcL1Gkr/OIi138HPHS0O2/zWbhDEwKCg6+ TUMLrJ4CHivAEm2S9aGfSqL4n5u7ete1Bwe+suggvtyDJVXhkZKiAx8QyFN4I2cI/eYye2i31VLx Kw6XKphyFI0rxw9bnomApKhgYOe2UlRHYvwAXbhJ3bgFtFCVrM+sJqpG8x9mrixR9WSzavHPoVOM dDgG6lYXPj/QO5XC2RI3MuTomtqVj6zXlOVDauAThHLuUAVo6TrHBeZ9SrEI3TLk13diD+AYxFQA XO09VIX3c2UopvVP7E9pGtUI4WF2BkSe/PuynuG30Yac08moRouF9eltHeyJHlyRAgTkQHlgu3WX wF3NxaSl+2E2qYbLM4QdwZIm8NV5g0T9LE2iwq0axAtmCV4xiGxjBn+M72aOdy/UNztXRJ0ijLHq UAC4+jtYtYBlfiSPlnA2JXDRmkQDfego6xC7v0FhVoD1cGw2Vv5AUm1TA1w+bgImNdkg6xNl36oA n8Rou0Yo816XBCk9MQ7g2u3RM+7NNPJsUA7SRCAPuip/lyvsfV5kGsdktSV2xkmq4GS5ZpKbis/9 zL9g1AFrIR1t5IF2+/afi14qtZI+wgf+4YuIRU+7Oc5vpeCVXZhsfER4Txb6XRI0b/v2tIZz4bmx vmZjwime0A4x0mqqQmSWzKqiWkphcqlSx2JNeaCUy7H0MBG5NsdNieFNIu6yJbrxmehEIHjgueAo f4cBYVM7WQtGDMw1waW7bF1a88DKfKXq0+iqbBd/5Uq/eyvFGyBAHC5G3/v1LpHlakRR7ug9ry9k sPqicCn5fay3I5LGcemg0Yr+kSIRWHRz8Og4u6rimwyX3ZHvvL270sPXn7gwYnUySv2aWaut+sVw n/NN9VfOv+eaW+ogyIXw1xDV0GKlL9g94me5KyYDFchUl/YtkYGyfjeG8+ZG8POEcf9pXIxLEksJ l4cahPGKz0MIXaEEghjsakkMr1pkm78FgMrn3Mdx7cHkP9O9PWUBeQVOKKuL+UgCaKFzNHl/gW3y 0bAFjgqOANdVXLViP7wSoa8a6HPL2XvBGDhewogdCqOkDEMnPaVIXQOEGRZFYS/fH0CuR8iuUWjb qI9JbtiEE/iblpU0dmKCON08qLd8+5fvS4jUqi8MrC6N8lGUcWGxLYu0qaUernkJPdHsW0gpflDf HpcibkQf6wndQ7hLQ2PTtr9lk13+tV8Xuthi0fOABPBY3s/HH9RbbEkRAwv2h+Cf/6gWjS0MGJYR Q9QOrxJPaff9jugcfQwIWdcp0xCqb/6rHzZGkTI6cP6T7KrbTcD4BDt6sgfqp4x34dUSatG6MCBw bLnmvWc2/IvnziqfUJUxLh6/nTJdmcIITFsJ3Kgiw7A7rL+8OsJ8znq9PzcUgc/hGveS5KmaCY/i Bjsvr4DF7rPiBw3OoHJJT5oGWpSNbpqoO/Tj7FJIw9Gmni341ORDWhh7CYHU2M+mA1JZq0vaxukx GBBjzD7w5YsQ7uPEgQ7tDKjIl864yuocFjhdAkkEOPkNWrzTW637z4tqyeax5SgpWK9XPlHpRb1M GC0n3WcYmwZxeudopMR7FQg7j4EhPDOAVrOzaoJMU1Xf6ZezXI7cnheUN0uWfzsQbQyaeQa44muE acCGjPP/iqcfyZlFoMWjmwDhvIJBIMz0joM96iK48OLPR9og6fqLeURDhE8TeTmv2y3w5NMy4JxW 84trjlnm672bYJLJzdJGRS23Sj4MpsLRsgvH9ckgmIN23WuvMQ2bkv25wJF09PRuwDwyNOMTlqXB EyHmqMyjhf6Q1PIgq6LZAYW8+FDTYB6JKFsWaje6bcE2kvTCcJiu6vD1w+R5Q98TaVYnXVA/zekh 2QoTucEEVqbIXqNuUd2+5pPcQS6d3q1tKZsgF4+Nl06hxUQKXQQEL4i41KjHfZEPsXt2406UCibX 0LosfClvyr33ieiO4yDjxxfbxZavIsaziWfGFFw+CN/sWcik/aLWEBx3zSHvmKqaU3BGG2RKcMPc LBi987MFrCD5nU6B+/mnq8DBX5ahV9JZ74oPJQA5FD9zzAJYKahp6JeoSrxdaIg9lqeDvFGVeRzi MpmLKsDEfkKPGXLMUF1kN08Y8z6Fniv1rO/WSsRbSjSe46GskFdJOSDqkNIrLm/3YTa5TBgCsIMZ YgF22nU+RfGmgc2YmxMDmIJBkh9vRdSfa5aP0Av+OVYrFWBDMDVnOaYl5jcW8Ha55t9fUerVZ46Q 6HPUo2Ok59/Gsag5qa6c3la776ho5JCrvEWnqIoGgGy/752zF6whcN82a7L5brifXWSGB0QTS75E 0Qe75+1z/Diwf/90FpXUEVcwE1Y0RNGQflKJsxwfeM+tEse2bLRblxG6iDh0gyP8pi5cMm3MiL5q 602XIsyRxnFkQKZ7GOwJJOZSG2lyTRg2qkaFw7qxaIqwCgYExkHfW72+Ac2Dl3NMisP99VUFZUQ+ idGgXdNVlzvp4alt9/3sr5UqQTBXrPkON35M1//z1Nn9g7c6Fokj7q7so1ZTIucXJkGtI31PYSXX 0/EAQQSX6SvHN1chIpay5unIFgYrWEr+9LACwYO7VXuT63rKzCFc9j7mZBQ7Vcp6Pxw9ffsUwThG rGD3vc3pAnFh9WRWrjamTVhO/gF2Io6qltWZkxuXQ/ZRyLYjFA33Gcu2hICPbY6rMIfVD0iVAOP6 /YLOCZjIUEEsmCpVZRFjm/VN6SJK0248dkRn4OAprEN/HgEODQWE5POECVMvMzePrj9DtN/3Omjm WKUffjJyiO3GQfV4dsXqWTOhQUjw/eojXWojab6NQ8Rvwblc6IxK/aNHUSdyX6L0PElWLLTzPSMZ 9hnvZa5AgAp0ipwCISmAajQOqjX6cM0+2x/mYph5WaQKpV2U/JKs2IlNqYMyPlTm25NO4+CwLlhN szl6jUSF/6Qr+zrx3o8qotlkVqIKw6Wz0cJtrfR4Gus13BZ5+lrIPaDdvpHe5/gqYbwXRwm5SDCZ 9f3YRcF8rSmhpdpHoLMMhS1DXyFt2xenPJn35FlGFVL/gAsH+DhmqDmZ3eQg5tfHs1fADphIc2Rx UDYT5Z3uwTebbu1epz5+mcvZ+919hy50ikRqzxuYwscertQ4r/hhWd2rKFUkoN4Y7tt3FUfEYHPw XS42ReUnJM14eRHoYlkFX7onJ6akJkcvILctuUdHO0iNDQNxV4OD7T4r1ZkbuB1nUPyIJ5eLAhr6 GMurRVzxz/VuZNJELIPvv6X7KBJDuVhsprkg9A5MDrnYbh91UqfOysJheu2sIMcWKw8o8j81hFRW tSOFyaD3I14UGBv3iGEOA/W6yqR7lZD2b3RnAsXPE8icL1FyMKIXxmSn/mAvl7rLi0L3QCa00x2K fJed4JDkZqmD40uVE77tyyYAqlAvphQoqaxc2sRllgztRHFoDmV6foXVC2RQUYs08OZ1038qBm8w x0RQuURc7lVUpficYccfvlCxrdQR306blkLgQ5H12f1GlTtEiCptnZkrDhQs+77kUjeMZXoCOXrT 9826ifwrVVhGUeu6msm5rczFbDnPHBUymVnqqdYCQ8bAcMQ5CjHb6zc562++vJrUjYh4fYP+kYLw 9QXYSjYioRBy1VC/x68RNaUq+cBV8Lqx+wMXZbxhjYT/+ucEEz9JGO/dHIcF0OdJQGtFnY6W9Blf /IIgPY19Q1TWHTkE2wPLgf+4j8Oh6H98nd9tmDIwDxflJZFQHU6W07PIcAHv1Gm10h58FbGcwgRT RR6cz0EafXidS0QGLw4H4GGuk3X9Yi0kJyIO9nUR0Q13e09mdjzuczhbY2vaauDJZ60Y85/uqsZT dofrxsY5cD9lizOlO8DV0xUOumpFRo2YjBGuzCSvjAN9SBFdNqWwQk5ieEQ7CW9cTQR0I8oZNE3v DqhJMlhF13Md+AriRX0DXR9epncONQpl/l2HLEG33lEx4Cs7JKHYNUUlYj9VT43VZqGKawEBll01 SSwVGOItr4Xgw9X6rvrq+infbWDeN4Q4K5U7jsSxVUhXW+Ru623jkgAwBjwj6T8e1nei5tGn0Wwq K7sYvprMFjnOSoQ9ZQXFM60fA4f3OLBQ6sLuTiQdmWde8iYGMbYEkumLHi5MizNzmD+SzFzcuM7C 4NjazAong4bNH1p/KUF9D/8y+xBd1vQy/t7ppfkd3rJhUvvRV2V2Al/Wce0NCePBqwuT0l/+iMLw RjjoNAEM0OsiodYbbKwcPx8KcgmKpBDt2cyzaS6BXKyNh2UOLSyMBg3paHVAncbF153afth0j48m DzvERDB7xxWs64SV5a/3x1+ZKYE8OcXs/YKSEL6eJef1xCKxXkqWftzhy3GVgbMm20NwJGPEyJJI LxqXC3D368QroGtYYNyPaMZFyW5VyveurVn4u1Yt2p/qh9m95/DPkPhp5U7v22Sjbzy377vXcU79 CBDBKRhD4GKcYBlX0IrG3+CnDz9lz/7ylXE2LpxFhboR7mZ//n+qVWWQmtjGrf+huan36HDAcR25 lbwuPiyxC5zC92lDs6PK9yqirmXZD9QUluMOJS8MbOcB4x6Rk1vuQmRzgAgEF5B8I2KURvlNNEJP geuTxb/uDgTI+qAAz1qWxIJueqALM+DOSZQqxMqpBLGd416MoUHzkbal3pILyOeU9ZEYj9hEfKIo a5nVWTY+AICnzh+/BcaEGi4hVQl+6ZiJ9OWpV6WfDdkVoYmXHgRcHAyhlRwBr2ew4vAhaQAfofqE KqEuEaQvEvXk4rXGfIotkWDPHf/SwTc+3GwdwZ0W5FKyI9A7wjTBgTrq4LL6jL1/UpPPDUu+K8Eu jVUhFwtJDvGAt4OtEr0fcmsQPz4Bap///ml7gvOV+MkLS0oWcN+lhS/+j4yqHyjBUDcybk/zdTDY Tpz8u1KPS1hyXLEX18xzDiwjk+TNqJNcE1M01/WCAlo2P+tyOLs0P+67JW8qN60T37Idd9jGk70O cODr1kQ4LvVIv4WNpftrTlzadoYdHHf5UiJZea1nBZtnsUdi2BjVunmbTfd/rGMyQWeE/VUH24oE yixFoXv7hLx8SSknfpkVDBYrc389ot2AoCNCEqq6thbvDAqYWYDSIaloQ6Yl7ae6TDMMg5KEeXSl 4BTsrkZmD+D1I12YoHiw1rAu3WzUWbGVh1Dc95SY3gaurskPJMlY65+KqXtaVuMABLMiy/burN2T zk7lqge9oIZd8OnNrG+cgvD7dy0GBy/1SpqD445/GL8MmaDUDNBwhlZtVsty7blrkuquOhBxOBzI 0ZcQQ9WbPDAc5HqE9b8DY8duQeuVUtIKDPaHDaijDMCSmyQdRU4FIv/XgZWaguS9DPXSO/tKzQsD kRZy18F5OsZU8hE7B7JjK2IWoanqqrp5sXw3zv8QGRRsdOtOFU/ou+SxEgxgOmrx9c3T9Fr+rivy YF/94WJqTw/gy0OnpFMWFzFSRufd3GxbV6BgFm8sKH+dk6V2g0Tx27AJfUx9vcpe6DAvYWetKdqa vjHPTZRsdOs49OIpgXmdv9mejKyKpfuRLyxmJqfpXkrJFplXCL8ACvE7JFXFHGlWtOEsxbSoGmDH NlPxbosW8wfYtBkk5QBejiLYEN26Sb/9DSyHk21bPzj9TUOsHEXjacIdaLBE7G3sZ+a2RN5SjefZ AgBJ/MEM7WH1Gjw1tNQKzHWzHw/41CJs6nRef01ihaSjCf7+vLeScK2HlRR/qcoKZ5UnxRDICR0i xxdxyKFtqrmHLXyn+LTuSbthfC/MY9n/6TQ76vu3cnEml4s/aoLsJCIceFIAoCsPcS3jRzlL7Y9C 2TOxo4sXRaT8kqC1tcezoX1MkBmL+snW0MIKQ6Sr+oIDNCOLaNAX7o1DGdUYh7OwVYSZfhYgevYv O6XobMrvbU2VtjLtMBlp8xQER/woPULHQAWotue59GzBZ5a4M+f4Zu0ZHDzRiL26xbt2gJXg+KgJ PyYVLLF3z5RcWV4xGytuvd8txs/WGp5GiF+VxLUYom3fQjL71gZ1BbA201n293wI7lkLaOzNAIpi GNk298i6eH5H6IlJqZCs4MZnWOvFMJS2KBEjU+b66DjAtM+KWN6hhLo89mUEj3Rk8pjt11pMD7ZU WtJ81rTbmTpG4pYk6XklIS/7QzF/9G8RGENJaf7OUmpxH8Wj4eYnqaU0s00dSNBEAf3vtO9Q8zkG 1TpAE26LZr6JRyBTEo2G+OYbGhkksoiqo6fScoQEznc0hjp8DIULfLvCr05qIWg7SDH6GzqyGJP9 pwLCuWVumjoEqWAI9OxyopcErhsseA4NrEiUfQeF7FkdE8hgLEqS0YNrWMDDW+TSeaNg+E2095ad d6AfYMskyoHyBHc1WFVubz1sYljlr8xkZDHtF925hBHsryBOTC7niGT/7MzkmRB5pQqaPKAeGBkW KFeAKXPLYbqv24IrO371E4eBwEo0bbsBaY6Mfnh6lIU3jPkKic/SATUBaygeafoFgCvUIclAHYv/ 3l1MqIWtDV70kDgpRG/oTo6CMTLh8Xo2TKWbC3Gprg1cNV7pgHnwQ3Frv6lClrX0Y3M8Z9cgyVDE 2NUENABvH5XdeCKef00AbuDGGhcy/RxbP+Fqzp3AoumlKdzT7T1+sp/sxLoKiRE5bUGQmUxjFd4B CHsjyIu8E/IDY2DqJeyLsmTPULyb5Umnf1Vzc9traMQWRqRBlcpKNCLxv2D1/V4BwEE0K4PKznal OIqGkdE9AL2//t6U8NZIMrSkANTO6uyu1wVHfmDplNEN4M+0dqJ/meHoFWVHwXe/05gNTC2iWj7t dfXf2E6ov9PFnHHIWVTaQ3SXX5e2hLunISrKfeI3r9NAdAcf5V3xVBfsYSnE+WeRKp5qh8bH9HJi R+8uQPUrGMraOyXw+5fwQwICSUHgGNCYELkpgFt2zsp2AUj+7r0RwaXgacHzCbBCiZCBaPpz2X+n 519WLtOJxWHwQjsyPHtvZ+QeXQf/KWk8b13nIUvMw4VEK9g2VF/JRwiEkOsSD7YH0k9kkgaxn7wV cLPcmN80a5RUThh9paEKaiQ/RottQRRWJbi6Y8F6hYi7nJ2XQWXNwScDYx5WhkBDtzyknxqowvn6 XibPGmqKy2kfhcVrr2wZ+PA2mX6A+k+XS38NR31RTqGFCZiCfCKRXRCo53VfHjINxy0YSYrV+R+E Gw0rk6JkSSwLJxl3FfQ5NzMlK7YNKeT6CGJ/yLJ8mKqSBbgFGKTefKBgGAN3ByBfCcK1zrqGh2mZ TCkRxD3p1m495i4Qa+xA847dYlGqhRE4sViX1ITu91FtGclM2wvkRawQQ95DqJKaPr1VxBnqz4MM 5bD1L4yrd0+cweIBqybFwjdv8WjNh8I4wJIhMdibPbtHauVgIeG7L3fBVmGioMrGmfPoCV2NCZso FMC0dZ+UdaXOaH4hTIJerE3U6SPVfyFjzD5r0KmkYg67/xVr/p87iG+UAme4jJsCcxdkwU9VNvVJ ngm8ynjq8olsuKaXnQb6uYVIbIdfJQiJXVT+Lj5wn3G7VxtHndSNRn0yaq7Kho47kT4ROMzsZoza w5cUu+3f+SblCDeSDIs0y/OkGFf8BJ9DcbP+jCSKSJ8TFH+CDpQsY5Gc1qreAFVFy+o68Tr/wk6+ n+SKwXpH62ukDftgEO5A6UrlDm2hy2pDSZ4MFnyqyB4sdJng+G9nO6fcwCUBtcaesSAhZdstt2OV Lov3HDtvESkGH4vCYhWEsFCaaK0808bhIzzO9S7PtWUbBd3g543BBHkmINroXh6Hkw+MTtfhZzNa DVkkVawA9iQy1QNk3uRKw2w0Tnq2PDdaoZ/yWeNPUwWZ8vnYOHI01FMi/EHQ5vuLw/Fj8hfk0G9C QczysvK8/Qbdv+mitChCoWdzdzRaq6JYBSwJAIGURg3P4tJnwDm0YCT/J9GrWjx1Mjpzu+SNyP12 wzVZk516JdjA1PnvU2vezeUU0lvWObx0CImEibF308biiV0xWTU+DIB+H0W0A/2V6HacB5wlASV+ W9s3r31HkdeQ+omt/xdCQOZ6qJ+2F0bkJjLWZMJs2EfhHf1yrI5pllkd9MsNXcVUYb9pcfvsSAmk e+RlJs8TkSn7Xyc8GH8HsfICMcGbMW6jZ6hBFN/UXDeeuEYzodF/mfXHJy1RwSt3J1KU7ETB/+Yy 3r4MB1zRe1uIe2tlca2M1V14/GX7qFDl5hVvfDQRIWr6seAGjz/x/GCXVCoiMy9c8ZQSuIkBFc84 ZS9M3W2OYXgV8dHtKohS2OGr9DhHUZn1vFu2+PnmU5tuhxvzKxgqYyAtTniMDNJmi3BqKke9c7Pf 8QTnmrArn2ovR3CFMKq97YPvPaA9XjPYacRqwxizOY4ox9q6ef8kO6LV6nH1NG9fVGzCukFi4MGT WbTBJx1Z0CPfSTTM3cMvniYUKOfGWpPa7UnXD4cav+UhTsjDrxDfBU+XeN6kEgKcRyBLt5e4gTPT d8BkQ8LJc1PzCPZ7T/uVFAg8mDelI4EecuhdntuQRFmR3q4+qR9hG4Bj7TYFKbC216SCiMROIY/2 98yH90e6bTi+Eq8TiMe2wHyOkSLaSSxosQds6CP1mribhcD8BwisNYsDu2MKuzuYOg75+NTCDeKc ucgmaOZe383z/bfyXh6gmBU4TyVXCss5bNL+1sWG0bPdPWW5FchBqHxMt/nLZxEsD9XakGgVCbhB aE5Nd3b/fFzSSJ5Ei9pB5R5K7LLElBIBXitp2HPWV1qjKbBiWRU9q1FkpYbTgwoUd5YWYOLp6CAN TN7XLzl3SEopjw/AYfhFdZwBD3C2ZTJZdRQZmlzT6boHl8Y9OyBMeFyASW+xi49XkQnRDSm6BlgT v1J253PNNsvPqwAKXU1EkJZNvzdoi1BEVqXw05/+es7yrrAMXtBGNWN7++ReOAwOBLjGdDOKQZ5M sRkVUHb+WlZZsoDXQLrKJP12yJEOYznxqX6/sTh5VK6gc5FSXjY/d2q6zlQ3InIN0Au3oFiXitkQ aE4YXVZqQc7slRBDd8VX2rfjNv/bmmvJ4qesmsAM07wXYs5eVPhowA6vJDFCf74XZ7LF34LInU0t dlJx7SBcDsZSrhzogJ+j1t93wg6rKl/AFT2e68bIYZSTNKbdF7tvxXLX9/d652hLbQEl8f+BPX+4 Py8uVRHYbatjpl8HxQ57iOGpkTHUL6QKqvomQ83vnSx8KDNboifOApbtHXqXCRr7AKBR5gdHFzgP jugBc8/6gD13dJh0VAABP1NmsNXKmmcMhtfB0TgZxHs2DeiUPKR1//Tj/cpn2l454QwI3bx9A7bF p3sQSkNZSuuK1vXDn0yEZAmYoVAGbNltTBcQBR8vlNJ95Q+k4IfDf1NZyxNHryDiJqIOGqKV9Usm l4GSpfEZRZyt4okDXmCBMxVb/bFeYhw05zGyDKf7siUK5mJ6YMGPA30DariyRNQEjikxewgiJ+/7 2tTeaVd7mwemMP4BFMfMyrpWGdDJ5sMdeACdeZDTWCjp7M/tgRgJ1kYTtQtOQ/WoMy6OWzUWRRdG qoUjbbYgkA/5fCE8/0496Ctaam4tPoy53AieGHcY4qe67MzVVj0VZKCqt0vg2ceoazgsc2Ot+CKC kczQf1eYQtoAJbhH3GIFE+cKKqZSgxcG1rmqvf/Nxor9PMecBRo0EkpcRVoI19T6dYj0XpIz3BDk w7bk+EWY+T+MgcrjOOM6D/R9lwtXd0J1BrChC76xvE8eRkB9JCi/aHPqLes0HoELNf+kO9Y4lVMA xYUIq0em1EqzHtsVgqRb6dIWoheImfunDzww0nkzj53IaKMMxPZ5IIKrsx5BZw/x2Psw2UB2xoVU rYcsCW8DOan9NQueLsDeI49P3Nz9wdJsjAapjE20EV1EpRXp9RFiLvExTfG7u2ObLbmyi3zi/fl+ NPdNeQ1q5sIb1lWTb/Fjp5Od/b/XM1og6GG55qZHopLeNdR7qMSmN0ATXj3/Icz37RWCdvm51Ojh YTIwH9RLik3ZiFeS299AGFemBlNeGe0KYuXLJGFQaxFXcDy4CgLpLfnRGMKChDvJOBzZ4OC8Gnpi 8D1YNhBg3vFbB0JsCrvmkHRBRj2geaeZ2Ia5kK6Pmj8kjn+O97kXjoqA2AekKk+74rXu66XP+9KN vmG1mTyMtzqWeLMmmrAuyF58ohfuiHIa7MNDclc9Eha/EUok0uSffDnozBTjpPuL9zNpuTrnCF+S SQHNDKV1+q6EQBVo7t6PeJ5YC0rVK41nLenLIbEg+vNF3UIzvbiV6BrHOw/0/njN0Frc/g4gC7PV 7ZZyQYQAWE/yoiqQ7zq2xOW7sV9Xs7R0C22WpA8zTflFUSsGHkY2C+P8S1vum6O0B7IOZmU9MObC KeFb+Scc5/3NN2jBPtNHtWpFFZP392RyzTxhOWZQKcS54YOon4igfVu3+RgoQO3zIWFLU8TiftDe T3N9JwsdimuJxL7Um1X6MUm8ttd33aIUmLESFRI5VczYBHyJWx2Yyu8/RXR9KzVWExoxey+oTxmv Qn/B+1iR/JZmj0ICWtf6ecZfOlCMFMmRIiLZsMsLRpwDGYdINI51X4NqB0RW6xx/51BTeUePhdye c8DgJeH9uF/LwcY2TxC7jvT41Yo+fhv5Kj2GssnzOaJBhbWAEwljzY5obhK4ivAsmtwZkrUMosqy vYz3Rvi/0tNq+gGnkXfabfFHMCHJC0R1AHOeKAXOzULXs6fqGhYytLof6Fh2jjYFtmXC841Dtbu3 Q4COFt9rIxC+aDF0jrGLJCu+Hbj1FKmPbYbHnXrVZLVjB3XLc7SbQBCws9UhM5o/k4NSfB4/tnZ6 NZJ2L0xTSZwfuz9VRgte55O8YmlzwoidRwepoAdwNAJC5lGgH4ilx/jc+uqpy+HwI8JTuQvl+9r7 quU+YQZewd+KDtoW1HG5jCHsh37T0JbrTPsNwIHELdZ9HSDyo2w9VRAlyf6/JBdvFuKkCUspD8ls rX963NifPupNKb+LcUuOtaEiA0leZ9dqNmqQecFfNt4xY0gcGputeW7mn0LvZBcRgLTd7raB4nNH GZ6tvGn1oaCiHnYqi7qe6Jp9dvwz9FXWx6Rs0Wx+OSrQWDghA2hUGSNgpLdkHfpGRKhNRd34s/t1 f8CjBxI8DufuCeVcc4XcZt3tA65UuHCK40RFWCbsiH0PdgzxLeWHVpJMnImWTj04U4my+0Npo+vk b+1Tx9n2sD6Czuz44A/M/XDP3H0bqgZD2F6dqsVkuKNtRxwfVP0BYvlLURyZSKzFV0mKBscc8te3 WnEYkPnc57M6UzOm6rGyS8srb6J+ei05wLZvJUQ03teLXZM+HY29CdlkMxlWt8V8jVM5aPDhi31Y l6Mc//21KrNyC9ed4xblQ+LQGNgAYQ9q+6TytPPbqA160bXOK9FMinHayjVcVlKh/w+6DVrEmBJb lz0HtapjB6g4JgFWwKnXld74Kew8IjJPZmClIvgLOOlHS6+PquOlXAYBgheV9/Rh6OdYCtT0Ltpg VDm0vTQOid4qJV6M+4r7cg14ZiDYHxI/YjqKaVvqreWlp2YgH0MvbSAhYnuQmbWtqLPRknd77dog vFDrqNRuniRKmmQeyMksX1srXj1CGoExACyvqajV1tzP2cc1r7uW26/i48eDL55qhZqZA4jRPFmj JYkcNiuKjbOUaryAcccOuJ4ouj+0VPacO1lFQu2/MJ9G/pM7eaDYz62Cky7qe7WPZhw2KdELgkEE WATl+TVl5XF+mf/SyYun0QAAXv6qRdfze40HzYtchTsamQ5PFz8r3MKItmQEm9mfAteBLBGnzWTh eAjsRVp4UheYMXdJNjVbRv5MicyzvMzS9w6ulUPif5cQo5dhx81SB0TCWKOCh/c22kQ7licruAz+ B01jD6IlCJA6LWbyX2qwNQtt2bIdx7j5+Cj1qdbDGAECI+bLYHn8AmNxnGvALbkItY9SW80bszkl va3B6oy6jsZTdKYD3aMadILtXd4MPt3m8JHrsA5TX6O5sHjB5sB7fYFQEaurRiHhvAwJKJEWBtL3 ZxO13nHVMPTuDEnqOkiB0LX7Vts1j2F+QCGqhYtMCMmThJI9Hc8/nK2JY0ACi/hZiWkeIp72zUCH Eey0udxAclositAvfxaal4wXFFmNudD1Xm+dy0g+iscVoDIF8R3N7+HrogkmSmo/RMY+bl9MbREq CJJSb2vsIwlHIZXNlSHclJPbDu4kEnoNvQI/eKcUPInNksHr26dQxSNNscFd32pcrF+90lG0BEUJ nHQ0ppnevjffz7g+9DPBLkV0fpJZVV6r3o1zw7qDEXVA+ReVdwNJ3WLHAGL1gxc4FuNSpxb0faS6 dhiQU2VkFZrhWkgytXmtIbLb1U11yXZvAYTOM+HHtr67u2TWLq1ekUjCiNTZVhekON2miDhp5VqJ BOIpq3rrpQMHRdNs9FHucKg+EBK+Nv+YNVG38WZC5mgWz+ff8FwnmqvZoCKLbUwfAtUFgw7pYDrs 1wVD6gJnydXnpWTm9tF09s1f4CV1gNbmGFh08Xu9oNIq4HQJpmDj/DVBTrke4J318Ukp/ZJ54Y3+ BjuG6CHbYCpOVGKHMkYY3eY4uPhvhxFatWNmnNvSHp9heoq9Hs/y0tYuPJqC6669HOUluM8gMtVX 1+wfuNvl+CtzJSWYk0jkWDmBVcXdEGHJ85gsV3ZqX/iatoD0pghL9ja8cItSD2gtqnr5eRsYxJXf n09HLFdhRE9reD8QL2/cUy2nTmSr2dvCV6A5k2h5xfwMZmRwRhCzodKxmZ5D84yBznkLcVRw/XfQ Bod5pcbdVdHKUHK6MJXT7CF+03FmSO5Z+Z3fYR9p1s7odZklMC460B2sk6cmzZJ7j1KVYJqg8/oK YYN8913eq5XnbGMcripQ9g3M0D5wLqLwXuUpMaptbw2YCLN8E6qWS6U9mqyKqF4GRZYFMqyJNoJX OA+iRid3jLNT8hEnbPRJkG+DTIsu7djTsG0O7NBygo3cicAcPoZ4vuCUwxC9aab2qnNxK7LERk9P ngaPwVEn8fMEfCzJcspS6hTpbGdIL5ofo1QAalZdDRF5qPG3avLYjLeKjBHVcSISORloIU1qI63h Jr4n0XgZM4wDVK3mi+0p4EOo+CgPgq49zWCRkLf5Z897shztXdbbDPc68nNWcQzlnnrkc5Od5u9X G2PGoK/KC96ntX6/J5M3CRcHQLRYs4R3ClKP6ooi8pDiZcHeZKuJXm1ZWIG2UHDvWDGyzUifOFFu Iak96WyV6F8pC0Oh5wTb3fujIvLXxtZvhTrmG0dYNz1A/kOgx5XaiRIqrvsWisEO66MEDhWI9AmE OEC8ux8am09dBaO8lBfFWBxqbkE+84xH60lOee2df1PBoTe6Yykb6ZI7PtUD5tVdEPNuLELtzGtI 5Wx+O3xYONYzgetktOuq/rfWdrobILBvjLsP1OFR/QgWZV8Lac2LOmSnCL1KMLtrLR43ZFxveeY5 EsyqzaNP1ODIOfGJdKdmlP1hFMXKSdiKCukBvf1RY9kKtmOiWsODfnYrZcUM4i3AozL3U+GwPxkp VQX2riQHWi8lFuvi4WGF1iQcZevJPDuDPh7eZHMHPLO4za73V13DdKED8Ilzk7/VTxIevTfvjHOw uVq1KHPDHXDlDQzjPF5+OdDOiMRM4Syalz1dHXtMuTlAvsEh+RPo/05beW0dQ9nHeijzF2phkmct IBVOkAX4GF/jm+G2Kuz04UnANq/6xtFblOPk5WQoo29qia0ptF7xaolqdBc5VgoMzqdtXT9RDxKP icICX0GW9cetyoPbLbKWMXhCBSzdxv+paVysT6S7s+IqCsrtEPZ5f4qhwBOax0JDGn0NcCpp0VXT rxBG4IA+btZclqpFO0Uc+6IPokDXa6XEf+XYCHdJ5F9NGQ+gwqsB+tc5qj/aNu7y3LMDxURw2zvB kP+mWv33WfJH7rm4htFHBmAbHACKul0KmT4YDdmNUKgbZ3hejqDwrYyihGMvwWS+fwkjCvFFLBy3 NFU/joG9tXfpapS/CMLN8ErI+9mAFeeONOnJeu7WLVVHAa1qTzhVBL4JGhDdvYPcQNKzLoStGjWd IlwUruK8PDC82wBTQUYAjuh867B8Bg4WWc0e4NFHf0mvGsgx7WCCTXqn7YFoeEJZDnmu3pniBQ4+ zZixNExNZPUjorM12AkRwKyMY1+qgSN0rbRmeX9EFHFyxzRkFC/byJuMwGZt6wYa4BPDkf8D9QWf npEnkvB7btfnLuTdfB/eIFDRHiqUbfxX9KtNh3YalAOmwlG/1YGH3dqwm0AOzmePf8/JZL8IpC2T 6rRUJapQBbWsSNxPgec9PR6F8OPJ91ZgbxjS9rhr+FtogeZ4Uk5/YcOHKFBrgZwSHyF9wilcaFLL mtz28E1JCGGI7XTmb+Cw1nfBaoFFtLwzmAM8VVkfpHEplNxX1cfqVTvPRlncYOw1xjdT0Yg8VsfK TJMQOd3MZega2AULTSJg/csZw32T9COkUM+azB3n8E307XP7BJzzQY7XXR3dHJnV/LJpRRe+KzOo 070nJhwpkgwAeF/OUPqAdr5IF28lSFksuW24f/MfrSTUxY0JZ5xqH3sWWR2u69R7ms7lS331PkFf 09QjFOvKiHHgcb6ILkNwyaAEoDNvGmHH57J1HPCL5P8HG2x9cClA/pS93KKaiIst7YtXs8CsoWbT 5Ge3OhlquTsctpWKLOp7S3GwOxiPsko0OPo8t+imfH95GemJ6eTSpCeUi9KmdOdXZ0i7Le2Zs5cF fHTSVafO3W4JiHMMcpSda4v/SsMMkFzf70Wmy7o+GFis50t+w6BB9K1unOZ6HZFTAb4nR0FKJAdU aZTSw48LtWdOdm+73qdzuHqckBGZJ5rxvua4v1pTapwgXwsY+MTOJLfJu3RULXWfeX84pdbjqZqJ 6rQbpSmehj/jTfmfqbiVcNw7/hB+XpYds7qTyFE7Daa6z1/VR9gPrIrBOd1i5TwSWXPIdC4EfX3v tXJYlOOZ1H2M5W5qelWU30B8ie3s/WQEsMg8hjJvt//f5/bljw3NYqefcGx41SzVtvhYNAFBXICU N+FXULeeCJpYefjA661/ZAqPHL3zuepD3KqWKtx0MtyJackc1zSCnqD5ZKR99rTubhJ+Vx/glsTC b1/uUmCsBSMY1H8UR7opJ8E54rtqRr7cDUouiIryxsQy/2BJp61TYOJMZ9+A9m3DhTmYFWx98lMV yMQ7oLqxYEVeIFKnIxa4ATYy6os5Uqm0xUYzfhe7oykzQfKG0/sv6aWAg4okBigoMb84YX430VKK 3lH2D92lsn4UA4G4O8cqO2owQK6K/CdHHFQdFFKDdeo12oj14qDsEBN12poOwZiKcqzW44Cl+Uc5 W5ss03rmPACJWDpl1bIdDSbmR918O566N/XZasrXUGxX2xl+Km15jl38pm31m67DMTawEqfcwYHS sFXdJJmlp1kdRlLUq+f43r/dLoMxWffcQHlyGVTyfDZF+pNNLX7Gnv1sKXUIBwUYJTF9fXmbDHO3 Y+kSZFOeX/5O2pf/AYwox1k/eOch7Q62bUFeqEvkDTI4yO9CNCm6kwxE27Of5Rn9JJrGa7KeTya4 prI3art4UoctovUouZNiZ5s3EJdXHG8YfdDKCFN+R7QNudTPehPW8CSCujKZo1GNA41J89hzO5TE ZmYzVX3OyrhQATIbjxPy6jOJpcSj3h2GuJdf/Sr/RoAwOVRp4p1tU6/Hw0Qpq1Vw3kYhXrRhKSOm aUWawKDqBlonPlxiGgcDJ6TxLCOn40OIdXr0g1H8fCSXiLn0SkZHCIBmcSftTTAqkLIDzYQYBPnF 554mblCiY80KcYY93iT7GajfJ15LBKBL0UmTSE7HkW9NarXiUKGvkfcLHCSgwosKvROyBUfmCdyT //Imrm4MamdGlacLGLtnL/6AAXz002BVm5xwh/gpDkQCC/ucBhvRQXm/qznsPZyJuZKjKhGpJ8Ee Q0f8P38WOKt1cnhbZ4Ysxk5a+O0SL/0FwmdwnO+i1s6nkIMog+qH3vT19kG1sgH98FUqML1Vrl1m ZD4p1IPSCIFUzu7XOAtIVvTK8kn8Oo9u4L8oQIvcIvRwgb7noy0I304My6XsXFpL5lHadkcVWcgN C+PTmB/xEUTIvMecYWmjPjvc2iKMx31shGotCnxn1HfhX7ysJFpsVNJteFm34XmnHVp+FZHarzu8 jMGhBKN2ghbmgD0iES396afcnCCNffmA1+ziwnL4GK33yUJMVNDoXpeYGTQAXZFUfeS/j5dFax83 4QqjxqMPTNfXxNioeBO73rGLAYQEqe0ujN9ETVo46gBYKrFqULtQtpw7nStBPj4spuvyktJ38idH RsAHBvTiOijd4YcaSrYrcs/rXqC2NeNt6LEAGY4/JvoUDzSEW5vrVmd8op2XXJD2qJtaSaCeuyGA VmXn5JsoUasOwLwQCsJJbTZ9HA6nNEmD6OP5TIlo5YH1LoTkYwivB9WA0KewAK6Nq8xKNnXT7KU8 c/KkqhXkDHIDTM2OyfJvwP30yLSsbsNlYzYvGC5xufvu7PpLykiJwmX2dqRD6rx5SV16JGXTv/MW pGPo7ks15cGFwxg9GjQFAq4DgsgFtp5a0Mn95KIs3c5FhKKQZ2KGu9jFiYesa/e45N3jFh45ozYX 0rMy/8hi5cQcKQIukWm8T89d6wNwmDwbkXcMVrhuWlAcq6NaDjo9SJSX7Q4QY91VNuW+0QFTR8t0 54KiKYM6tmO92el+Qp9GbqU/OY9DqhoJ9qfInFZRfKrcJMiisr+W2WnNxrCDwW8KBJm0nmMf+ZyS +WvcpceTtl7H9HP4NbkEmv0mpEa9zdw3SGxjcOXpmEorcj5ftoP8P5j9zJd9gTcORyJnEPmDKPFa nobSzO/sX6gX2FYxKeFJR1nb1smrzLKMQ+b4umpiyHMByQApQIUGCXuPDhfpWptGmvZFyk6V3pEN a8w8T6LfM5ALAprmsOFviiX/K0uS/mUZmeIQBAdapksA7R//mxWmW24LjT4x6TDnHmV/U+ruGBhu 6g2B6trwUSVRsWh4LTkn96NR7kYYs3MorLi0BhJ3KV92TZ2IkTmNi/ZFHHE7GjWxtz24WoYI+Rzb ZgCUhPIM+Pdgiex17FtabYScRlEOs2J5GjiTNjYwc3AQygCPgWohjm7B7oPU7riZiAXXZ9/SMzvy vFRg+1UuNQTgob9FT1rEuY0dmOeu95zgEX1FyI0T01HHVg7HHJSYPe10z5xGq0Iq798tB8KRwoKE 2AC8PBuquV05ycnZMk72e22qkcTwoZImzaWAYFwq70iRX4FOE8ZRcttPc3Hp2zqJRUpHtSzk5Jb8 cycL8lnKfI5Z0ZMS4JdOpjupAsdMLcZl8BYDapzK4O43NlnD/BNCyS8nOONaxoOk5ms4lO7Gia9b IIgLP2mKspM/uwS3rhpbFTueEaDbo2vlLhvdmsQm1vqqBIS3zr+lK1sRWKXVfac0xcpuDPFHZ5ni 8W/649Jj717oMhDAUqyBHNbMqGLEoOhpFWAF44UXqvHQECqngQrRFZmYsbzrJo9hRziY0Z8Ktwo9 yJUjkx3SVQuIbvfTjONqWcKzNh7xnM2cNscoj3nv5JHFKRiiB59uF016U8/cph4MdGo5834A0nAr zzAcjJX0yHAZmhbO83DlZCL7GVkyD9cKstDJYVDTOHNdG98I29Jj/BC3UokqJJX0RmZdgrcxOn4i k3XSBvonbqnjmzYiOxcMPS2oi3bx8qNcDfdvEMgnOod24N/UswsfLN80ycCwhnBWUBMVAzmN54PO +Er7IXIkVUX9sOqQ/2/4F+Xnq/AfyKlFO3Zht8fYAjKo4L5pV9tQVllGra27sl8LoCJtEN25qNRC Vj81ippo+dU6+2K5kChGkrOACbUuUh0Z2m7MJW84h5CRB4fyUtfuyZlmKssXv/FI/2CXJYMs7Wtu lGSVZP4Cd5HNn3Zsb7AYTTynAo/URWV2AbUMH0IFg0z2skeizwonSU+hEgmvfp5UeZvfmB0y2w0k LbskXUvOkTNdxjWKp9e6y+AzHKyr1MVj1nMBbZHT4kFqNgmpJe76qdEHuV8PjBPSkngdk/ueAN6h V5soqJ1ZKbpEXxfR05I/B63rWonq9RjedHbxUzBBNWIgGphvnhnXBJcdlaXvrUWkYjr0wy0Gl7Ki yiOptgRlLS/AIDWaol+S8NRrns0pSUeu7vFM3aG2HVRYbldvQERXLHpwxLNDlmdq42p2LqjmRtCt Uzu5ej3updIj2CLeBorSDWreP8TDfU2CVk2XHKZP6x9YrRVla0UN8putgxB/WPFNNsHBbDP6Bc/s 4Rrg4wBh2ifwyXHkvIXt1xVifLBYMU03pgK7O/ibZaRL+BFZ2UXD6r9huiLq9r4wW84n3oHzgTZf 2Yw79HT2mIYXxRYR3mr0f8pX7vhTNafW8I+V884S9s0RfeDUBb09wWw/lL1XA/+0iSovZRrtGVIC cPHkWYEdOEIbzM3Rrzh686dSJEGlip2GTS22w2qsVH2Xl3PXV2v1062b5hgdlOsVzOlx1CdyXSjA G0ti48mkBhjH8nl6pkgdrCoCOQOUzK3hqa8xMfgLqg8D8XHBSzv4E+SkAaDy+sF0Z29q+X3DCT2I 6bSQvc/ofx7OFDZIE64Dl5iGkNfL2BfDAWBNPvJUg8rb7VC+Msg0xsVfPmNNN5kQkQW3mW19fSlR 9wfsb/9tO7fT1B6LQooEH/jaPffmBhcHoc05jM8kb2N3HvprlogdXY3A/B41w5Pif74v/gy1KsNj HcdV1pzOI8w/+D7neO1bzVrbzGs3hLI4fKo0WuE2LkiB94QS029HIcnAHQI8CTZ8d4T/ueWCuLRv uFEkP017/rQnyFVLFL3ewRQlgraKCDpT1vb7+ZuAs2PFjGlyTCElbP7aK0raWIln1hZbxttZXtij SuoQveBy69qGLD1gQnkIhddmDS6siwAcOZg/rXQFqpBWbhCM5OV9ldCt/Ed7rWr+4CvvuJdPmMj7 w8+YY59ycovpCBtMQ431YE8Mvm/8c+Q9lqISFhkBZVTlbNs3adFTA2KiA695zWueYqv3yVnvfY/q rmcF41Mf3H0PXwlFsvBQ5z0SPHUZM9Q4so35EvPmam7CeiJdnJ4fo9fBGV3D3ryKyRoaiHZ532Nh jXayagTjc2HWS56xIMCBd6DjV3+pEKF5gxI2D5cbK/JLpOH24uhV0vn8YEVVk+7uUukmlH/hwuTY 2qargM58Zt54788zNtIEReRsF/u7nqdHIAG6VbUMlWeAWtgFI/ARI054MDPf1jsz5lbX9zB0rytK dkVKN60a7xQ9+KFTKeEOTHrdKZd1q6JAxFelI/h5tqbiHFTzyMV6hOVD+aqzU+6CnJDh/w2OuW7z ixc+SheiLTTC5qAsrf01ERkucBfUwa8M7v09O4BSHhO3LhvMmtCS07qY0mzMzoW+hCMKws4SoNDh ZZzUxdamq8B7U5T71WOH+aQFdqjmi+kNvt3jj3LqQuftdBDqXg6yZLHFxKe30wgh0U+wPFRt053m kkB6iBADZd60eHYC+FpY7puMDuYj3plcjDfcAbVZ9QDSgDiwh2lJc7h6J9wqpgEgeKmj56AFZOpt 499mHZurQbyTYguI6VxltbuASMtNFKCfoNFt2urUAlXPykYDs0DH2HDU5o6A6BOGH7JAI/4yFW5u eKbytmB5qFed+xCeULGAfKVKRm6I9XZMKi8M4Xoa7I/lQFcW69p6gszCcabjSS0jNLpjlMmEdJfo SAyp90R26uNTz7qmI6lKu0TQYkFbVEe8pMMQH9oPIEOHqiI7C37vWVhgiGNCN+p1W6pxZF5xMR1W IEgfRyATaR+FEtPQDBlGWD4EqhAl+cEzro+kyGxLsOSFR1+nBTpFIYkEH5x3GlaGr38wacYPq2eU DLOX+Wluk+iALSyYFYt27zyOSsFfxj4LkV77RcBRE9VVyR4Ag2u43GEz/SlInwKL8p4l75Zu/zvL anNm7/51SBveGV3YUeMAUgVf6K+A7KeHaN6MtqES6YjOWAn2bViLisfkql3Ek05xZUzHDHe4Zr46 m4S+2eIHGyy7KD8IzAI1NoYvptGsQBKq1+dpur5nVcs3VlU89muP24R1IGJAn/aXrLN4dV4v8I6r S5OshsTvTwqIqaraMphSmJhoCxiJl1X0HTSQXmN2ZaYLf1BPz/i6GWpMc2gu0hTLBp8MkYcm5KrX wtTTG/Ca70RdO2AupBcTlphyMTaqG1PWaNGDYEci3Uv3xMw/3/FPXFgQfJQ1rIaPiTZjg00bQFjo LBdrVSRVtTOz7BB3I0qgfXDMeTzdkiQBBSBlDuipNQNaEPyCZjdmcrikXWLqbaeTxD3PfWA6JUnA MoEEUDTCOpWx877WFShwvsBTm1/cKiJ3UNGrJLVJrEEuvor2WnDQgwJo0cV4gBIqPy3OfYOG/K/y kOTTpehMKFEidbzZaKPTqUqA19EBqekbm+YgJguRsaNHOCeBWPPpHgFjp1WVnWbGNADit4U+j2LF yuQemJgqSon3T76BDjcGzjChGJ08KC7xbX55MkhPxP+bQEx5F+Jvj4x2F8rcMJQddYk64phSn8wn Du0R2JH9H5lCPSWeBRnBNJ6HMYubmCWTz/TCLXGwjPrte+/LPudKo6Px3pPQA/0MsggCK0vWZ8Dm WACpK+3LfvFMkQw97CvgEnIolzRR5u5hAyzpO2OwgsvC9MONboz06BMLe5yiN/+2DS8UdpjneeQP dQnwdmf48xR3/hHDmGP3vAbLU6FamzSVoxKCN4O1cvpAY2FlvEX5/66uQd/kwq/gL0AV9fC8r2Ou 54jE5ZRNumE+LcEf5mARGA2ozMaX3rIEsGePqjN62cNT0WLI+4qdlZMQoPZr3xlXPu8bnHqrJ34K mZ3Op7FjjEaZRBYcOKbwVsM5Tj92DxyL99Ak6YWXiKZPDueXguC4jFBZabq/Enwp81jZV17ebK1E Vv4oEHJGZHJf99nnnSkwxK0IYkXgl+JtOlETMGpQaHgPzI5F0v++tKdLerfsOnlqYYNXkUpaHpdC XnyJ5mX9covdTiYYuvWD0h3UyUeFFhCa0Km2RXJdOeHHygj9YWhfovnZ52CP/n7d1uSeAbn61m51 NJbpmNhsCeRSp55J2t1jFc8tWn7U2Tgx/JTlO1CqY1V0kOaAK+ju8D0cOprGTKx6GhRy65tjk61Q v6eXANyFmkKjvyPp/KFlk/3w2HBwRGSqkTy4exw6vMbC3IkpnAYXjHb08k4VOY/oJMyEAKoPm8fN mxsSHJapO0bmLArSeT/eF38omwoufSADmh57ryXWIU1Tk2Udb0SBZqpvKTWWVhrVGu9Upp+Xc3Xy KSVekSfla/acbpdmAnmfH7s91Zr2wwHZilcQ0kr32LEZx+4VB3gBGWbhshDqJYDEXpID3yQ6lRmP rQ9KUrNAmLWJGal/2LTzCXy0602ANZx0Qp7482Ww/hMa+Op7/Fu9Xeqw5ZkxHgKox+zwxigkBbss Du+M+Kag61fqal4+/ijGs3enpX2IPWy7Xskmj76VeKow8DX7cF4USs6ddlRblsvsi2p11ORhMPcf LKSywTSMzbw2GdYlpj6ZJFSCbNAPX5+UUmouFHcXBG7rqNhxeszm8PSg3QJE5rUxuJbHxo7nXNQk BzliF86hE+0X6s9A4Nr6MZvsRPPCZF+yh4PS717bgKvBcNPCnrQYABuB7EYxriDJxmbVvc+eX4Su 9CyIunYbRDUnjNn5qgzD9hYHF9+xpjyTyLTuTYzO4n4V1A0fdQ2Ku6UqVoE0AkA53laP8dF0jbQq zn1env6uiLKtyhWBf2yiQfB32ka9ecW9KlTXHgAUCzBt1BpSQPQ+1vIoKinpxY0FtFl4x9mjgkMF w7zyUvLMNE5cWV7jU5alkAKTJ8gtsobhKPMq7W06ixD4yPrW/wAbCO70C0rf4Bgk6QMyc7Apm2aV qaJqcoYq6e29G7nJ8Vq5ust8EynVxNq0nNWYxlhf2PR7JemGWLOyy5k2nCDvbJtdLmda97JcOmBR RieJ6QfgljaV3M2ZCp5TeKsprf4tEio36oNLlcBxGhJrnhdksJ9nMMEzfSKDYDdgex8LoIFyYGWv TKA3+sb7ysWAeqMZ4++f8Ljc5/Zms3/HUPI6Ts3fWPwK2+HpBRdDoBkci3Y0PhJY3jT9twCNVke5 j53gRVSjINT8HO/6MLMYkwdK9ugvp3wicIB4LB4+veRcXv22hPLuohMLmgB0g6I7wwRwrl9dJKe2 jocFVeCgpPllHlto3Jy1bU05h6zTfSmXwrCC5ainluFDI72ijYkgp+PE3Uo+BBeCHXQtbdPTgND9 DZvhfM3Rhf+H5nuHHOcmnXPLhhJ5iBYGQMYKun4YHSJBQyJ6h97RkjySuepZfwZijF702xiLZ01I XynPyS0utD1jIH1zC+vsakDMrWEnONHPNs8+c/bPU0wIz71+NfgJWGaYgzlJIyNPFRkdmOX/Omqi GjbGb/FTYxpMdiNi/CkCPS7fDmIYo4Jv0T0yoncT3l9e8qQ1NNywcBfDkqxcm+2cDpvAn2ZgBUdH 6pavlYI+YyHjsCPoLqj6CkH1NV2fqMrEkNA3D+K5NaWfjW0yVBSbQZUGYriS/ALXF3sD7EkMADPA /hBmdlq7uVJ0OiIVVOg67/7ZuyTJxyrReJ/da2iRpUXFvkSdn47QrT90EAMYL3l9V1/+wFNT16ey U1lmXweeVpYYW7KmDFjRz37N04cUKu+n+Vu9LprDalibfZFpdJ+PITajR1j8HD3ScVz6LZ+opUXZ 0VpN6OEbTHWDVQggdKndV5ltfVPqSbyJ6zdsJyfEFH3xBJkaHF1E016Gl3tPDC/8gnu0oqWJ/oeP MBoQsgxhUTMUmZxpEZpSi17wmDyQEolnUbRAY/Ym9MVR+DY/Mq/b18mAwxiIPikU8/4fBI5K2odZ KZYh26MiBQ/tR95ykr9hrloX947fKMFAwhXw+iILm+xGzzSYo+m9yDEjnzXxT4dpueVdhKqnkc4v OJFbs0VpJ1oh4cJTvRl/s5aw83e/PPD+yZqKQiQjhlBKKHM/k4giw/bu3nY0x7/vtTWKgYXLt3xk 94g0zDFWQg8x5on9fjFRWNsyJ2XdoS2thrQVBymFTw3L4mXe0B1l9d6ixOd6PbBytKKXAyTbWRFA wiLKT1wa/j5GwcyBzudm3GNFvZY/KfKBGtqxF3PJbxCivQmeyRjk8FHu9RdXEZJlsytkDJ9L+tP8 +0h89oM2BdXYR6Sdr+CsGh900qjrut3D/4PqP3+tAn61My82YOwa9zhspMYz4WtVKvb2VbSYJy+k klnOI1x+S57vP9/lkKS5zavW4fdhEzxEZVA4qwSWaEfLCkcVfoQslIj5WTcX4IfEmJSM/i05mFKT ZVX4DqCdAV/XY4NRGiatgfmpiQLYegWyNoHzieKCzRMPCEVqg3YiKx78u+pB4u8zldWg17b4V/QB DnT+QAfOoPYVEkO6HtNKeR3ZiYuq6OV5Zi5OitQSgmm4K/jTY9OE5vkBkhUjTxQXAR2l8SCsn1Iy KmG+Zo7APnSlMOvUr7eNhpIZLjhdbrVlDpDi3QURgRnGQ0SN7ZBz7FelTcfbL3p4ruassnA74Zi9 WmWx4iQczHr+AvOxro55gNJ5De3rbBLwe1Vmo80IZOQVQ6NHkEti55P8pKdt6+KsRbSoeVRAbFDu NpZg8QGxpgO5OynIxxhrKF2jNvJmdpQlB9iyQXzwaevqgeITU1kXnvwlBIrdbsTk74Vw3VErkZ9P 2D8fa93tn+U8xBxbhfujelspOFLoTRFbdrIRzIEQzW+D1pyQ//f2Bxo9u5hRFDFL1hx5vZ3wepX0 RBYnt7X4epcXYBIZVKZx+FS3/Sx3/+1+kukAZ5TwHlEiuD/vTpnrIP8ZUAB493RbJ68aXfz7im81 URDPfYJlnRzaKuDZz4juW0Yz/LAIUAE0CuTkZCXs1vMdS/Ze2vHPAI8ukS8ckyKoqmWUKzZgjRSq q8iXxuYIO1MWN5pUb+HAqbZn3QXkYKdLIRUhPi5C1+Fdb7wHQ1KwXCabUBG7TPr4RdQ4AUL5HQcI qJYjjF2hPygPB6anB0X6Oa0vWf0KOQkrPBSFnBM37/dLLlnm3Y21QNLPGhCZK67kHudopT0f4HCW 0t2CWsDQ01YDx51eDq3ELED0x2MZDK3Fxy5PC1UqGyR4O5KW+Lfrs8Q1LdrNNgLojktzbCKGppKC /PjkFzjSjTRr+SIGZXuE5GY0UT2zXWovVE3RL4H9CnyO4DkWIt6R9mXGIQ7gsE52Tskbf3bLYyrk fxppLgB+NMoTPX43oO/0IQukY/VLFB1EAGlZUFgt0VseQzsFK/sKFxijaopc2YoZOfyvPSvIhLnd cKVx1aYnAgIe92GZ4Vwd1c26yoTe9S3f41Y4IxHcn6i9ik9m8yelGgy2X4DcWnThPCGUGtnyGYml iJ/BhrJXwYYlOWXslYH8K8KrRatYUtvMSIG8bQW/TdVABxlx+Jm2tPL3MDpclVjAn0YqqTw/QzJI V59E6ijfcIiv7IWI4mVZjOWM3GpHsQRsWauXH2dK+i2tesxiOtPT8qNcDpq1dr8m00ZbFrfr73/p 2y9tKyRj45z5EXLtdrwLV87tF1HKkIbXk0/sbo/j78I4zSzpef/99IU+3roHnVgDsFfD5k1q6OEm nOeWbbh0DfENIJbLy6xpanYVNCsHa1pOdyLjSCKJ13QZVK9yeXFfXZKLv6M9Z/lrEvgYhz5clfsH mrCjjdYxVST5TUHwDm5fLMavhNX3EcRfgrwjwxFXq8uisx0qDqYHBN2zZvaEcJRkDi3sAeN2V830 d7vqOcr1QP1XZd0MXz0SUlcEtr67z+XFP0YGfh+tIagyLuMmqhTyje1SC8W6D3hkyS4JuzKBTPwb LvpoNn/mh5vGyuTbgA8l0XQWaRFINbiHqAA7Ab9e099krvugfysNnK2A4VRX9hFpN8c4HIobUJCo 6p11FO2ocVrL3eluX/eHYsBNhV8GbbWIXM8q439+TMverUsKBA+sI+PMHccmuMSacUoeu2xmpP9h cGK1nnVVb2EiIn/cBoPtTKaj0afjs60cQKL5DD/zVeyO8lTIaQSIUfHcCTF4I7be1JpFmFn2iCVq HG3Zk5EtNv4uQ38nyGkD4trqNO23S/TjkAg+UQsyaSbkAqu26fhH68pF11H7Je4BqWcxl3QEN6DP 5ytHa35slNPBhJOqUzxq2N38RfqRxR3OrkDIQ5FDT79omtTgb5nW+Dj13eCvkIwTCkMwiUa2tSUE /+90XM4o/ehCLbSkbwcSLNkfwIdGFWoHcxe3Vr6LsWjqovwx+jvJ6FvPGJ+mORlqMFumWRGNxqMM dgf61Gxf/4RHu5jsXMKtAZYkgwu5g1A8VFVUIywW/XkFYTQ+nsNU/x6cyD0diGMuH0lEuDVswKUE NhKVsdBALVLA9Al4Q2J7knoLafecU2PikzNCCsKF98IK9nlPetK8E916aWDikjOIpJvP8hyToLRI womFmnuloyQl0iF3tX0xV7UNQoHqWt1LqvIpJYj/hnTe7HBRDKxpPKn/yQavaI/E8uVaLfGm+LOW CugVZbo42ddHOYGFHORii3Cxqj64vpWMpVpqcbaJ5WjCMfWsbmfGX5pgp3XTwZFCwlK8g7waEtm8 HO5erUKhEaKPuGsUUjOKS6MTL4AkFkzc6G4+MTPMQOI+mt9uzUiIA/fnt1YKx938MdYkaYngHiv0 cAGv0VWOdN6ue7oBCv/lURtxE1CLhEebMA1M+/i3LvxiIdRYcfXdXhjWJtyzQqMLxGcRTKsOoQga lprC0/hrdRo5DJFxnW/gLuPyCzDpYQ1M5UyFKVPW9xkogPLH2o6Y9/jVffzb2RpdvDFzQSSAOdDR syX48zJYDOEhzAEnpKvucIGgKWKxrWw55QlkfguGrs+8llZru4+OnOmkT8frwY46qaonYRTbIKwc 3GT+QKSn3BwcrBKIV/RIhLvRj0vs+6zf7cEIZNkAUo0FfGvFzlRj8GMs3s92cIE8g4Ui0HKwd3Zh vhMbiyXDyQ7B3K/Ax0bLgszzJxELgfRDS0+OsHoyG5e7RlGeiTfc3ZdlJw1rx1NNN7Wdl6mf2dIU pBlfAmHhrotgHNlRvcsMN0Wmzgs8Md0pzev/uNBKGT4tZD+ai2Vg8yRlTapxVFYSgtZWpxWkwBFK r97yCHGHm8myk6/pbbnzXpN/ZsgEPZyY+BVsM3RUw1U8E3lYUQIIVy3IThvVnptG57/27T1HdIz2 m1ZroAMSEFNY/485bYxvc9I2FOrTxqPNSZkahm8r7D+TwR0qm7FYdSCFAKvnd8G8As4z+cUdo0oP sTOvUSn4r4p5GeuYAyZQ8YCfauIqJYzKjsmHGhTqEbfEFbsUwy/oFmCFSsW3OzSg00EVO0J/AeD4 jCKu/k5Vpig6LiHdDmeGr7lb6VRZduBvTysSZbIxFKw9r+epg4MgH+9b6ZgQoPA+lLOXUfDlFQ1G mjVGFgQgIgW8182m7+2fc8zJMmHuVBT4Z4/a4OwW/UYPrR/R7eDLfN9xrRn+/WeOAU+Be9kJJcvs ZQBXti+WyGbanV4I8ufKCSuVECnLY4q4YMR+WIFn4+/MdG4wbsHPCG9UPWxdBQnyRLa0FCFgLo4u qtxgbq/NHU6ZmLcaBs1Qlr1TMdRP+HNqgZJXPQQn7zCQbC0RfbUxHYvS6OnHBcAtqt1eP5+Z7aGQ dznCrOOj4gTpoiiTLdw9V/48z4P53Ma9iUCJ979hQ94cNpI8CNrXGoBo7rhRQlVv2knnsCp31F1P Qqka8Woly62SOQj7NUAAga9I6Wbuib8SGm8FUMWN4/boGb5ZbLGJ9tVgEBqES89ENLGC1cwfaqyq KG7x6MkjpzF0Kh2yg+6CrFsCG4ikEgoehWHs8Ixfhlz9xOpULU1e/WEbnVvWSz2Mv9OjvMvMtZZr njOVVRfi6yL0bnEO1N0UWYMBH0tFqgyRJyN3xStgw3ry4P8UHHF+ClznULvqnb8RlaQhDDhE1foG We+81AWMgVf9mSOeq4GAU72jj0VzheCTWeWXUQ+mOj9e4ZncS9OVl2El54WoY/Y7p6OcyAgNsIP1 fj6AsNB6/XYoT+6bRhKY482o+VeGug12PJ/08N3OWHGFzdyY7mJimNYDq2TYlA9kW1cnvgei0Tn1 LeHznfFi30/J2fnl8PacChXt2+HdWv7JCPCDlgl0/NE6C3uCT2ufYBqOfVFJYEekJcohNlFOOLex oIaw+5ns8vTL7B9gGnH6Gfzsr0/HOJS1Nz40pr2ctBY6aI6VPfHautr9LVwTXQSCwIaZyQYz1E0t sOUPpBNXkfFbokZasEyMm9ApmKjbr4GrwUpbcBKQnrLCn2D1dGxzTzHU58S3qDPdPWz4iBRWkAeX CdwXBFr0BLzzcv/aw4lfasvKGRLd/vl5LzuYG7HcxwydqXS8vdxcFtG81t3liF9uNz2mwyE47NBl xEg9qU6xSXRG9w5ufHmCaDr2SFOglfDkxvmEcIamkYKn+lodbCc/LOy8w7pens6B6JTLrwV+joy5 P0wNqJ+oiwf8YrK23psCEBYlI/hEVaRd3T/qPXw+arLIfQ8awDOJQQ0DtAJ1IUGNWIEhbe2LpZoZ Udd5oysfE+jXECGFRIlYBdpfU/r9NB91jhO4HJogvi2dvXPM9Z3seOf/A2cdFOsFFOXrSTdgSiYo cNsxTJqeB6NM+KvgwfULPAmwHps2zxbR4SLKamf2xGLgkUGlnpvypcD1v9zZb05O14sSfuqKzwRW F95FRSPqnFYJ6IsVSYx2r3NU9iqIJI7DDzgb/RIHmAG82OcVgqSKedbmQK48ykKoEhS4XQIL5vf5 /jYobWPd8eMmBfoyUwtyoh4J2iISDQTwCCyLtL+JAjyTszvRYH9A4BSzhAtMtZETqD6E3dfnNxlX 4KIcUKftgghstuqnZ+dCEw5HcwiS2pREWqzKuo6xGRQjXCkS1u5MsP9tiaYNCYuOhNgA6hX0AgCo KhbAVaeUzmYMpYTGcuNcNVLhf4m0jbIRYoOKtiCNWvAcELojwDCSVsXkOHEqu5uEJk6RyvVX0m/4 Li65enEsmmRBaMkLLu0MpDqW+HuIyn0acIT5vKGnqCW6utDKWKvH4GmzR9IQ6+HxNSic4JN6UbBc 4GyuciEli7TNuY1z6+p2ALNVcF2cSv8MbfmyiZwAm84fcCVJscS4CV165B0WXbSbFE7ZnXtvdvAd LiscyJxTZBK0dI12Sm/jYda1TAq5yh33Npky/i8DWny+bDiZSTwCwQMQu6/inYxuTNs2r5X2OTm3 mP7HmVXALSyCmfVU3/nQSUDNjEU6w8LAnAyM6CQ6r5ZNyd7jf89UvK2U90KAoE6EB8lH5GE6/k3c PWK7HtGuL6Q88TYr7YO6twMZlIdbTJkEozFdAi9yxLP7AWvG6VzJEva16yMSe/I0dWJ/EbfWGWCK xsVmYpMXWbUiNqFTtXnC2vVFqP6ZAPWoYsQUdx6fz/FYX3K3OKX4CyaD92MED8VD9PRE67SeFZIb 9N8xlCGKHVEICvvrobi0pa8uXe7CDr+/Bw9hteNXXVQGaXKGtFgI7RLNB/Zd25sgBNcThpu8suiM /ZMm2gTtJ4TPBRaP/TgQ+whxp9I3VYepgP7aCy5FC/NKPXnEpLSGrleAw7r0cqGm/azqEHBClnYr sos2oQlfIPFq+6PAQlc2yuXBpJHtJm9asE9TQBFUPgW+W+Q+W2elN09/AefahtoarmljGDdIWHyE PK6sXl2LX3xRuFPhL8Lc/7CEAnvuReJg32UMgEZYr6Ptz0qP9COlMujYaFyNvAnmpkDdtoa0Wznj E+1/sqA3rgVAIB1EbM/ghnXV8G/CwJIHzMUceVVcVkNeVK5HOWb8X9xL/R8raOMDr6MfzvwdbooQ IrZVqHlH+usjBrtXGesLr4lGslPeWKDC/JsA0M1gdeWSlbIdWD9N9mpPzI5z7zzrs2QRkJfn9b6T 2pIERIRi1eu8FXby492I17X5LDDVmSbxsYdyq9sPh5yxemICoKk6JKCxHlgQ8QmAHhPAbLGBHrdf jOwkA93UFSdaBWHG92exwM1SXnByADgajVsTCTUSmw3RY0qeDMaZzaIufmqwkDsIYtIHx+0okezN 9eKxfZq92xb86gz8RT3IvwV+BWhnwhw1+CU0uQMiR8eK0fA7oqnjTACj42VVDu/NBwz4UHAnrkk0 yjW5vVU74KViwT+fsofVpprDXHhT7loYosdRtt85mkt9ktig4UbNIvwb2ZVWDBEQf9fal8EogjN6 PKP/LBnXaJP1LSIk5Z2VHyI24GFQyP2j5j9CRC6T7+mCJi4NVPcsChVBAkx5uQPdoG3WXk626gF5 IL7lfbZw+rCEnsuovKl7SrpFZqWOSXcMdCH92lkbrFPg1iefBnMGqt3Ufxpah4LrFIl+mGdL4J48 vNELohWrHznbqpihafhgK7u7BVWIYeuZvguC2B30n4dlAjm4f8dzTGI0hktSVcMSmropJW38JuPe Auin3QPIx38VTtZQnJRYbLE5KanRaltQ+M5Tdssn5ANYwpvQsZA/2NAS1f68t3QZTt0aCjmdOBk8 1U1mEElmdxrG4LaFA77BFRIkLtm7PGvkACyFZ0//V+IibKBDx87AyJ2EQSwQctJFzQa5FRZGYclA nSLeLbhAhTi+JXbKdGSW0UJ5IUNuKj71POGSz12DLLjuu9sp9QDFuDAcmdLpNAiBVuRzwGTtTR/i jcJYZtn86EmRlffV5f2jUm/V48bA3nk4MYxtR+iyaijBVjnEH4ZetA7X1tz+L104sAO9rR4LV5Xz eQHNAiRJzA2b3+A4trWN8bh9rFTqYiudqyyqCSzRFvub8QwIb21XJ0FxEF4+2myW2HQUkGFoFo0G fCaVbXu0j3SBulLuHQZ7lbmuGZcF2Ioq1R+sZD2ySx6v9fE2LVduxcMEVRvVC+NKVXgelxiN15ZW 0EkgUNsKnZQykNbbo3q7db/BK6y0Z4SWaUa3laMx5nhpJwobPVXUvbXR2fMxNUymlthEJfsxEEKe WIy0Mp0ge9kKMWZxw38re+2Fo3nBAUd2BKQGzyD5Bv01crsZvqU0aKD+MuBXN0I4xKGPPX2eHVwB Wrq+TmnAl6ovLyodjaMyuxXQGbIyCNPwGmsjBqHTJ5rW++303ccmvpv68/kcWqnbOcqGLaHEKTj5 JZ/vH7iQlnywM9rGjZawYzdu8QsSSV0H0j9Msq3j4p4uW2hGS4eZocJIy9ImSyXBbkvKF3T1Rx04 iIxy/pQCopLyqO22db4s938WLEkR8352r3RFRHeJXXs/E+lHkY2aGkYoSoq0zhcfBIziOLCqaR4B sQnkWwMwBcuK4Pyr/oUqRB7vUVY2/FAjzvAZ1MFRoDlTSfzMoEs5q3oDf3Org+aUrl1GwwTJGp+f Cqf1mmBlBiH/1BRczhRUSNNQ9s2yZTm7PefIt2DKsCSWFdwxDS8QOt1jnFMf1jupvKQQ6Rmv3dmM aJ8YsBttBwHO0UnAZu1AccmJjNKwJ4hwdvjoWv/1hHXJq0Ns6a+Ke0BqaTSxVdd2XNjO+bx3Mz20 hR+/v9JBsbcvze08xLlrddVvrYkSxXaHGsv3oRxEMo2AP/w3vKGRvj+WVzhmipU+C/mCtG+Oawi+ HqLQYYZtmlsYvHNdmCDQb88A10M6pY2OJZ2qJ7WLxLWuU6jyYT9td6AUAnADl+iG4VSGMJfEfNI7 leFDjRkALp7IObNEX67iERRf7JdycniO0gb8i2ZhxRKOYwDoTyUjfJyXnYX6kC7jUMVoUTxajLuG zFMJC8DdNmgSvuy6xygrd59wRRjepczaCHsJS4ehSNuYLjRR64FNQsZbLBY1+qhFPnOi5RfA8w4M Izs8FQiD/JNzckb4y9Aut9RrZHuTHSkt8CCXMcjtyzOW54rg9i01jsmhKZVfgFdRQO7J086MYjgN kaVIlXZ0m9V6s3TCqMyM0f4TOedAyegmRXzAZrbsSvye6zkaNgnbRON7uG2YgVxIRxFHzHff2bBM m4ofdRCac7ybLeQpQFTEFQa6Wkspuasmy9rRUjNKHblwaIEwn1so8Rz4wk1xXNK6jIaHEZo9S+ey p4qHaWnsZC+Ew14Hs77BBriCUdy1GGbiIBcIsTnTKVx8pzNmkVUNEPtwAXIq1KXz6bJUcZzkF0vX uSWNq+V2lf8kD31ahVWDc2TbMWznP0CnwYjtc264Our4UcU8NOIprKvmX8C2L/RX+otMXOKT+YKs fGdw0FDO2uJS6ewm7HBDA2IITDzuISBPXIizY0weZA0IEt4YvXmkZ99WFZiFMoKVCmo4Bgu7Hawu DjYff3NMDOFStxyTFxbyhTeOl3M0YGZ4xtNpJPjf/Hxrwg6rpm/9VzDvfhOfSNrGMiyGb/tsK8aK meLmddv6R1gTb3HDA20Uslr5kIfGnD4DIFb3aCsy+IhmljUghXWbldIddHcmQt8rxKu8rX6XBVhm 6E40Ds7c1dubjyJmWg7i8PPGzAExYTYDo4gZt59pDaI33YRVvWAzqPiLK6e08k+siFWXnsnO647q q7dT+eLoFMvvVKcd9ydnqlAd2qi0+HrMpW1xBtt94Km6m8RZhg0T6qfc3Z6/7RBs1BQz/eeEyrkf LWSbl4RtJfCZhpeh8am/64seQ3TVMCp69QGwTyCp8QlRIaoPHeyn54BqcY+jp7g6TMaJZbj98g0N i2CynBCitegWwzQ6kCKkjZbupInXOApDYQExXW3v8crOkjzj2GLq1A8H/TFlZVFN+bMcktocHdtK 8cOF6py2Yb1Xqa2yTXmGmttBO3SUOdQkfLHaf4aqrlOSXehrclB//mzEPvlMXl4kzsxTAB+81w8J T3+uwJr5MAyVryj9gcO5YKxLdeqAlj2mBil4/cIsRd+XnsBSkTAymmG4Sot8oGzW7bZhYbwRbIhe xLh06PafJ99kzkL+a9KhHdwU1GpFnUEzNelvDwOPGJXlktrf+NJv2EQSJ9AVghidozf5hw8i/EIM 1I9+DVN1oMBAl6ZwXbEGDL8piuSBnB+UEBQgYmp+P3HYsY8mlyIOMoDHAUwp8+dARZF5/xPe5UwZ XPTh+SPCQOHzKRwIzxzdAWhX5cHDO3XTp5tA3L0xAgIJbmj1nwFNrURS7iS5IxETWOouQTVtdwhI mn+l0qiPxL/CavQlvovOIf2nWLoC+iS2o6wyIyVH1ilisvi25b/eyN5E6cP3EMPe9mAViz9r79A6 tf9MQE6Tl1fV4VhFH48QSmxZU6iMy713Ax6idFvbebX1t6w23ABkfqxGLW/Xj9Jp8IlKgP4ppmcL QIDP8+6rNIhzxIn7rpUcQMsKP0rAIe1AAw1m5eYx7hyAFTL2mAnDqfbhVNGyPFQxtvKwmKalq8oe iyOp4EjbLMOVmk1nCXMtotqxq7k3dJrtzYgagNKa/uvvDgieOz10W5PoaebPek6j5FYnOprbg0wW yyFmQGWCXYH7Jn/ZikGWUBdtfuqIx6CcVaiboZBQ+2Tl9c1+l7P6rbitW/2ggQ269UAyzz2MsLyR oBWPs/KagsqDIE3mJeAON03Yuil9aedHzqnNBeTqGfC39qY2wCxyx5ZNddq/VxzG2IjBVyig716x AKfKoM2HntNHOQcgKbg/n6cdlfeMEf8GYBPHN/OqTTNR4OckaEz1R4TfbryTPrl2HG7WT+maANwE W7PQsPxY9SXHZ+6swV6Qcyi6dwxinrF4uPf4NozaYWISsPNLENGVR0OiEW4B+50FmeY05v4zgAcc C4FvvfGq/bktEOZB9tnVOWiu/cQoqe6++3jTL0zlup7ib32YF5CxDRhqfaEudrfJ+6ZwuCXuR9se onQHoQHRJdciYzLCjJN9tqCl8RYJdRqs3RLTuWJ1cYvTsZiPgkKGbEizF2i3Xx1q0eioCryxifpY jzCXIMCHH4McJoqmeEkqR+7zAUc513xJlKwW6j/u1OVZVIkU3wypP280af/4nRmL7mJV5fV0OMZf ghy2lE9nZX3BeB0oTlB/puyXASn56zLYlb8Mngna03ENRjkBKf0qBAjN8+rYWuP+sFrJd8jStIiO xx3ENwX35/v7dpwnQVBfTdedxXDvlVXe2SS9wpSTFoiFdiaXKPbsOfmaDaYtgUk6WHtD6EwHGqlx JQ1vqzBMa6wxwj8t+PPVnxwclly8YToaDaZn0ptVszi3YEkvohPb0dGDcRjpgQSR2x9EPLNhLV5O l4v+W+hlxZgca3g1XgBAjCn7ol53iUQ+Jw1oIyMn+g/rraYIQn3MV721sCPEZFByxORPE8LXoSei l4xqkgv4pRYLoODOehW5D2NGRrPM5Y8S+2gsv5jzbQIHkjwT/0l9PqSYRxbr8mp9paAVfGSFXOR6 y4Ab/g3ZED3q67LJr8zUH1/eBSgzD4FvZogrLFtS6l8X+PY/QM8gY1mxGJMWr7z/pDrmoRZ+8C0e woHwhVUzRI7Guqo1TFu0GDKK9ghg6DYWKVyaEJKdwjvJRGTBLjjEVDTr7OeyvdLaItwW8gnkwT2b JAkKuhSpQpPW17upcSMSxLbMftLdgi5+K+DoFWvpQTTgTsAL5I7ObIfWq9wWyHdV+At/1A5EaVbf sddbxQ7uPfc/3NZh492J1ACU5jJS6IQmUrMNT8aktLV4dw/rTAaCkDTX73r9FU/3unJU2na9hckl +0Q+bNAQeyhGNudluUshVF9NCKPgErv3MVPcXqbMgUrfLXO3FWT00kfSMnBcmvccOOsOJ32nqtHB 5YT4kJBnfLSWM84gX0OhBKllvYXbAJdBq5mc/WRJwBFTBWXTiWFxvcBWrpqavHUc/AnMEbCkkOdn 6OTKJ2ZwmnSDmC0C1WUQNYoaBhFezSIEurF3MDVxg8s6U/hfsxe5KcPMKqzxEHkiqJ+P9MMdj1+t wL6Kyy9rhR34JkvMvXH6GNYp6wZiTaPCry/w5sk/OsnT2jn1rxs4x8lrFcKElQfPCLFDwZCctz3H c4sA3q9v0ws84fd91KmZWPX14i1TAMrAusHV6Pak2ePiqanyP0SqknrNug64GteGt0yqcpSAYNUd wwbzrtQD+adzuY2hKj03QLygC+3ViUg23CBnWy7fib5t5axeBj8FsVgz/1qK9HUPEA2t9NWcSDQm I55ks42NMSSyBgBTvttj3oySI28IvEqpMoDfgdggUmLuhnVFY6W96Gg5LwyKd6BfQAKgJ4LEY7ZT V/IZz5Af5m1dn6PgRahUrKeiYgj4KfR3RvjToQy7depSdWSeE2vuyK6VVMgkwLLxKukn2WHh15Lk cvqYoJHBLGAKhro9hHZSivMIHdviyxBaAfJlkMFopa+MHzx5oo+G0bG7XsUbAiHGTwIsTKxORCEy Lt49qf4cgzk2stmq03FgvTCiaCeV4svRguUY266u6QKywNc5hPCE0rLjP26l0ifa2ywT1Ra1bhzL NgAiLOWLIhUTvmb5N58Cd5woofmMEVUz0oJBEbpCjs887CH8ice2kzGmmqwT7Xwp0gRGrU4vjaTw 8eGvjzctYnKvvH5HfUkMaRfH1VOf3RLAAt4ltiYmosdcGIBK9A05oOpQ3DaCK5KXzEWSG6oEgCvZ 1EbY2xl/YQ3DEw12MpMoEzeZbBDBlnMJ/Xr1nfJcwb5Ru/n10U5fSmfjXF9vF69/p8IRLQZQvoND BCYLQUk90Ihip9G5T7+fuVu1gNOHAK5i8Wub0AHaJY4Wg7rlmgiE2n0MK2TExsgqGWWr1ykbe38B AuYiiYQpTa5O093Smo01qIdkRjDHijWeG+tffzxVBY48Jyq7qO3llUKO9Sgn3JgWx9XrIPrkEGF7 oaJ7a9UjvnZEWyVlXDwqZnjd8dDlSLwih9NQhvvmUOxWSjJKuDwn5mYgD9S2hb7rQ8glw8hSxFed 1gOwPpOg6Mv9hYI0nSVs8owU8oEYkv01sjTNG/gSAwQALu3zsS8r6SJe5vWZAVRsPXbCo0jYqfPY 24xcU8eCQymb2Frb74bOO92KcHkpaqfe7dYKw6otX/q8unJB9GCO6GxLyoot0TGgAX7nfOPcMXpj VuqA54x6a6m+mpoYi4cpiRkUXSBxJm4CJvf1mZu6l+ZsCpIENcRXp+lcVHWNzfDzjjwbwbg4i5fZ XJU6lkDeRhou0dshI1tS2rw0IYIXDLuK3baItZ34ki+e6qg9uTqHoRJUnvhIxgStf/Pka3crDBQE 90UPOjN7ePo09CIcg1c5NawMejreloHPHuGRYMTbXMrtLDWCi/+oAyTDlSrlhGzZBvcUW4eJVCl6 Q8BV20DWsXm1f2ryAfrr/ddoLungg6AeQyhzn8S+/pMxoZBnL4MQjikDIaPve3jCiVzBgMxqCxKB NpmiOLn8Z1/BoVWFqpiHLR5XeDDfq42mftxacv9PtG51Sv26mZifDqz4jLQvkyoNdIV2XXQ98a+3 H+Gms2XYA73YSFl6E43VgcMgDPCPS/KRQJ7HXxu6n2lhxvV215vpycg9NOt1miIAg3bkLZOpZV3c TUSV1YuodM/08TbL+1AXbJPY5JKSwWI0VUyX7jSXlbRrIFlhQ6aSvuDa5aZZWGyoZTDalm4ulva0 8zkSbauUn8qTK0OsSUJDtaBOI85D1tfrWvy6QryLOrHsB6Prjmc3UV1IDgpiZ6D8DQjJK7mmYEsy HiFZZrATP5Z/amnXZ4v+/WreAlAPRBR1Z21XXxYuAM9Nxbbj7NbfboE2r72lrCbRX0lm5g3oIsxQ dLMkoVp7QcjuA0KCYs1/FKJf8grJQQXIDSFCAIMJ5OypnhlQYNI/+dCZFCCAllHSimrGux+umBm0 Ld+gNCFCoOS/2/mMVto81huGZIGGWwVlpWUNUqw0pDFuBkKN6yY5gJDHmPAgEF6sjwMaKgHDbX2O Iw4KZTD3oW4niKjcJf/lQhxqMZJgsG/eGOBvBBEQAKtiQ0xiWlA/Tc5b6RJX6IP3aYlhtTILgBQ4 Mwn4XkJPWABkPJmjKgWIbk5NNF7jU9+dzi++vNPT/nrxRg/D6A6PQH/2urgL5MMvZy9RqHSPke3g QjyqPxPRGsjcAGpHshqHwZobDPyAisgTcxuB6ESZ7qVl6piipgpLnmvXKSsLS3ur3u515MvZVWz5 QKDWKnpmPVGrN076rmhWRsxW2BpAaUfV752P8c6RaW9NeQ+aLB79m18wIhbDT6d4LDyNWOYabvL4 QhDbJw2spD1XvYhHqJ4nSdQ+5UWywUdl5APnV6kGgTb0oteccJqzOz5LVzbIVxDtWEN0b4zSqvul mqUVlQaDHn8BlkEVTnWe2vDcjlRQqE5LUMmhYjDHjFpsil8QxW4mUkV2Kr1R8rbK0FgGkN7qC2Tm OnQsR87J8LP77CV+CDutt3OcaTX4v3bKkayT4RyqIuFMxCps8VH8i6Y3KwzZQNcEWZGaLXnW9oQ9 115pf/MIzF1tAE70QvLz2LEaEUIiyiJlW2uIUGgM4jCdhcdlxsh4DGdLNbViGmw3KOU+8X+tv+Wa 28LFpd5eRdDY7apKk90Z4ZT+cMkwUHEeQMv7OD5M1LaRcuB1GEH6WYyUxApDO6ir2YvJzii+l5nH 0QoatPb09gIh/TE/1S8rDjCS88iNMov+ZxmkbC5zgjVHs5K9NzrRaLDg5HHp4Ycl1uC8b+95O9zi 708vcWkWBEhVE5DVYMHIi4ggmHxwUOX7h6SRgGBg3cgpqG6McSZt26W5JlXuyY3iysMSnJpZzGqF LF152Bgj4LYLUkeDYD+dMHV6wHMDWlQ3LR8WjviKPnFxkPg//6pGL8qgSJQbwJA4M5ZNcdvxq3J6 wcnRngR2Gl4ubPpDnV3LY+/Wdkd8cdtLdfGRFjx4zud/iEwT9HT8Z+Iw37AHe7NSJNgNMKK+FvyT 4zwCB2Sek2og7E2E0eSH2COdTho0oY1m2jEiWaZucGmEC6Qx0AUBl+CpCacLsznVaz1UKTJPZtGk G9M9RN+evm9gx6BpSgrhTlL3+/Zvxixg1TAt50HuBb3PCZ9T7Cq93EXtl90qSubscNfkLaGhHjd+ C+aHrzCp2Ly1Nprua5BRtlNk6YuQXaJ/+znzdPG9mqcmNResYXFAM2aRNsTFd8f0TbeRDumK9J7C bN+3VTPjkoX2KLxbqEzHO0Gf4J17m9DCG1eJIvkfgfipJpp1QUL2rZWcmreT5kQ4sVFrPN14M8hW 2966DmPlqLg6XoosrdRbawyD8y2la2EmtjM6TFzwSaDWFNKxD313QqDOXzHyYD5m37uipdlrMHNh UmrleU79Hbqb9HgOEz0IPIaHPC5RSfCQFD+ZW+JL9Ifitc3mMj2FJ8LelY31AAw1dV8KrSlKjvZP 4bZWPqdQ3OGwyfjaHj9vBuLhOzGTN5GvqnVYlS2GEyJT+VDhxPHl/coIIaHty6JXQP8t/F5N5z30 fDT240z3g5HnIfBvmbOB+aq4jdtpV5Def7SN2wKig5+CzJe5Lf9TUtWyIbYAVtFFIWLt21aNOIiH KZ8OGe/BvGBIGxMZW+ujpwxw3vSxofFxHx0ipAPBv3jjIMNSe1zeNXjUO2rCBAxPE31r3wWOe2vT 1mBc7qRY7Ej20FqtD7PvJmvmvf1LuIIEqRcMgxYaNKyQkDTusBbURWyLsuUFSpoePcrMGEWy4H44 V9Kau3ItnrpIa2DaDXX2/cgYnWy5TsSMxv8C6UpKGaEaqE+VgrCsr5r+xlnZSaYvVqmXxIqLEd5c IN5LQRtg6Bea0YMao9gk+RwAKV2ejWlvY6AgzvOjE1vPO2ZlFSpWyiVvFtZRn5L2CDPPTiwU7p5I VPlqTuu/QnsvKxWzl1Kts+A+6sbpfKXeT0xaVSwX9coU71AY+wG87HkzgJfHC+pW8jr4WOWk2T6l sm+XI4YuSIMOFvbxcw9uhjfb4IULrmY/UOjHVdvejdUbR+H7usF3c0xwyeQ5KKOS+aiExGZONsfZ 9ibUzvGdfKQEPMWOk13yVG6F6qquiZ21tlUfAQacIld/7ZG4KpTZ87m33XpViIKruzMZVN7sjWLa kjE+iRNwUJ9lrxj8IcrgiIEedZJzz12C2AK9mDPQtuw29jPbcFv30vI+ZfFV2RoBcQDtgozALjxv KaFEjPHKAunLFJkgFEY+ZlfJLEG4kZ2Yp1VVLHlcyTDdBF1V7Te1lgzBmPS9Nsr01ZaG4Oohd7Jv WR3se0S0AVCjzhWy95tl2B518B3xBdJuDvai+cIGfpVTJ5bSCLjwNPz2uPeas5ojLx4tbFMnB1ht PhLlL4zAzsqIbeylv3Rgl8WjB5lTtHB24xQmhi6oMHVjKoAmlFO/ny/THjWTQHPCA56zJnAR6K2q 7t0PsPYcrRIerAYGfrrt0Y+l3LrrSwJgEpW0vFjzza9AJyf8fqnYQ4oOV8F0VTosRzs/s7wUVCTz /LcThriG7NcXZXcK/3/rSEOQrom4fM85oJpqVbNrPNpbUd5PBZ72lcppHqlzZnxnaM8MoNESGvnD h6vkf6cEqSqcBfL1pJXqAf2RePakOhOe9kro4Fb5jZT44YDsIcWRPi1RMPrQ1QMdJqe/DnJ4BqZp 2hy4c1wdWIP9aR+xeomhIZtrKA074lkqRuNju5ZLQRMfwkpjd0dc4B11Tg5fAP5mRzDts6nnrbzp KQQZMzAqca70XVzAjItI8JFLWIX59EXwcUREtOr7K9SL+3Zt7edE3rkJ1Q1m8nJZGon7THUn4PEz zxNzDuucO1wrwtUSrpznHtVi331dKeUnO4r5QIo1YtpXnjSTLcdXg+FDJscWoHFzUbhd5PPV+3BG ancsAomHrsNcuNYr77wKXtUo7W2BN1snbLgYPTP1LSo7gJjMqlZpP1zacEduC97ZvmAOJWSD0JEt e9qiFkp/wNwQWkLa9zzYD5A2b/kndrEz52Pcst+FxZD4yJJxwnwO1kbeR8oIShUYvG/5sDD44nzP /+mf3ZYkweBJzt4yUU3FUdTCdOFDnJMCgsw5QaTL/gAAjm3VemmIVbgm8ayf/40To4fkMnCPHk3P AqGcDS7lkvKPSJfRaiaNtZ+1FjWRHhwkHd/iXA7sS6/ZqqH2SWwUcj/Lm91jI8u1wlo2ap5OAP8g vtwDewk/ZA9yzezZzyZZU3yRfxa8KwpQaZggJCgWyNt59wx5cTuYFnAWoL5mFBDRDN+vYOQ9VK3d ntDQp1rzdXUof5iGgXOHR5MT91+0oQx4IAmYZx5UFMsNlpypWNlNumUR/8f1wnbH0A/1Kq44WbOh MfoFBMWjNg7IWoLVEWRbFWjoxSTfWORks74lTKhHOvGeADxUYsdLo2na4k63MLdGcDSoC0XmGxiN C2omnL3KDZ5m8n074i9BylJHFmc7CRcHR0j1kBDJDvcsc61zbmGSVM1oSTof2kCuN3+nf3OAmiiU c/QXn/L6xHmRdvh3z+r/edJldBqLoP3ZDv35oLAvH9ZJwah8kK2yXY/VE5ozvp5KXQpfzljVm6yc cK6bwRbprUacLcvWg085oIT92cOPGgqzESwsh6R8ZPWwaAnPU9Mm1PJ+bm9wuUVn51zmyL9IUE3q kKzJx2fwmW7TyfD91OMVeZUZPrhHqvZOi3hjjEyJXs8rJSBdnI85nE59eyEBUodub+g/P2M0jKHW 1gYmSEkXUK4EqH4UfcA8bmnaaMpKvxMN5tnr1IOXmAc5tvNFErhVd6FzF1t94Vu2r2lu8XuzgBol mX6csupb5lwDlWCMNK4/LjQsIQfqx1+0YBD2F6gFuA3+VtAxBVLSq5Gl1K9A/i8oTU8l1PJfm1P0 nyCpEO3qnTQ88WK4lrUr4RVcdXEcZesEctta1WdUwWIL6zQh/TcHtzwWiJj39gp4tRz09i6veaa5 r9DBOVejspqSdmee5jSzJuD44h6zZ6PNgCMIk7+utP8GCNzTTtTID96QQb40ppmr0uJ1cSmI11iV MEO2NCCAlqAuctPV8w992QJxbc9UXL7JB3AWx7aQtE7SnEGMGFjnqrNMansMbybdGGrHwYpU474N hiOb7EuhUSy32zrqq7mAs2ECFuqOaIaoqUPiC+7z63tiprFsXJ7dk5/FCNJplxCvKwLSnzxmL8D8 leC8hTNiN3mRgGwl5Eu0bWJcCb17GflAu8f6p92w1E2YBRKQv3jiu396gcORZ+eOU1E/RF78v+u3 D91RXEbxnUP3XJHWsvmiOKavZyQxB4L52whCMLjrgp3uB6XZsXVS/Clm4VBBGani2eYo/Ji33Bzv LT5si7QrsxUTFLDN0raJnniG4yqhgaEZQnHbBfjCBhSsDMKz+tMRFRkSEucxOh39AYuROLERQ25a bSrpzur1T1sFh4KR97ykGqb4ky8Yc2h3nnwm+OvuUwLflqSpcDTpaVvvqUK8GzW7PRB7B6v36ZhG W9zcePPlRz7Iz5lFv6Wbp9xHnnkMTere831mTLqQlCxDPRppSBoo2g+Win5Fd7OK2U4qGkSm+eF8 hNShMsUO05ILl7SD2u0o+ssG3twA9JkFhA8JItiV0+IY3JiVDsPmztT/63E9HroiANzR2v7xBKP5 VnlQLHTM+Thc/TYhGvIadOtEENl3zXBIjv4JQSg/3I+1Tg27yRsyncqx7ChKGIe4Kj0pZvr/EDJG NlwR4o+n8ep+M76AxI4/9cG8qX+YXw6+1ewUve4nPH6u4WyEQaH7xH5v3jRRV44gcf2FpMGRvcpO wkUxNEx9xHs0SwIMlPYs93QBk7XEq43HZLIM3RoGh46U2ohg3DbP7uE21Z/17DizyfvLRhyJzW+t 8g3iQLyqae/rRIgr1nznPlJ2rVtVwgu8vMmu7x0sN3XGKj7u7tJaotJrN23SAckqJkCedTpfZlSp 2PDA7uEYWlTnLkuTgehSUOSzy50ARAKlw9fTib6lzsBojsMT2pOHJ8NxJINkkBZtF2ucIfDNR+Zj WrNiSm4tV6WBD26rW3L6PNJtDLVSdL93UT0meBfCLRdDCT+0sh07TblMem490S1PoepKaT7U2kc3 fiYCVhGBkfqSJ9cockQhpKnfzn2Eoh6++i38G47e3dhAexVqiBabbeTjwq/KEAk0X/0PyDi29KNe e2UGIZdcdZQ5BiMbGMN4GLUc5ASisYXV1/yl5D1Yk7M8b3nfSaZcmf585AGkaZe2H/D+kGzjzVhi Z1eX/9LkCrzAE1UqJ51tnJy6/rJ54X6y0FE7UxSTjzs47clrv5/GJ4WoaXUET0N+4AoNNtuarICD XdDadlu9cxS0TaJJM7T2unIP01K+9KWSfqWUwMA3a8OrLCBNMff5PM+XCe0/yn252Sg2Kv1bEm9y ghX5C5MbGN7bP/1i2dBwV4t+CvQlXZ4QB9KPlJZ8ZR83fKSpyIV+UM1mJFqxNJ+55cJ7Ox4QG226 9nQw95hRzqEOkSOM5u9YNDXQZId739fZR/U0+hBHhcErm1tuxzi1QGBYrZJvrxroo5txl5BkS1Ar unDxH1rSIaMcHOtBUU/80vL/DGDZCTNUxmGqsxOVRRrJHMZF65FPBEjjuT618BPeqasmBD0mZkz3 RIlcJxMI975bIrCgvZNSSqmvlOow3VsYKNKNhOEIy4X6eX7y4RN4nEV3A9eGF3TNDIhvQKMg5p/1 h5W97UiSzCdefy3DdAMVs7D8qQWr18zD5KnfYqBSuvBDP6cd2ggbARjHCsjWv01NA7r5ApmIVZIL N14NRKoCVyjRTtAYEoNpU6QYKmjBaYyQM1Be7MAytd7Qe29mXSgQFe/Am23fTKIyAe5D2nEv0zof hWE5qcEfHt2HrsolRj7BOSW9FywX16FBGI21tDirFj/3o8KOGr3vEH0cZ97+a//bOCzOgFcmse+E N/007Fk7N4r6Y9/30w2WFsTKHy3FDpe+D3c0AuKwI7UmVtZqdQq1g6rAhpGSTlaAlG81pBZ+inIF MK/lwSq3vGdTVOkWoKb8XsIxca6X4Is0Vz5C3ce9Hh2yKWuxVnFJFRwv0wMQCGcobGpEUl5rN1e+ bDHLiah9B3AMTNarpCEjW8Umsiexy3VdvG7iW2/hVl+bBSdtPObhhpDhozVOB9OPZs7KryohfuSV xHceNL3JiUWeKFnlM8dUJKmKJ+rxiLqBzN3S7WYvBjyMa4aXSvWhXRXx5k8HC5GrDrsP4Pg+k7ZA vlllJ0yv2lsfErnL2BRirdMIdzCQAnUNlFCNgEYl8aI04HG5VtoMr9wdobEMRrC5yGOJ8HklxbOn WpdCtjTdKHIAvMbXhi+lYcS7nYBoqZ9+l5X+GzF/Tz/uAAMDTmdbLcR4EySso3ACE3tG9thRgp6A 1ElFkxdbolpoTa58fty4gS5y88kejGL5HrcGsuk/RTgYoh+Mbb2zbyxa+9OHHwlU9pXMtKhgEBuD 5+TS9IKaB5QqVwFfWqCc5bXpgZ6Es0fKfG5IY0wFwCoTufl0Wr42LLBBPiF9+jUYPMkgAh7g3RhE bQ9hrxHtYcVbAkY/w/xEQbBao7Plan4xibPtc/2nt0BMpLjams5/M6PK46M1MXF2zrsRhv0EuNdt HWbx19+q8gCnxie8qdr+QrPv4uvceLSmnPmmUqENw95xoWjdS/YLslcAqoGWWnHrPb7aBFClB4Af BlisdeTP5C5VBh25vV75elauVzwgzAZgoZg4iJMSC+3RYQkjPt11/rbOlh8ntmmfRpQJpojKpxn+ K5CdPG++1HL/K+NzmEu8Lf2xFsJ017Y4dpkW1dZ4r7UVEgpN3i8P5JvkTbi83PwU3uHbLXCeRvN0 PIJucC4FPq3cQTVs+Ucwr2NHW7oovRRL3IzKl9lVFXLMgVVOwHlz3QQdWxS2cRFbiDcpPFSb2wlG d0TAVn6GZ7cNZwZWM6DO+xdstuNn1Vtk2/PGqUWyjcn/MrLqAROEjGmw3EQx5sKVIDy3Mc6fEMgp Ahbe0pQDYYTyPcXaTEyCes2cOc96KG78VMnxhSWMIFez7v4pFAbCVBrjF+dAjyiddVCEBdPxMvHy CQ+q8Elqy8DsyiR5I6eOoOwgswk7ZBQ3A4VkOOes5MPrbMPilcuU24tNVcMpLlHYWf4l2jrMf6q5 bfnICwPMolpzAH0H/d+pxYN2eZcB6w6MRPwyaSBZ/M0aCpqh3w0XDhLABFG2ByASOL2kf41SaIzn Oe+pyTiju+sD3Y2i2K70/dNhVe3QXmfFlefFMUga8q3YCGBCOXhAV2WYAul32tBuQHlCQ8xazIYj 8ThjLYfzEs+ECOBO1RpSQZjaInlsIdhHvXWrQtO+1r5xYShd0etGE69yW4hapMK9cpxlibdhxjgq alEPL5aCYZbMs1mgP2L+tEB12oXMsLLgTPtXtrpGGstKgsmlZ9YK8W9jG6onQaECPNfgnqoG/ofx eLOnU8DPgObgpBjyUYmDRmDsyf6ioiYDxiMcNLDP/7TUfr0hic/cqaM0Vl16C0OwpLsziqD+0MtJ rY5L4J+HcyVJ9LvFRDO9UrZfGSABM1tow0eiqO7fojTNXy7brPzfQ5ENQj+cLTP1nrcS3tQKBWPe jFPHR1zZqD0o3oT1dm0gQCd06LR4GH7iOno6yNbCCsO0W+Db4GzCN4CmKy8yWtisdL1pp7vQ+orU bW17papVrqIbsfDOVz5PBD7axVpm7L7lYdnKA5fp49BRvVE0B70xPVyOBPR0QtTUBmfy87Slv2AG XmD9o0DR3AWRweKMk803Jw3cdXGPVs8fea/pbMt7MjokVofYIkmjjXaF/fWej0AQ1OFxPAfbxHQB bIu8Vbxxz+Ri6kpYJHUS6/K5nDxrkFoIQTdwA5CQKtm7NkQH00LV9zayB6avWF6UB5l3OFPm+qAr dsepq87kz2SemkfvzNITQIW/5zXnMb9v2aIrO4oCLQu1imi0ILnY3bfuPeY9jn7TaHlnKRz0HCcU sLVY+ktBYVunXDWSLpF6aRKe03YIRXGOCkknxrICYmgYqIZTw2dlDt6ByuEuI7uus3Kkj25yUtzR xqya `protect end_protected
gpl-2.0
3f3f23d4735a42bad7b243c65118a06f
0.951491
1.818639
false
false
false
false
keith-epidev/VHDL-lib
top/lab_2/part_5/ip/clk_video/clk_video.vhd
1
4,568
-- file: clk_video.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___193.158______0.000______50.0______236.796____297.965 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_________100.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_video is port (-- Clock in ports clk_100MHz : in std_logic; -- Clock out ports clk_193MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_video; architecture xilinx of clk_video is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_video,clk_wiz_v5_1,{component_name=clk_video,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_video_clk_wiz port (-- Clock in ports clk_100MHz : in std_logic; -- Clock out ports clk_193MHz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_video_clk_wiz port map ( -- Clock in ports clk_100MHz => clk_100MHz, -- Clock out ports clk_193MHz => clk_193MHz, -- Status and control signals locked => locked ); end xilinx;
gpl-2.0
54eabd5368b6efbfc55e236dbb4fe2b2
0.634413
4.214022
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_recip/flt_recip_recomb.vhd
2
28,545
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MLI/Lvvyfs2e2+2MMn5L1nLXc2yVuR7CVAxpwgPL4i61jgKDfOepYLRdbrbGRPRl/Bxyxzt8vfJH p9qGuJByQg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KkOKMm+d9yap9pcEN5JPMBD8ErZ/JnW5mlKyEoK1+GUwD9HhnNOjCKecyMAzQY2Wihc7lyvS2zhy FUK1fceTmDtPYt4Xp53A2xhGjaF6KDHFJGp+TbDFF/z/IPw+9i3+LFmj4lIyu+mrVEFRp2VJ89C7 8rlgNBMTi+DIMj40lKY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iF9yIY7uhY0C8N5Xa+fbzMAKzDGtFMAe10tsG/eneuxjWDvEfjx+yv/R790U27kZMDgMpVBMFRXv eilu7G1O4EM0kMlsoxigcw9FTNrHNznLSp3XOIEFkBjIhwNua+KLnfKUTj759DNby1nFiVSQk5M9 jbIYlivjCQnI5Olzq/UxW84uDG7xwvLJfHwUnRb7JqzZAd6ePDB7Ive8Q3d5Vq0IAqk9U2pHNy6m t5bWchUGCLPvxNsRH82WIQv0Gon2pvSYlP4VjEYRuKZkyVS29+fKp+tSsgcVfCo1YOZQMZUiQB69 7p1abigoTPKg1cKghGrkmFwZtFU5UCPSac94gg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bqjIXXyUZrjT7Pr8XCyJkZmY9gwMPQVhiQZABK9fcrKXS4He8YbMHsMeJr5u0M8q12eG+tMR4hNx ++A05Ad17+ISniZV3Hx4CYYlaEzMHJYu7zI9vuvc5angu4bdT2uaMMoiZVJqgra0L3t5FJ2Yizry JS4AKQX0eaq6YLCvO4M= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NHbe+0uKiojK+tjcO4rxKPJzq+GdNi4LVqCTffPJEBT6JM13O+WbCvGIX299ZwpCTLiD/bBCaqwV oHBYykApDEDUgYqtipGL/AB1voP697xTMzzsrRdul5yY7ifeoFc59Tnr5Ttne76p2+Quu2gZJ4G1 9NBywUTmKQtdxO6Bc4GCi7tzgZn47xACVkjqXMQp/Sk8d8rXnX3pP8F8LOBMivUCWhPY3pMV2Rkx 7iGYWkDJgx8WcB8lQYjkk4B3NWQ3esI8xu1ZwgcCDViZJgGkF7pbt0UGAOTS9H5s+gH2LdMN0aWD 7WOMm1AinB9X7PFPQecXCxe5WXnwvAD5IWCRhw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19392) `protect data_block tKSmQF8rdidSTFIvTValqQli3qqTXyS0fxIf8mGzl2t1CGWIiMx7pr6ookRxQI2MoIDDXo5w9kvm 7QT052YgV7AJTC3s5peH2sM0PcWJdGjDi/0WnQkMgK9HQt1Wmur3h4Oyw6dRxrrbhYO/ZPUOUZOU nzjOlyV5+Z9qdZX5hXf4Dt45Jd63CKSKW70sxL0beeIufBgnXxSlYVAxoYgmCqJw3yt/FtPMiene 7Fccqub7FM/ozCePm8kjIaxxOJ38Etq2wdOpt4CCBqHj5F58ExQ0CjWuevyaSODh/H4EPGzslMX2 J8iM0M5Vrz/Dh50MqYPf1tWHDidJIDh1TYqAAztWcqdiyFLWbErnm6WJ7FyKTbFU7qYvrr4mhbMl HkLyhnlD6SOUS5SbhkHXmkHEaI15Mc8cgjntxqCD0J4XEoHwJTU9klbhBo+WuHRVkJmF3qG9Vt3b M/fBBAFn6PfMEu7H1iq6q7K15sr0IWcmq+DwP9lCM54Hv+yv6etDC/aiFK8pCRENHM6tl8W7wdbF pBmdae93ieEDtkvQHjearv7kaihaIG1/2wPQIBEHxAu7lAz9vdcrm7zSnVtg8VnIGCYVlFQbr6cO 3yqQ0CpjStaQaWlruztA+1JdEnBJ9inOv7Ws+l8dwCpjrLnpexjCJFG3GWSJh1cjNSO1D/wXVPkA udvjlOS/E/g7FxNZ51zWPMQXDTDowjzVdOZBuaNBtjdMA1E2KGu2NZFnXR1oGjrMPGr1H7YiKcm+ 3Xjq1K5YHPLevCS3H64pNiVZFo5QoPfkEpDvpXf5JhOBLwwhE6dpox54f4tLD64n7+x4ZGAAm5ax GfTxyNWG+KMRVJTRqHuBm5YWgtKDf5sTEHqRzWVe1204qoSAD25Ufqt0/wLdjR4/X1R0Oks3w6EY gt27XfRYR04mk5LKV6dthUTh9WwEHtDFBvv6FijyaUYu6hoFfuOa0UHXt3QCZ9mufhxPkIg+cYUy xRdMjffXqUeZUdmNNCfutk0xBNIlQWsHugz55jBQPOnllLxPxw1A3c9NRhFuPUs3OUfDmchFzuXo wsiB9Z82piz13ER45bjRFg1jjvUb/wSxPJ4e3iVBNL8Jb4W2YwPrPcfXt8sDXOe/Db5HBHa3tf+C 8gv1gW5X5wuWEKEFRoZevd2eMQ3jZvnfTeT6Od6WeXR5ztYSIlxlw/ilzglCQ3obXX6HGxHHHMEu zWg7G5/6RpfnUo8EasiAYWvxyrfH3mc/cJRpO3C6ymj/Tz8dA1ysuxCk8zgdOTjhcXsbhb7H/z3/ QzKNtW9sMxDv5Vup8lbXwSpGumzq7DyZpIjx5R652PD0jSHOqJtL9c2RO9/uURfoBRnxQn2KTWZJ wlF80lTYDURCFEG1ER+gn3pat4ZxCwxjq8WTHiGKy88wF7XEQH57aGKaf+0kdaCaWR3AtaBv4qpN LfqJazoIk/54F8oxNydgRc3BPT9yyLrFdxYKClqLb7s+swGIGsY3SmYD4FXcs5nVYNyftWTlgQQc ug3SDzjYX29riC+vp55HvlALTILvc8q7UFW+rPn2e6icKMaoykpUsmdLhDpRPWy2VKQjhp+rS8DT V2EfH3zMe/a7nSZq9DWCYRHm4GlmSubwPkjsUHDgoB7btn5CjViLXRPO7/aFl6u+j4dQBq+du+4w MDg2WmUXzu4UpTqNxfXVTp62vOuy0SVxpWaDkhsvAtwXotQDHXR72iY4DGv1+ZhNpETAbQn/I8dg kfNPWdlAud682rI+vKlXD8KL9lPO3ZqX1ETbnqqmVkTyIsnFGV0iA6GZHmcgQe+eOMSe7hR22DIq BS4ZczXHeuN/epTVzay2Y+xHLQhgw+Dd6AzpYJRbP7SPh6cRNlbCTsDW/TLohD5KkeT+M7zrx5XZ ld6z2vUSBjsx4wQV4upLPE9W+u1eqqqO52UIDM1HgacjDwAeESdXjeE4VEhvHM94AmJ82Pv9QIth HE5MyYkqeYa0e2g1AJF2pWIYWPG7pjnGc1hewVR5tFVgUY7Sd4D1CPE7cNcM0I/JabUG5PjVlRHX 9NnA6YOF1T2pAQnfxUTrHDz4oskWD1+9tveCIGu9hdIamYGecUonIvptFcDjfOLgAzLxIHtwQhXF 2g1sHr1KgX0bvl6KQcwIOFGSSaS4d8LYiY634DXSSk0ik/afMeuNyW+g0fbuP2UAC2iFfCjwkidm hHUQh36roVAoBUxMjeEfJHV+bGbVBOqV23ffFe2AzGZh6hXE0yJEalSEsL3T+YXsx+D2JXP2qsRS OXeiQ2YEVfVKlWiYFc20zblBfcfPMYIuGuKYxrxi3O7sQjcxZYHCBmyzSrJK5ITETtgtN3bWOuO7 r3LFAKP7LAe2xLAwiPJbaqd7T2qHhZF8KIEmmJMtCOb1tf6qBjZ/aahHUfBZCpG1nfOntpMAbl/v lDwRyiBzwZZBYU/YGp1lWejAD1VrBr42Y4ZLsw/Cs6rsFgQPspCOv7YmiIobx8Pvok/whRNtpUel 4+/ZkBMCnfd0UuLXS1srXTqrKO1fDmmg8gx4sCBTPMfkeyZcYaWxU+wiOMsNtML3S/vbvyfnQBtV kH/J8aKgRNQJI4BAJEvojJNnY+LwtiLzNS6uDDM8KW9TpbEOvJK9NxAg5qDMcs+npT3sseltYhbG ZtZdk5VDupXSjnhvh6qcP96umaPzXji3O0N+jLJUx4PvZ0gNpKrhkB4HodVbrIaz+YObQfYDv5Yv 7Gp7S12xQ7ULjTql+4krhwGx2O7gwvjsKBMeetmlwCN/cVYTS1cLBc/GtNR+St0D5PditbTScy9n drRs9rp6fidUXsfd8M+7ZEqwHk3NndLe4+sg+AveXV4n2sodOCQamaf173a6wW9/9gN7+mJbFZgn uNwIP5pYAqem3dBNZw7QkxQz2/OiIVdxOaO2DewKdQMRQ1kyC8fTkTE0ecq0Cs7mEuZj3Kb8lahH 3n61Bo8S37+LhX2dCsbDIenkcFrAgdsUVIekMpae39x6829KkXdb7MdLGJvUgzuRlpx6hIeZnX9p pj4ZA5Kz2XBLUBtYWzcfvdOCCokjGB4caYB7WyI3+8K60jJQRFDUw4BfLtcmnicophhhWi3yN5jX pKILD0eE0+fW6JuR2RmQ7LiAZs5vSh6wb+d2JHKRqxTf4ALf+E8G6WT9UH+AO75oGT22MWX6d7Gl D6roFPYpmPMIpPL/MFrXx61b2Z+bIUciihuO8VVC3bbNVuKdF2WLszzR/BtX6gaD3ycBpFHr8JYg v9fa0o/f2rxvezNornzjjZcbJAIk5s13kh7DunvrTkJ87u54LPpPRMEBzkvvSbgGJcmUAPxft/mQ dQnWkIZNOnKDLDtHZ1iEjC4wAuqL6PWOtajv8wu7yzNn9SHce/yRObuLmFFN38qh3z9EPeGOi4sE BUzJ/yqUeVt9Tt4BR46WP0VROCgv11Px8DrmarBzGG6YdowMBAoz82DQINBhdhDTGq4NsQ8XjwXQ SiEuGwERpXJ4MrErb/zrsoMwiS4tjRA8OiT1d96f/17bEbnz13WWx1l0U5AxKWfOJZazROSbuBxs Il2WgyZ6cPU/okCMea2P4840n/pjW75l2LreGSKsYi+8OwHMiMeR6U3yc122oTq0I9QQ8I7rYDZk 59OHZo3dWFcsHZ9ezdGMV6q7SXYBAWMTptd4snvcZe56fPpydTJzcrLKFAylEfWDff+VAfSNtdDc 76L/G3TqYVHDf2TT0l1i85QGhabI8n5gIQ3hEkosIoS3EfqpGdB1POrnUJwiGZDomyxa/bCyyll3 /w3zP0+B012nuADY+w/XrKRYff4lxWPuR76zVnPsWbHPxVqjfalucbHw2H5UHRoRKxVUmmsYU5rj YmtV67cvJfDfnNJw2A2rLH5UfJhzQshehO4n2Pf5mrXy0RbW2ePylFrvfjHrrh0gG3e4mDLps1+Q wOJDRirwPyOK1ETAksXtljTwVMztajqcRkjBlcLW+zI18kqy0yH1Vwr/0lfJZzf2wI12UZ8vhsxL vUpysBWAQzzLtE5l+4AHQMB23iXNO/9B60PlOh4JVZu/4DrNwJE7yenvIfyG3SekPybtY99sy4M9 rurK3HiAQkIsImqmX4dc6qNcOBstVUTGWiBdVOeHz/Q35/V+ueTUOfTxgLLSYPWLBjyrVso43r+m pCkJnN3UWW+Fofsp9fEUBvvkxBGWXqYNVFzqmBn4Vbr9dl6N158ucKF/LL//A7Vl9GgCALYtvv29 dddsjtQt8ZS7vYhkPJeK+rMJrFMvCY9a0TqVQtJyUdrVhNFZY9uvcuAIUva9P1STutJeLFpuvPxC 0yGaeVjZPWUO4sKmDqGTdicMb+qG4eMypA7YYFam00Ite8xiYP4dXWhxVGYQpQSLUXJfbKke78cE axv29UI47t374Ja5yFWNFluzeyd2lR9f5r8MkbasQlmaWk3/9pQ6sA/jFA4SpSbtLLEkOG7PWJCa ZWPPAZy+Bp0h8uPoERktV+yewlmwYSR0T/h4aed8oESEKpkhWV/sxw0GuwTVPGch9PkdKOHQA4BQ MVYHUc2Qjeb5bcLrQkb0j1vYJEPBWO2NZ9xXj+lvew2yN1IDr5Nwz9rPcYfIp69J4Cr8xmMw7gk6 xTDhzeUvp9t7UOYGGH5jNRcZ5usaXmW8EfjKnsYu44W8C2Q+Z/rbvvPnXWrSdH+trCoOtNJXhSgI QK5/3dUlkHz/v/Uw+C7QiWNNwa4seSde3u9kclXascduZrg/+H0OwyDB6KMEuiyp2mnE8z8xH7Zl oEgllmXuKNVz6Cn01UkmULS/iknj0M1q0NDjH7xzMLIb87mwETSUpb7bZPXpZBDrDMrd4DPrkn8f IUvSnXG05oFfIS57quuEHEeR6kgOyCBR2UgsmdAzqk0Du9zhcLDXHYsM+PrMx9cYePt4zVpuH0Fc cFK5/B5GYwWnExe7R0PsthD3TPuS39vqMCDL9OLb9fO69/TqFG8YpW1nCTq6C6JWEV+IWU83BUJd EQsWmhjWOn8rMeY2rXElPuH+VWY4aoBwJQ753ifbzSFGUUIKWD8sVHZfbQlV7rapjpJApHhwriqR qFsF87V1Wf7wyGeIP9YVJJvhdldjxy4LnFX3/1j4zhIgOolEa/PQoYEDLIys6ULHxx+Jv0+wXqaA qnrPwCFU29+agt1bIFK8xTRV3f9JZtWXygl6Xxu1K4ICN83R5gld87OSAEtsiLlte11cokFsgIQS QV4JSS6yfGjv7z159hSP1BEO1+CBhF1e9x36XasSZsuDXhd6UtedPYPIpePExvERpeugb8feWDn1 lf/70FeoKne9RjFJaVzmQIQ6avfJUnknOk1+2xF6KyvJrH+bxgZO6Nj5c/cG2Jpn92jXAjKrL+CN fdZHeJUOP/5ApHdfFWAzJx0O2adkaYsBrxCt5QlmR+Wwz0myr+tG9SnnqNVYYZSWmZUIkVq82Ate hJ/84aDc+ZLTSJ96tW8y5T69XOfHVfd8oibQScPfOQceGKJlguDERUT7+mR2bnBrJiRU1BLLeZ// KrTviWlcYVKaF8uFbz/5mHFeYH6XP4Bp01vpdbZArVDQF7ju1QKnXL+zIR9WbFBSlzcwe+ZitiPr h9JqrRiHrEq7vv/oGCUO4cZ1NrDFTnd2TZ/JdBV75iOw/AszeK1Ws1AwJrqb9iFi6vj50VqX5RGc rZ+8LEHisbsrLetxyjauixecBh6cUknvrcPC2qmwOVyZB27399VLQrlKeTxXBqlQaEjLnp1Ia7fu kj67Y+hM9L3qCL/XVXP2jNAgMyTTX/XAHuBcpMKua5e8c/2+WFbVpmdIbmy8DwsD8Rt7KeWYVDC9 deLUPyiAy5MW8yMu2fuItY9hvqEWe1wdBXOQbIh8ocC/OMVK4U5XJmUXlQprDUc+M4uUdpCnVl4T ET0t6HS5l6Xj6g7f98WEwXc6nNN/vStoAL7oIJ/OFke3cOhH5heytKzwg01ixNLTw6B/rfEaoH8Q mE/JprGI2E62NL9RjjY2TM6/uyKF0RI0LzG9vt2QHPzkjII5o9qnk+vZS01KZszX/ZttVYiqflkW Qw/GqVmkm025uLUSOynZvzxh1Linr5YtRMSjuR+qm+GNh7466k5bbzQ6xjdS+/Nu0f/qu+wU3yk5 EXMmZXfBhGw0kvSHSrjI+0j739usdUiARzutImrCb5KPan9PCanHezp+28zbNLT7tX8jrod15Iu7 vK7GKlw40v1gmq7TKzidrSeYg1sRftsHODcrSggpZ6Df5oSiRyEPj9n67ht3pg4ArUXTwLjn1N+0 kk3+a3BOp8kXeKUZIj4PxXUmWt0FaldFsxjc8SwOeXowSHp1VF+YpY6W5lBhdhDLhKQZbrfOYW+S 8ys9BywFrYOyGOh44r0pxz4OowkTGm1jY2wabJ3OKMqddbuYzs0vtXb/91EhtU7+wfbd8fBSeZHZ +Il3uqwKTfEFTJDDN0R/Xz29BLB5IXLenLQemT+WeLuU+9hVopM7R7l7A540GgNZ5REWUS3gcTiE XJ9VOaQ4gnsdLQOQJssbxYxzC7q/oQjvvSFRGHu+PYGMNWSLDLVwULUT8NCjk34u34J08ggfDzkL 69lvRfbCRhDgZwBPOCijiJmZs4F1wZxjm14fsPOIBcRDRolxTRTXghId1YIRnrjmZ4r3CDiH+02F 9IWGULkg9DC4TGeBafOV7LtTYh+WrjOlBnIHR6yzjm7+0moTg803uJ88OB7If124QAB4FpGdKYbu DDgWDnjzjdmQkdofMyBrSM8LH/l20LiU+bnbSK9CSzRxDdUNLLn07d14A3DvMkMQmGmx/99ll3pC 8udhntk2SKKgvkEZComDsDRSxqkqMZm1kABqL+kDcM2NcfqEgVspReHNdTzSwff1LFlMFmBs7dbz 8+rnADKP8P3sdoBn0PePgvotgPrK5xn/ja9XfxOYUyXPF3Y8+ycBtFuwbts91Lg52vuAFyBup2O+ Al4AAW4yP4JgEMQiF8SsujnA/2MKm+1cGAwycYC3GbPbCztv1c4noPoLhTesSNon+3qMFLNV0a5z z/c0DUFip3/LvhHig2Xjnt2wnbHaOew0uNyDaL8naue0jBWDaFClLj3cQ4JjZ8LEO50SPbu5nBV1 aBr+ZxDtiEQ/uiPyw0Q/TlWF9RupFunNzT0Y6G4lWGp3JWLNOC4L9OxDZAgT9Haw9XZDVJdj5QAT SL54ACz92xmcGVKFj+N/hK41iQgpNj6xMQfZNLKPXqqe7K/IcGoUWe0zvnm2PgZa9icBrdnNJ4P6 rZ7t1JgfAkWqVW446P/tPje+sJUj2C79LZx0A0fPwPNrWSr5rcId0b5984O3F2LjOhMO2/BJ737P Ume/SGB2EG2rINSWrLGrqCqWmPR4dXnyzcWQT+pVMDHSp2pBozhPBoTY7bBYZg4Ev3FcNy5wQWL1 ur0UJAHQLD3PoBbYWj8s79umczfs/5nqHSP4M5oqfRiE2K9jv18OHXuEIVhDlGDX2vbSspymxHY5 nneLm8GHIrkhq61/hJrgi2LOgLZLya01v0fg6ALxSwMLh9E34FNMM4QJQwaaxU7J9h+VjRirHgC6 pTDjFTXXMIzcwY81fSxsnvl6X3yJ2jSOtfnDDws/LFmtDVq5BrbAlNf/aDhKW/ql+7at2Mt2idfp S4UN0BEkJUslrLIrKxzLwecemWGqwWFBRl1EQfg/1SPo/BGwv/655b7gaOqCNkRPdWbyFSikCZ1p w4SHB/jv39gCUfF3+5kF1XFRzjaTUaSnRKwR9DWF7pPex8ItxsoKrER6bolwEsvUjWQnfGK2QN2J tbkGxpLHWsqzi5QbGEQgjOP0VHgSOCV6eqLvjGaPS1/59Do3Ms/MmuS8V/kC1eEQzfvhjRJRRApC 1cUnqf/lfZhhP7wbYVcGih4yp9VM3MaA4fzkJ6FSLgvef3NfzJarBBS7pLQRIsIhdWgCPXL4LHDA xSyUcGrkSm9BlVxb4qVMA+vn1q4mucJvAgYx4Xn701EBO6A76UpS3v6ZFhGvcavHANJ5nzvVRihd MLY+ZLDc8seh1RxFG/KhzZ5cVOKVKFQkpLmgIL6azamsCS3KCjsJEgUcfutzERipDBEaYuGc1DVg aGqVDHstA/XxK1rCKsLz1ABFN7HrAF1QJ8fAJDs9ZrJ2PKB8FZMqcp1DTdX0Zk/6+FV+9GYaf2KW v5fEgNdgENcDz5Al2Z9RZpKYGrXvbCPnaYmQ++sgu6FlUwt4cfsMSJsrEKOgrQsbqYsrAYuxL5zp T4Hi6CXklcmvGJqt55zXqmBD1jmjlLlx+TFUNgSNmQ38eev42n3FYF+183UQpDy43BN1ZcPG7ras dhVL4TVFDp0Wt52a9MQ7IgCD4lFQD2NifkD7x5arzbdAda1tu9Y0YW9IaQjYtPEmNbIue/7sX5gy D75HeB+OMMr80VkBMnhgWiS2qorBowU2Q7PUkI/G06Q5EoTNwDzC9y/br8jPC0kSTy0FmDobGi8R ZMyfT8N6hk4LwH5Q+EILoDKdzlGZS3bp02X8HJ7psU81M5MzZbZbnhedOyXJvYrqCRx8KWf94clb LL1rMmHom+1Wp9b9MdmBZUc0pyPuKLyoFBfYr5RmxJT4yIH+dp8+Fuv3xbcabYGp9qX6ixOBq2Gp Nr6OMFemnao0MjezF1038zaxe0nBKkrMPb/yznSrgSzr9L9OAONAoft8Ymkce7zlxuWOkzC5zLW8 c0mUT8kbdBajRrHa9N5wyIYxTVPOQ0aucRc1w9nWbNj2KryoYfhdNjZg5iNywOjruN042TQ+izvE sS8x066q/L5mxMBrxb22upy0oZsXBx0MuTwL6+Dj6fhf/PJrKrKs0zgWZoWHv59iTXKSneHSS3yf 3KdZnrRL0MSRR/uIVsEcDPJBAjxZ49iSyIHdIF0Cfe/lv/g3C0JvqHRItoYQwksEAiF5sw8zCvC6 p20gVc9fJ77iv5bQCQX9ZlZel/u/1/ks2ZQ8R4ytQDPdnh+OhDFtgI+Lxu761S1NIz8jJjyUnWNm UAQZ4JZ4CVOMoaOwEdHfNE2YUHdwdBqMdJhx7Q1kHtQyVZ6ySnODAAIYeYOAQNeYLmD930iRoPux /7bJj8/MfZ/Evc/5M+JbwM+/+xK0kegFAWx0kEs+I1b97aG9pfRo415f05vPuRLiEkOoeMatB2JO Q+l2vZE7PrLyRwZqsX5XTRhiMWGv3uFrHDG59gYiE3Wd5dsM6wQa5pkInoXc9fG7W91exb9keGgT GpOIPBtCoAWkoq6s2rQWpUzzZ7eXrrLng9+xYbiVIsRGIVZswILUEFPfS/EodySrjb5ZyrKnx7RV oJ4u/mY5cJe4sEUYHbpeAqUa+XdG50ajHWyDQ4zyIKYvrW4FL4ZBD0cRK7UZGpca4riUwUjyXwbo hRmb27+1Uwzk95eMv+BU4OTtKwz+VKoZV6QPHE8XeME/3kJjWSw8pUt4bAJv7Jhc33+ilrzhomYM dQuKSOSC/XqT6hYekZDXiNSFCjjVuuVyqFb4GvPkVtmo6WhoUqcfrNJGF4LQc5E4UzwJaXYtjJE4 MIjVAuVHq1ndVawxR1VKFTgni4SNl7EaHoou3kQpbahNNsCzmraWVhjqvDgMPyDcN7AnxxE/iCek l2lDoGkuJiUD+aCuUB9JOEirDBgiTmQs6Q5lNtKWW8pRiMGiZP6wsOy/a71cJz/qYyGkUwrkZBOn UTheeKPHyZHCPf4Jzu1Iu9/Duz/FkhdePsZPrmXHpicipKEP5WECzNVxKTBCEvS1av4ET34VA774 yMiJVT7MmQGp289fe6mKbEAU5FLfAKOXMyrC7oyDlL0KQZg2p1Ht22pyXEuJLzy2ygz1u+vNhcvi EG4lPpU98jxKvp5NUe4JyT9FggLxEzIi+w/nFh+NCS3X7lukcJn942zmEu/LaT1jjO7x8oN6rSSl 0vvU5ZcgRi635uPR1t0Sak915dAPUnF6U8RCjia2TrsMeYGeCUTJnmYKg07HUf6kh9YXhoR0zOoo D9oukj3MltWW9wzTEtTSwQYRA1xuYHIKWttJgs5s9gz1sJ0yviMMvMB9W1VLFDN2XxUszq1yxoQ1 mwHf0Cq0ovJX0pCMf8udT/FdsPh/DHUQShzeaQSa5SsjCp6fpTy+pfxHrd2tp76m5XPcui0xVZyd Ov0rBtAI1kyvW0fTiCWcBUhxxX1f3Vvle4dCnpkN7cDTV+ji2sO1S7UF1n8fkydTsbui6zAtE8n0 mPWF5uM1T+93L7jVfOuvZ46ocvbGpDt18sOUIXPVDxmiB2hO4VKq4f6jshMHnPIejHPkcgaAE/vU twtmTGqgtbWk1MrubVpnlvgr9SR0d9MUYX8b74vxjVy4GtHILRjGgBQcZ7zxXMOz0xr5CpwNqlL/ leWtP7Crl/SJIuL1Hm8YXLnBMvzXwP7fesJ9fld6+YXBUCEFupv05r0MlW4v/CapjCKnHmvNkcyw jauYK50n56i6ZOg1wFdCBge91pgD0AWTusV8xKsWq2Naqpy0vL1AfNEQpkTrNgC7KQwGhYqbAsD3 QF6VqcAu6ETq4Nq/KKm3cmpOb4GoNzcEj3cDh5dqllWpoEQmcczVSsnhjZJLJwyOGS97k/nQPI4L 9YfYZsBcDAHd0m0fzedc2psR2EBTzTbX0H1PCUuDBT4qhNZkorL57e9mlaOb/gjgCNWgm7Vj/1iO 7bB9c7Obdd6ZgEOFp1QnqQf1fGr8yYAfmqoFeHDOFrXT1gz5R54lh8DGi6gC5/RjjAPKlc0w0wBm DSUGNYwHs2VgpdSFIOEfboyM2HOjN9kSTtQsdooTYjrf+8+F+rFCfstrQn0pb1whsBRHjDkOfGtu ryvbRiLbk1ONAsOXlNkg7qu+75mlzqm9SuJfIXBogqAni9jCQSL5tn2iPp5czPnKWkwar8sc+kUe sw3cLbp403OaIL7owexy8Ui6+jwL+8BUipv3w7TecomfwFnMYE+zz85UQarmwxqeNyglIPY6FLYG cezf0yUvBWkwC0PrXnkumbXFOXinRrEg8FdGmbk0xBCk8YuNae2x+E6sJQ88wZX8O/cFkeFh58cK T+h1vhCxuGh8zs5OMk+BiCM+YofGYVuaYdOl8ajV4iLH1fF2jRwmv069zR5GHkUml1PP2VTWD/eO u6ANAvKlb9YRZL6eilvZPZ98xkwn0vSRLusENf2ll8oZ9Y3n6rSxeaKjzz+iAjboLR322Aipqccf l36dH5wZe6CbqvUQRYEISkGC79y1Qd2Vfd4Tw1OBP20w0f/omzMJvofnfCgldhKqPXZaqNz+ltC4 Jpgc027fmYYUJnXei+gd3A/gzVQYVfKYiUgK0z74IrkN4Go7XbKosRDFDwRXHk1t6Wm9GIXMDBvS SooPJ2xfoMMVqoFDwNgLaOrBnlsl+eIMQwwft6j92H79YLJVbVeIQNLopE/Dc4VfuzQjY7oXCTxY 2mZQpUQSefP0CV1/4BablE5p6DDlJB+XdWpcuP8m7Pt+q0dDW5OXGllNl+LReG7eCC0sftqcQ7JK aye4nD1n/3/UkIyet2dO9HZGXahgi5ahB/k2e3WWqeafeMrIKljMRglNbvMSPXxqCZufnEx7pqdt W0X92OJRoR2RuF/pAAJcTSZ2CV0b11RFy20wSGSiX97oYRVlzRzD2uRGSiLE5TV/AMAM2VSPD75I qHcB1fbwLWjHPnbpKUfPAw3Mul3WXlJ5Yi8oq3YQEsCzOT1vMNEpICCLwcoRg6Yaww5Xix0kdQM8 DWmPsWXLGph3MYJTn3QbqKmXKjGdRT9lECkn539lNjEAG2lC66rgYWa410Oloqk4JxSu4qtbg0KL j0KJDSFWjbYMPYeopD6tRUU4+7a+PAktqegOCayAAk57E6cF6jEtRG1/bva+61WSlvEXsBB7uJ6s sK7TI7PzVPurtcBVMqPoRD7WQu6Czd5iVtoPpETvypXLltIDO7LpoE8mX67WNzxuJpFwh3joZU/3 RC9ma56YJMAcM7zHk+1mFiGRVVAkpbOP5f/3hcyA9JC8R6NBJlEl7NdY0sKDYIypxf7Kix46vMsv MERe970OHHffO4S+oHJX71Kn26/wBZ02wpD7eLj7OGU36GtdP8vuz9hPyC5Ma9Ks6Wb1T3vIr/I7 bgWo0xBZM2oGdFbWO2VOb6QYXsqaeBDzMh1DgJ4n3eCK54+0wJPqQvCXv6GXTlyIZzCTfKMPgi7f tyl3XJcdc/lTgkG6NRvF2aCQy9QuG4Frg02ib5JNgccEtLdExlC6dG0uwZL96yVVjjyVaE/KIUd+ +3WBJ1E8fP6gKDJlokRGC6vzv+b/ASj66Lr5b1os6ck+xbmxMbflBwfvT7d3+jmPyOeTK0S5TTbU GMDwnQuqIQ/QuMXf4srbkaxTdcNv+wcMwS22dciwTMM4YDQu8BMcAsogCF0MbuGVXDyVjOjbz/WZ 2luwWtnXazQeoy/P5lNJqJrb7F8lVskDlAtAOaF1oPgtDhLaW2QGQ5Jvct/xuBR4AK6WMV4gdqDC 8HAfU2dMRMVyhFGaLXgHo8UPI36zjvQOO0u+xOU2o9yLsCbd5mfTOWUz6XUldx3cWJJjH077kK0p On8V4m1TknEDiO4KxgBJqig793BqX84v+aDX4aQSWwBKgD85fQvpnIw8ifdGbR3Wsq3SDW2GnoMS EchAmIY+nkJ3CzbfWtqp6M650EBcnqf0hB6Ht97WE323BpZ2vm+KegGzciIjjkkxHFkR/H2yWwzA aA5tg0sdG33ZMqBMcVUNNkOFT9PlNgDm1DOn5hLippY1TpBdmInpBfoo5K1ZVUk6syYG4y/IZNOh 6SxY6vHHWXmv8suHA5vqCszNE4Aze+yBM5BFgRNccOxi7UDbUaqX6lHLm0DQkoofImh8hVQytiR8 6xHU+oYvueSfUX4rmCT9KN/O6XvV+JPs7WVhQW2z3rI7vlSnJaW+zpmtx2cpNt5Koj32an5Yr/Se lJUV4I+JaayA5WV1705AfOWoc0+v6nj5D63Uu3f4MvaudSbpwcwUEWu57v7j3GYQy7jgLoPZ3EFk Vh0IYMbAZYoTuhNXiTdWvX7d6KWiJDNR3ptXFY+l+V6hZmNoX+wJnWTF0Kb1a6cIYDvB6CKjZ6ns F/ULNtmFE+GaKD8VuhQa/fin5fmCautnmOHWxxUPSS41ndyOBxBfHd7kTwcNlX7dPizl6MdwZbkL SqOQxKF0UM+AkKfQ11TyqPVkPU+FCQ+26mAdKJD1ZYK5beUHhV811LV6gxKrUQv8m3w+21OEN9Fu hp9EhGhpbVOnjuBYA8XXAZX+bBco4LjwQ4xUjxFeLhTb79fR7vWIM3G3+AuSDWO/CbFfZB0qzA2H HkrhBSh1PxD6p51bn9ydrPdke7LaIVu3STQTZVvHRTyJsYMS3wdhGyJl5SxBlB/xFNia0YzvJJ7s nwLiN64+PhwZMJPSQpiXFzHMUEDbiwt5lqcF+QKUVSUCpiBJrM7SDs/7HyBhQFIATabFWGMyyaQC PkqO1X1yFg3YPEeHgN5MM6QjoF891pi/g+nTrLKRvlaROF7zpEzbesdFxKxNVGmHD1qe+zSq8RwS VDaNvohzVHnChlPQvxPzzHS2F5wrY82gZT642m39iKSf1qWWsfI2BEFpETERBlxWI5bVPmBlRIa1 LcMmev+13PLQfLTyZ6DoxY50OUUg1NDUg7Rs8+rZXWANd0bvKwklFgcklNao+f9CYcpE4QwIlmkI vp0UHFa+w7AdINAuumDWfjHWLB51i7HfHOCgrZE5Ffn9kXhV+hL0JB+UjAzvGTtWD1b9vu7aRZ6K JHhdw+QXzA4leAczOz/f8XWhTP/shcXEZsGciPhmXTQm1LLeVvgqJM6WZslDfDP4Rv5IwhrN5BxQ yrib3MTCqByNWYqJuw57qp8ZZa8F8WppOf2JwKzFhDHGGi5RIP10s2oJhKGll1XHjT8kFaKM061y 6OE0TGVD9DYHMPu0VRT2O1tztk820U6UljbMcb5DdDb0XwlbKMKfI/Jm6vnCaXzN0lj2qcM9ct+/ ty+55NahYP3ycuuJdpBPN/r/XOgtu8A5VN6rMLmY8+IlQ8UMDYWOvgyuVnBFWibIupuc1hexwwif yhwoHc9ZPeU0KIyfj8zvPrIsPThCRvB/Wb3c1IorYkGQZaoUYA+763iseT1vMmYQyABWJ29yWVPe BboT/8BjuCOm5Zxo3/rU1HqvbhkXlfdaBTvvqe4oZSM3C0P/1LKwvYy0T9drY1uVDwAJBdlU6t6+ mo/ilh9EXkou2pnr2T1wSHCnvNePQD+HsTiw/EqrTcLU8TlJTyrgbNM5odOZ2YfhDdNJzkueWtgS CDqahYgXQWVyYlKVYin4hVL4ClVS70PMxwaE76KLJcXmhlfiSKV6DSltVjvgXhZhIDmeYHIEan4W aZDBeGLDswd6BB7GqSaPFdt1hbz8yMjg/io/UllZdwPhgWY4bD//vxhATR0FNtHFC0Op+tDoyl/w TsD+Vu1BUaOXx3pFZuEef8/szYyKDGR9G5I9q36epPyaYFKjma/UJIGX8MS+Lw5bSYSlHHLj0GDE 43iBx4DEYSmoN/TVqej/5KQJZ0CaZidGr498/g48r6kcCGZeVkgtVRYQIYf5umFhsdpN1S8WyFDn xWxCY4JTIiqPhuIOHmWSsUyRv/uNx1tfKHIME+l/bmJ1zWP0WZQrjAMgxKQeekVZ58TFC9Erwi1h Xa2OCBXb3OTOW5LvLn5wKdVd8bFUxHU98EMLIGMwxD1fOjdOMROxT3nG6DJaGAJRa4d+cqxOit8v f4dIsKHf+FDaMgLWNEdLehzpd8tVxJ7lrj8Auhzz5I1PesTHfZwgBYUc2jQEKKNfuL9rYwikaUg/ YWDgbAsrOREweiEIZocvEMJ5t3248E2WUR4sPwJjJdACQnONvBhyjc0+1UG34VrIst73W4KRXvK9 7p4TkzEkY4v1Pjdtfox7h3PQkiVS+mcw1j1mx3n3NiMKyu8EiKIuorfFp4lG3V1HYYHscJdk2M3H ogmc8U9goMnxuTd5sMXwkr4Zd4JAgHIkrQp7G3VfTwWw7NSLv5utnJNIMG7Noi36p9b5QQySiLWi V5W+1gb+GQQ1jPw+OI/riNHJ4MiX+x3gkjgXpwprfsymxvvyJbdDOXOVAmSymiipIQUN5FCzq16i 1cLRXGjqST7NQED/5wOm/dhZXts/3D5+XPxr/98PpxHimZwdZlZPn6lQkY0xrbgImglJFYtEJUI5 MJsUmb+irRp8QW1OmgabPgGl/JyP5PUM1kSKoaC8achh6fSKixZSoF0pQMv9bWkQnUa2q178Qk7A zHoB5EhxCqN4lCtxsr/hJOcEOsy9kM9d52wMB2SoRL4ds0ZOdkIiKugSTOf1flD1I7YD04Y5Sjh1 eJfWrXndLHJz6gR9LgvTq7kNyadQtWLorn8gM5GbTWAyinnFGb3MHsy/4ELEH2l+4BktmAydGESN 1jZtbQApGOORQzLFz6INNZajgzaaozJNCr/f8YodsPeT6AcZogh3dpgTA6sadapU8rHMSSNi5I45 W/bC2GekETGgvTt3AvlbRSKYDFOegiSnJjYPkWFXGdeJvbmebvRSQOHv3Sa/TBSAhe6tAqskBOcp DRFsuPKmXO14EZP66RycaawCK0Z/zSnOwbAkMHkeWlEJ2jtyWDsg/Ca1A+TuO0ahcgLdRqMUGsI+ u7/66PUrpuDw4DuzW8Ie3tqmLif39pPPb/02Y7wuxyEbREGDbteFnQi0TG+29RNNXC1YYTtbC3xd k7Hxpxo2zweH3TzUc8jda5TXB8B06YGTbmPrJhJzJkRa5RoRnK8Yv6p25PQ5gNeWRgVFZu15ehcl 6CYcy6pzmn0bxJwDV6gWFUt/nVIN5jFTguQdQ6TyEMDESinEkkV4JIHMpZ2bsHjeuuk5+h1dgbBi JhGQvLQ+L4sPgaFwewT2yeCW83sufR0QTX9EyUmWIJywp+OMsg+r1TND+HSLXim/yOz2BgpYkdjg D37oxy4r7qwU70UO2CvpBhG3rNFE+jHNc383nTdVrtEmEWCr7i+8D83+PuojgVlX6k3szNK9GjOm khXtg5rya4Os5J8dgO3HGZaVaunWlTo492MypvlC+9R5c/4UcfNjQD6E2dyuukj7/kY86GVrNT/U AwH6KqVjvnIDxyB1QjRhW7JoeaT1G8K9kD3J4bYDARlgApp/6s+rZLnrCtVHfRgB2e/hFaeYmiPY BftuV6Z6+Gy5ChqUb6sbL+kf3F1sLjKf/8AXW5llA0iHRkT/XtTkKZjh06N25RYrXvZU6g2Jer9W Mbl91ztHxyopyv48W+rh+sV01OQB2enIvtDt9uwPnqEjnqVmbbnqTdl4cWANazVOrhoIjeB/c+QW gySzR+ZwiVNRFz+NN1qzAnlP7L/Bp0g9rYEMS3aZO1dS9SP1CeQohyThzAl531TbQ4aItSK77u+o boCf47hS5xHrYvRumz8VhxoAr2esr0XVQwEZ6jd9dALpdzivaJvTdBDCy29fqL2JrHT6faJugL55 3h8J93JFbo89CQNHrwM+t7VQNz4yZQ8B5fCEuus6WcaCs9deTBMUcOtGB/334n6HoOe3wptMQqaQ w7bmaPtAcZUK40FjgC/CM3kQe2CbHO7QxGLyto4EhWZ9B6MsH66d823AfftLkKdTHC373TVYwo1Y /IIsSv3ke7vguCSFoL4hIh2XDIbMIBnIwk7NX4YPWG5o8A+8Ip7k9oQ9q/D3akOSOGnhci3dQX7J MWFOpwp9dUOmoJoscP1116+XBpjJth4ay9p7jv7Q7qGCkRVU8sEJnzSX+4R2AlcGk0bXOEkycwWQ 7EUfzhPk2bhbml3Rv/qeLHihudLdgXmtqsyZHxxSJfnZlMmAcA1dQWQpsxWp5YUEYIaZ5j/knk7M 8zw07nzGSZzDsQnZbFs3iik7FzVXLgkGM9jM7ZmhFTqdEUq31S3p1rnSFVYXpJE6BdkiA2s7iD9b eOgAvr8Ns93gmj5wpgcr1JTJ5lbjxAqkEu+bk8yHNbC7tOa+9uWdpkBR2HZv3MDxGKDIpTCsnEjg 8pQuh7RVjBSlMQrW15v98jNYc/xIgkXzZ8ztrW+wfs+PJXNy45ZVDNd9k/Db+5cABAonQKMdU5pF pc5bnPBvQpnT0gcwteSc8TZCcHyD5Zy+i7Is0dsOe4DTLC5U4aSWxG4Q/xl8tDYvehzNSwsapLJC osVh7+m86aUf5ZCHnT/WPa8ZwgazokIdoU4hDj3H95kbYljMJKHL7xH+Jf8dTP+Y1DjfwYtw1rJR YPfBy/ffbpBPcCEzkZadO+EGWaRyQoiuLnAXrHUraKNY9khV1mVanVUHXQGRKKv0gaLPDeDLPQc1 gPxY6xnrO+zY7bky7J/qkFtGjOL5LeFp4OupJzgNrDvrkiIaW6sjbBsKB1PluNiGLtmY83TxV0Ey rAveeDAGiYywhID5A4ZfTo2yv2UIzh2w2b+9KLXzRZVrRP35Ixj9PatyD8CabCr8Pqowv+dTmMUU HM2Fm0x+18NeEWOCSwqXi1ollPexzSX4+WtKVedARREujB5oK+HTv6QQpGhfaG2x0q/5dpevLnww qKIf8f3xNmjiS8UYCD0IQ/EKaA3JSzr5+n/78uCzuSNuOJtnVEhujh6UQs2WYS+Birors8ZLnUZG dmeSYTvo894+myXqQfoKhwzd7ZzvGc64qjvnZfQ0sR8pqzd20AECBanVvrzLkdlT0hzc0sFybvNt /FEIokSqvIuBZt5KVb3CwSVcQtydS+ZDfTFaGBsv+jzrgOfGKGo4Hf6Vk5movs03T/NHZy/BcmR3 oheAVzrEsO7U3AUPksLDx1rk58beMI+Ww4OmX4gk6EXnhgUrYFK2urF7QpzJK5MYXnPiOO352Ol9 cDsPkcRlaKyd+7lS1HllduWTUu+O9W9bfNvtn0hyM65/RTYfO6H65GwYa8CoZdqCk2TJD14bn+Dj W8yc+4zZ++0UPG5OTrZhyUyh40+P4DuxA+zoYSxmvUtc0nMKpt8QlEt8fv//EWhtiu0/VWUgDzdG li2ne+lA2PVi8SSQt2ST9a9QBS6/9DTCiPbWvtwBROtAoZfeQNgJ7dEk3zbIouX6PLOakFv/5SYy Eh+rwoOREHF0KRXG+wss6RMFM/Ck9PiEw/VJKhsGCHUP3gWH1jBF59Af9Oie1rWig0v/7SKLGY4H G6uX9YBCttT5ADsBqO2wN1sS/3yjzoBSTXHBZI6Gy9IwQAcBE4MZvWK2w9t/moAHdmvg8Lpia8zT cRrqhAFTbQgygrAIwN4CXlYMFJaVTE8BXwXI1L48diye00SRbNQfZ2f4uw9m3PIMDOdZOYdZtSgZ gHgrDfDwxquW/MXSRgQRmPq9ELnYth0x3j4gVseT0g0ClCODTvMqp1qVQ9PaEWc8eysyp6WKtTBt O6aHUg3/vHqGkLBAo9adJem+yRNkx1c3q/BBdviRSLFWlgIJiJbmw02yg1MSCScOEPJvY217SInI qe4eR3lHyNNlDMOdAdpboPsiYjuLh6qmA/+QymKtv0IXtbNFwVioFOt8ucCsCzDQBex9Y51Ng75m iVFO/DDm5MBc1734nSb+nSOl7mhekks7IUHd6dTFcQJ5oKvp4G+lVQk0FOpNP8y4Y8s0d+VDsreh gLk4Wz1OjGtzoUSNPBPQ+CfTN+P1P4SITsAUfBL4pDr1I/xGBLjUcRV4wqCWoTuxDlzvvSp79+tX 4MqRUn1/LLTM+u+J+VRHz5nX9YjEdv4yz+KeNPGCDQSesp0f24JLs5w8snQRpZBrnPe1XJBD7G5k oubaqo1DcDpYbz4XpMLfkuMT2VnAr9ULMXXmn3tcXfC3L8XQ2U3zbC4c0oSlXWQcWs0F2QBP5nXj WdwSQjL6nlHIkRtic6ykdwSHt1xTeQQ4uQ0vS/5X5ee/N2e+tkWJJUkOxiYqLrVr2xrSwVKHNYSX 18LFxurI4kVqIY13vpzvHYMcR2ax0ByUAl7PGdLjqDX2cwsS4kLO/w7aILWp/3kWtHiJRJ8jSk0i pGgv61Xp9WCdAHPRGwQ6MN2ScrGKD45sIIXIMc8QO7weV6iKOYoi6+sVx+dcKYtW/MDwEm0lPWvK +sX1x5Lw71RS2wX9gcont9v1JZPvQ2HxGH5/zlI+gjRORERA71+IcPGM2TzRF3YGiVWO4+zXgT/T 2cHN8G9Grn15w/UUtP3Wp5tG23qOiaw28aPNabm7aeywK9P8eHckBKo0vuQxXszfgs/k9t6zLyPn gXsebjGjxjt+1+NfmpxMVd8ZaSEsJOLpBA1dI6RYzMpguyqKnO7Hvo/9McLfKKPjowIr5LnsNbuv 5SP+/zRRjZtLBpQyFTrjLMkcbLCWe6mmBj85+q9H0ZdXA3lpnjzRjS2bW9Zi1w1o0THPPpM8j8sS TtdDczcauVrT/7kUr8mumrYGI70a9F1MUy36NLwGkwcVoEclN9a5E0Cy4uHV0IK6Oa2huM3SWdMk FivPCa5Ug4USxLH06K3d6hNYnE3yHKdaU2uFjS1LdQwmb2p7Fj8v/jwESdl6Yi0pQPq05pYxIxC+ 16MdCHmzEMh1ONiPz5CGtdBpn2UHCz7ybIZAWX9oiMhCNVVtZndQs7C21awx7eZgtYW6ox08i5Gg wmemvvPatYn9vCONzyZIWpo8M7NQSMARQNSJYsw+UbVDCBe+gr9EKFKd54oCacA2znQqKxzwNmru EDKTMznMiZCF+Rp8NupbpzWaJ2IKP9Ttpw/tqzHuh+LXuDP8LDFj+NvobsuGPq8MaE9U2Lqb5e+z DSrtUvVjmnzkhMBRERd7yo+eoxfeipRf6oFRmKnQjAPC0de7KAAgRZ7n+t3ux9xhBPLI+fuNlb1F jnXNXc6TN3Z5Qw7/rxfnk1NAjprPARVn5Zt7Y3NErzDrqqsfde3c2Wka3uxVqSbDPQKYpcPyBrcM DiUAEEB+AHbUJcMXT9EygEObt+DwT6RhwwGFgteY6bA9oFW4knmjjBNs6ZBmTaw9ckuowtPtLuRw 56/hgLsjU9pw98KILlWjLelD/76NmYgqlzG4u9xzLtP3OhAiWOpYMjAdF48OYvyT8Xtn2mZZJ8r4 pCeDllfh1Hyi4FWQidod0Bnx/5Lx2h0lkNGjk6AXsBbE8akhjy6H/RFJb8WCsmxMbjfjKZajlBzI +N0F9VL5bNJiHB4pgnDqt7Xwt0KIHt5KATjVkULtJcV7u5G8mnVkZQ+BujaZnqw0ph2zit4R/aIH K143HNf6l7aXbnuKARHkPcFvFAV70fV1x5BpGmgLhNQ+UKpPQoBqYcKgj9whDb4zy1lXnTKyyiow E+Egf+SznzDHD8gSzDY9HUdE7Z4O29tzsKrLiy9Q+GK5GZ/HOqcBC9VXlr8EsJiIDccsMtbmHGbk IAAlT29vX6sbzMlWW+xjWYiRDDhPsCL4Lzhpl8006O29ZWlt4w8Pe+NHAnjPVYkG3dmx9i5YxKjF mVia7Dq6xZZS6I0aXnIGZ5Xd7WAOUzL5jHXBec2FIjW71kK67VaMvOcZfv2S/edYx7qT2Oeo6LPf oPiU3rQPfvFPmog9dexMQ4Y+zQ/kGme42xSzre49Cjs0/Y5IJJK5+G4QsOhSVKfIkS7yBhfSMpR0 K/LdfEJEkEqrU9ycIy5ii4JdSn/o+fokMA9TNzalR16j5ERT++0E7qDJj1nT06BjeodZZPZcdmMb 6REm61eYpqx1B5wQiFILGckyGIcVYlos6ddyq9t8EHAaXbV3gDXE9LP2KNVy9Kw3Nc5rkP6dEeze AifxHs6GkKA+m1IJQ5nKYDL8EPpi1Hw5eappE2ju/RjWONJvvW1Jg9hn6Ht5476Ea6HXdbhj/1mB 6wscPW0xnxsnXJpeFAYSUQyTzusUx5UcrvpXapK02G7ubbEkGEOKfBCe0VS1sg+5jSi8wxxT8D/8 O8bgpj3wkT0Yrol5o7ObNPHotmXmjDC9CiDnd265W2vXIDN5XG7tIgESJw6zgJ2ZY0B/zLynzVG8 Lq4vXYJv8sDisAemMaNKTHJIBQhfIlM4/MuvASI63PNbP87qUrVwO9s9B8dIa90XPLAl9YEj6t0A H2pbeJd4yj+WKPIA4BYIsCepiRliwihZsLpUYlPSBzBRFK2ktRgksSMKKqPF8RmvpfQ7QnWCpfkI PlzRlw0NKPLYK7jJjYgMRyuG2Io0uyAtW/f3ll6IvzyF01dIgBE+sLhZOONRQwYVkJL+oCzQ7X2S PIar8Q8TVK7Cc0EGaaT9Zevwx02iGgq8Hs+gfUJTnzqdDH6gUF27P6jOI3td5hEbAmHhztQUoKih mOpZjAja8Gmt3pec4P1F2fHGykf8qwtaSWO4kHrzdvgcenM0P/lXMTmUOoG3CL3Ue7prwOQl1FWQ VEszpq5L8DUrqegKsA2D/B5NVaGVfDCvsICdafDPcmNE8uYartlYyWGkyRcnq177KOs2kSebQ/zn 6kEpuyqUlLFpJJQadd1QaDB7iX/atUA5hsngYHajcWXPW0GFit+u7l5cPRubg1PfOy+M7yTa2gEM LL0zQXIrO5vU7zOVbcvqwYnClgPZZJbR5E8LxIq/hiFdTBBkeNZt9gP1uKWHGJcO9arVYIIpN2+S wo2oY0cCGXOfiV7HQ4cNGniJXFTftxrPii/n+1elAXIWoDGf5CGZyJWCIYx+M4xJxv9aihnz7vLz JJJkuR2JEHb/Nyb2VMOODn9DulHAYvzNxr37zxEdDwSc7X/YLKQ3qgWx+ucdiNnp3t6wmZ/CG4bv HzKS+lhdgaUv5sQ+uYX3Ax6DBoHSbwnGrqFdg3QksJzvhUnIOoVXuxRYyiF8QLxSWSyxnjjgrIUU XUEkprX+CbIIwRGw/yOGgWhaoNSzgB4BXGtMYQyML+CfwSHjJKKzqkWUudaxCGpEF9tIS0vmE+K/ WO3Rx2gszKvKz26I55pVLmqCVUXEXvaFYljOkAkec177X74OKUje9pj4SqGD7EgpKgHg5xWvyDQs BrFOUVdTFSvUdNtVaU/+yDIBikFYb56A9pc+ZqGkHB+zk/ATm8tgLxn594N46I5rUEHVVxc7tPiJ dlK11oOeR7TJPWUeeJ4SBq8G0uWzQLLZaWdvqVN2mLt8yRYg2VD0tqMtA4lZ8ti0gevYFG64At0V cGcAHFh/lWA5Xq1uYOtSJoUWoViNNzBegoW2G8RM+HAO/reMCpiQNXeE8tsRGTy7p2vM9XWggSYs gqeXu10vk/MOJj8m7mhyHPd1xS2vF+n7AaN85rLNMOI9vr2LjDp2zjYRLD7USy0URLKPcVf0IBXn B4XBcf2g3TNbJbasIo97PFdRVvohh5JpiNtT8cGjDaLb2BENFg/xJJUkGzbLwz4MQzI+55qZWCU5 o5D6B2YGgkKsdx79Ams91Qj1uC//Yd1pZ8XHTCNbzuQ/3NkFRZS2UVuPnC/FpJROBxoFo+P2gFgY nl2G9tCi6b6XVPnQyHFcwGmSxi3u5b9Oy+I4Hh8ZOqgnTVA+wHTTjtBVjkkGm/auVuiwKP8CnKlK Q29p5+CNoCcTHVyQUq6vQH7qGu3U3VkGkZAg2JngQ/shVoXrKXW2U/bpMnENjCXZKELlvlMJSkFQ vVsJJv4OGcJxm4ps/UlsigTh1dy0qSGWxf5BpY3Siab4t+dQJhKnl8SN02cMeHDFM9EuuieCgkaY EduCGmoSdK2ou+EqPFRTsPbRDYrg3Dz1RFAq6RayakVmg4DMBOLvOqOhGfh79mbLhgupu9l9d5XQ KylDqaf0uWDLTxG/MOnGu8dgolDNO1ALbjKpnU/k7B+83IbiSLWEA3oJNDVLHh3e8LYvK/l/ibvE LQD8FtELa+m/TCTyB/LTPsfUZmcK8/BM28NmcWxqklWbDXqAqB2FJFbo2Zd2zW25BNIriv2/mI2l UaLe06JBItUt7QPmmbf/WByyVU2uT1ZuraHqHZp8SuH6d9748mdVl1IE5rm5PNi0X9vLlqzgVcp3 VtYXzKVI0EWuWTskB94NX0mDHSldjkt42vpeut7b98vteWyyz3d99LP+8rcMG4u1A4cGP7OweUly yLCWoXxcd8XjgJPmzy6hvjOZ1qACUeMpmTnhJxvRkiGgjnkwGhDFYIFq6giAkRQmK++QiHOUEeax zRyBTwZNQyCzwpOeFe/Ai/JPK0iWHeBTAveO+HH4LJbMBfY5OasCZMUPbXlL3GyYFWE32pV7q114 T9ww/05jsnRVgoJ+SceO3TEN5oo2wyjDenI1zGWfMnL83mqfv9MOYLzBxsf+4+G+9QwKcJvZu66t 6XqW0HPJsdPHhZJ/douKw07x2DufyGEDLCQbkBDO31zHf6GUEHa+7oBd/a4O1kjYf5GXouwXAzM7 hJjoN1To980hBfBnM6r+FL3x1Zxu3Dn//ARFAHNwWNXOiZfKAas6IRPzmsDa7v2rZBBv4fzZk1s6 aR5Vi8e53K8mH6RjLiapnHWnj1HQbmv/BKc1wfsTEtL5HkTMmAnkK88FrxhlEW5TBdQ5TpJK5zf4 hYYiBT4Gg4lRUl5IQr35JW0LeQ9IZ08qKO8r4c2ucFCmuyKBt9i0DZDZvwEriBICkqti++xdT1oo WtcO/VFmGdE4Lu9Qc3cYadmpwblb1GeG014k/xvlvAxK3eOohtEQO2bDqLMwY6Ggz0m1+r4/Xo85 nv2V3PrAEztoWbChLjVn8lzd1UX1Glu2IByKyZB0z/Qg5icSYMn90iF5HTewcCF/zyRrDnfYTAAJ Sn15LfW7X4gkFBpBQDfQph/gVmt6ZUcmFSvTFZnQtvK/A/EG7kw1u5hqEu0OJt2xSmHrMd7AO5jn +OryycYlVFR2IGt5E9/zmy1Wd+mo5J9E+VgvpeZ5H8OA7QcnU4kQUeTR7YGLvKq8cFw2LeY6Lu6p jpuSVmkpsyQ59gMI0TvhyDfQSB3bnWqn6BVSaxR6/zXP5Lgr2txKFRLJc66lUoxw0lH8KYgoC3f+ 3eNreM+27vK6PNwzDEtUwvWPGtNc/ZUtFg6q/iZPQwjAO7x8DrmLUk/WHOpG65qOztDFVVi56pVX ypPO0Fkb7Yzvj+rQAr30ytsb54gDtIPwPRBwJ4LdU3ssDd9u/9PryanALMRjC2b41YlPK3Y+rNPh y8jCobb4z23Bcco+NkjfREK0EjGWUlZiPqOVK5o2c1SqWs3NC2OpBLm/N2PuDkybnhcIMcEKhvdi ryZCPttSv495Mw/j0leWh6WbtLNBpqL/0CNj6BaGAHBFjFJtWomsz3kKBic1qG/LK9MxRitHfM0v 9HiLAvFQIodTXsaizbgd5MLGFVu8N8kHbSjbX98QW5JfyeGS0mw1EuPCxNqC+pxwODkF4aTHXqv7 1KOpUu9wRY4omqP1whyWFIHqtOTlWR8hpE2xuI0aiX3WyN3VRZlzwsDX7dx+eXgzA0QW5IUfc4X/ EakXQISFC4Ntn6WLQssPZ+TEOVUjwAx2/flikTGhHOnvFlN8doznUSk5fvygJB5L5XLhYEYLn9qn oysuoV79mJIISFNCl+8KWolCYK59/DqPF+ieQUO2Nk8w7TfQ3mdQncA64fZJHdWqIGmvMD1UWjAh XSlV40O0BJURYYNXM7lSm/9rDNsnLu9gJZj9S7cwTDVN3UxKx3i8OPchtOiSWyGBNnPSTgTFDO7V iz9ig+v8P+3DXzCRGGXbwRvVBTCFlLpH/A10UmFpYiyFUxYlspPqClHsGx63n4GraYuisg19VZRx PCGlwb/uxZ39FGpb29vRXW0Rm3kbF2JGLejycB3Yas9jayJ7c3mlowwA74GnzUnjQUL4mASgqmfs DWgsA6qcnafwkmNMmqrvgWDprcIGYtDcuyHqNR/s9g603HbQKy2SnRS/kj/+xu5Z6jnLL0+tjxLL kYz+2/U7x1Xp8cgOIskGuOO4U8G7bkbbovCn38BMAktJ6xUIpXHL+WGR3aTJVxPEuPjy5FDVliJp urrqq9nD3VTHSmrrgTiC0533XJqdViZlsfdL6RHPfZEx5MHLOffV/o+ljeBCWPLp7sQ+vETsD+HZ wInQP0WyZgGNqlCDhV0vitrBSATcRv2Icv0v+hpSLUn0h7qDD2lBIXlzDNifC6bf3GUGETUsQjJT b+UrYBaBLoiwalwTwi88jC32sa8EhmCkwWJVVFvXSU9Xd7ZOtsMJIayvsyx950XhOnLESI1Js9Ki +1DtculzHrZFVmG6QGs01oKRh1pZnPOETD9wWIsj41/PwwBnrcWeGMh7uvd+B7DgnysY8LO3t1IS EmpWzf2IfsflS0nohq6wd+sXfIxISNItjqM4IreAZWb2+CYkR+E2cqgD6DvNUnd6boHCBM4sKDjt nsUpP9oz1BpQsH87MLMrBsJ558o8sKFgH3xuzp1NeRSDEnETKrisMI8Ala6HVLkI0rDjwkfy1X3V LfCo148jID46G59Edmz6fV7z6d0pdeRgYl5dXvr4tV2STctlyJlFNJOAL/7NeyqJW4rYu2rChpkh aP/ra0d7G2shsHAzHuditDltfJxpJxQpnivldBWhH59P20LIrPZsjiTf0+0hfvWz1UFpcSKmUjjc TfuqJwy31olJeL/9UiF7f5Asd5lDsGM1D1p8bvLzetPzfdJKstP//hcoihjXNcSDyU36a2eVguAC 00abPjxtTyjx93ErSdc4HM+xBByJ2xpaqVAyYgPE3Y1H2oqK68EgkR5X9Lzeh3SzKbhVsMkfGs/q H1t/bg5D4iyjGrzvYDQvEYSglW8W8zsqjZa1SzvXCGa+u3yT3m15Bahi6SsLOQukoUSA1ihg84jX 5JRh2HhiC1lf9j4D `protect end_protected
gpl-2.0
080170c5e7a3eb4b098058cf80044f51
0.944474
1.832509
false
false
false
false
skordal/potato
src/pp_icache.vhd
1
6,426
-- The Potato Processor - A simple processor for FPGAs -- (c) Kristian Klomsten Skordal 2014 - 2015 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.pp_types.all; use work.pp_utilities.all; --! @brief Simple read-only direct-mapped instruction cache. entity pp_icache is generic( LINE_SIZE : natural := 4; --! Number of words per cache line NUM_LINES : natural := 128 --! Number of lines in the cache ); port( clk : in std_logic; reset : in std_logic; -- Memory interface: mem_address_in : in std_logic_vector(31 downto 0); mem_data_out : out std_logic_vector(31 downto 0); mem_read_req : in std_logic; mem_read_ack : out std_logic; -- Wishbone interface: wb_inputs : in wishbone_master_inputs; wb_outputs : out wishbone_master_outputs ); end entity pp_icache; architecture behaviour of pp_icache is -- Counter types: subtype line_counter_type is natural range 0 to NUM_LINES; subtype word_counter_type is natural range 0 to LINE_SIZE; -- Cache line types: subtype cache_line_type is std_logic_vector((LINE_SIZE * 32) - 1 downto 0); type cache_line_word_array is array(0 to LINE_SIZE - 1) of std_logic_vector(31 downto 0); type cache_line_array is array(0 to NUM_LINES - 1) of cache_line_type; -- Cache tag type: subtype cache_tag_type is std_logic_vector(31 - log2(LINE_SIZE * 4) - log2(NUM_LINES) downto 0); type cache_tag_array is array(0 to NUM_LINES - 1) of cache_tag_type; -- Cache memories: signal cache_memory : cache_line_array; signal tag_memory : cache_tag_array; signal valid : std_logic_vector(NUM_LINES - 1 downto 0) := (others => '0'); attribute ram_style : string; attribute ram_style of cache_memory: signal is "block"; -- Cache controller signals: type state_type is (IDLE, CACHE_READ_STALL, LOAD_CACHELINE_START, LOAD_CACHELINE_WAIT_ACK, LOAD_CACHELINE_FINISH); signal state : state_type := IDLE; -- Input address components: signal input_address_line : std_logic_vector(log2(NUM_LINES) - 1 downto 0); signal input_address_word : std_logic_vector(log2(LINE_SIZE) - 1 downto 0); signal input_address_tag : cache_tag_type; -- Cacheline matching the current input address: signal current_cache_line, cache_lookup : cache_line_type; signal current_cache_line_words : cache_line_word_array; -- Base address to load a cacheline from: signal cl_load_address : std_logic_vector(31 downto log2(LINE_SIZE * 4)); -- Cache line to load: signal cl_current_line : line_counter_type; -- Current word being loaded: signal cl_current_word : word_counter_type; -- Buffer for holding a cache line while loading: signal load_buffer : cache_line_type; signal load_buffer_tag : cache_tag_type; -- Causes a cache line to be stored in the cache memory: signal store_cache_line : std_logic; -- Set when the current input address matches a cache line: signal cache_hit : std_logic; begin assert is_pow2(LINE_SIZE) report "Cache line size must be a power of 2!" severity FAILURE; assert is_pow2(NUM_LINES) report "Number of cache lines must be a power of 2!" severity FAILURE; mem_data_out <= current_cache_line_words(to_integer(unsigned(input_address_word))); mem_read_ack <= (cache_hit and mem_read_req) when state = IDLE or state = CACHE_READ_STALL else '0'; input_address_line <= mem_address_in(log2(LINE_SIZE * 4) + log2(NUM_LINES) - 1 downto log2(LINE_SIZE * 4)); input_address_tag <= mem_address_in(31 downto log2(LINE_SIZE * 4) + log2(NUM_LINES)); decompose_cache_line: for i in 0 to LINE_SIZE - 1 generate current_cache_line_words(i) <= current_cache_line(32 * i + 31 downto 32 * i); end generate decompose_cache_line; find_indices: process(clk) begin if rising_edge(clk) then input_address_word <= mem_address_in(log2(LINE_SIZE * 4) - 1 downto 2); end if; end process find_indices; cacheline_lookup: process(clk) begin if rising_edge(clk) then if store_cache_line = '1' then cache_memory(cl_current_line) <= load_buffer; end if; current_cache_line <= cache_memory(to_integer(unsigned(input_address_line))); end if; end process cacheline_lookup; tag_lookup: process(clk) begin if rising_edge(clk) then if store_cache_line = '1' then tag_memory(cl_current_line) <= load_buffer_tag; end if; cache_hit <= valid(to_integer(unsigned(input_address_line))) and to_std_logic(tag_memory(to_integer(unsigned(input_address_line))) = input_address_tag); end if; end process tag_lookup; controller: process(clk) begin if rising_edge(clk) then if reset = '1' then state <= IDLE; wb_outputs.cyc <= '0'; wb_outputs.stb <= '0'; store_cache_line <= '0'; valid <= (others => '0'); else case state is when IDLE => if mem_read_req = '1' and cache_hit = '0' then wb_outputs.adr <= mem_address_in(31 downto log2(LINE_SIZE * 4)) & (log2(LINE_SIZE * 4) - 1 downto 0 => '0'); wb_outputs.cyc <= '1'; wb_outputs.we <= '0'; wb_outputs.sel <= (others => '1'); load_buffer_tag <= input_address_tag; cl_load_address <= mem_address_in(31 downto log2(LINE_SIZE * 4)); cl_current_line <= to_integer(unsigned(input_address_line)); cl_current_word <= 0; state <= LOAD_CACHELINE_START; end if; when CACHE_READ_STALL => state <= IDLE; when LOAD_CACHELINE_START => wb_outputs.stb <= '1'; wb_outputs.we <= '0'; wb_outputs.adr <= cl_load_address & std_logic_vector(to_unsigned(cl_current_word, log2(LINE_SIZE))) & b"00"; state <= LOAD_CACHELINE_WAIT_ACK; when LOAD_CACHELINE_WAIT_ACK => if wb_inputs.ack = '1' then wb_outputs.stb <= '0'; load_buffer(cl_current_word * 32 + 31 downto cl_current_word * 32) <= wb_inputs.dat; if natural(cl_current_word) = LINE_SIZE - 1 then wb_outputs.cyc <= '0'; store_cache_line <= '1'; state <= LOAD_CACHELINE_FINISH; else cl_current_word <= cl_current_word + 1; state <= LOAD_CACHELINE_START; end if; end if; when LOAD_CACHELINE_FINISH => store_cache_line <= '0'; valid(cl_current_line) <= '1'; state <= CACHE_READ_STALL; end case; end if; end if; end process controller; end architecture behaviour;
bsd-3-clause
7e66be2c2e36360aa5fb8b32ab462b32
0.666355
3.041174
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r22_memory.vhd
3
11,340
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NWUUcHF++gPd1M91jO5tVp2UVTJtbkxv7vjpmN+cOcEtzO/VVGFy9Mw3vjRKNl3yKkie9w53hC/G YCjq9cuDnQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gZcjuisOeaFThXlX+wPKx4VZwADHlH+L1heSC7tnuue8oJ3ufsrsE6lP8zMeiU10NwzFAOfdRWKP 9q6iMWkLBZ1VrJrhl/QLh5/VLzBfyMXkAeTjmzCYX229KqFzrWRl9EqID/CyMIMxYt8R4nRZHz8U jLTUccn7jkoHHaXVl0w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bpPeqLBvSpWEq0POQC4QSW+gUBJqcnAZJ6IlPXFbBVD8WojxJt5wSkD4i9u+bOh6aIFKKYfr2Nel QbAKMa4Czyy53XSJRjg1x5EFO7+RATrYS2SQ+9CofxuTMF9mmvMbPmK609R4V1rsQNtsRMfWg7HN ySfJOLz3YQ2l2MSCLfbo5qZTdtiNasfGo3jEN3UUIBHgF0o44Nsfbp+kfm9m1zFpEqizlVsIbCjh 1g62JcTbFfZEQ8jozx2E4BghLZDuPG9BMb8mwjnhQflUg/H9U+04r0nfPWHy8PBWvxXi2+WMXdAm 7Qw/7ect5hsPqP31oAj/g4YkcVIouE3qn0BKmA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block q5v+/usmjJbABc5PiqKUaY88cmlEYVbAFmlY1a+I1OPB7vNfi5fa0f49vHdxNQDpjipm4yCyR9Id xDywwMLXBOPXf84xfpKmMbkv7UPS/Fxd4/C/qMVztTQIUr4kx/B6vScetui9ZZfe5CM2IZ+arUcc c4b1yWutnBv/n58vGlQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block o9zslxHWyiQ+srNsAY/YIiGNaBYV48o2tK1jW/Lo5nnOLjojutXGq8ZOHyk3AGyamkBiJRA+bT10 WpWG/hPxFfQ1dknB84GwymImPDh+O+CtsR3Ijw6nLLOzZlnXS9bgP6I4lMQZG5QB6nyVGLcwHO+i CFiBKyCSK3sov3LG17F9XFyfVrMBry7VDzbUeg3pSY/qmA4+6veuv07To56a5bFvnNBOxHQ+5ZCS TB4y85XJO+dM98awI5qvxVE0wpEJ82P6F9jA8XolJGVPdOMOsGh7pE5D1bcMx5rJK+ot9MY9w/j1 f3uEWlrafEA9P+KbxnAN/LRKKV1gVpEzjBKOYA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6656) `protect data_block mysRqpbFmcEKpM8BPzGYorDjr9jbTLasHf43suwGEQPQIAU44gwOf0UoBk0QSBmYrvAVBk4cziz3 YLp34p6V44JpdsbV3aDd7ld580Ppl6UqyhvuImcaRHCXkhI0z2nHRQRNJ5nAEDk4JUM8WI6HtDDW zj6DGZd8pL94lAXEGak4QNfEIiOQM/25FsQQEeQHokL5BDyqXtP+WwUsDdoSpQyTIlP3zQgECkzd UrbB90xZUdypwZ+6Ts9d8oo8mTIcUAdvJUAAHMyW6ptbuNLYQiRRPfzXnC4LvEaydD+7LwbG0GLU WtZ8a1UOURw3fvPWqACVhzPO+DFHNF7gawNmcf2gdtCx2ZdJ+0awnsrOZPxfjstJfFYXPKVuyCF4 6QhSyvDOmVPMpULB3bxpBsxHZh0Zw1+spATnsCD1ei+365wB6fKMx/5clWMFXoKThZ4p4Ux7yvon kWXSpDddPh6eeSrilC5KjMGvc77LUabGo8XSMlqK7InmsdxCBCIAKuo+kidsq7ycROKqc8SrBKMn Uz097UcWQ5jecLpSt+Uxl5+Zm0fLj0zLXiWZH7ckxGcsFiiTB0dVxbYNfnCVUaT4gQsNDRbYyQIX msMYTZa8AqCnujFKFhCtZV0OJB8+6k7wP5er6V1d0AgIAudcYCJkpo4tvZcb0Wr2O+Odzyv8WSkO cu9U9uUN8nMOTnqZI0O4CGsK1/VWooaygVORa35KkPEBBrTgGHLwr1eBvy/10dh+8I8pBwFZSEnZ mg0EXzrsFydQKpG8H/wJtyDYV6spjIUndw/cjil2AIdpYjfpMCjxGfQcKAdgnwpY7ahN08BfjfdR 4sLG54bjorhU0a1yf6C/WLP+debibvS1TpW0imEnES2z6C2CK1PplF3d32LtayIF3E2crMyrNThL sFZXHIHg+SbcpEvkvUCTbt7IES4r0X8DKOwOudV7udr1WkzwX1T+onNvlJSRO8e8cT90gwftCGZz 9jau5iVGdYw6t3r8T2tv+j2EqG2H8EPtLxmueG0CtYd5ajgPv6KpdSM8HixUbfq1Xh92pobCUFcb KmTrzPBDQpkd3WjiEDnfDtg3lfnMm9E+XPpxmi9hOt9zIfOVpysuvNHTaLWiTPue+3D1ZP/qln1g UYzE96d69ZVjndlciR+t5lixuTWEL7978ZWEAF52UwaJ0AYIfkY9RrpPrsjlSx6gF4OHpdLWte69 8THMkHnHoHrYe8vu38vSAS7p09LSp/4S8PfwPe/jTLijicVpI6xa2I/8K6F6fpTjyIbMbijiRZNC eWTj1ABfvqn7RtUgXQUF24ysRe6MiFAvLvOezcSi9oqHd6bgMUZeEP2el+VA3r6tmGSIPyZ6QBz2 2UpVkRKZrBQUpT14F/mZN1aWssYuTLwMcSZuFsdcvr6O6TrvPjLodYSltLnZwLrgYHfFdbL/9op3 pEKhRt40EsivEoJ9HfRXDQ++cokX6gOoDltvaslZBHz2cSfxXBbW5pW1QqcLRgDcLBPBuwWxLNtw HZ4EtkyAG+X7VkqyoSAtisYM6HQ1BXa2wT524kJa0byZyB9tzJ3XTtHzZQcpFstsKHzA017xpqXB MX0G1Tii1HEUjyZbOoY6kLk4O6x/FXZuK8rArVIIeMolawTJB0jKcvF8OrOe0A3E+vyKtCSizXES vS13y8h4p0fshcCksyLhDwdkAWrw6AZvnMWo2eHwq1wlWKLW4LnJYjsJgfSLayDlJxLIxpn2j28c zDTu0j9t8mrVd2hvHE+SLgUCU4rwsImUaG/MKpYqA4q8Ndw92wSoCiiGbYSRp57jTYiuLtonz/0o kXudtP/dnucuGGIwS8SP3TbCTmZy2KBK61+e4jqY6Gp4U6S/W6i86LdN5kCPmfgjrJZHcDcz7fiN fMSEeCAa6w49kQB1/xCQpLEHJxmFcPSvzbkXDwJwGeGvztAFm+spl9Ibp5RQRPriokpPH5X+Ihb3 otWhdQOjiX/bGG8ANksV7BXEcjTATi6FGdKF3dreN9LLWPhbC4zOabAvAxrPlCqEdJV/5seKZdhJ UQfxKWJdusnA4bgTyf//Z/SynhYECtkp4B3LEA8HHvp3k+1yj9E24uMihR3g8CihSwyoiJyVfPEw 9rh3vHeOiOrJVJ5x7NEui+/f4A4uFwgJCLJJfKlzK5GkUFl5Pij8huHYcv5WK33aI6QjUo87TaYG UtpaJme3L7CwTRSCX1lyLKtdl6nX6fne1wduKj72ZDX7JDzvR30oUBlndDUDjEnkoI3vYuyOB+wY TKqCphP4Y1w5Mp2SysjvhlBHkDvcXhvLYXmRi9XbZ8mTz8WkwubmKOg4igxa8UnBbE8UoAVAjppF wmTxiq0kj1vMHg0XNVy2kfPXSq0ePGGy9Fp7KK6iyHgoYDrOhWTRG6ODvyn7CwfS9u/SFJay7LLq uwzlgOaPLIPwg3xVH14dy+zNAmWh6ZO0uSUhZjQmF4ALTKGeRcGOX5V3hh5Oid5CAHX+U/KQgL/B CspJKnfx8Oovu2VcFq0yoncQUBUD75zXK4EJV36W7t2dfD6K+/MGEsGdzu+7/RW787ERFZUkaThx Pw6bMrIz8dov1mRXgMyY3IKLfIXzdb1xQiT1RqKI+ILNfb1v+oUotB9t7Lk1HoappfjE1KRXAMFw 5r+r8D4IptBabLF/isD11NOeSXxbmMHr4detGeXEMK+1BuBQHQSaAn/kEEKnQK71b5JG7unIqSB/ rbSPd4mMEhNnlVeYK/D+qf7COLxsSQ5CGhlzedxUzodKjGvz1XneuXKPhXI/SwxJ0s62ZQjYeQaV HDJOEMsNJaztrQ9wMvcWxGlrjPq/K/EAHG/QKdpquPd2+exR951wvkJI19KUlatSbSIZvBLQx74I bOZLOyktSvj82f1bffMpZysSMaqjis321ZitZY1Mqf/SM4WDPFKHz5yNbTiZssZAukZeeMPiVV6r XYyo+QEbmlnyPuZYDmKPyA2fGR7YUjwWYbyQc7ddS9ELDzbusTwiRA4f7hOHTR6GknpHD4GwQnJZ yJnkR0WeoyzXnoskt1j+58z4VLU88etkWc2L6zMtA4f/Ioyltkc2eXpelLTEtngqgtQsIfKBu0RQ igLl7RuSO0fKPYsXJPatjyDpwOlPXudO9o3/aX2KE5VbZFR6aIT3k6nL26touERrDlkN5c3RUbRz yemAwUDLWqHOWpTLKZ7XveZid/htBz9XjXLxuhSt49hdH6PCsxw5CDb8cRaGsZzikHsND4WNuN8t Ge+z8QStEVT2tNUGQCFyOCBYqfI0qk8RQ2L5kSuAZg+C8YgoIjg46Wctza7XEmUhos5SBh3SYbMQ ND+VnS1PLvD+7RD7UC+bdRhgUO9SosIbU8jspUXwyRz3sQ1QlzbPevPpSHhUo6plITrLVSkyKukn dZUpr2AgJF+0cQHiXh4beSkGwe+VsRtDkBir8TtCVhW88Yc7A69hADw9Ano5JlfqClY2/eV6AQq7 cj0nXYM5ScTP0E7R2K5ws+jbZbQhy3lUc+z8kSgpwGt7sWzweUjwqBF7gN+Mpui8wNsIImawaycm X/0qs87gS7SoEMH0g0uchgAEgfB8IEiwjsROAcWtW4qWPItVapwIj6sEenKcgi4GfbQE/0ALOxT4 pDmYh1h8GuamVWgiOluh7LjESlbSY5vY5frc1hnomIRpt5J6c8ZmPfAnLGF4K9vNxDlFkvWMUVlr jPq8lfnshni2ov0RzWQFq2tH/KAv13IetljYadQA8STyqdBiugolkEZvTOAPbi9mjx1rwsik81iI TsQM2k4HbmkJPFtglORrMc5JLG2METR/oVJlsT1+cQCcodTyOuotqr3xJjOQFcxXRZQt/7hk+mT1 3cLxzMsrQ3hBVezhJAbtwYu2GQ1f9ZgYv3KMldd4zxWVjHKpEnKp9IvbxAL9JVbBdEmsmnXGCKXF XYrtBUnQ3DRgceT16Mh3pFxAPVws8/zY76Lk9f+dxqQqQD43RxzGmZF0OkTBgVz/y/2yKDXJBLc7 esAyG3oPaM08AtOpH2+O9zxepXp/FL1GvX7KuOmU2yTBceXHptXJD6bQEkt7U3KIsyxJw7AOUQzN 4/tP6nmJWlXpbiN+oj/NcotIWBgSvPqnnMaS/bGmq5me0X3g3dgKrf0su+5Pe2MK/L4UbEtCevRT 1gifYaakYkKNp5gs323h100MlFd/kfMN0kbWWpTLuELkCqbVMcKvKO6WUmr9S3vQ13U2alTMF2hK HoWKAV5RDN7kb8NOLGeNzt/co19CnIpTslDrc05bCe36tPpbluJvjNCd5g0ZTEBBHhAHdChFoVgb OgD/vlyOPBgx/0fBY5l2OXlPxyT7cL4stfwQO/4fwXdfa05EMZqshPykdPPe3FhEnlm+JamnfpZB MTiOBnOS1kFymIkoO7SNNfoWK/dIzubPaY5iIrNNHrNuN71lMSVOy4zlhqDiECHZ7Z4npNeohW4y aTWDc83iR8hC+YhqtQnbOup9E7Bn7FZjIvSPP4j+u84gnD+HcuUIsiAo8uGRqpg5WfDiidHrZJy8 tUazsQ9A1yLFVTjAQI0ol77x0j7sA2wy/JMo7VCuTf0fxgctWv4PCiwoYZizo/VBo+KPJqnXmEe1 Dj1cBVxJ88L6cqkbGydGHpWnOJC1p6LvQKloS80GfoAXf/jEkIWF/Hqw20g5wIPbQ3s4qI7a3n8y M4OGgJjQ9TQoA6oBTFUyhyj50hFW06wq8LTJefW/emd/e6+Ty50X1Ysfs8PaadyRsFRE+6sNkkT/ a2BJUhoD6PiTIjDO7Ui94QjUZ4ZjzCkgNV/+T9Uk6hubAQ8HZ+h74xyO97wbo67R1LRf1ADy0mLF yk3MkoFp5VdzWz/LGqjulDnYDzj/+m6Yr4A8jpbhOH0F3O29ouhSFBMqGB/8p28nTxRuaIAzYPmf MfJUYay2oG8hd8F7j0t6uJspwxY7Zt4lrnPl85rcWhMl2GmaGDpy6LNYK2bw4tw+RN3l1UZ9IiJ6 P6hAZYcxT4gavopaN1WE1xJwyMLr13RGVHR57rOyzx1DrkzxgJJ9uSU5DQ5ymEvBvboLr0DvQTXj PkxnXFlYYqqLUxPh6qFZEK1+JfXJP55OEuhAvcK5t+NrP05b+C4mA86A08LeFyRR7TAr8uonZca5 XE94cYb7Dpgx8Osc2cWP2ebFTV+30Psb39lJ6T1Rx8zkCQ0xYk3doC3L83KrCMQ1TTzRQkXwGz/K 0EYvLfO77Sm3/ASQswHAUyPER1AbnnT+BByniQtajnloolz2XsE7Qlp2nrMb5GY2uheE5EEh8Lnd 2WF4eqs4Gvirq+VzNUc7F8JeaC/ESEMMZ8ubgP84fhtA/wHE9EmgrWJyTtvMWkq78vK5NtNRD5aS Zm9TRXO5Hy12B9aQMHdSsHW3ix8dW3fOzrT7nhRAjbjIk9fJ9k9uflQ2DiMEPiE5pglirEbqOxBu ozzi3OpsgiWK9av7JFMq5rTGnKRNeV83h4aJlGKycvCb2YskgUd8lK8wyYm3quq6pyXTozJuY+ae uIQZ/kBf3hUHyKmTKbC1yzH33KhPmf9xfPBR88Qg2QWgBLq0f05dgxAvtufG2ezDWz5wZtGsk2w7 OIkA7Iz38jC3H/zV5FNbQkpeeHpl0/DWSd+iPsC8X9sxxch7+QAiB2sXCyF2InB/SWc2fjsXB3s+ Fuwt7y38NsWvJh+AaH5S3qbZiI8oMUHqiTKjR1tQ56XcCqG7uewhEK3benthNLpKVxt9NvODpic4 CAuCpVHxG5Jlzajk6p5eWaeCn2BWc0OcLoMr2u6aYnj7woQ0yl4IpmDY7/kbk3TTM/eU5zA1Mvj+ rqirE8xKTTrPm459QnI3gqCpDYvIPo2hZM//HlCGjww6gzVPDKBE+KcaUVbA4zK1Ba9J1Phgienc 1CWl4FsRowo8SvaN6LFY74NfzXWG1Nh1GiEMctRonIoRS+GcoShUTG++F8Xoo6sN/aICCuEK6mV5 pLWfOUqiP0rkaNWYshjPaGC2zoAHPwuz/uUbmnW7VzqiNRU1rGKh1nVAMBBv89Wrwg+CQxwCbhk4 U3PyaPTpvau7MJD5vTWuDsQz4hcCBttxVpGZr0K2+P90Tv8fC+/K0s/OG1XD2Xv4R44+akUV81oi 8HeKNfqhzUibIUFOU6Dh+m6WrVR3YK1PrCm+fHKyh4pMCOLa1a43TvRDCEk56qpIqjp/asUzS/oB X8NqQxWs5PuoZ2H8zWRXnGcvKQX8ErYzGLoTbMwcq7kZr+50UBQco3TlZ6WZnI1nDGYjBJYMPkbR Nd6wsHoKCD+I/UY0hMaBLhGKmV6jl/gnjP8NTnx64aIP04s142xuswJOSxy/38KzjTOgyxqofUZv QWGk+vE2+mjrbFiH3348nR0vWqAF9Cq1zvDhiWI3NFpoe5EpGgxb3bTuj3JNCKY2i6YgYORfLTl3 bqwyw1WcpvYItHYlIN89Aom6iKvAYhQU8PKe99AC06YSkFj9ozO7RT3CgdISabqJdudLKEup5nr8 ZpZH+71BiG13hVcpQtya7vbfc8oESiQhzD9V4ejDY0a3jJZnp1t7QWZHEDltGz0LVCorQUCVf2IR ZJLEWryTK/oyYVKzs2fYtzJJ/ol708CyO4LTt3iuLVRGMGVj55kcByxKaFro1qzABFMi0GJj3amZ 71QiAl6dQCn8qS40AUqZDj1R/g1T6ubomVyfaz1vLFGEmYkbBywkR8NwozXu+TPib+kSm7eyPOJO 1dBR8Q4WVZ8g4OFagfi3tmAdu3TYK18x/V97hWdj4oEv0Pexh7wxHz9Ss8tFDaRg5Nov34RE2QI2 wOG6oeeblf3ETzztTom0SESFwMqQL7Bkuuz2BWiNCqyBlcdvq5Ze/Y2K2iQWNXwH5TPe4/TNMtfr Y6DEXJCAcjSIJO31S5Qz1mHwK56LOm/66emIA+Gsfg7cp0vFRCwp1CgqJFtXI+weUBfmQEXm2Etb uhlnmtRFTahRAGngYzxaOIUWBR+lzloyE7V7jo8VCLDu41zbwtZqSH8+hurRughDZYcIlIdD8dvz ZW0+JYfLEnN5weabNy2YtvZQ8qWAkFFAvcE7V8WE7RZgR8czynYLmgYMcKVRisvqF+t0VUWAejYH dPRn1nRai88zxJ+nCUpSv98AiL1b3PLJupI872OC+WouXZ/0vMU7XUpL365Ozu9kt5L+AuWppppN 2RIIy1mWQIq/wTLmLDdJQ8tT1aDfGNjGqT6xW5YWdAQhygt5oWHKj3rCa54t5t72XjSn9Fhl/WSO AQuh6O9tqZnoQflTTqVrI3zN/wMstBDJo7fzIvqFqjTxsujXrW21aNCt5gx+PncddmUW0kUl21+e 9TYBCTq8o2Z06dIIuN+5Ag1F028tHHRfHYG02EqAWLLLNlP6Wg92vS8LTLvrr820MpF+xr5MPhjE 8XHGTBrEpR2s27mfQlfiuisWGGQecXeM+85Bf4aGjsHTNJhpqjXAaNF8JUdj/E2W5X6mjiBizeGN p4KMMtsROBe5bzQg6jZ57Ebzva8qzIXurzk7FnvWnRFoMpsShuAdLY5GLNqUy+lxOx/Bv+x4Z93x +UTVChr4fBfj8ljkBM+LukCnuYHikgEwE3OR7PE89eX7MpTPSByYwPnD4vSuuY8gFZdyx6S5H6U4 NbsSi+jEPMORVMxV56xqHb5d2LTr5xJRpHH5XiHT2aTd3We73vqqwc9G/wPB+ezeAWrylyvZfqhy U9EXEkpkDbBp+zrZrlBddA3zE/RHh8yb+zh4tVuluRBY4ju9WMJk+/RsXGAx2QxsarhRaGt1IE1W 2fmGOPbCr0BTHUgb+7N6AT+d/kckSClIhQJvkZ6iosaAft0u0Yf2bJXEtLOwo/7gIBMdsvxtSkUo 5oEhGQVRgHNxdLjzDwmpRYr2m3ouG8fZDr4eSWLjqr/l5uX9+C4knUIpU3ga7TKU/pjqvtTO5Dy4 QWzgZcek//dW9C1/liE0rcbBoH0wJKnBFXCFsElfsUfp8exWUcwVomGQqDqGIGKeDfXCWfl2OFvI 0yhb0AKICPigimAXiN7zjv776sl/LMae9zJg75N74qe1VM1haIIQjlrI/bvCC9y3hDC7q6xTrom6 gE2JznqWh9qdYa3kA+c/3VuaJMI4KkD9PSvhxJlL08evshfajzwoEi1uAYAGQs+xYtl8Vz1O1cnR fNHZs28Z/XIav2uKINZF0InEhW4c3lpCuymseK7xUAx3zeYeC9JmrdEfEGoal495f5/zEURt++3t sNtuXpzZBmBwcYpWBgUK1Xf4OsSRdT/6g7ftHE/kUDQax5yfShJVsO14WbMs9dPNQYH80OQ5SuQl ++aXqPXw6Gngfb0pWWT5nGC8h60sbp2RjhqjINo93gXfPwpZGCWFDC1XGJUpBNDgIPHY7CcqFrR2 tcOOpHlZteGRAr63VECwCFiv7Qz0/KdYYJjFqVFO061aJVNXX7IiGgLFsAApfOYP+FVWNggyY3zO XZby4lGHf0GVqP6cOe87yMqfF7j0oP6QH/48o3lQd9QzcjOuexCzVxq4cP1zobWM1MOS5PjPY32O qcoA2u6DIlZaOdMmX+JUzAcpCwuZ2F4q+ZE3kp4MLZfTJYgrlvVvtSCdlGcWurRG/2MPShVh5KB/ 6NdM0DOYsn5nAe5StXxuZque9IG0Vcl12KCo6PrfYG2fJgOkVL9TZShQ1cbQoAV+AFY9/jU0DjSk t7BQicxvhcviP3Cyibe5YDPU1Vt4uiorpMtOJXaUgrtLDEGKd1WwDJf4q/OLZsywiW0hGbfHTuWf b2XPJymYhUwwluMOLmAU/xvl1FfvaBfb+pBNzrFdsXwyeRWsUTQ6/ACzn4Y= `protect end_protected
gpl-2.0
f028ad7a195ffbf5a9507cfac9c75fa4
0.928219
1.88937
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/bram/bram_funcsim.vhdl
6
53,935
-- Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2013.4 (lin64) Build 353583 Mon Dec 9 17:26:26 MST 2013 -- Date : Mon Mar 17 23:05:27 2014 -- Host : macbook running 64-bit Arch Linux -- Command : write_vhdl -force -mode funcsim /home/keith/Documents/VHDL-lib/top/lab_2/part_4/ip/bram/bram_funcsim.vhdl -- Design : bram -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bramblk_mem_gen_prim_wrapper is port ( doutb : out STD_LOGIC_VECTOR ( 15 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); end bramblk_mem_gen_prim_wrapper; architecture STRUCTURE of bramblk_mem_gen_prim_wrapper is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal \n_74_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : STD_LOGIC; signal \n_75_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 16 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 18, READ_WIDTH_B => 18, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "READ_FIRST", WRITE_WIDTH_A => 18, WRITE_WIDTH_B => 18 ) port map ( ADDRARDADDR(15) => \<const1>\, ADDRARDADDR(14 downto 4) => addra(10 downto 0), ADDRARDADDR(3) => \<const1>\, ADDRARDADDR(2) => \<const1>\, ADDRARDADDR(1) => \<const1>\, ADDRARDADDR(0) => \<const1>\, ADDRBWRADDR(15) => \<const1>\, ADDRBWRADDR(14 downto 4) => addrb(10 downto 0), ADDRBWRADDR(3) => \<const1>\, ADDRBWRADDR(2) => \<const1>\, ADDRBWRADDR(1) => \<const1>\, ADDRBWRADDR(0) => \<const1>\, CASCADEINA => \<const0>\, CASCADEINB => \<const0>\, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31) => \<const0>\, DIADI(30) => \<const0>\, DIADI(29) => \<const0>\, DIADI(28) => \<const0>\, DIADI(27) => \<const0>\, DIADI(26) => \<const0>\, DIADI(25) => \<const0>\, DIADI(24) => \<const0>\, DIADI(23) => \<const0>\, DIADI(22) => \<const0>\, DIADI(21) => \<const0>\, DIADI(20) => \<const0>\, DIADI(19) => \<const0>\, DIADI(18) => \<const0>\, DIADI(17) => \<const0>\, DIADI(16) => \<const0>\, DIADI(15 downto 0) => dina(15 downto 0), DIBDI(31) => \<const0>\, DIBDI(30) => \<const0>\, DIBDI(29) => \<const0>\, DIBDI(28) => \<const0>\, DIBDI(27) => \<const0>\, DIBDI(26) => \<const0>\, DIBDI(25) => \<const0>\, DIBDI(24) => \<const0>\, DIBDI(23) => \<const0>\, DIBDI(22) => \<const0>\, DIBDI(21) => \<const0>\, DIBDI(20) => \<const0>\, DIBDI(19) => \<const0>\, DIBDI(18) => \<const0>\, DIBDI(17) => \<const0>\, DIBDI(16) => \<const0>\, DIBDI(15) => \<const0>\, DIBDI(14) => \<const0>\, DIBDI(13) => \<const0>\, DIBDI(12) => \<const0>\, DIBDI(11) => \<const0>\, DIBDI(10) => \<const0>\, DIBDI(9) => \<const0>\, DIBDI(8) => \<const0>\, DIBDI(7) => \<const0>\, DIBDI(6) => \<const0>\, DIBDI(5) => \<const0>\, DIBDI(4) => \<const0>\, DIBDI(3) => \<const0>\, DIBDI(2) => \<const0>\, DIBDI(1) => \<const0>\, DIBDI(0) => \<const0>\, DIPADIP(3) => \<const0>\, DIPADIP(2) => \<const0>\, DIPADIP(1) => \<const0>\, DIPADIP(0) => \<const0>\, DIPBDIP(3) => \<const0>\, DIPBDIP(2) => \<const0>\, DIPBDIP(1) => \<const0>\, DIPBDIP(0) => \<const0>\, DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 16) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 16), DOBDO(15 downto 0) => doutb(15 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 2), DOPBDOP(1) => \n_74_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\, DOPBDOP(0) => \n_75_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\, ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => wea(0), ENBWREN => \<const1>\, INJECTDBITERR => \<const0>\, INJECTSBITERR => \<const0>\, RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \<const0>\, REGCEB => \<const1>\, RSTRAMARSTRAM => \<const0>\, RSTRAMB => \<const0>\, RSTREGARSTREG => \<const0>\, RSTREGB => \<const0>\, SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => \<const1>\, WEA(2) => \<const1>\, WEA(1) => \<const1>\, WEA(0) => \<const1>\, WEBWE(7) => \<const0>\, WEBWE(6) => \<const0>\, WEBWE(5) => \<const0>\, WEBWE(4) => \<const0>\, WEBWE(3) => \<const0>\, WEBWE(2) => \<const0>\, WEBWE(1) => \<const0>\, WEBWE(0) => \<const0>\ ); GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bramblk_mem_gen_prim_width is port ( doutb : out STD_LOGIC_VECTOR ( 15 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); end bramblk_mem_gen_prim_width; architecture STRUCTURE of bramblk_mem_gen_prim_width is begin \prim_noinit.ram\: entity work.bramblk_mem_gen_prim_wrapper port map ( addra(10 downto 0) => addra(10 downto 0), addrb(10 downto 0) => addrb(10 downto 0), clka => clka, clkb => clkb, dina(15 downto 0) => dina(15 downto 0), doutb(15 downto 0) => doutb(15 downto 0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bramblk_mem_gen_generic_cstr is port ( doutb : out STD_LOGIC_VECTOR ( 15 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); end bramblk_mem_gen_generic_cstr; architecture STRUCTURE of bramblk_mem_gen_generic_cstr is begin \ramloop[0].ram.r\: entity work.bramblk_mem_gen_prim_width port map ( addra(10 downto 0) => addra(10 downto 0), addrb(10 downto 0) => addrb(10 downto 0), clka => clka, clkb => clkb, dina(15 downto 0) => dina(15 downto 0), doutb(15 downto 0) => doutb(15 downto 0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bramblk_mem_gen_top is port ( doutb : out STD_LOGIC_VECTOR ( 15 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); end bramblk_mem_gen_top; architecture STRUCTURE of bramblk_mem_gen_top is begin \valid.cstr\: entity work.bramblk_mem_gen_generic_cstr port map ( addra(10 downto 0) => addra(10 downto 0), addrb(10 downto 0) => addrb(10 downto 0), clka => clka, clkb => clkb, dina(15 downto 0) => dina(15 downto 0), doutb(15 downto 0) => doutb(15 downto 0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bramblk_mem_gen_v8_1_synth is port ( doutb : out STD_LOGIC_VECTOR ( 15 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); end bramblk_mem_gen_v8_1_synth; architecture STRUCTURE of bramblk_mem_gen_v8_1_synth is begin \gnativebmg.native_blk_mem_gen\: entity work.bramblk_mem_gen_top port map ( addra(10 downto 0) => addra(10 downto 0), addrb(10 downto 0) => addrb(10 downto 0), clka => clka, clkb => clkb, dina(15 downto 0) => dina(15 downto 0), doutb(15 downto 0) => doutb(15 downto 0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bramblk_mem_gen_v8_1__parameterized0\ is port ( clka : in STD_LOGIC; rsta : in STD_LOGIC; ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 15 downto 0 ); douta : out STD_LOGIC_VECTOR ( 15 downto 0 ); clkb : in STD_LOGIC; rstb : in STD_LOGIC; enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 10 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 15 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 15 downto 0 ); injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; rdaddrecc : out STD_LOGIC_VECTOR ( 10 downto 0 ); s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 15 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 15 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_injectsbiterr : in STD_LOGIC; s_axi_injectdbiterr : in STD_LOGIC; s_axi_sbiterr : out STD_LOGIC; s_axi_dbiterr : out STD_LOGIC; s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 10 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "blk_mem_gen_v8_1"; attribute C_FAMILY : string; attribute C_FAMILY of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "zynq"; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "zynq"; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "./"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 1; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "NONE"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 4; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 9; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 1; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 1; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "no_coe_file_loaded"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "bram.mem"; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "0"; attribute C_RST_TYPE : string; attribute C_RST_TYPE of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "SYNC"; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "CE"; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "0"; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 1; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "READ_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 16; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 16; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 2048; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 2048; attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 11; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "CE"; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_INITB_VAL : string; attribute C_INITB_VAL of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "0"; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 1; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "READ_FIRST"; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 16; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 16; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 2048; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 2048; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 11; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 1; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_USE_ECC : integer; attribute C_USE_ECC of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "ALL"; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 1; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of \bramblk_mem_gen_v8_1__parameterized0\ : entity is 0; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of \bramblk_mem_gen_v8_1__parameterized0\ : entity is "yes"; end \bramblk_mem_gen_v8_1__parameterized0\; architecture STRUCTURE of \bramblk_mem_gen_v8_1__parameterized0\ is signal \<const0>\ : STD_LOGIC; begin dbiterr <= \<const0>\; douta(15) <= \<const0>\; douta(14) <= \<const0>\; douta(13) <= \<const0>\; douta(12) <= \<const0>\; douta(11) <= \<const0>\; douta(10) <= \<const0>\; douta(9) <= \<const0>\; douta(8) <= \<const0>\; douta(7) <= \<const0>\; douta(6) <= \<const0>\; douta(5) <= \<const0>\; douta(4) <= \<const0>\; douta(3) <= \<const0>\; douta(2) <= \<const0>\; douta(1) <= \<const0>\; douta(0) <= \<const0>\; rdaddrecc(10) <= \<const0>\; rdaddrecc(9) <= \<const0>\; rdaddrecc(8) <= \<const0>\; rdaddrecc(7) <= \<const0>\; rdaddrecc(6) <= \<const0>\; rdaddrecc(5) <= \<const0>\; rdaddrecc(4) <= \<const0>\; rdaddrecc(3) <= \<const0>\; rdaddrecc(2) <= \<const0>\; rdaddrecc(1) <= \<const0>\; rdaddrecc(0) <= \<const0>\; s_axi_arready <= \<const0>\; s_axi_awready <= \<const0>\; s_axi_bid(3) <= \<const0>\; s_axi_bid(2) <= \<const0>\; s_axi_bid(1) <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_bvalid <= \<const0>\; s_axi_dbiterr <= \<const0>\; s_axi_rdaddrecc(10) <= \<const0>\; s_axi_rdaddrecc(9) <= \<const0>\; s_axi_rdaddrecc(8) <= \<const0>\; s_axi_rdaddrecc(7) <= \<const0>\; s_axi_rdaddrecc(6) <= \<const0>\; s_axi_rdaddrecc(5) <= \<const0>\; s_axi_rdaddrecc(4) <= \<const0>\; s_axi_rdaddrecc(3) <= \<const0>\; s_axi_rdaddrecc(2) <= \<const0>\; s_axi_rdaddrecc(1) <= \<const0>\; s_axi_rdaddrecc(0) <= \<const0>\; s_axi_rdata(15) <= \<const0>\; s_axi_rdata(14) <= \<const0>\; s_axi_rdata(13) <= \<const0>\; s_axi_rdata(12) <= \<const0>\; s_axi_rdata(11) <= \<const0>\; s_axi_rdata(10) <= \<const0>\; s_axi_rdata(9) <= \<const0>\; s_axi_rdata(8) <= \<const0>\; s_axi_rdata(7) <= \<const0>\; s_axi_rdata(6) <= \<const0>\; s_axi_rdata(5) <= \<const0>\; s_axi_rdata(4) <= \<const0>\; s_axi_rdata(3) <= \<const0>\; s_axi_rdata(2) <= \<const0>\; s_axi_rdata(1) <= \<const0>\; s_axi_rdata(0) <= \<const0>\; s_axi_rid(3) <= \<const0>\; s_axi_rid(2) <= \<const0>\; s_axi_rid(1) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_rlast <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_rvalid <= \<const0>\; s_axi_sbiterr <= \<const0>\; s_axi_wready <= \<const0>\; sbiterr <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); inst_blk_mem_gen: entity work.bramblk_mem_gen_v8_1_synth port map ( addra(10 downto 0) => addra(10 downto 0), addrb(10 downto 0) => addrb(10 downto 0), clka => clka, clkb => clkb, dina(15 downto 0) => dina(15 downto 0), doutb(15 downto 0) => doutb(15 downto 0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bram is port ( clka : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); dina : in STD_LOGIC_VECTOR ( 15 downto 0 ); clkb : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 10 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of bram : entity is true; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of bram : entity is "yes"; attribute x_core_info : string; attribute x_core_info of bram : entity is "blk_mem_gen_v8_1,Vivado 2013.4"; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of bram : entity is "bram,blk_mem_gen_v8_1,{}"; attribute core_generation_info : string; attribute core_generation_info of bram : entity is "bram,blk_mem_gen_v8_1,{x_ipProduct=Vivado 2013.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.1,x_ipCoreRevision=0,x_ipLanguage=VHDL,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=bram.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_RST_TYPE=SYNC,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=READ_FIRST,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=2048,C_READ_DEPTH_A=2048,C_ADDRA_WIDTH=11,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=READ_FIRST,C_WRITE_WIDTH_B=16,C_READ_WIDTH_B=16,C_WRITE_DEPTH_B=2048,C_READ_DEPTH_B=2048,C_ADDRB_WIDTH=11,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=1,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=1,C_ENABLE_32BIT_ADDRESS=0,C_DISABLE_WARN_BHV_COLL=0,C_DISABLE_WARN_BHV_RANGE=0,C_USE_BRAM_BLOCK=0,C_CTRL_ECC_ALGO=NONE}"; end bram; architecture STRUCTURE of bram is signal \<const0>\ : STD_LOGIC; signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_douta_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of U0 : label is 11; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of U0 : label is 11; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of U0 : label is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of U0 : label is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of U0 : label is 9; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of U0 : label is 1; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of U0 : label is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of U0 : label is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of U0 : label is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynq"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of U0 : label is 0; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of U0 : label is 0; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 1; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of U0 : label is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of U0 : label is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of U0 : label is 0; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of U0 : label is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of U0 : label is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of U0 : label is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of U0 : label is "bram.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of U0 : label is "no_coe_file_loaded"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of U0 : label is 0; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of U0 : label is 1; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of U0 : label is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of U0 : label is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of U0 : label is 2048; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of U0 : label is 2048; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of U0 : label is 16; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of U0 : label is 16; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of U0 : label is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of U0 : label is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of U0 : label is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of U0 : label is "CE"; attribute C_RST_TYPE : string; attribute C_RST_TYPE of U0 : label is "SYNC"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of U0 : label is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of U0 : label is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of U0 : label is 0; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of U0 : label is 0; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of U0 : label is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of U0 : label is 1; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of U0 : label is 1; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of U0 : label is 2048; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of U0 : label is 2048; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of U0 : label is "READ_FIRST"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of U0 : label is "READ_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of U0 : label is 16; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of U0 : label is 16; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "zynq"; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of U0 : label is true; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); U0: entity work.\bramblk_mem_gen_v8_1__parameterized0\ port map ( addra(10 downto 0) => addra(10 downto 0), addrb(10 downto 0) => addrb(10 downto 0), clka => clka, clkb => clkb, dbiterr => NLW_U0_dbiterr_UNCONNECTED, dina(15 downto 0) => dina(15 downto 0), dinb(15) => \<const0>\, dinb(14) => \<const0>\, dinb(13) => \<const0>\, dinb(12) => \<const0>\, dinb(11) => \<const0>\, dinb(10) => \<const0>\, dinb(9) => \<const0>\, dinb(8) => \<const0>\, dinb(7) => \<const0>\, dinb(6) => \<const0>\, dinb(5) => \<const0>\, dinb(4) => \<const0>\, dinb(3) => \<const0>\, dinb(2) => \<const0>\, dinb(1) => \<const0>\, dinb(0) => \<const0>\, douta(15 downto 0) => NLW_U0_douta_UNCONNECTED(15 downto 0), doutb(15 downto 0) => doutb(15 downto 0), ena => \<const0>\, enb => \<const0>\, injectdbiterr => \<const0>\, injectsbiterr => \<const0>\, rdaddrecc(10 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(10 downto 0), regcea => \<const0>\, regceb => \<const0>\, rsta => \<const0>\, rstb => \<const0>\, s_aclk => \<const0>\, s_aresetn => \<const0>\, s_axi_araddr(31) => \<const0>\, s_axi_araddr(30) => \<const0>\, s_axi_araddr(29) => \<const0>\, s_axi_araddr(28) => \<const0>\, s_axi_araddr(27) => \<const0>\, s_axi_araddr(26) => \<const0>\, s_axi_araddr(25) => \<const0>\, s_axi_araddr(24) => \<const0>\, s_axi_araddr(23) => \<const0>\, s_axi_araddr(22) => \<const0>\, s_axi_araddr(21) => \<const0>\, s_axi_araddr(20) => \<const0>\, s_axi_araddr(19) => \<const0>\, s_axi_araddr(18) => \<const0>\, s_axi_araddr(17) => \<const0>\, s_axi_araddr(16) => \<const0>\, s_axi_araddr(15) => \<const0>\, s_axi_araddr(14) => \<const0>\, s_axi_araddr(13) => \<const0>\, s_axi_araddr(12) => \<const0>\, s_axi_araddr(11) => \<const0>\, s_axi_araddr(10) => \<const0>\, s_axi_araddr(9) => \<const0>\, s_axi_araddr(8) => \<const0>\, s_axi_araddr(7) => \<const0>\, s_axi_araddr(6) => \<const0>\, s_axi_araddr(5) => \<const0>\, s_axi_araddr(4) => \<const0>\, s_axi_araddr(3) => \<const0>\, s_axi_araddr(2) => \<const0>\, s_axi_araddr(1) => \<const0>\, s_axi_araddr(0) => \<const0>\, s_axi_arburst(1) => \<const0>\, s_axi_arburst(0) => \<const0>\, s_axi_arid(3) => \<const0>\, s_axi_arid(2) => \<const0>\, s_axi_arid(1) => \<const0>\, s_axi_arid(0) => \<const0>\, s_axi_arlen(7) => \<const0>\, s_axi_arlen(6) => \<const0>\, s_axi_arlen(5) => \<const0>\, s_axi_arlen(4) => \<const0>\, s_axi_arlen(3) => \<const0>\, s_axi_arlen(2) => \<const0>\, s_axi_arlen(1) => \<const0>\, s_axi_arlen(0) => \<const0>\, s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arsize(2) => \<const0>\, s_axi_arsize(1) => \<const0>\, s_axi_arsize(0) => \<const0>\, s_axi_arvalid => \<const0>\, s_axi_awaddr(31) => \<const0>\, s_axi_awaddr(30) => \<const0>\, s_axi_awaddr(29) => \<const0>\, s_axi_awaddr(28) => \<const0>\, s_axi_awaddr(27) => \<const0>\, s_axi_awaddr(26) => \<const0>\, s_axi_awaddr(25) => \<const0>\, s_axi_awaddr(24) => \<const0>\, s_axi_awaddr(23) => \<const0>\, s_axi_awaddr(22) => \<const0>\, s_axi_awaddr(21) => \<const0>\, s_axi_awaddr(20) => \<const0>\, s_axi_awaddr(19) => \<const0>\, s_axi_awaddr(18) => \<const0>\, s_axi_awaddr(17) => \<const0>\, s_axi_awaddr(16) => \<const0>\, s_axi_awaddr(15) => \<const0>\, s_axi_awaddr(14) => \<const0>\, s_axi_awaddr(13) => \<const0>\, s_axi_awaddr(12) => \<const0>\, s_axi_awaddr(11) => \<const0>\, s_axi_awaddr(10) => \<const0>\, s_axi_awaddr(9) => \<const0>\, s_axi_awaddr(8) => \<const0>\, s_axi_awaddr(7) => \<const0>\, s_axi_awaddr(6) => \<const0>\, s_axi_awaddr(5) => \<const0>\, s_axi_awaddr(4) => \<const0>\, s_axi_awaddr(3) => \<const0>\, s_axi_awaddr(2) => \<const0>\, s_axi_awaddr(1) => \<const0>\, s_axi_awaddr(0) => \<const0>\, s_axi_awburst(1) => \<const0>\, s_axi_awburst(0) => \<const0>\, s_axi_awid(3) => \<const0>\, s_axi_awid(2) => \<const0>\, s_axi_awid(1) => \<const0>\, s_axi_awid(0) => \<const0>\, s_axi_awlen(7) => \<const0>\, s_axi_awlen(6) => \<const0>\, s_axi_awlen(5) => \<const0>\, s_axi_awlen(4) => \<const0>\, s_axi_awlen(3) => \<const0>\, s_axi_awlen(2) => \<const0>\, s_axi_awlen(1) => \<const0>\, s_axi_awlen(0) => \<const0>\, s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awsize(2) => \<const0>\, s_axi_awsize(1) => \<const0>\, s_axi_awsize(0) => \<const0>\, s_axi_awvalid => \<const0>\, s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0), s_axi_bready => \<const0>\, s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED, s_axi_injectdbiterr => \<const0>\, s_axi_injectsbiterr => \<const0>\, s_axi_rdaddrecc(10 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(10 downto 0), s_axi_rdata(15 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(15 downto 0), s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => \<const0>\, s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED, s_axi_wdata(15) => \<const0>\, s_axi_wdata(14) => \<const0>\, s_axi_wdata(13) => \<const0>\, s_axi_wdata(12) => \<const0>\, s_axi_wdata(11) => \<const0>\, s_axi_wdata(10) => \<const0>\, s_axi_wdata(9) => \<const0>\, s_axi_wdata(8) => \<const0>\, s_axi_wdata(7) => \<const0>\, s_axi_wdata(6) => \<const0>\, s_axi_wdata(5) => \<const0>\, s_axi_wdata(4) => \<const0>\, s_axi_wdata(3) => \<const0>\, s_axi_wdata(2) => \<const0>\, s_axi_wdata(1) => \<const0>\, s_axi_wdata(0) => \<const0>\, s_axi_wlast => \<const0>\, s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(0) => \<const0>\, s_axi_wvalid => \<const0>\, sbiterr => NLW_U0_sbiterr_UNCONNECTED, wea(0) => wea(0), web(0) => \<const0>\ ); end STRUCTURE;
gpl-2.0
72d003f236a980d5a2f70abcafb7a646
0.673366
3.480576
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/transpose_interpolation.vhd
2
169,602
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mcaaqlfjEIlo1AhZQokjLyh6LJCiFwuWAWK344d8Lk8eAhVrfhuIZqgnJevpuPXSAlRyD6nWsQTJ VZV8ivke0Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SU6cGSb7NnXTD6dqCG8pO+mQ+HnawrDdI4BZUUrVlDBlJSK3gnzLMEouhM6C+jGgvQohw2i4OHX0 28UsNnBKzssHuPt9qB0euwGRJjel8LHkQw7Hf4ZoZ/NGxgHKbcQFS+9P3FErJMQnpaGudQYptjLJ JZQ+ybDShpsrmTAM3Vk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 3hYseN4AHKrPQyW2IY+fMBexoj3NstdoMOG4lA1nCzhVb5yFihNTgYq2iI9abtLEuOBy7yhDcOt0 sXka5PzJiTUnznfwJ6Dl2vJqSKBUdJjkuF3QcKp3gnNxZgNYQlxqqGUlFKeFFO9DX+9pq8IHXuF0 nULhotS+7Lorv8+vQu653FWqxExFTGk3yyTMcn5rGZ86tDYD1J8pU7gTB+pPbtXaPxJzje5o/zFz YiBHXzCaQDQn9DxUO21kFYIhEk5v5xWSyZAom0FBCwkx6BCpjtkg0yXaZcjjuxPp/CgEQrSfWReh GtVejDLatxRb149P9UYVgoRNoEExW/8HC3jr0A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P8MzEALF1css+nc11Pr7L53xBSAuysLoXwP0q6xQboWkIz/oJguXqhjr/jyU+BFJu43M7CAEO/wV 5N+Fh8UaD0DYfNCOsIocGR3sDPXxU8DlC6XND73WZngnK8ExZgOovCmgKx0UKio6wPixHpdZIkuR T6aakhVwWpdoeJYxnaw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block n7+Ep6nrZ2s3GMPvaC74FAX4KJ+bJVadigpBFN356zEET2xH0QWwrlAgcrwz3bBB2SX/AyC4Jnd5 TAnWpu4zdK1VQQR2j+7JxqNQBKCY3Y8+TIKYAwWXeSK1Er3DqO4ThBNTIdXOD+6vyXHllh79Zt55 qe4YJok7dj7BxnDHwxWArhhDxtDc7KKjE01nQW1rillVTG3GW0+U194PGS3IgdfHEhcl7mZ8YKJJ YTvN7GVcVDSkgtdCfu47v1c/ycJBAoC7b6MoeNVnpKjgIN07RcqsBYtbaqHK8mrU7xMbkjjKnwls TmKoQ+uBdWkpLsCWzxFnWcQ1V96777yHuErNlQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 123808) `protect data_block XEyMXxduQsD7UPoYreY7s0WNOxPtttoQ7BIF+5wxSBSoHZpMBHC+24THPYk1rq6Q34vsfKUiSrXW +O+H3Z9JzOpoKivHJFO9DrcTDiV9V8Kpxubmaz+aXpF6rxmUXCCP4HWl8U2KNZbW6tmdo7pjTpop eDyZbjO8qGKkYXAJup7KWn3DgqDJnKQUMjySB4cXVq8aKHtXoQxpM4nZKiqYT0IPJ2dhKzZrC6mN +PgrRelu8iZeopLfcElS2G5z87OKWnHEr1sWywQQd9Pr6nXCYfzGoKgIQOLz+uTcngQqFO4LcQNK fD+UvNPHyBmZ2wkTVnNAY/vEXVY329OvKn7ZSBh49K05d0DMPpPwqei5jDt4PlQq2Dwugcgj47dQ EO1Khm6eBYAWzrs47m9MEZCLL9extlbEgDkmHQ8i0/WiFqZHIrZX2UFjEdqkqOb12ZGksLfny4RW qClTlsxDZufgrSgy1qHTK0s9uYCu54daEDDE/1s0FLdm3i1dmxEd1x01Cz9Tk3VNz/oyBLSPSL04 x3pGdSjgctKF/JVnofAIf4xh1iKGfiq+3VXOeU7owawgOoqdmvThJr38904hDCDtDJ0cyWvwBPy6 H6DnQywqYSwhNlFyRxfJKgLmyCeqfQuW1TyYFrGDAK/D9O2jdnsoQaMY3O9YXvzFSswLC86S7Evr ERqBKPdKy9FO0qA+O8L9cnmEb8iB/SkAGaBr6+lr6cgv/lxA0LX2JpUZ8rzSTlGDd7frymukT675 VCxfVLFiYeZN4/ULwPTx0clxQ2WmPzQ+G5EnovrLjEM7YSXy8FXjBFka0/tVvwVF9Pzicy+G3cdL pEv075QhancablPig1Zcsdcyedl3OKUiOSLrmPl4dKA8g0tr58n+W4WtfACv7FaXdfOrayRr+NBT y7161PGn1kQl4bO3D5MSRXSenVBp5sfxVoqOHI839KXh0DtxNBpHAkgQ5uvYqxFzmANJZ/F1h2+u QUdcexVtJuHPcOWDXTjHqK6zWWgtcYI2zfy2tZpvzVmjAniPYuboZ3TVSdArQp0z/NRtPxgYn8Y4 uOtD8tEMkBaj6aHzq2e7cuPDhFbr8PhdxhD9EJmMpAaDKDr2d3O0IE8To5Y8FCTLb7n1iD/QxY7X 1RRFd4xjX4dU5Xl08QXFL+yoNzMzkoagE4QyxERxx5eMPSFgJchlkH/wIneRgIXCMNOuDoQK3R3+ hDlxiCHB9qpA2z53aswszLqTGKlBPEAdW5xtJprnI6I74fyoWlMpAmhuluPjUZtcAjaWK5FuQurv 33vFWFLL1G78Jv5fsOaLt4CwBxq17k7BrSCXJ1q+MKNCDkhGRXvTtOZNM1WuvWsea4WZbG4QTh2z ecPUriRl6YnyNCtb3elqhzao6Sx1MlkVXFJKgSl7Sc7qSnT/6mbrRo4GGSRrahnTxnGAvyE4r0si 5nCbMYe3X6tphNh/dtYquw11ZIF64pYl67ZS1ItpS09XETVY6RomiHSXdDyi7qGAVx/5BoS8tPJ2 2AzDBD6JY0a7ogpyaRhG2V0slWa1MnhMMnZJJwPtlimtYx6Cqdb+aZLX/TqPR+AoKenDRVSbpeWo 9EmtYujmFg3NcmNjxXDmthVmKmPTnfG1KFFDiZoWCZrdJqNfsPFAjJn1JnGpghYUsH+2sTLl7Nto TB6iYkO/+3PbtxSFRNP3p0JHKECF3YMHlKuEgWQ8PjqWjogb+d6DWV0npSt2rd8woU1w5DN5L6Mt UIs1E8noc32jQ4P1R/z7nWlYn97cJLRP15LRJcr8IutSrWSSztZ4CqxyRT2HPKaEZEBDvxU/dNsw 2wf44ubvalqq00AYFhi4KVbLcuWK/kdQY1KjMU0RN9vYTrzlrw4XDi/aA0HIcIehlsPTVpy+Vrwi VOgiSEejWrvS4ENj3O/qkZwIIGbfqVq4A1XsM4HyMgpEaFgQHhxRCFZlXBKnDV6K3EEv4qJ86fsn e6uX7PBwfqVpB+2SlS4gENo2yvYNak6RH7fwqOqbK3puBbZg7PMx7klZJz1eiqnsce3ShDVZL2um 4j64rgSufOYttaRbHVlhkxpEeuZfyHttTRfnQ7/5z/0+j0mJcmad7lvgo0EHHMNNMnWJjjL/esdS lkq1cT8I7btDU8JXa1cNGAtB2ONj2yhIDvAfh75I+5L3yZL3WWSSXOQD8qgpawhwZCohOtTdIXvO c6mw2qGYQnGUvyQhGnCb3H01Vk/d6kRb9Pti2VpJV/2mBT87NHWfxJ+u+dFwPR0Yn6ZX92AdHb7/ KjgyRaTmIIiF0+qRS2xa41ICp1hyhbqG5perJSFO/j94RoZE2uQUCAdh9LAwUIEx0kKRD0CT4Fq7 sSXhN+WcNz1IaxTFAMmostM83lJW/VE6DfS2dGFDUdKIy8wwlTzSSIuNo0SYq2EaHDwkBfR5Drat 3ByBqZkhY6GkLANARUJSKXKHPKGy+K174NRnGndzYOOHCac8lyyG7JAn6pSc5j+bppL9bfAFOgpA AFO1pz00Go+rjDXG/HajDwpq2aWz1dTNMA2yLJRvfNtOphvr43l7Q8Ink8yy82y62g8Ae9JShVWs oi7uu6dGxSIGREQ+bHJg+0E5viTx5STM9nqU666uV/1vpuA4ciPJ46jz95/wPDYhFCoftcBdwHel xxTWL+GZ1znQTLc+0kxSgqbi2IQ/7mh/16vDkIrhU5EOggRJvS0QXzfrQedNavi05NWF1cKX6R0+ jUJamvo/TfCDWH0ZUyfwaxhc+Wj9MCIj9s+CTbjm5BhdcOj6HlNSAuYMStjp9tLDfOdc7LiazxWY T66lXrlElNevp8yp86+uxeoIyJBPXrXErc+IZJyjJRiKKYBbH3mANrqVp2Jf0RXE0aCv7BdujUBy OeOnVruaJ1jXr1K7ispzCze9mVdYtyUbmXhfGWQ1ZkO454BSmcFX6VHa/RUiXrfMgG7lhOuLBKjS u5h3o0IdnCU67rAdQouutgR4QHUfeyvoT41dPuwQVq/mNTAF0mquFJWSjcWbiIl1wyrNpvWvSYMC ntphXh3Lble6H7aDBdzai8iaG2RdyH1ykMoRcFepI+drHI0rh2ne8ZTr7vTSXjUISqEuf5Y71SVS zFuWVBc/lPwRoUaAyflzKmRLPyRwJun3xVMTZYvu8+sACX01qy2IAgPvqiwg2MHBYtcou4MDBBsr 4ZL4jxfRUsHeBAx9zODcrLEZWOXZ0Ui0FYRLjNcl7OHH3jkepftpavjv4XGR4oyhN+kk/eBaW+ZH 30HYY/4Gq6Y6pDvul726508hXGNhZkUDvrzJZC8kSjrDgPDV2HMFHdef8fDRzUpLdNcSh1XhuoK1 ciIK2D/FEBix0P9v24v3m14z4wC03pEGEgKZRarjhQ9Y2wpnB3qUg2w+CyeNbX2Akn0Gf8eaPMS8 venEI5ht067vQhRjPsumCiBk79b7fE1ycRYOpPauTXLtjTsjhucrZldrb1BCzhoUbQtVmes4n7rV 2uEGGoE3jZXwvlerk+On2yG2mw8VfBYecvWAAt9OSDUPcJjSYML4agaS/YyQcMjm4h5W+E9X0S1B f9xkn+1zuX2nj1jj0jO4/OaLk9TfhdUhnUuAycK/VeM1UJJMdY2iiJoUCZUkU7gTK//J9dtROfCU s41FwMg1MvYjAvdDbyBKVpIqQtfm+EAH7+0/GyvsAlqeXQWgwyTF5/Qfvp7XckN/6t3pn7fEzKwD 419XbqhYOSss7YIERQSsOL5uhfARUFLyEW6cimgH1vYUT3n938DTMeDD1ZEuHznmqxv9h9122J2z TbxBdVhqVSGD/2LHcEnkIXA1uVIbpcqs/58ijgFZIlrz8+wDz4i1BbEcw8GjZptHohxlMFNH2AAE a8o4A/oafaBYldOr1cLGv2ZlPBN5gQgDtMrVEgmFc/flzH8zhvKFQJv+QSxYPCJQ9+rRvk3Lys9L d8FfHFD9xjMtYNPKACLxGVRYoPpi+IUqauVLnmpVGaFhiUFlu7+0UQ+E5AkXtB3s3aRUzR/JACWI zYwpWgTLcDM5okMSHY3P5Ypmj/gS9fVlPsyZJbPNJgGx8a8FLoLJJJwvD3mJyy1TXnsAkaxZg/Ra j2Js0rqGIi1xInYteqqMfXpb7Ly7XsyVhQHyrbFQ40AkeUIRDrljwfK+z11V0Awon4p/fGiWjVcT k7rGSpFkrm0nQnh6F+w4EstRkJh3FDl2NMYK5Lg4xZQyVyxC6E7M2DlJ2LjxkwL9+MHS+dIY/3mE 2Vcu/purioBSndW9Cxz4TyGHbzKzxBTYFoJ66KCGTSNK3frgtNAq4Bmrmg86w5YH2hjUUBWEHMUX +gewroh6RW/EDHUMTC/UAdl8bUy6JfRooEg42XCOxHGl+xkDQOW+ouoIVlAoc1sp+Q2R317nQ89E ojGsGN9gxtUTWDDTZoF8TP/YRG6T3ZGf62jvJ5Ag2vf/8NVG/mLbSjsipOxBFj81lvbHcOwbFHUT 7FIr55UMKkF/UZbhldabkhHz1fP0AO3E2Usmv8s/H1Nln9zEm8IfJBKY26/Sff17k5+2dhOd542s +24O3P0dErnM+0xTCVHuuCTUIxHohWxTFGskfuDov84CB49b8Z/acOvNU6XUzIyCNUsx/aq8rKzO I4HEsQ4raO790Rol310iQrBmjaJaDgf17K2u9THbxlMKcIM+g+pjCf5ClSv1ghwqV+rNoZ7WEQXg hsOCfHouLWVZheiO2yIbk7JfVl25klf8dZkxgTGjTGUd+4PgJ2s1VJnyghZLMTjAZrsD3l7Ge/Tk W+TBSY0wVNHKCjJsu36i/VvJIBfy83qTme2AhqP7bLL7Wvhmj3kPzqmuAJYHLcN2uCUiK5o99C/z zgLx/kHyVMv6UQ9XY2FcUzcXABsy898xS+S+YY4UOsUXljeVAigL/xg4PNXg8jEyKEm3jRM+ljzd uI5B2Yz1H95ArPV1vTVputyq1Mm2l3EXLDIU6F7k9+wmVBIrU9zqgphvXa943odzn2ztKu3dAI4M fNJwpL8E38jjdtTimkLTJFgsr5wnNcUKage9ycFKXO5CpUfknzzQrICw3LmeZFeWlAHCEv5/F5fP b5H1CGGhPZIBlFn1sy7CRJ/y4lo2gg6zQHoXh+gM/+zUf2DdTHS+1EVTJDP33PjhJAEQeNlsQSwq P0bH5xQOGsDzF7mRQ8fOJR1JTwaAW3Mv3Ltcp3RGqQx/wo6FeOXECLkKzW51E0UtDBxK51S+B2jp vBAtIQy/YEbH/Wazyj29iQHPSCfFaZuj7370uOH9xHVAIHpc7WV79X8AWHPPYkijZltgcH2937de dHhxzFPgV985BKbpPJxQZdu3BNq5+KlijvpDAr2dC9RqxHYqScXNBFmGlV5qH0+/FvrxnzSWfHTj 3429+eMvvJvfkSX8pjhv6Bq4EqR2sbrTXZq/YMXQSWU8j5E+ipmjeSs4Cz0fGbt4mK4NBOwXuNxH 1G0jlAscBMQSPmHXGo47OycfltgYHlXlarRpoNyVrn7OssICSTZ7kC6J2MQtD298tyB7ke++Jayl 5Qaxvlp6wA/GsEr3mo52+vND9aqZkxhC4GVqOd8qskEOhwPBWkS6w8FGQPdBcTatK0YuSDYiH0++ uWwAKMZRmgReV/C3ih2ZOWKXehdek7DLJMoV9zDrr3Td3gT3BUgNkY13Z6pJuoPZTGkupjHF0cDk rL7u9cbyHavySaci8rYQEmMfHntA3Z7LZFETMJmuvZWo+tPz7USJjo4JpfVRZ2pQjKTLCzHYnu8G yKAEJdhXMG7z/eM2C3ezkZ6xXnlwEFgaBURvzfW7ip0kF5ddyZz8CqWSaaWLix/1m84cgmEmoAwS zZMLQFGCiwn5WBPnDJaCBg4QTwa0/2kgnbacd7EnGM4IXJJjhFNkkdXDu24I03yUSABAADY3kcV8 NsXDMhcXHxBn4PldLF3cVTGTtyY/rBsHrA8VrX/yfzT20zpyxS1kMgSNUhZQrrszFHxXPosf7qc0 0083IsX4RgGFR2Itb06G340UFiDkiV3Fqox/klhgOdehvXviOk6nTEDVByF6vRDSk/7ZNACwiEVR g1/fUbVE/54x3rngLJj8/ITW7pdQhBk60nNnLk4jXyTcCNDvexggvhMYubzC/aoGyiZK+zWx8vN2 X6H10Biwy7OV7M6mz+CoTDEzKrpsVG45QcgXNG3ZBZU7Ge5FFFRbG+ZuUloHF3HtlHseXqEmqbah CtTvGq7vzPlvnHg752FVFKzdPtR8NugNzLn2b4tJ1EvVrtAVnjmop/OH+UCT/YwgnPUXEvn2LoxK V5BinvOVxUMXbBF+Y9ORTdyXQmMx+/21yG67sVf5az082kSXy2NFjn16d6P5u2tfaYkinJnEUG1M gpIOgvr0RQsWPCmkvrCAsJ8KbJH/xM2PPT1AVdHagudV07P56TsxU69/Cl+S6KWar1frjsNeJ2pw 0s1dCJ9v3LU4H1xBS4ZVH3DOLlMCr6yLlBAGzE9A7kyLJL2HSrOd8UjrmLhWbvxhiabw5TkopwkW P6tjwQrToUfZgoJ3Ltp2GuCK9HvkRkmb+knFUkrlNvVcxAOfg+lLcfQyzehIx9YbYa/DhjFx+QEj QMJndtrL04ZgO76beg0fJoEbgXTBF0oxULl+kTBgQJGmvN6slxo2xdBpgSrYdKahBj2l1Gfa2OFZ 0L5zJ7EIEkP6gpWHjngFMPvcywG70K+8O//4moJ2ZKNDx+/Zb2bIcwDjxj58ciNxkrXqFH/p9KVH JaP4FyawsK731tXGoAZwaOWSU/QQs4fjISCGCjb+ngVNE/qPfZsFdbPlQ55oM/7a2jFNNY57WQNp rYr/e/Vl10eg9V8IdW/ri1FKgM123ZP+UP+Un8JUv5xKOGcfx8KK81ktR1eaN1Rxq9Z1rmCC/NIX eOM8xX/LaVU0CNHda8ytAV3iIuTLj41Eg4v3LtGZkRTJrwKllJXd6+zLAVU/BXFkURDDRmONk+0k CqXCNecQ69cdnRgXe8pCvv8OzVAeg1Tfn5hPa3Jc1n7B7sZ2jrxuB1lmB1FrKROM3BCE0FHvbwxn L560NjHrFKMUR0dc78Iy78nQCyVPEE4iQ6KFExQMiDfCToyS51xPMpfkzOzGtGlg6eRtUWTJE8N7 VulEtb/QEXKahwijAVJ25zCmnxWYnNedw5PThk4I5FZiN/ieItDxBp90jDN63CegxLbkcx0L9p8r 0g6k7Kxbl08/opBkQt2r300Hn6WbIRxEGKLoahl7lU/FF3igQ5JgzoKq0pYkWwdauxauKppBLONg wAU3sDRjNO49denDerX/ojZwiBVwa8q5pJjjIPuy7lR/Wh68FIA3HvNFPLoQ2p8zFvBE7ntLuiJ/ ejkDDfueux8i1mQgrMQHWUAnzsh41eg0LMEL3bKwHyGziBRximMgz4bp4e+UNzikqBTXMan/PAlC YDIYXyzS1NrDD4lOs97ZZy0ZVGs+seao4KfdAP8Doot+i4pJisk98gZr3A2lSqojS1dZjINJBM+u fkYWpvZYJ/pW8rK3qEv7kRtNZsWQ8xtEsSnow7QpOQZMepcGmH3CR9lfCffOT4ELCWfnC5WKKaka Qk1BvD0tSejPMRq9qgH0nFbP7uqMt+eFC6FqH0U0k23a3KWjoXOt0RdJCPTtGBpTcMwRNFZL0I9/ CTko84XaGR+lZvNmmWppGkEGrl1/IudGzJN2RQXMkIFLGVrHJN7Boe58HJdYw1ybQ6U/heRKvtDP DYY2DxOiQmcnZZCzkshQvUIspUyH0pAXyGlq68xxgPP98tp5THi/yM3bfJmVApReiwKoMa/7Wfrk 8UNkh3o9XZXxlmWlCvJ+fdUFN6JiY5KZhu7UeV9RZEPGrrG7GSsvNhCGhTIZgjqKKm03XLwdAJDJ ivKRrT3MyZ1LdSL+v9fL+10RDi8v9rpxcWiM0iYpx60m4ulHkR7m/OPKMbOamcQQrBpv0wD5Ya/+ dwraKsuugLHXRkoa2L1Fdp/nmZSa//jg0KQRc5K6pzeV0U8Hh0gxdhEH4gVch+SPFrB0h+ChB5G/ hoIxJmHfvuAwSodbVU4tlarwxHYwPP5QBAPck0jycq9w1r8Oubn9Bx7SAmizpz6i8jLgLI9KEWbZ CwyJa1//PNtJTYIclz9pjhxRwM+BtRxkGQKNY6cANXQqm25ZdUWz5zhUhNvA6e8GagdF3jIRUtbm 6ABaXXVnQ5Q4Fw5LR+Hu/GEP3QYfNx5H1+o2+qCzJclJh10RIhATvptoNl162FoDavUkLdP0jCH8 MEp6Fb8vfpTz7xxgRrWyqohy7HyllceUh1iC+DzT3wmFfIiUmNlIygHppbR+f5VJMIy/EGMJVYKL wURoLGRGDmSgwxHlmOPCujK/ZH9/QFwK8R/g8ERjKX8PLTdCSzDGtcHO8kzJHWax7pXl2Un3kHmj q394XvcjS2f8V8e3uM6NaE7RA9OOaefy1/KnNQcyK+TDk+v4K3lK9+ZzCE6+749rMOT1Uj6k+1re +wu1l2a9rQ+MLRLW97D7e9MAsXZmujlCLCciN4GIWkUqLT7UQViClvqDiV7G6U5bdK0HCNxIqR5C AeEXN02xnitkF85W0mV/JtXbhGrLYqNwg4a/vVwJBGYHfhNYV2GN33eClkX3G7OipYSw0AVWb5fH 3Bt/ckR2jgQl0zqv64llwOPEfmdeCK3UDpfGUsVc1ND78hejsUEyHTztpI4StMP2WYrqWkyi7lla PlMj4ojBAo/l9MhWZkZ6A00hFxsgwylCVhuf5e+pGkjbLKA7PdV0qlu2vpHV4DVKC5lWgACVcKCd h4o8lnlUkxLFFJJnegZn0Io5SPtynbL3RugJFdwhaP4f1FMZoEzpX8sEkAKXrdLINijn85MFcv3m kLuH5vG8AhcXrqCLQX5WHKNIzJKncUAzjkVyDcgHETSobBcmbFTIniO1Qat1EinxcKh5F4Xz4C6h MTSa9LBl5HvwT1Kh6K5U3EwWZPVi/S+xCzJ8vzxh7pmwhBqZYiSh0vDkW43ksoWkqjhzR9yfnW4k oDfAhRxAbAk4EicNxAm1ifMWrmDi/xQwPdS1jpkcGmKzuw954xgJgmIrCwdj5hBeizMnK2G+4Xgw N0o1vBrTMcf1KliLAi4Diqw9e1TpGbChDBY8Jf6FzUAK+6FomH7TL48/VxCqSaE8vym9/Mj3dg3Z O27IBeeHBY0+Wqm3kdIDX7+9uhf4lsDRg2Hn1JkkBtWy/t/GYwcd1js68ZoaV9HeDCeo8GWrjynT EW7exqrh3UoQHB47xWCSt/WPxNBi6UPFYpHxBxhFukbHRgip+2ZZ3uXmQeZdpK5wfxZKxASnEY6V RgJkcwxjWFb7HqQ5+IPL3ctTe3Iyw0IK1CUqMaB40BxUWkW3iuFN/UM0iv+tKPRjAlWEBOh/SlnT 3KIEY5E6fSTKK4oyx56RE+pKvkCgGu03/KVxo0BaozMPXTYmHqXeYiqILRBzgMsOY7cX0eokhbl5 Zd776sqiwkezGo6SxWEdmayaoPL7Pk3ETOBdcDo+B9Xro1jcHKW5Uk0R4FwGYx9o9nSkl5Iw8jkw nMz7ARQdRBH576Kr42ky/8JAjUnglh034wcvJI102K4ctKRy24HiZsmZvH0RTM43RjjBJHxPkmfy c5Qmqc3znA71r+KticwzSLM7whQeIAXJhPhI5N5cBVSrg3R47rbmxSlRu1qMF6QTg9JR+U/tSzXP ZnhyreOc20ANwuEEION9BUsrkP11sj9TDSl7HxjMuuiHOIT6KT9a3Og/c2xMVjfygXbV+8v00Mkj 9u8s11cB4iMZak7ic2R1jUxOVBo2VOLBBihReT6W0h/5ZmYFOenOp8i4t+o6QhCPa3V1oJxf3/Ww sJsz+GJ5q4ZlKJWrhRjlmO5QliFMs3xkjhlA9kda1LfcycbsnFANBJJdN0K0oJ6vKCfUF01WUNkp fp1RB2CvT+E8MpkBCxiVsujeFfiqSCTis5aKyB9J0/Qkk6LK7zVFvACR+StLCYW2xXe+evjfMiHu TVo2fJiiv46Zfh/vnkoqsJiwcj8cFmpG7L4VU6CsuD+1QtGCGMXt+VBT67Nf9VO8aQRiE4Uh8wsW PaRETbWz9VLj1MS4YIXDI3hvBuuf0NWzFvM8aBOA61+zkvi+9HnoR2pHuQ/98OpLatWoPysWLLE1 EM6B4Josq+KkWIXB4/2NozpZFkBgu/1/YjdJ7/PDqtWmbALWyGdZj+q1PWcVdiTg8goZwlpGtTNJ 0WhFyI8gtdXnIitnwso9lz5nh0SU7Cygq1Lxz/2xLmXiY21P3/+jdOussESJm5P0PvLVV6xM9n+r 0a6khVHvqrd7oPHRV9kaVCX25eLgBc+RSNZuICqNB7SfpksuMpzzhuBY/FmjVei6Sr8HY+Hqy4uS zQpIItB0zSqnr3Z1CKrvC1VJ9WKc6UGHNcnybLaKqlKl5cMLnWDXAejbodRABVx0D5unNsSD3GnR Yqk6su8mgavz78c/IV1uAOXS+JpQmz+g+n0IyWOpNDIgc24dAHx+RlR6jdJtbxi/W7MEQs4oIEen 15WUUDH6goQi5kABdEztTGXGyh1Kqwx/hfDPmtKm0mV0p38cd3qm3tZ6/eDjm26rcZJOzUFr3fFw MG4jZsWrOGqX7HUESinB5rNGAHQE+dnFwCSqfYghma9rMqpciiZ0BEhogZxnCc5nghNFysm9lS9o 5wriER6k8/f/rDy7alKbms0z5/J4J8LLN5vr9Nvt5c8RFiG/Ef2HCwoU9+gkX2m7u15vNNQyTdSP Sf4XOK4OPvNBjNVbbl/Mb2fB4sfd43YouIgfR+53EaDcr/X6zYKNzwE6giL+JmTRSVDAQOGinxYy wkrBUaCS3DeRKPwg9n3QOAQJdHHs4yVesgKjm/dQvSklgstOHY9BCtu3UvBPqOsaO2yTcBc/596t HCWLMxAf/FbBvU8/zR4FazxaybS+mWFQZS4nfCWnqiVvvNt0ADai1K35Vk84iUFYoYUlr/p5EiWH o4ID/7PVP5ugX+PzxYTdtWcfcfXsNgKCoI/HtTilesdpX0dFcSfJiMVkkTCsrDfzcgIhI4KfLTa8 hePPTpfEuACC1BRhf4lZ3JTb72isyesaG/WhzW997oHjiYIYG4V8Ga0hySW0bbgyDoFAIlLm1uJc 3cmD3wg4TS5sJ4NqwktjXnqVWMRVCU2G7/E1drhxslnXRp6cck4/jHjGnNuk7UdN9usqp6e17coN vFPu00xxu1lUJf59vzBEjiZCdjzbL5L48rLIL8azKupZOHNkMLI30TbDH83EgAyhdYpdaSxcqPBM o52+PhBB4NKn3hb4TL0fJBFHI96Toi50KkF/mTMKYk0zTi6eowOm/3n8Ud6yCWKPg3etp8NcZlOg 2N14FzsajWH5ZcRI9T7Ls57kXzbDscOkR0WqQoJhjpWUHXpWSdC7ZEp3p0sxtGOHTi353o7gDHfv fG86NHpCBsufYce46YTcOVORylzRIcdRmGVRiGYAPA854m+h3T4H95TTpakPE16NddIrt+VfSbQ7 ZRXDSl8gALoRG2iaewAfHM7O7z+Yp/McE4sK7hPBJCSHoViIYL3aSquDgPFuoTUM07WeaJz2+hSG tAJeo4kKFdHi4IseVtZTRktNr4JK6yl0kqZH9nPhPQkOpQhIm9/2nt3RMz5BoAi4yJjD69Eit9BD I+yTBpR//IQIpSX+PR+702MooCBjStjU1b64v4064DP7NsLtk33FHfcbtPImkTSb1bHuZSTWMaSs +PjmlafCCuHEkiZrl+Jt9fWkUmNmgSTnsskOZ6DThMe6JrOB1SSxIWy3zEtzP/s8Z0yZidCEggbZ uH4rZJV0xvgpKm9CKZGL18xnz3vrCpBR9zc6/3NK4/r869gUx1Zh5SdcnmIs1swMfn651Pw1nV4s 1PAIatm7RkqVRLXTkKkKDdguNSvEN/JrlO/jtHiI/cNjeXsPT2LqIPUbnc7sXHbGkXz3zi09vbXA oO5L1OLt4RhkeeIfzJXwWTgDycM+grtTZ1TmxJ71y+1jAGujT+51gcXQNZ61A+AGSvRMLb4Zg/SZ PiP4T/WNr5GuFMQDmlC63znRLUSfmqVXnv2NOt6AWJ8FESnKj0RJLFVOz/zLQ5uDBled/F+GRObY u0XxjV48LtrkPc54dike3kTcNa+RCMOw4X7jhko7X0hM1eUxV4HVHZ4UUcCyM5ltToSqAepCRNg6 Ut1JhCYJbDmZq0QyroZPIbLa1SiE8b/Kd/Et6OBiWGEuOxpkSzLgIlHcr0osGqrFb+Wh3k8fxf+7 oFhw2V01jQRloeDXtamyBjkGj6JKGyqjetAlPOS56n7eDp08SoSxscKfOy9ss2HEsJixGo30sim5 OV915P6Fh3cb54o0iy2G8fk038k/fuo3ukyDmPv0NuzqjTsOqhWuKGmb+pmw9FRwrB8q+8zL90C1 V1HvYErphcoNTEvnuN0VqxVq/onfaNSOg/q6m4tmuNwFyZPZxJaJzVTyjmBAsnyez3a+RMdhm71q mpOeOGW28iJIMYIfJIRNR63U74b7iYjhM39renBM1HoewzRX6cHnhMrT00DJSYQl6i9vMtk4DioP qIBeqqj/11f9tgXP2qdKbkNOpqG9P5Yn/EUzRtfFsG9evA0pwWt86pSMmiJFDzUOS+cVUkybmjtZ CoJuPPWGkSLYbu+Jjif+qhMg7rsqWz+NboRKULoTauHqIAF9Apxw38kX0/1KbxPRScBj0FYkezW/ zo4wKQT2mkWvvqlGvODYCoPadP19d/7sfhLwOhwrrvfazYOIjAH97HR9ToovNylOyhXdFyCWFfXi zoKkfq1r2nMBtzyeoiSwzXZ43NNAr3v1jjtQ2uR2e/0DUadilA+h5FrKPE/XDLJXNARzt4yXZtPD x+vcJ7e+wG/VleTzKDFrqrQHVKYRikLlqdTP53f1VJ0QN5SEE+DaxFaI1d93vlcVf82sGT7tZ3c6 w2Ip8xphRv4/cvkTH9M7Z/FhMb362yCD08Vtk/qixPDZp+J8C2dJVBNKdqXrFNV/nKtZpDIxMa17 bWWjGgAV/jQ26Z+ui+VFKoUINs2bFMYFBhYp120//ZpzefH/AnkiGkdayJxll2mxfobe0CSMPcrJ 1BTvu4+jEo7pQ6RwSqqsq3sXnjNwlrxtsyDbZN2lPgK/+N2sFy7J1+VT+d7eACQQ1OIXW4FgKRQO rzjGkonS5wMVE25vr+weY/dyh38M+0R/UgZFkk0y1YdWYvhGVtyLnq8fKtKjSmkq3LB/JIV4UrUO bmCpdeVPa/QaL0/V9iftRtj6R1DgUpN0KEvmfbaB49uP3ZCkivtq5+2Z6DMan1VM0A3F/EfSJiSu GhJxraBx9L1rAmo4XQC9PEwAabMSV8n8F4TKvCG7fWJv6DZNyyJPhQ3HOIvqRKlSpeKN00e2g2I4 2HWlDXg6Gd1vV+WX9QuRkXOp0Gp2rKyKkNI5KM7wStGiZX7xJBM6CCGOTwenO6Eapeo3TVastPIn yowelMNIq2aJC6fypUaHWLOCJGPfKEW2wkqgSEJaxPkk0rJBSj4lfIG0xWxq4PTi3SWBm4EV0txn acyYebCn39f3Du6e6j/vOxM3skUZpD7glf6lGfcyGDdmegbPz4At75D6i/sLMiSQThjIPDiaXErH 0E93OP9wYAPXTGLARa1Bw3AcN8OwmrfoMGXVMbY5945IxqBseESFDdBX5MugTuo271npPmkqabol k8bLSePyR1bnW/XKPzL51glMoqwTpqPbuh8m30ntnuiIYpH5PiHlDE4+B/EMyW2Mw2cuP43UHwnU OIH5aM98rNVUhMH3hv3LP4MW573NT9Zjdfzdl2H9NqAiXbOYMTTazrtrEGbNg3PuJolMHE0k7NYT wgHiuyxyO/WS7bEb51+lb1rNHWcSfyF8ZhugLb0iW+YyghmXzajyA23Cpz2mdmc4Q0pC+/bMwf6K 9muwZp0jPzrsPUbGXVVvmKpmuWdGtaBdQHA+6Hrik3gglHSjMeJHkyJK7M+ur3gaHU5lanHunA+i rP7CwXnJRCIvBNH4EIXwbNAQ/PV9c5S3+4Hv5RrI9RuJMg7XWqd3rRdX/dmGdDEMfiFl0X736bGT DM6+0YkWjY/3sgrSWWtLsqDS290iUX5fGdZumtEIz3231LqgQAYif1Va436xM6r/Ycxj5NJ7eRjL oyZk9nGh08HKEfgH4BCW8k4ky91Et5XT45J10RIH6JeGaiPMSjxLyIs9OBPbbnEgqT+zbB003AQF pFWzaj/JMkDbq7T/QBF/yCY1CJWYBHT1nLMcgR1wPjZUJwqdPnq9+FwPkR0gyHJ6DDH+DyHQjflM zNFYRYb8JjFINkFvXqXtjHdgC8xR+PUCFxKDhx4L9/7/RAwyY1EkRorZnw+341c3Lp5IL9mwt9yS JC5fbDAn/g56yze8XxNFxPCthywEQL8tpJNCiZu4usWoa9XI84SufWhJYVKviD8/l0xpB9XHU9oU JKamaQilOkRCEL5u5DbWAlvMnbT7to8B2urdIzOCargZsM7FWcERDD9lUGkyiDKngQtjNpaRdeK3 ykFnTqnI2UhiHBDHX5gMptkYgrVXfVRjoWGoA7s6w156Ei2390CCGiJbM068Owd4k8kJHUxKAbYK mBkE9KD01OuxEtK2LX/UUpUguUpkR0GebJZPDMAkFGTL3AA1bFnXv6kRnSRiPVKT3xfnOmVPSQM+ i1bkU/zFYem+q9mt1Wwv8vAWsmGtTY2BZlcxW5hys8nXfGDD9QVq5LJd2mWF2u5sZL6ZhQk8k+pZ etBaJb0IUxVzO+XTAElYrzb+d7nYdD/rvmHT2lb2/zDhgq/pWhHPtK0VsDDH331R8FsudZ4kpVpT U0mq98s7QXf1e/ccb8Un+ax4aN/5M5YHH4VbUUWB7SBNmY4jG8MK1ISsIF9czclE7U1RJFQ+8mWx R3Y1h2EA62ViamIGy0OMcnS58BwITHMh9VRw6JdPJqCexdx7eNjl2T+to9Ye+vGAhBOsK7BqIsxh R7Y2Twu1iuhHvdMOMn36F7KPMXsyK8Nr/VfuWfFrHkkw/RjZ0nCbGx0wUJ5OKve3iYTDOcUlbueb xuviw41HR7bi2bOK1C8/caPDJPb1YmXyIO3XMY0V1c1/4s4JrtmSRR34EEV9/f7gZzKyTpz5zCzP 3QC/HvfFvULxmYXVjc4u2DTgnHZklCRYzss5yLlLGqnHh54wVbeij/4ldhbV3w3r7oh5gBd++3XJ GMYqYZKx/vxHytdYa4ZfWp4kewxfXIiDPTQyilnkdTUd9ZLTPa098yrembI+JN+fBy42tk1dA44P cgfRDg1NJ40VBqTQo/+lqhbNOfch+4ogCD2TfplHf2aLKIPN3VzhCjBYrxI8QyYvZ9SI2mQ9CXUN ZkST7qszOAB5vOHIAm3Yapq96VNOqPrEzbPFD3z5Cfcnlkoa/Q19rjfRtvxURJFxD38ku9ECly76 NHHe0AE6v4+GtqYDdYg2nDHrqVAP0hS3PWKz9ZoqmvQWxkdxfD4+S7udhbFBcZ1y1RZy/0IO8wwD 8eoaIWbiE1WYlSDBdanr7QkBpdKU7VpfkLIpb2OUl+eJLc4TtysKg4cjzr8jmpJKSh0HBfnvbYQZ bTzSm0BjK7AhzFm2wCupOqWpv5yLMzYxE7z+tuVjZrwo2VV+2mnr3vcH3+nrtV9Y3dZAH7wgvaHa p9QzS8K/uyaxmwQK971HVmms1qGeghUcwHspPaVTI0s25XtFl3Gt0Z4Zh/W8XvVzfRbv1sMA4gdR +VdE4b5Sbp92Fv4Pjg9KDjYO2GS+Iobv343bXe+mi68Jcay6YQPZgGBwsMl2yUvz8GNiIsJOt9CX wzCgHEVccZ+NDyfJ5EH8QIgAJXc8BF+7RacJmxR05PzGk4lmPWEzuvDLKfilqs2IfDzJS0HbO5AZ cqBtGiOf3jBpf9ZGx0Si8axDknHYhhKzC4U2qqzv/mrCn0hcPqJ5LNrmYHbbdYv7TTmTDgF8xgDZ mTKIqSs7LwSfbHuwvYRaW4VN24B9wEujlnGTuuvxcamEJdns+lqC31cHTy9SFFbf/TwoL8Q+qSvt 6f7uKFuE9fNU21Gyr9nA0EWsnI19Gqx/GKuOjcllUcfZNvX4cL0dxDkpR7fn1s94rGMPZRkHs1fs EaeuMEU3FtLBrqOZ7loMY1Kim6hOXj3KsPh3+Os1BPcfAjABIEjsqeMSLt67m6vrcCPJBGt+lzG2 eMTRmRbwq0hs4V6Q8UOrgiO5gx8BWTETdfITIIca8kBhAV9FVN+d79tg1z+9wfeUxKQ7XS25aXMm xlPLbuXqie5pgmrpabKgGLBmVHwNVNkqxZxtSrFYxfjDtyeOioE85aF92mbNHQw7Uu6DdfMU0Sz9 JW2bV3PdObjzzU2uH0MQ8HybYK20gg6+IBRJAPc2YXd4wumGZK+nQICcnmU4xmwY25BNxXjcI42K KV4CRncjouytgwyytJQ4ZyHH8bAwDepjU7m1tI0VPiqFwtL6OLwjGvGrm9GoFd+CkDVQrwBcS1La AEpOfDDCVO72Pqz1GpwUNP5YYakjRxWcd7Bqr1Ev17wcaOxMZnbpL+Ybsd8HYO/WiIywDpX852iH t72/dHYCgXk4Nyl+AN7UR2L1GF2IKnV7PVwyWyreCRLwx+383+KdJ4+G+o7a6BiygjwuZ7320MO0 q570p5d+shFve+WuADSRY9aHHAjMcNE+PBYLj1VdB4WHP4xmnj+YIhq72T/7+zCdBegdBTj8FYF/ h4vJG+FL05ZiBnM86NRT/Snb2cwr2aLlVPxWnQMucE9q29Z1Wtn3pf3dAkiNLorgbc+H7lEgxb65 A1fUWlCtEy/JQ5ghkxj+i6BmkxfGHhAHEKAu2SqHJgs7G5rkUc2pKXICbgZ5lErLv9LM6esT7GHJ kirw2vyQ/Af7ERY0ke6UF+RFdWbTr7mcSc3KABlDgRiII5zTBYSDgstVuwOq/poYJ9N74JhQwAud lX1IPEqKFKu6+W89PaIVu1HkjeVzMRUxUk4UVd9HEgZcfnx+3xH+mkD6zrfsn0879GYbCB89ff+b p1ir/NwhBqlZvynPCbc1VijZCEVuQjJhZNFzAG8u/QH2brUXF3rk3oxbSJOA+hgJmLpEQuiL2MXA Fx+TRKNkByQb/gIAOElIjMNNJr4Vw5nSr0pITLzeT/UE9BmEJrYeR1njgH9gQ4cwwicqd2bt2GzJ pO0dIEnlhOp0tVyHl5fWwe1C43NsnsIydhM53bOAogiYUqz1cVsQWZ7PE0Gmg++KeC7EHsR3QD8g u5om20vAY9H92X7lkwEANQx4513Nc8uXtqDKsh+gCpo+pXfb+NNj6TvDeBdDjgb2bJkpLsIGBoog UDSmxmWT7uZCbTz1Q9l08aCC6lbLxHzlxhfghpQDp0HDAkbbNhxHR2VR46qTn41GYddTum7R2gZr YtdSnhe+a+xjq4UnGpNqwQrD8Lfbd6mOb5P14qOg+LHh6xQHpfgY3GyakavNeiM25eFCCpGOjWdd sDRLxygtL97OLJtNPUGTzIWkxIEMHNWN+7B74ZLXIyEGLVisxVfiDTwf0WyBwHNS1gio/ZyCboAT gwOjX62G3U8YiNmavTXcfwBOVZliAkQBWGo0xRcIw2k/ucKt3rQLTn2UT5nWjgYo3jVDi+9Zzs4a qLXjw0V8TEfVfVIt9q931Mq7e8WaSUdrwBmProgWhBGmy1TicrsOVZouJ+NLa2FghAw/0507dAVH 2m0ozuOemL9zWeJt4TOEeinBTK0C1aAjmXO6uA/HUYtYZFnC9JVIkaRJ3sDFs73a+7R6cVuvNk6l +aaeEfWh3cTCARhNsUhc93fJvkhyZmvVMvQ9J4Op6/OEzS7Hanlg8+V2LxXy33Ef3NW4oFZW6cOU n9fb5ReayOZyCXc8uCKDbZw8MmNcfisDfnkuK9SS39cVYjNVam05B5+XXxLjzYBr1TfEFwtLxj7O aGasmmV0s5FWWYs7gUXVJx3bui00bI1w+O4n0nfoao0nzSFQ2Enj6XrSri0cdCz8dMMXCI4nrjT1 yzlarwqiOhg6iZ4m98jBrapW8p9QSA1aBRsJ17offLY1w6y7+f0NqiEdG3w5dEauXu+bQgMmPcHp bghLVvIgEKKP1VnVmilpx+keGE2lr+DzcbwvSQzrBecsXPdEcRLL/DL1gLEdGkWQvidMdF4z2gjg rNaB1xL8ZO9i+ODxG4joLw5EiqLV44Yx/b1P0LTet0mujj5+S75TxKLQlJfw70GQwo6Ep1T9fc40 dBnWeTjdEcalVrpTfDe8BSci8Cr8iTEPol5uETMYhdYsCNkB4Tb64qeqLoiwzfClQT2yRNCvQepA SRDbEzWCqZVqoN59JVJtPAH3uFfujobdbyIM2wp2GTy9yNH+QFbvC39xUuGzuOE5pjLn8CVRCcF0 xEo9P2mzViQrHTIoLh9UiGTRTQMnnucGxDMERFE+6yr9dP70Q2TMH0K19VHl8Qj0lnic/5CX8TKQ ySYTXuKQ5iRBEfrSpnsKf3X7WxC8QG3airZ3iDAy5G/WXB7sKJb/TfKj1eP3W/c2otsRVMb13vgr Q9ZEj9Quz+58M3sZsY7ETo821PFNKNXzzzo3byV0Bcf0+ucKA7luEMjBGwtQPPZCEcfOMbOcVyU6 MqkQrYcYN80EBTCcwqvJ7GgaCirB5hU0Ku5DbhfMM3u+wH7YQY9eAIxCcoHQR2D1QKbmj6n7/43o g/SXyRgh5fY0Mx70zjDyY13+kBoeHd+mED9ovN7sjfNiMB9sOVf/GpxZ5jrd1vxGMMBKDJHVObnF cYOjOHeso6BVjr7hZc/IEGyqOY/U+9nsgndt6tMEfSDgan9QIfy0JIEGhfRNMHi9gHoAoyk8ANLW kA/lfB6vJ2sWg/sooTzmUCu0dDxycATTCB6zb+AGBoEINMwZJ07mfxoPWtuNEYPZEG9nWhsPQ+tB VTOYPZcYjLznHdictFx+hkH4KMpG/I4sphczloNWAh3wqyAF3f6ai91xUy0+gqWuOQdvG3EhMayy 0Iiu1Wd4C1rxZf3o4gMAGzkdpIMB4iKDuK9L2ogqoy9hA+XG9txteA5hRe7xNstbHVZkUjUiFkrF PBSvxkAJepT/KKACAMtRsSOM6I/v6mkwzE+oQZ1M5I85QlppyGot5KR2WTZGUfmbItH9wdfSp23c PH3QZ6jh7+LY9gYM/XtTG291kG7C3aM7lCyTguUDyhKSficMiRmlzhyJayG0iPZEk+DYD/1G+BgW U5RTf77LpaMKBsyHHjgZqXMT1WbfT0ag+ZkoWAI2Ttjyx0FA51HnydOX4gq3RHfgEQZd2Sw7CYa5 8rMag901A5fF25mb2PjjqOOfIhwzz7xYCMENoHNoN9q/8QjsR9rIMQjlLKffkofpypkfgQwf1gv7 /1tg1+m5dASNXOQ9u8qLYacyFBlNu4o9dZYLR38tfYN+4L7+Apmk52/Ovkl35DiYMdidvOYo2/9a hD/8HsKo8NtYXkUCgRAOI+FJG7An64RhzaNucsRifmNbJxPPwitKPZxgVncGAz4HL9t0mwC08uJD nIE/r1cClIk97ujofkDfxwVo1EvbvbrmjoOC5Vz3onR+u7itD8YLh1jGjjHYsaKVIBuznhpDjxva LrszJd9Wct5nNk4nvkXCEKRlhsX3tVFfLKt+iBt6q8mc6qcZqrSU8V7lcOHdj911ckdm5fSX+/LY W2S9nMW0h474Zn98QTXDVzRh8M76e9XPxGKM5etbEMOxlLBcjo8c1Qwxl8yYgsLMko/RH8zli2+r OXBTGMSW+aOWBFbFEQSCuSU9HyajZddBP7QOMjFaj30mwHs5Oh3N3dxsmZEDt2olxnhBIwdRVlY8 IfQ0KqbQ0vfuUDC7bmZkYfrttyhErdevQOuSDzA+jQ5mHrpP++avdfVRDcEOAXFxMx6IQ4R4VNdW 30ZeF4v8SqHVBjHSk3+5HFElWzei1QmlaPI++Ts5JP874U4MDNHh2GSbuxDHIRpBXxsUu9kdA/Tx 0n9Gc935fGu6in2p2iM42V6eSfBZG5LFsFYNMqTUCA3EfVERidNbXmFcu8iCK9c7y7aUhbEI5w1r hurig0iVm/K41djk78PvO6mb3jGyeTbjzZDWy03pMfw4PumAhf3wQXNJNv//R2yvyoS5Ow5VXJuV pe4RqihgB80zwbhMDAi9SZR59sl6l9hq1+OPKsorGKpC+UZvbOjR89Bg6xxTS9TfTyt0g2qQdF+w WH/Bg+SB1KNDxSCmQl0PupgeGB/7PvMs7IiPop8n5NydYVVOyDqWPxaScn3fszqaeVui+5yRW6GY yUOMxzoQMR8XZ3xiB1Rj7D7HMAzmrzkhZvY9euukBDuY6wyiPG2Sr8FSde9DkGjeGjhVK+PojMks MIvULvT0LXjZnjJ6dL4lgmzYhyFu3DErHUcW/Dw3LoiECTca2cWZBHRj9MsLfMANhhLcPcqBJGZ+ 6VesigZvbb1AYn3BHcjOmfGy9bY3WInCowqZzwKZ1mv+dke2aX4d7Sia8wXjXVPqkSsZYmUV4Mds B+/4VsM9+y+jHfqWt/YhX5jpsrCBnMdv3fnYa5hxP01pnhsZ3ecCzVbyoKshKH7i8ON7nkh58YXY HCIjZxT/lbh6hGDGxjgxdY52wFCscP4w1vD4o9++DCjCivVibTLxPuKTiLNa3gYEhZtm5zNpALYk 76PynAjE+unfeW6WhqdqH1M1mlzv8qIr3j4UEVsr+cC+5M53/GFfvj3sFHqST+ckCMpDsnQLhrbY 47zuP7VpDnHXgywy5KTCiAhnIotpfQjuDxhT4c9o4k+FWK8HOaLdKrM5/HrWJf1XVg1Pd1L6kgAZ lkZz0D0h1XNaf6IBZGygrVfaAKsmYysfNKnGS5zyuM04r9gNsTMRqG4uPnbB0X9cV1vH1XhUfqtd Wkkh5hYgquYyDgJnQQSAbrXqj9brT6oiuzy/uQzRSOVfsV5YvS+oUdcnVMQYzK3zltWX4MOaK0bp K91/Batfz7WNsVg+LfH9Dq5zVOa17PGe2Iu5Wo9bxehnMCUdY920lKs0QwjFCcJldysGJK3Re+pi AgYj7wbzp8D5g409zRztlC5U6vQyzv0AQVr/W3xDXunXWaFuEUYAj6nGESqnMWMcSmq++euBytXu 6wOtlMl4kwe57+flWtM9+9i1U1N4QJ4pfH9azlV1OsR+pwAheBixA19Y9EGytHVCHvqCARJ3VLVM 5gRZY24HT4PoqEbcJnpoe/3H/7mBlwnX71dNC+00cqDgB/BDEDjhpAAMu7v4umK96qef4Ia6Z5kc wmd+n5H45ZkALUVMa2GK1sQHb6JM4CftcM8V39coJdTR2DbkLYs/+SIT56ElNHwLoepeH5abotW1 /He+iZSsxNF9zXa4ulgDe/CPG6idysYGL32hCFlMr9ih1h+Gx+ELka0jCaXqz+lL31KKrcIAQs7z YnPbIi8pLZQveaEJx9zIDS9p18L+DhF2/V2R7DLN8vPfM0iBAALVGLT9aqzX1DUXcSG53SooWdpE sqC8/zMVQhn1L2njUwwQhXKP3tB5XhfW3Ddv63qA6+9n5LlZXzQvAZte8rMITDsvMHXdh05Lc+r1 8FN5PaLpZZU1ovsSV6EN9UmQYVtZtovbVSErjK0IY3bdZJv19xvqsymMVOeCw3dH7wJUJlw5Sxrd y087n3EWC9U4fw24MXphrD95WfKIOLeXrPi8FlfEPTfHOQvr7Jn4zg30LDznzGDLYA5lFvgUa1vv fWKJIIzmLPZc9mrX0M8KCBouRQhBPFNoEh9E3BZFCd72WR7OmWRpMqThqO8VIlUqjhmWjQEX6ylj eOmpCalrjs6yR+5ADydsxqYzqgZu6UJ0hQBhS7Dpsx6nnIz19HDEb6xN99p/BhDyZDA6seeIzEMN 6SpxLHkbvb/Asu5DB9rdrT6i2bOC/Y2goxuaYMoT6DQMs5Q0NHQOYVOR11q0qcjs0IhbND42S96Y 8bW0fjw9f/4PjPg/LG2GrNKw8jPlbf/bYZfEqCp4GC8m7EVmnGAUX+eZ3htMM3+d++0/jPKoTNT9 f3TryBzF60M3a3DpoB3lcmdZeFVgO5KW2/htbr3xKzHtFcX/x4MAo5kAKzr3Xhohs3iy/yo+ZIDq qxfE+7PS+aNkDongCHkgY4grUsLFHBOwO2GLyFPO974nrSKvPjB2xAfNtvvY4F/MdGic9wpt9gd6 6I2OxjugKuIlWD4ML+AXAFArAAw8zHVskDFWTTqgwTskdH5OjpJpItIgQCsTrH6xj8a6Dl1nJvoW lA7iSVuK0pXHPQgrr2U5gfht8FgbJcyWiwTi21Ij8vDAE+rZRy2LayhiCM1Qy8FT8lhEjELbK3JV pSmkKokEebO/fTRnaJ5WDvSrG1voVQMWHJknOKvBBnKJBSKVxe4+TnWKJPQRhcatHvcTeBHnhYst mVvayCA2n8JIELwW5JKHybI6FXwmNJXWrhf0LMXujiO0PZw3CR72Oimn8sQy1WuKzaOGBGm5cbVw 0Rcx9ytdE9o5BBk4N1UAwowAYA8nv++fRvDCKX9l1iSX39O4LNolt0OQqwC3W14lpYm2si5Rmmt9 H+XYYP/7awhMhMDMU020zjkAUx4Rwlihj2QclJn7ca1Ney+wonPOs0oGql0ouik+wW/cmM88FeAk ToZGJfu0FyVJuxCmj+LgZpzUJaJ73YMPrjPcu2824kpOPFZF3Yoefx6qN2y2yRBk33ak255tx7Fb MdUztTgyO7/NkZHrARNT+QvuCu0DjtXeaDk3IfOnQ8rp9/4rMuwtOxR9rCUMOwWgpG1HCYn/PKlo r7Xi60X3DKxVmIT+BkLsAOd3WlBB53hOuo7l+rI9NRPQ4/LLoT2ao3A/QJNGx0xGCC4UR3vzIoSP xa/xqi2/dRgFAoEfyzmb5yRm+O7ae9csNYtTrFc4sDyITIXtNRSJS5A3ufrJQaLieHTTIGgm1iuN azcMZGfS6CY1hP8EWWHUFT4B12a+BnxoqdX0ic6ZjixBPn1LmgqpO/txddNNSjm8ZQqdxqXdd7iO AdSib4uddmDCO8W58o2SML/1jQqCE+aTGommqxGr98ZTJ3OeUIYWSoLAPjpUSDpi9W1/xXOO4THC dpgiKxjTRbrHSNmOaRVVztoM5MiVAu8pVtkqkKdvGfYHIlfvKTsUaJGehGzEFJd8ZnXiFeAv+HvL uPr/o6vq6JTPwyqTUxhlR1jgfKMCxYDVc92dMs9BOH0gI/GGF1zcI47gA9zgIi2JvwdSyfZC0R+z TMHcYbfLXgpO9HD5ZRj7zEuWg19CITr5eKedOqpqY3Cmym4SjkyItNqeuZWZ+pMBg20WanyN4pon 4gpipH2iKgoJbhqWs/mfR9GsOI3Gvv/zviwA+ECt7ubwdRfJopCMYnOerqDz4G/igY4ED60x0vfe SeNHoRoYUJ16IOxwqW3XeXogq1Litpw9hySKfn2kKmkyjHYk362TPMCL60q1kthLJD8AAcAF0m2q 7bTSRCw5hXhg8eK2cTXk1TIAdkqVJNtn02RZXCt3csWJYmnz+jaq5F9YuanKlKoHBJB1HKxptAzy ekzGoxElvGzG4diuRriw5MEgIscN9BaewHfeVBwMUKOFH3nnDj1HKxK5tr+kjxnk9Ycn9LNjfNqQ SOobs/EhET5EHFNX8CqqJXDrWGsVTCxNGQ7NgXeLsO6slC1qqRf+3Ygsqad5IKh7g65FOliRfF+7 l9qvjncJUVnLnLT7UPuFhuXWsUWZyJ4dCu1vSPnAcA3ktnZkjpYpvbTZtb5Y0MpMfb9pIH+SZULA 02IObZku4yzvfef1wjCYIRWkhWXGCZK/EQwhyU/LPxNKirGl5cVi0LaIitZoSVoj/KaUr961TdYt WNE2EUdgTNxK8dOrmEuLWOXyMzJSyqL9d/NTEWXVfwBnzzuFO3CnZWg1GusNtotkInXH0LIgvyLs +TEmU3zAllCkwSTa/LzjePvs3JNtDgk3+m+18Pgnwp3DAQa3W9MHH+eAQoBYaptx4t4ufGNOafVG t4VkT4GNLQDAom9Re75RZS/KIsiHTXPEun7bnlsfEmGD6ZGCc8bPV707cWLN3XC3bq7BLLD/9pFv Kf/3JBLCOw5XQSI1S7un+n4mWV330bzL4k1EgV7/NlNwyuzxaFe4EaZN0N7NN9zA04o6X74equmP 2Nj9ZsJKw9HnWRPKE4P0XuoJC38mQOGekUYoSMHQAAceln3nl/o//0RhNXPULa4VCgENGyEfaaq9 FLmX6vyo+5mNpryFUUuzxxmWyIbpzwouzDnLMxrKzYdA7VTzVC6TfBiVKJqNBIEI47wasb7mGKgD 3MFoCdR3juWAfWZaafYh9NgpurjUUCKdsf9Ry1gC29UG3WL1/7RMpkhd3RK/82BYtHOEwkn4i+cj 2MnSy7vgVpkbVa7yWLd8TSwSQdgER/mDT4Q3fojQc+wZfTIgjLBos4I3SYMrFWoPvW1aigs3qsEP NFhoEN2LzbAU5XrlQmr73YgHTDIg+49NSUwDl7QZgVJsZ3CKnx4I3q1fR+bxqlPQ5uFIYB5Quxh5 TV7VWPXhmgOaoPs3l8dbZmS49eiiBO+/KSssVg6TDW5lFXBuacjOGiObUxb7SyJ7rGg3t901rhMK AZ7sdDMcySEijnkfV9xpH79Sr4X6qrtSNTd7mcfHnr/UZAV4ZDI1OHCCxoE43Ymt1hGXhngyGaBF RGxrGoK5SRLV57b1MRRCL1/Hq0D4YkG9rq84zhSrGNeV7ShzuFE6Eon9P1RgT9Icixt/iyt+Anhj ox4XV1NYyC82zmd4IkYIf5X+IjqJOt+YI70iVorx7dZ8f83xg/s6x6wR5puusAtbzMwjuWsjEFtN A56uFcFQJ3XMt4P90NGfiEpFz7WqkaENh3iOvmHAb/NCP5OAkEmY8ibWnn3BNJ6zF7Zmb++vbFJQ zvqF/27vZGQHNFKdAjFR1ajHtM0cDFCbCdax6IIgLf0Eixxh3Fzlir2iddnAF/HmEzAtfzfq1Mnu RxuGrbs+khVmebNnFPvQVVL51CdOmo8EeV9eaHhmQV8tPMB2JW/wRHSh84a5pndzNPHso3AKwxB/ uZix3SSdQclLSpDHa0EJFZLtn1vCBe6FA3AwlGvH6ofFeBF3vq9af/jeAkILVHSXoZyXdxs9dxMy C7Pu8+d952cE/h8dJcPlDnVzOI/WGweRRYwJ208V5wPHPxaHhUISBE5g281YqtUAVw5aQD+1SvZI lq4IkwbmDOpQat8E/BdX6DkwS7b4o3QAGd7BEqb02V3kQJQaEHIyD4y17Brn4tG59BvXvWbA/8Qz Tl1095lW14x44+zikeoBfyhBBSgI0qeoeKb85YkOagvn4LIq8nPMMy14XNz2nJMUGtR8HSaBVux1 aJKBef7Zsypyf4RQVFDStqu2VsNKUdr9z7aQEoVS2XE2XO2Xa1j68JJPdOT6qHZ7SHPKY7VO1eOw al40DUScBhc2uGlf9iaWWxoNuvlrBWLrwFUT3HHeJM3EbA6ryGK6HXxTN7lebNBcApJKIanpuOmf hOgFwPnGCM6Kdwseq+hbstU4wjPbG1ga2HWUC0hcCvINWe/Bud5oB/rp3lXKzZ1Y6BH5GhKXKLtl JQlMEJCS/HepB2z15mPg0O0rV51VlQrhuGLxkaRb4fci2Rof2pLmbb9zY7WJmStCDe5VL/kMcp0m vQNUsiV19NbOvcctGrdvQ0tck8xC8ASFND88SHXo8EhMGFI6Ml9gqz9FafcdLzsEkiGG0oBSK+ju tneMOKtdI88og4li7l95q9A4ehh1QqdIFaciE+/bOAJcxTrKWaryYKfUjnk12ttQO89Z0j84XDEp V50xqqHWvgaZIpnAmuorpOUrAwDbe2FldcEC80QzK0ybvT7rv918OEdXTdJ/PMOGXbmw300HdLeR JMKCBkxrV6cpztrlfz+3bscrmr4m2XK7Jis5DNqFDxtSWSINOnRnl7SdRiO4Kk0M/HPRKQtdrLOl XdScGnKBPnAfwp2Hv1HMkESpC/wZJRKN0rx30564BbGLhFhVHC6yTSf1arPSZx7fZN+RymG6zvsD Pxxm9nvSS2GIBz3QWuhIWNecmaLUxC8e2LWabyhb9B6ZfcKZq8KbqUK1TKtribv8pxRyNQXEclT5 SH28vQmLzJZOijjnb+8Lo5bH4qv6rBMS2hpakxB7lDHx1310AmV1Y4V/lNj0Dq9DlWWmNuJW6vlH 8FY0XaTCJQZ3TmMkIbrYaWZ/FuCvdjQRLGtbopIAvalgpUu1GlkmGRvfBpg0ABJSyp1WQifwJRdx sTXE2I5l7ZSRjxa2kqxcFJ/BnpU92TI5Og/uDT2w+PHmDVqcLMtnx5KfXF4WJd+vTUksYZdDtrz9 BudGaXbUHo0dhL11JKWinyFPN2AP98CV3bW7X3URHeXtomXCDPakeTSLBEe5+mnqCZ+jBzEB6rXw yJ8MdQm5KTjZfGmrDDj05tJRoWQLHlXfy0rs2RDs/Claa0ndWhYomWowd9N1bigj51GaHWu2Tbec GCjRWdNBIum9URk/A838HPM1S6fvtcEEI/8OQBfaAWLGYBF/JYKy20Knv+Ceue511IDEoAUexuNS VebTdyE/AhhGYqtkp7GNGPm2lUb9+/K1Yl8/b9GbpUffW+EMrj7sqkLWpXbEKbZegJMqPOmXlJxZ 8kOovZVKSF7FkC7jlwR11fsIqOHcKDeF9wc3et9zEDvuoPrEAF973Yq4A41x3LRdj9IRbhUmuWes Tyfj12akwsSCZDLPJUwDu9Uw+JmiwBACcrTGOusrMFsH1mYkrGqtX/+GZu7rv+cAxj5mWcXy6k/g EALTJ8ngU8redg6UgG0TtJRFSTGQ3ajoHsYqJi+wZGFa8UOvdH8hv7WSipgwvn/JC505d+VihCcE 539etPgdd71vFDeD5dPDjcQi9n0AmBCYsvcgk3cX5QqDYUgUaEJ1S4SZ721f/M3tZuYM2+c0aX3m ymZMpSuN1FMpfYpRF70tembd5FDTuVTZqD5Y0z+Qopdq6wuX+AKEXDugeKYZ13wksFzCIE0m4Tlc rhRJo26Bwr4Ndc0twcyr6yIx8gzvJQj3nZ+oH+PC9onRcTPvX49UABoL7ObINpR+s7FvOFHoBNu0 lymKmGPWuS/iFs8VbvmkzCB7a1noK2pTWS6IlqZhAyX8Lm9antKGNNZrV1acOswJvVSHsD/CcrTE H77pDqmVtHD07AtyXQ/XZlSinQJPyYkAYN2ZwqikNuCsOYCg+9VNmZaZlaWk7YhZDDDhZKpJqmG2 nnVP0rOEESyLpOdLlEzJzYcRBDhfVOHgbD+oa0+raR3TjQGcht6KcfDJufoJIte2UspGFcDijEBf i0NmJ7KDyMzV4RrkDC9ZlHmckTuyK0IECz0AMYKHsUxTu/c/FqmVufjtapZy/A6i4+gv+SLgz5F9 4X4RBgXcibqrptVpS3WspOJHPsdNHddb0Jzb8OFLrbK8XunGMUbkuj/zShW5pdVXENcB3wKWbr0V DlpFR+i10D5wcoNq1k3pxglj1CPKac07IcGhx0/kvlrOVrpJZlyPlRC1aKhycONEYGCFDNjwy74t Uc0z7I3ybsHJ1gN4V02B8kD3z3FWYfcH9oJEi4+/hmtfphMoER8rTS61unX2ghw9cXBFP3DU3oRs h9SYYgT1T5z09DRZlYLf1xD0CCENvHcLzHBXZKQhw+Mrqt6uR3lKD//t+erKediJlVLRTj8TLpns O0+s6240aWOm5kwZbCaDjkmU0TBNa6Pf7bvqHDPU41PGL4E5+P3l+6pFoQ7FQ5ylIf3IjTonL3XQ lVY9YyAHmuMw1mXYklxjxOcFRFoxmEQCpGdoVlx+OEKW9Tw8LyJsxvIHISZg8Kf7WkbbVIurTqWM UFjsZdOeNbRJvmUPhL15e39nLZJAgt9KzUbAP7tnwevS2oW2mh/xF9GsEOmZ2JWckdbYVrEmiEr4 0omURVLrvKVwFOI4dv1gE74E4G/4tRG/rt8229WuQeepua8klP9nrGTSl8EvIVd20bpQSeQRf/+d icFdlJpSVhs4vcUKzuxuEylPTMa4KRZlaTfOKS8td2UgDTszdFziaJo2oyoe/SWMwCTlNfVofzop 1FMCnVDZN80Ig+w+g3NEkpTFeaE5lSsqgRRQXpe4OTSMH6i0W8PHzIsaOl4lz/M6f4znxYrT/Sop DAqNE+uQR9v7uGlAT7RDu4BeH/HFbQLmHj5YlPeny9sQeiztbvKd6TdD+ZnhmrZTdaf12BBwBoX5 ecfCY2xEUVXZ6N9OlclIusN7W3x1RXv4OhsRLs/z1ovFUYdF35Rp5LIHjcIP+w2e6pDJzZ43iZzL ugrNsx8TtVWo60qpQKw15kDPYWu414ahJaGvO0mxBz4tO5Xf18dAZexY0rFtiFGvUVFLzG6/0zyC iGz54lFzXbWoTbRDXGSNUgQTdXi/0/zOESYchEhRWDg2Fca4WgPo8E7pkdeGH97AV40orOTuC+p8 7dydIory6T22g5+D5jMRoW77Ot8f7hf6INPRvPHKLu0KtpJec5cwejd/IiqVnu6AJ1SOPABap1+i Ij1Yxm0WqOFzaJsbWyDS4Fh2/mA9Q3bAI+C/ZPRfju8pfd5OZNBlvQcPs8TJ5TIOey9fKSNnfh6I oNhxOYbiW5cimkGDI9x05OnJT+6kktdk8apxtNWFPj0q32Od18URTp+HuhOcUS2Eep+w5a92HeDJ Q0phecz89Fl+hg9+kQ0hU9+4dU2R9eTxlauBf+TIKZNlR8NpWrL3SsGNqAkFXARqgoqFcxISQRUC imK8N3Q6JiolEuJ4IjRGBgXV+VoGDp22wlCwrYf+T1YxfXcfiRp4L6RlmMjVc/Bqpjtnku0saY0g N/F2spqHboh+632/y+rL1TaD73r3ajhEqzwckOiaBH6fJwPvHQ9RQJxm5ZE97fC2UCf+cVa771hD Z32LbO4o4vmLZwfsTCELkwbMK9KW+49tVX0IS6yX5h60LvFZIGXqXNVNNLdfwP9A7QhCkU+T8/9b lAesnkNvYj0+JpUBoW976+EMAOfMFN+ByfjQcLzRVMHwpBcfy8xxE4JwudmvTfV8SwA4bp9gNldG dzmUtlDUaSAqaGVnFP0Zbz1cosTQ3kFj595fPTkRCE+HsHXUKdqJzU818CTFls1g88qiYoUit1EZ /QMzMErZzu3BQYZKqItkGUn3fAjQQP/eRJGmvfVDxtgxol1fAe8EnjZuvrjynLveBSyAWYv6NAtm Ws2BbZIwtU3ygBDqCvC4zsoLNVP9sxL5Y60C9OM5DFxow5StufObyoATjnqK9IOF8PUQBhOIVoR9 Zl8I9R4iOngPi+a2D+PKIeneRVCotAzMSMm1COiXtfvlKeunXLUKJJVlAxgvnYG77LpQz2JX7REa nmTE+RP3Th1WztQZYuZjKIGAq1/G9xKg5posg/sW7xti7njM64J0egBkfQaL2x3DR1WQZrrBjeR5 fo9poUPtYoc0cgL/8fouF2b/Tif3rwY+C4mmJxhwEq5gXjjH8ajGEq0n6pwzGDGeWqhwS1wQlPUY L19UOGliSuZF5SRF/AskNBe2KRoUrNeab3xmDvM0E20q4BiphrkmWIO/8rvzpxlxgRwEm2R1Rd9J gIPPO5T7eo0XfkWBYsoDdm9C4KJl8i8GGcLljCZebmA+P8kapAotbL9N3pdphaCKcav/SfL8Drp0 zu9Q5f8rNt4NZrQ032Z9equnX8Bw+6/LDLYLY9wJGkWfjlS4L/rVRY0ZDubzO7LHL0zZivHKN4Su 3gCAGjv5h61qxblkR8h4MSJZHRAg/nT7RvHpzodro5St0o0+XRb8DFXcZzXoUsdOaR3dEwTatjKX hggnaQ+FY7I9lA+rZbfQxlw0nREe9+OXYD9YN5DNv8/j521/QR6t2IxYcKemSf++8DrWWLyswJaF 8dq0ifA5r2jctjwLOrTa3iWAgtWz0rq7EY9nILqusM5E/+u3ZcI5AJIYxCKpi7oVVwPVOGTHKIOZ ERSIR31eSz62rP88jzPp90QKiOcU2TyChWeYiZlrwUT5bFmgfv5xH8mt0Qxe97TAr98qbgsq9mIw jSpHykhASt4Ln1x8k5JPtFoP9TYUHds6bmaFMrpNP0ktkmDqwqzS+Syi9NSsx+3PKTTlyl8iZkFy zqzr6OrIIfU2Uj41QwrXtxFqYRFEbftdN8x3RBonpnMe1eSvBgv17BYWFmEDXIk5JDKJboiB+qVa SnwGsDWTFo/+Uh4SQlwyjQ6hHwQuqqaXcRAamXDxDlEV0QcfiLa63CLAEEIEmnC6kn++m0PWyfF5 9hiGlKjFPeZjcIgFP0OssYh3seeWaXieAJRNLYFSbQU985xrCATnAFPUT0fafdZBWlQO4ujzpXzX KM/KHFPGnU0XMX1NnlmYEVDz4vawHNl+fvofi9xa9m0LWc7+veyQH2WTle65aKKI+IcO+JWGAcKO Gpatn5VZT6Gi8dTawBXFQQ4Xnxmp6brl3970+xmug2b3f/CI4MO2ukut1p/cZIfzlqJPioMiaH3D K4cbY8UJctjUKk4dUXIzJdUECkLL9ZnqqRU0S65GhqQhiA19p3VjYTzNVMzkkEVdPLqyd4tIo+f7 lTPPe5dYEwAI4huDNeLL3GqtClcKeVSl/Ec5ZUjtftb84MNF+IRL5lmONp3jqHbu8F8k75W6i199 Pw5/EXakVJAfiNf6EbRsJqj6/AVGJlCv48xnqTIiDQWArTF+vKu72J84qyAmjDgQp0qA4stjac7D Oh4fhMnkDrF+FR3Ak5opsQtZH+Lcui2WPSsmNljxPkwENnncU6O6N76x0naXOP+BC44gFYCfoyA3 /S9qki32dzfMd2USP4zXD4UllmZIfVZrRH9ZJQ+fQotnEelnWSRCwXwMNQ1ea7FE81Nt/yk2XFd1 SXA4mhOGqd4CjSo5hiRchdyS0s9Y4L1jalaWTlKF/MLaIPT/5b+qq22021DbiuCloFUfxPgJRrx+ vc0dP30WNdaTj05Q3g58zoSf9sFxZ4wy0jtnoeDltgGU9QAgFoAMrLmrqSgrouVS4mYicp+2EAm2 KGhUP364N1TED7i7/pgyJCUth/sdma+OO8b61pft8x3TlyoOn4kycUUijG/q6YFTc2L5pDwfYW5s x4IgszQc1mpJ45eGGzRj1kx1vIinQXcUsTwHREvvClPCp/NV19eWNaS1GpkoVzQI11XkzQOPoz/u 88E13lFD7IARWHcSwIOjJCMpNaDRrNLRJAZ7GK5cFsMNyMERpgoFM1zzBek9G25lZumqEE0nokdm c42nK5ehwgBlR6Qgf0wXLzPBQz684tlCbEDJ2YxpiSQO+Ozi7RbIJRXmQfI1/SpGTINwhfsqWRjr 2l25KcUG4nM15VXFLVNZeYUuV9E7xIZoGzKOPV/QWSHPgV/i2j+Igfmzfxom3JqeWXFdZ4jsjyNe V1oqqOpF60AjkGdctwS8Y//6/fGNSdgPA57slyvEE/oUE4g6ZcjKvIZ71Fu89tWjI4GQDmA7CvEO d772fpMHYAREzHczR2kal+eyS6PhsrWYRyrT9cphImhr8UJbZ5woSoqB4DDCHxyreVeDBI1fumfb E74E5ud460hWn+9fk7x6YYQNLGpeZ9NZgnnXsCWPGWl7MJDpX2VnL8+MOoa7MOqYWBYaWtV3W6E9 eUYMLEJ9NHggWU6yY0RB1gi6KKUe/N+2CeMToWL7v/dv4F6fjyg1XkdL6TQs/Xm++4+047j+APb6 CZ4CcMgINSrtfRzO5MRlq9u8mV80fwuFRlk+xQ11v2JneBUDL6pVFpVfEGnk6om8qz1ermFT+nJs VrhCj1jGmmL6Zhst/TRc9OE2lFw6iccZ+iWMw/sO2UnIiOWZbTP6RpC0/R6cpyl2HPtLJGnK9djD pPypSLv4pklgtVjPYSK3ofs9aF+sSXb8tBIGy4Um+HWknEQO9jorZaJB7vVqJBXVEo4L9qvZr9wp wV+0DXfKV+gxQjY6TuymJ/8ZbxHVnInfa0GEPRpFj/HM4l76BiJNSg6d+NolB+Eqn84oY91qehzK 8TSc49GXdJ9RqCzpUDx/gP7NrygIoqtNGVPAaar73VZKa+3cFC+GMtHjYBNMHEVc7n5xISCRKRsw /ofo4qa0Jj1ZCrCqde2YwhgEh2RwjRY38vVatULoX90bz4Bj4ywNswIaB9u+XU9C1POr1HrM0x0U QpfyFlU5WafAnkC2NcXIgE2VriSZWzbCj7/iqiyG3zsNxyRWoi5byY3IQrI0b/0ufLfi00NcvG/T Ydk7yyj98CDH4u7ozyXLEt8DmgHLp9NY6v71THICx+8naYc1VrxI4DUqBw0XVr/RfdXHdO4oiIRi QLjyhEHWLP74H5OZJoLJ6XqdYeXSYl84E83fcoxaXdff6+ByRfZ565R1H7XvGd2Ny2oqySh5t5Pb Jv0dgim70PffH+1oCPVbr+MaOOCy22FqI/MILQIF1JVZdKLYaXnvjqYpk9LzCOHupIWydeKF9udE VseqAHzKmrdEYmlHJQKPmV+UBdhXZW5j3uNqUrXlVf79yMX3nendvnglyUkFRk+yix2EtsQszDQd k/ubbkK+jBFd7fZXPUJOlDCLZKkE0eMmp4QC6FTh/wjnZVjPKuiy82WnAvXvGHPGFacZ0f5BlgHi zBQkYVc6NHESGuVQ/lIsHpwEDIcFaXZpWlDT4PEn1Cwc3WMVPhGmNtiUBj3fey7s5n0A7wWpKWSa tyejqs1mcfxS1G7soUn9lMsf/9//D9hEyFsj+dipqSrpVq6PVoUfV2rlTN7XDg8pQb6r9rgPjZ+P r5tL3PUDuFR7vPxaZ/Z8hRXMIU0csfssJhH8mZs/ReIw24q0eSa4qtf5P0fa+aUlAzKYuLmZ0PYd KSCT8hCSiW3v7IclKENJ8aFsMU5GorQZhNFwuGv7zmjOwRX9KXpFd+RVUThfYlZhXGt6e2TINiAO 5OBi7VQXk5yUIUhiEL0UPhkRBjl5dTCLQ1UDWk6J++RSyBNmNg4apdR1LXx+/o0WKn/lGP6E4fsb nEuNKpnphUi4giC2ehFZkeR9LDHOmdMnoIvbMMUtkmfNpbiHkWklEP9a9o2abDRO6Dg+UojxR/y7 a8HBtd9C2zpafG+rqQ0U2Tn/xJxpz4YG9gcOebb6Q6/VZm4o6cCsk1UVS51xyoJNWaUJ1jlH7XLG ZORnLoFH4rs+gWFkTeGEIrDG1u/CByENxRs6MuGjEObIT8gefXbt0V6NuccPlcwca/wMhrmGWbCR N0spaaNDG4KRFVNv4tH29HVoQunaFMgxNy5OJRP8LvjGfyai5gJbFJvLCjVRkwQVGrEfAGWUzgpv 7dTL1K4lgXNnQJD9Ip4yPU8GXUekqEF2O+/T5z744c/6zPsi2PG8/46uGgPcuO5Quk1bOouDE1pv asdgPMxwleDy0KkJhca1d0Ck8PzwjiXC/F5l2wxZf17ZzC+wWBaSf3wDq9/ee/59jDf7Yp+54Hc2 iv50s6Ks9teEf5UMKFKAOo/HftnVEk2NZTV5m0OvV4f/UANUoepCSEJAqRFcws7Tf7gLAA++n4FG VCsY9FtcOSmV114hpZK4Dh4gzbGgBn0IkJhMhhk7sevuBkAgohH1mvXl5wUdkijW6SS++zI8/TjH 3/671/G+198eOdLQ3jXaDZkq/SJDv14K4divwohWA7sXH1/WEINPljqNw9Xm/ZmAN/4AR5AZqxnW nZderjs/j1qs5AoFv4RFraaGDnT5U67vdYyp7lA8e66EpyPcFFwjPeq5/lpZ5/M4EXUGLGlSSWXx b+usdk7KWwuRAMqA6e+2+uqZ7+JG2VjMCY6oDQxXUXuIzmCHJnysaSAZZD+MLEindX7C+n+3n/22 w40N+fi8nWNrlKozE7ZTrVfLzXcb8fT+MwQLdtJmByNQAWq0yoWaNOkgIH/unrXH1UxKTOVYr/+O ZVCgWKlyEIl/lQ6fdjxPUal5zDCVMBuu8BxI+ROCIJHps7pd7FWFKEoA63VvuZDz+8MDosPEUFq8 GEFsKewdeYI25HAe1L8Fs2iNFP9Q9gHBus4w3GoL7rn/KfpO02wWCaqgOvAdgBe5tjIDA8XavyY8 IlRCfDNwtpDxYuSEtGJYBcE+5eqg3nE9tfTqqr6DrWsJIxGx9HqNzCCWzDW2i51ZDhf2Hypj24f1 MN++EounC0vMEcBi8/H1RTBmmS9GJa1v0b4kFECJIGBoQJuNhIQon7WEf2b1A6Uwb/WCvqDx0cgW tljIOSJRbwb1FPrCPsrMrndy8r3flBCQYmIERwVr1YqBw3sr2HvtF7vV5II8FGATcwHAItVw9U0J /PMTacGxp6w4Nnm4pnMauA3/wZJRLkPOvTnKJWZqAVEMzm1m62jUKqh/G/UwpcsF6x5nR4veZHWm jm9eTUrvtjUlsq0e4Y7YX+U4jfhOX9wssnDZtd9OyYKuG2Z+S6daSVmYOhTTzJ8doat0TVdtL9Y+ FKmCjOviEN1nLDuGN2iziB5tYU5QPHWFdJmcfiax/0N6jxeoRimLIB6BQK289mn/kqH7q6krRz9g eIAhmQ/N/6TRURg/gCMZlg00AxAIyUIpar93TKYin/bmiQWohiFKqaT193m0Cy7RWqo1YJDtrfgu 6TyGhWuVBhy1FaNYRUlwNo8PYZdrdtZDBQzIzc6tZLZdigKz6409fh0dWCZM4Dc+GxJ+JldQryKG WWpWV0pCGC8x2R3gQ1SW8GT7tLbvJuW4yzhQK5wK+2KB5s2YUFp84f6WeXIOnhj3h++QYY0cWoil qCGH7YXOUylg3qMNHczAKbrx5XvgVxvOcS9jAgJvyDjHzL4BnEtIxhJUxj15SIU+QOrZnH4O0xF3 AleUkbOK6jqeoCu7vqdt8AeBToYxJ+hgtb7lHT2bdEtRMsSiiLoHITi12CXLwIO+AawnOaaVHU20 OHKDgDsiwymgJGpoXoNjr6C9DC75TjXfBDPYk+2yZYhfEDMiNdawD6JbETWkLaKVLSbgBPKwjZRO rIyFhFCUWREWDJe+c6NVHoe4IRx/hoeaUQXDRbgohtWzck/8K82ppcfQDt1YcZFBPZcGDTKIa1eD LgM6TO99yAKshMZILsWgD1OAZUi0ghz2UDOdNRfJSEvkACiG0ZVuQzaaxWyLCFmh0QmvxuQhm/QM ACpH4WZYsYyIbezh8tZaQZHEc6YeNDNE3VhVr9Nvlym6axud8CP3TiF+AQzvtyrvswAXHzE2RRCM jY3phBUkyq4a1X010VY3fEBUYXZZivlb/fPCeukd0zQKezaaCSry8cuR7jnm10sbpy2IoZpzMKdF 6LIp/LWgEEWvdv0qeOiNf6daaI1KUgynIcbAtTRSmsdzjDOe+Em08Kj9J7TxCCYPCTG24UwridF5 Kbmgjz8d2Lw/w2Vtgwb6REQxU34b5SBVMUNKZqXxR16wpsqgcmaluB2za2+czNmEs7M7vW6egYrl qSsWPB/d8PSy6EJARF6AuXpkkmHSsgDjCaW80Rr7lPCeJe8bo5/Nj79tmObaK3bAyICidls7mu8b Dfsc/0kb2J2TqoVnJQfNRRf3xiRKKUh2XfX/vFdZahkwX6BvT6Tj0ObhIU59q5QhCs1E4cL21zIb m19akUGRAtLt7W7c2UeMj9mZ52iFeKxXUAyHzxvqN/QJ2EOCybcvvkM8y+TvplH6dNJgkuzknFWN PiLMjM83QCfuAYtv5wUFOU/BZlE9yvXw4QwzMXElTEmJ9rlapYAEZOmrT10pqHJmpOkl6qWhTZEz E+nVVgNqMeWX+Tw0StQPaajJSk8+NGh+QaTtPg8Dp8/3DP6gVF160NP18IwPKYMnEZ4rSlc+3r+Q qWA8I/1xWgqH2LOvfDDlW8fsLmSF8PVoG1DI1vlGEjLiqLvXVBqtS+uqSub/WrEDEhukIgDHpUp5 olTVSRF5DAE6yMtaI1A5DGaehD/qI9taz2xkv7kZjDwmZkkqJLFQQtjU50759/ay1o5R6W9T4Nm9 r75QzJ2TlX1FptdF68yvz3aK74KYjhBTttOvLR7ScY5lYqsOkVyk4F64BtJ7JHTNmI9NkZQeMVrn ON9eLjhTnlKsCjLrG82TbYk0EI/iv9aP3Z4AbqLddrIoKWJxdTTCohIAm4GMYFU38OXjRV5O1gS4 I33iT1XdjAuSnq5bEPdi/UCWYxFQl1JBw2ORUjJzqJ1C9/QyKy49QgIiDzxTe1CDSJMrlhFK+wsC /GUEmTfhByNT1nfza6dB2JU2gc2TZN/405qsMnB0LbvB+jzAF9gdZQRDPpHRgpmR4PG+03OvELQ/ Z4Uf7pYuYqErHpzdPYuhB4oEANJc0SxpNTz7YvomgEfJd2cDs3md2YpKlCgRw3NEYHEUxlKYiKAz Zn3v0yJ1ig6QwXM1j10f77s1eLFn17Dix3YOQIn21wLshZBNrJpIwytxnHMJpgcmMTp8uD8ovJkF oDBZxpknWWQFevkDZu1cXAu2r3v5eOkVjPwDbrye3a+OzGpnPKFmioK+zhwtOI0SzENru8xbnTjT TTxmIwBXCAK+ffuF/Idg/Vw5cBG0qY+heyK2Pl4OdVCga79S/aaRYJOmlY7YznxhdZnE9p/iAVaY OFNlCUbEKBeFs8osxx4nUn4s5A3gRx3TK0Fm+E/WEqYJakFrFVRqIo09rRfaiCCUut9h1I3KzASt KN27LoT69VhRuqwJJx5lgcDkrS4n6Ir/HE1q2ZzJUrDSEpf2ZHkv1wDoI1GniEztgCtRMgFXJlpe BTIulZOuJvHszXh181VCjdY5gYOJsuPZcj/w3MsVPw1TvX4m84k8i67zjMpJaUcGHrzXneUsWGwu rDZHS73tFnNvQEOFo9xdoSerEb4ffQLWq3xtzxGrRlTBoTCoHwPc5zx6iZsY0APAD8j/02RIFAw2 /HVkELtXMHjJ5Yhg/BjawYozh7gfbT/RQ2l43Ror69DiPHX8e7MIFAoDdscRlmbtfmR8R6I+rcme Lw2Iv+b8BrkknRtzRP+YBvB1LnWnCJHMpsmxbGe5ZCAqeAEaUpYTBjUKgN1r8QMa3vjvFuOR2Ndv 0ZjXWINzBYC90hedTr2Lsb+RlLRqdt3MtsX7ynHKIhoIN272cVU0nUFdjhavF4Rx9J2rarYrPI/Z F6AfsbCgmzVk5RKTYQ3Yu/rsGnNxAeWxxdfawTtWyZC9Zv8c3IwLflPAiZDbrNpecVKb7Q7JB4Pz uI7bdiQW7qut4C4Tjj97eNbD3pI4kqLrjHzZQ2YtG5UKhGGC9P/J1wcYlrddTnplRBAr5lIHa+Me wp+6cO6x5qbrNOai0Rinf5OXIKdJ/4uuXIMq3+avIG+dUS75tdkmuCWJiqE9Qa+9+bC4NCEuprFu odDNTLjvfOH7lsheixLgWGisPyPJs62peg6o2buhjUhBkh0kVtRHc1Avxnr0q8VvUtHZ73dd9q2b fSSSWPFV5Pl8NFf8zca9JS8Of8X0Doaj5hX+9ZLzSt+P3ek2BQGmgObtFluqhvMjruUF5uiTmNEP 5yF5E+if2z5iEwPJUftXFvaBcuOtgdQsazOwMQqumqGCaDnr4BoSbt2PriKGGxRm9HnHl292HeU/ /tr5oHOumRv/o6QVnOepr8fp9fv+9gvtE6UzJdoq1cXXngY2pvD6rNBHAOBTZqi10MRqmYB0gqp6 H9HeadYDpSwkPng79B6YE76uyNFvKiiSYUWdR1NGCUEmnfwTAVAYO+0TOs9UESqNfoaVO4PGJT2m ea8UbnjUHSUTIjZMMUUitPmGxXeew7KSJ8OPwBvSRQKHNXKd+Bc+s/1PFQZqN/PGMfIwlzx+KB/S qfzvO10tSjufvQx6OWL4kG+udYchGCwygqEOsrp0nuAa2LKl7plEPqLFQFA4K+4medhv7V1uXn// br6hG6LrqfwSx6b7VfT8uy+4UW5Iju9rp9JhrZB82GRyUxMUIKS0sy1zA9xeWY6sjUwDK+9sJZPg Vp21sghF+cc8mmFw8psYgEHIpNyJOUhjbWmoeADPrPW57BaAoc+hfiLIMlxqUhymc4gJlAMFfspw ic3AToWh8lhcJgi9ChTzCoFilMoTXRMT+vydJQT6d9MNRUz1zwP4kppOH1sQ53gxuWP2dsA0x/LK kCmn+zNTti83MriHe+dzmrieK5MNPk7G2+CZLpxdT0kg8VzzCBiKNXfTWMLzPYx0EVJKKs7G0tMI nccjOeD9/uo4032iem2I1D2WGVs/gY4UoBkxMymXgP2FEoBNgy5yNoMoZi9YcDw8+RpG5a+ChlC6 PeBpVWQUfHzzp9nF/PGxK7UIV6GpxQyBPHDqrtJj+3RP/M5Na57wbh2WKezS6eFyQu+EZMJXD/es Kn7USR4W2wQewOEK6IKfX3ABwUfJPFnp1gs1t376qrHHDV7ygV/WZFxy20O+j0WsA9deGI11nyDn BlDyuFsK8wBdn1Qkseo+hvr+M8P4BN2+MwcpjJ+kMDyFPmMG1KKPVDQF0qeUAL2hGoQAJyi7BUDY lh/s4ZpdmNIlqy8d2xOCkOK3KiAUMKZmHcUgp+O9qgFnhjc8BdMvBMMtUa9t5ArcmwBjGuPf4xcr KOcra8adaDMsIj0LqzTN33oT/hYbkR0w5gq518EfHM7ZfdZ571wpnAasVOcdhxEHl77NyXGQGHw3 6fbiquVsXoseTYVrseh9J1ghObQgZUyaDzSaaeHZESE05Zcfb1kr1pcJH7pH8KFD1UJTLy4F3Gjg EoOtp2IppcwIOk+VHXNKRjf5yYfi5Pmnb2SfwUwV73FXQlIVzo+UWaEYONEhQW5FY9o5wc5KkauJ IlYEK31wBfil3jArdOB+i84/VZhm0FDHX/qBCNNZ/ZbbBwFvOPStWi8DKZRDUBMvh2fcNNixrVMr sdoHFLh1tV9DGpSPGWwCwq+jEZ01gV2NfriIPtL/DNhryZ9H5F/QmPZQTWVeNWvobOtVgEov81qQ 6KuhFVGayvA6m3mGyJOLGwC9ETCOg1VEV07rxMnhFVyG/oyNsed/ziXTARh+bQiWOz3/LoR225US nohKwNpi0DxiPEBJAMz3IbgMM6yERClBKXfchXqkZRmvAzomG4cSUare3Ar+hJ6hC6oKqvjZkkgk te1L37dob/qRYsxJEM6tLpxk7IKomjxmp5fnAOf0ZHxJUidt/204hC7bR51OPUHipOknI570mua7 yPjgy8BVUHGxsWRYDO7h2Pzm4CbAX43ahBbqnngnKgb7l0/ZYBleQigOGXPE9Pw8fGMPz42XTgeV mirzh1MvnF/DUhdwaAQgXkpFtRdDJ3ooaNIaTS4InWcNSZap7WXS3Z0ZIDUwrS99Cyp/HC3nPxSM Ntfsw6g/oWrLb9/HQGxZ82hej3N+DbMV+7WWnNklHRZBLgZDuubL1hL4fdZ2/bWn0+wMsIIYiaaX A9gfIYOt8CvWwSMoax1/5FxbxNe+bIR62W/NFPebHGVsxmlRAUoWZjaPex0djgNuBOhNOKf9P4/4 fhebW5MvKeLN3PgGjXr9LTnoP8vqZHM/mUHYWJh8jlK9Dn0PzFGfXMAXaT2W0ljlgJX8f3lfYelX GnuN6ffNXvjN/R8ZyP3phBk/Mdc5rZDucJXW7Oy6pBsTkYF2uudrQgoK6HZ03vUvE4bidJeOPEBh zVvf2XClX+RKn+L6vXieYeklJxMIfPuRd3ThQhDiYSr+Xb8t23VblV/zyM600KVNngx5Ie89xYkR L1tySzslKSTVk0i8JzmGg2j3G/kFgNTh0bWDO97oRD494gricCv99ViESTaSN/WAH1IUWhmzmxVv IlVWzVsJDo9lW2RZoKLB+pL5voytgunNzIlxdvBW899eMXNkelrrKlOYPdsIlE2SYs3ADDcEPjjm Wjo0VisBCmJyrAk/hYXAdICMuGgfhlPJJQ+Kqwst3sHCVaaZnixpV7H6hHnjkX/p7AtA5+eD75AS jptx7c7ac1SMQsNuhqkgu2n75s8XDmtHB3sG7tvo1IhAO0nOKVwkAV+aWaXa+AuvEUV4AyZ7qFDw qwGka2duppwtuMDMlXjV0zFAgUOcGLnzfcdZZvYuRi7iYyLcV2q1HzfZJaPlNsQoDUw3kcgklUqu XBBeQQ0ExcMgkqbEbjaGTWAdqYdlH3ez7U0TB2nEAs38bEtHoIPmpIZgbeAALiS8ysQDXdHMm5Ql uB7+HRAdb8eXrLybNxStkTKScLAtzl1zEmK3URRW5aCdetfkqTr8sXyjCEbbChGkAa/XASCyq/cv hffrr10EiwJL4tz2Ems86okCON4Rjf/veAhjK4uZOFXAoJS9ls+yU+G0yDvqLRlz03dhN0Qa4oAV 7A6MNzkDrpm4J56latac5pAPwuBGd0h1hoxJ5HAdrcNPubRVThwOcdO1SIyU+arL59E+rHM6ZfNp jskFak3cfmByoiOvmfZc2uwdIFpTUqxxuyfYcLZ6wRGLtLvpZtjkFEyGWmvlikdbXnXBP3oNdzG1 7AnSYJxodUBjdZLdjIke7fF9xj7xeDRyJGMdHzR1c79oIuEDBxowVU9czFiBBv36NrVi9hDY1nt4 zlju/BKY9xD/wzBAJGYUzptnKGAETDovAAsoeXI6BCRLmwG+Bcw5R8Bk4tHZI2ouC+s2kxXcMZrG TERudSRjw/DuuJDWbDbeta8rzj3V2/SSJSk2kkuDAQyCtbb65ST9w/nwHkuLpdiMg28S2nuFTFD8 UDgnfdrsp9DJSoWaKRotQKEqL8ZgSxJSTvwGZbGe4BjDZ6fkMYJ7jCMIQ3OU78nc1+/lnXAZ5x8+ Kbx2J2YphtARRZxhh8vBnYGUapD0ObFuJqmtUzdjXVDRobzmBSC82o2KirE6js4YaYyWu4YA/ZfN AiRTubzruhgtV37UOCobBOox02g8mgtUni/TnkM60ZGoerkHJSKKbWkqmsj2zONv4BeoIqTUOip0 gyj+SY4gJWuXOQl57pl1/SQG+26gOU4QETi3R8h3FFQ4/L0Tj9Olr8RiHIr3FvrCAsMlC6dblIIO SrPNAuBlc8bM3hXUMtyOYI+4eoDn1P0JVvn5YNYPjhAcREXHLh8X41ZEsy8UtHgSmF0ji8rulUMI iiEZvUG/E31bFcsHqtBohgoqd2kHIaXnjGQsHklQS9JfW1OElKDxlcDrV/tscNuSIC/QprWoHQKu 5qVXcf7Y2WjWYvRiPHtG2UTP7umIKeCbJbYdfAeabFpDm/avpT7k1rL5j/mfN7UtGx/rE2GdEtaU or/ZJO1f+Q7RYBCmHGFsoX+sXilLpHX+r8IEZ+ssv8i8utPWvUphqxZO20c0z7+SGvH5yerKF9tS qKH6HDwr3b/q8ked1PeSKtPLC5Y+VSdEIdyAI06lm8JBWe7VI1bqWqzBnym/JvJF07ajbNerQjQ/ 1dxDYZkiR44CxuBZczZTuh5P855F61o/GwnOBu7A3WdyoLCgNs0nH3geX/Ku2+Liqev+x3Bvai8y ECOjzXJjGo9cMQPmv2KJSxjmXdfADYOC/N9lbfiyCsK2ZBT/ybc9OwfPaTFgLKu8dmYG0RWjV94G Jicu62cNciuGH82/31jPpcC2575uyJqCELvlB06iOau3HLPD5NVR+XmG2GHm/Vell0TjDDABGegA IOSdLz5izV82a+y2u4clMTi1VELnVg5cQDwGZ/xS35n/DXoGVMWeWt2VGTag/x/KtrCsyvuj5kkN a0qMrOZgpJLxrgPZXgL/qwWvM39wqs1LPt2WDs2/qg8jR/HUN4Fz8iaWHE4JQiC6eBr9oE/16ZuG B5cKamB8YRX2cu5ydZvIIzH77rCqLlTPkqeGB4W7yfl4O8QqozagBFndzIzYpImRbHoJtkCxb9Wz f96Trgubynn113ZPf5F0jOPP6sZHW4LVlra+wMAxmqcllPleFYUYkq6wzi0ybDaknc0VfsZor7oX M5JVXqhFJRs1dzLyrUWL1EbNqnEWMYf7jl2mK016xQbqOZfdcyx6hKxL7h6xt6bPv0M16bxRLXeR FRYIeefP74RCAT1tFJJQhpStl/OU1f5vGzrbkNEkFw9BRarnfdDiGrT/YnGHYl7ckD3LszMBQzry ZtNDwSRydDdEWK4QdSl+GfUSkAWnau4uxFKV5F4aSMjyqlLEjCD3ThrZVnBNsGCRuO8ljOY+ni+v ObGW4HRo9SE9fPgJwIOpnE2q3CXpHhlKDJTd7qCG8/Tb6tWW/q9U6UhR5Yy/QrHEfxqNtkrpJ8lE ulQFZihcfYbraKIn+cjwNDDss800NP5Aj7muWMlf9Z4zLSH0oXCNKsVclcJBLO8PSfQygYGu/7nm S3XyJSD5EZ6v+DSfmSDz5uIY0EtpLzPUyUrnKNRrxY7hS5e5+tAeXGp+fYRc4PaWJ9vkBHqF+YFr TJnGOAEYma43MAiNSbnh3A+KhgB//cMx+fVOp5tLNt8srrW8Z0ohgtpXtimHCaLaeqBMuaUR2flj dEE3g7I6x06TlR/BR8hgXYQt7Msg5pWEKyp4vG7S1LG4f+zGPAzx1El5JMusC0A0RyTvdnB1Hvi2 SH2WV897swI+L86SKTWtsghsj7acdGCIIWiFJX3PmMQxDChuvPk6LqvaG3huup8+TpubSYK5OQlj QPIsn0t3G1dzV0h2VHdzMo7EUekBL24LtJXkQg64J6ZZG62ffRS1N/8r4okw7+e1Fq13rjdcGyf/ m2Kgml/txwm5rtwYQrazoGJCr8+hkB+4YuqrHjcW8z81zx4uLCmh0Mv/8tta1alU6/cf/x1FevdC RSueTvcyrnKQFrdjD7ooOkyBhmY5kUlODgUDWaHCm9HbmgwDDlLE2VFnmRaaESqS5tRDV06gigNT gnmdxGTok9BVkHoUrWrDxOhdQ0KLoBNYHUBzyOdzzKfw4e7djhy3x8+IMsIB6ewXVkGVvruDkXUH Gf29+7gL/pPvR38nnVSIGqfVfVA9uzPkgnRlkcnfnsUlizPPc3/EGSSQBGGOnWmPMahDsnBK8vIf dE2jakxAHcwktnhVCAuCRlCpSzO2ibw08i2imwdFXqHTL4mJ0Rpx0CF4qG1pbBj7nkPrkgOYfwep gwyKyAOv3sHqI0kelEcqD5/20YgboL2V5MNWdHxHzvmwOGSm95hXLZApJqol9Kdk86fBzw79v0Aw frqHTck72cwpqZRPLDhYKIn5rb86OJkSrKIHsy0zSoHvLjllwrsCYM55801J5lw5jNCXMveam9/E pF8cuXFeFtuMSJcrLYmvbXImh/z9akaGcc1MNETo3ltLrO8TJrsnKtHAESwwOmIasfqQapmjtA2s 1nSr6vm7tsj1Nc262qMxthA8k5QaI9GGQi4DiXnM1Mbn77mcg+hjeOjwOe5Q3BlPr0bwRrptDW6k 9Uh56Iq3ExE9c2tMVu5npK4q1N2xaHwOLZnYb7y4RzN5qJdaoF75lwM675yqPWJnTpxLljqjbRlH 2C99PhBI7j0gTASFHO5j7E1gcEsBq2x9/jvkldTS92HM3k9hFstsIbR1O+u+44ft4yyMT/GuOPaY J3hR+Bc50pk24tVfqJ2ShSdusWTeYXT2YwpqbLLFNk2+ELfI5dDNET/xSxQxy44sDD8RZRUzjFqE XHeagS8ShVqtB4FqbmzFN5i3JYDg5d1wIps56PdjyZPkaAy9bB4J2FL2DiVXzIn+yXy5jI+n2XBJ JrK4D8oz90os04SwnOEII2S/igTAkSKCP0mzBGIotBnMF+M7Upffy9L+B6i20bjhf/CBaY7yIM1f NdgGwfBKL9TadlX8p5klVYGT8yCt/qe6IhuASatjMdK1JbNko8ntBLInTvCJF0LZxEerFcClznkL 6/YV3QgozJC3T0MMCsvPN6c96LU2I+C24PZU1MC3TrQgi6hm2M4UpRlx4Ulx4u7DPvQ6UrQHqKYZ 3GxqKTK8jsSVSvEnCf5jwuQPPYawEKE5yqZ3GDD+RUD5iH9cag0Wf6ElSE6pIqm4HOzLqG6Vo+pd JNp3aSa88smion1HLAq0qhv5dMRgJK9ySY+We+8OrNd3DO/PF9so4LgybdBTcJKvISwtiH8PqJsn OEE/GSbSMU6cDoSLkDZKSksMg2/LetSAVpJOuY8Kz/KBoPzYgPWwM1I52lT4kHyV3jDs/aiW1z/p jpFvt31fJpZnuDv8V7O7rDte3Ttva0SpeZLSa6YmLhJBiIbT9462y5x2kfrl4Q0DH3zqHZVcENc4 D9Ca77Zp1tqnBR2BE7S5RbMNFOXDxvs3eASUi/RaZlIW3maSdlxsiyVFdS+laBrVIaA8s1+JBICI p6kvq0wyqGooJ3pFb4rQb+O99njOFTHBSu/MRSsddueWGsLiAhUDXGW4DCLJ4TwWlsXadygZkg88 O8MtKhv26TVTRt8T5AivbTw4JEeim34waeBQt6APngpm/WNkg4CxQOZ/KibmTSZ9J6zhmaCybjcE Adt/9MIm36jh5cdlICb6IVp8437xHAromUEtH+HGUkAYzv0blNFxAlShZSu3drXu4aSK5LmdG0+C YG7XTNiyZ9/HSPiR222RMwW9mXkFwhd/uhE4G6XIo3GNs/Cyk+OYBWFNXMZgvIZN6ilEqTP+Dotd YGa4zAKRy7uvZLKNwtVKUhyj/xyytkRJwc49cRXn3m0yQT2xX69dPr0P1Qj3yBP/SF4TUUKrLCq7 kNfOLFlGuSaF2BIw9lBJHIf7AzKbtlNi1qamHmXEjGMYuiW4SLTMWm3ObQYuLNxMYbiM2qOvGFg9 qZu7qotFhn49r8AJngUjoTTfJMeyjv4e9x9FOfwK/Fbvwyn+azGpkwmwDiC9ZCzmZHxfLNXd9Ns6 MEHfLCR4SphJwf3eoAPMFiASsrbUqgsJgKF80s2FgS939oA2QZbrX8+rphe2lJWv9reENfNASO7i ISaYn6ExiSccmmTkn9S7vgYCo6PCxQSz/LLlg5DAUMw2F4B9z+rZCAbGWVbkZMuLo/z29kOdj/xj YUwcpGmH6bjqa7Hsnef4rOtq11V/noRDl8W/Skd+exFduOG1EOSkaoGH6djeSnWQoifu15OmKJyW Pah4/KIoLHbzegtWXPgwQBJknoN21QjeyWzNVtxUg9lJ/8nlkuHjTR+WGo48B4gpQLTtaw7r3oEA CHB14PVQGBHQ65azhaqV/D8ezpHk8xDXcuK5lao3CNZDpzp0lRubzZsbUOpaSMvysUhOx2mUyJia CaSL0Hlm19163IwopV+TYM+bAH/YFjT/PMU6TUf/bXye4ZNS6QqeWP4eNRyvSxSPScVQoSuXOSxx ZwlepEHvf5ZFAwhn3Dmj+fQX8E7gd+PW99g11O8LHvbTPEIyOLIXKF/3DTvKgJS4oUNPtm4qonNR UadfsFFo5ZU6OyAjNHJr/A/JsORU30EXEavQJx3V9js6EXjboiu42//QLpCuIt5R9pdzZ01zZ/kG QYPxgV/1w+ZArpPLgx2AddRZxV/3m+d+hgc5SVRZmZNquzuhdPNEgcSR1z+uTzdgN1tsmHKBsNUb Eb4QzYCqcBhuYLj5tV+lWiXLar8ajTS5VpEEydJFq38KdESqYC4L3q9GdVc78tRUxjyw2oNbF5Mq S5CcfkWL/6f1SV2y/ojZIuOjBHj1UjsVwjDIB725gmIJvjOhHiUzHg2aGklniwUTqArx5sOsJNYE i+YMBiki7lSa3QQ+SVAqOm61CiJDRwLobQUKa/81GArp38BHkzfscB7RDQk/swKyocAR+UJ6y+An iAt9TqtqZGVKkjJQJCc0HvTez5do53X+OtkdpRs05TTnY7jO0UVlJrUe/n9a6DsRG8RW7tAo11Kt id2ImIdgEr15UTM4BgvERTKUXg36qJSLY2AIB4mtorHudViUyGR4quEXjb6ptnt/Ih4EpYLYI4Xf J9Ue8cT1nTEg0MZPurms8dImO/ydleqJRkPTPewyLcv7hQD49z7h9IzYK58Ckg8Q4rGgQtrBozVw UVOG0gEXERTXq7nHICIDVdFQ4F6KlXYs8v5nC2s79GgRU3sFCQCOPc9u7GSpBGKbRRJe/pqGx3C9 m82RQV05QsS7qaws8M0HhFwqDwVOcHd6rUx/9LNXd0Wtq5v8I1GeUFtntCeR5VIJx7EpiFXROFei SB/08ZrfdakQydPDbTHu3PMaV5IIh/6TOYIexkPJYGycDUpwYpz2Wa9F5ABE07Wk2wm1CUiWwzkE w6qLTMkipkoPgjzVCI4F4H5XlHy1aDc3HR2c7LPRPPOUixLcxL8fxvjjSKmaFinDdh1ZCyk5y/IW RH6Kshm18YQL6zMnZMlTlfg/l/DMZ26OmARpnjn1qI3ms7aapUg5Sh4qJOWnK7CkhyH3SFlkai1P dwg1KoY5fJrh+sRCdlNFp5DAxdDhQaF4w7zh8lNzydBX5grOsnO6uEfVUx7oMjkbnj2/EfHgCIZ4 P9p72XxRdXW6RfyuzWHKVoZWhXMufz6+IFQyz9Xm0tnj7mqYvXk2z4UYJLZJqXUtnpE2SMI+LNRz gB2BCGBsNVXcp6wcg+4QCgmY+F+5RX3hQnZovTq0WzZO5FghEW5RY9ucDdglYvAY6KodWvKFwvIN fXDYBEWRdddS92/72lKHN4czBvHF42u2RSzOT5NuQtKBgnfJ1T0XtN25RjiQgy4A1HEvIhTAux2I Blqihn1Yc3rVf7P2XsCyfIqir4QhQJG3wKLsXA+aYbseXIMo5qCtnSBXBGY9/sJX8F3DfugpnR35 UP2aDqv/U3Tj6v2O8u5Scgol9+umFn2H7wzDzrc2l1DWv0D9cZMJ2hRY40IovCAtlcfP+CxPsUTs AgOpfmIWj9IM0DMjGuJpuF7POTUvSMYpbZk0S82X9dZhkFhZBDcZ92pHftCirNcaJAoko+Zghbfa BM8iPpVVXqUdPit/5Y3fde7LFCppxvmBbw0uurq/Eo+LDs6FgZTwOzUocRlQ6yPk4PJ8aPXisuAB qkdNTBhpJR/SdbOc6XBSwWERsiqmly2AdJAzsc0+QIMpSWDyZlvTvl1AHyVc4pIKFuSqN43NVJPX e6u/j1nff6w3FBszjI5CzOL+QWTtHT8exX9uRjNOkcljSQFbwt53CWSgqP/HYafbYXqTNRU2H7bF gElPxGh872TJIjBjCJOzZ6XyN5XtGMQKkxNxKVCfW3Hi1PhpXNLvN2GvRtOOMqjeHxAHtQ3ihph3 vCHGdKqaXNvUBfT1CzNOisWxZi6pkkOM4WNXOBcnVfIrBg2CrY47S15JPhwy57sd3DuaLQ03/Ctr FxHj9h+Bv+lg2IayX48VX5H3DtEWiTRQ2p4Ny1bJcFCA54iD49GnBiyIwDkO56/pYbmNbbi3c2vt JltsuNh31Ez95m8mhKmjfKNO2hGrY3R05KB/NLuTCgm6Tq5irDH9uiddKTkIZA0HgFs4/11Irb/c 7qtKqh3Tc88OVmIr9RDddNTavYYODB+XKfnnKswCLA8sGlvTsQ/tiKQqqM0UPM1F7CpCIklC1aA9 OX8xkqS1Wu/pPJ2pwfrH+w/ZfY57qZRYEKuSXFzfL1e+FQuPxmeicYaYgASmpEavAnNVC3zp3eg3 R64EscRL7eJlui5NxxSzPoc0Ky8D/r+i8W5mpFnILgryjivXbEiHsmMimeSPPtcT7z0ZRWrDdSOW fPKo1rmjKQMunblfhEneKWuYxSUd/KUeY9JvP62wDRV+MgrfTXoDqbzfxZcQ3pAJw80qTzoRwyHA pIAcQ95BqaWUB1UpdsOp+qaTxqhGt2xQLBHByzb4ryZL3O190yAmS/83jF61GlkssSGRjPpPnQq0 yOnBJrtjockTVKGo1mIm8EC2hxeEG4wWUd6AwSEWUejLRI13OEMljneeaILA+j63po4kjxlIQ0N6 Cym5ckkajUJs+Fu6ujn5yhxgxW7qxOa5ydZ3IFrWGUspc41ij7sueo1qUTk9jfno1kSGe+F5meIC gWcdSqPi7QOTg7N5h0bR3crm549TNDWvIr9+ig7MUxCgIrrY4DDF4whewq3QVXMg0DKE2ymdy/+1 v7jWZJ2cg9sNKHe0RJEoaUp0IyoHEymtWeaWGznn7oAUjSSzSSH/jzWIZkYbLHDNuI53AeubZ7x8 S67szO14KT+zGL39CuIRP8jklauANWdsEqw6lh7RoQpdNSjaRl1WPFCZe0gWw5gHNnlh6Gf7XwzF ctEPPKTlyjuhwAvZvGnjYk4QET5ipWhzOx5M4R1p3/G2zdEj58vrbLcYs5xNRCx3MuDPu+qHl6T/ hn3ZBPN3aiROrHC0HiRZ7KtUjYps5vlkZaYeaJXpxCpCbi9C8S2jvm1BiqYjO4GtDfF/crtQi6wR mbcxn9+e+j7RJLMoNbwNQsfBw9GYdTX+9S1UCJwl/r3CjiOYRobrcT0Poe2rmjxj7UH3RUPtycMW VCgUho8xPYhBUO9ZYlKjilgxRVGTGlPz4KGqWN2vHVO0X07cLe0o8BZ5UZxRIxMWpz0e1IipR34l RY4WfVGHNclv3hgfVHhYouRC7Bko0MI4x0sDtDuq98qVP6+Yp5953eYo7ZdoGwN9iYlf9pCd+/hm wgm2g3hJoCCP+Tc6hWCDXHhJe8L8E8B7FGGLR0lCXO2S1uIACYqG7T1ID2aLPL+36JulRGRXKsF6 J0MPmnD1w0Rize18hXg4jR1bBVvGFcG4uQfO0kkRO4YIxcgWJrbZOq+QxLocPmzK4/T1VTbpVbod 9b4+ohBtLsWVMOTuYm9YEqnyD01cF8oawXXjR29iuvfSnepPaiAIAinxnI+PUyWGghJNiCAd0Wa/ VkJ3h+Y8HOhmwPZwGRVWRhW87fhBB/6Tq2QfMyj7g8P3IY1GQnOA3YOshw9ZYEjDeAtbDcn1UMk1 pGyQns/m7zFtff0AwmOdTV7g7MsBCDDkvNcXASasL1ZUyrvmcrQDkXptitmMOy39S6EK3vdnCfh0 IQ798Hj4bUZpfRyDsKMOhFIqKROJ8vn519uRucbygT2jgqKF/oUXFUja1DC1S34u0OJZVpXikwfE bBSFAdst1UBU9PJzAdKInwb8Mu8cRa+tQJ6bHnWXTurSoJ4xRv+oL+RgAy1HlWyH46ljrCHd90E/ 4ez1mwSrNwgBiSD6oyxLCp3sQsn81U4mKeYgAUcDsLbK5T09Uml/iZk3KHeuZpqj06O3MHmN8+qz ypeZbiME6z3WJiolXavYebQ+4pBYu4hsg9DV3WVYAO0wUXqxwippNRqb/jSfkWdq9o2Tf1dhDVGL mgFFXbKOvu9/wvhVygvUw9qKrmFpZ5kuySnBXJCiXzBoPFTsqB4zq+k209hyXdaq3sJ1PK+G6DSv 2E7FA/z3wxccTDd81Wgd00VPq4bFPztwfU26qj2VhOGD1nNqbTqRlZMmbh0oHC/BipDwr8ogcWZk xxJ3WR0n58XvJpTvn2Fq/cCGwRHmcUpISVHjEGWc00qEkjEOtVbTNlOyGXRPngPweZXqzaS2lAei 42U58uZiXA+3hyMiVRsgqsCaG7X/TC+5jGtKgrHTzYPvuffbEWH5yWF2Za93tk1I4SXXFLsF4qH2 oo3htzOy9QzmhMgrNXRvcreCgKC2GJStsmj5O7DlZMe4+k9hodKtuDmd8wQRWJpP3b/IX0a6/Pf0 rCQ7ExUmvGcHA7lu0dlscYqAWIG1mEdzdYAGLPKtrjvttF2LU/l/6iLFSgilz8Fn/qUsF0Acozp5 Ecx7k20cZm/ym1y3eJxfjxVOVcFdH1fRf3azcY/BwIGm3sdBy5T4uqNLmkMVRTltX02V5BnfFfmb WxwRGVleztBjKnpSmWUXPeiSrbO23nPrScH+xj4294Ud4ARQb36uiDfIZAfj5DnUnAQdyReGOe/3 WrXFbr7ygZ7Aw45xSp+Ms73d3N875FfVYjHMwaNPidDKAxvW2tIyz/+BoEWMFKlP5AomlUhfOp2/ +PYGXXFdIUnuF6/zoUmxKI2UclEU10oI8NxiQN9HrpIYEiM1XRdem6JoF5CfvAMvDP8VwFt/xq+B jB2YJM8PD+Bjx2FjNQJJ6tcvzOrFI4EYSYPbLKQYzuW5DhdhRiQTyACu/D9JRecaKA1tmem668Uk IDkbaAGFH3m5bYWbcWwRnmDLQghWeQhrlG8jTdumYisXN4+HMmYieHPIGppfzLq9TkDneyfB1/UI BvLd/x1l3Pmf6vp/sq91k3xx8Gd2pL1AprDiq584U+NbfKHHf7Y8rMhVsM6/w3d8HfltN5gan+EZ ctm2CL0VkDQ+38h7c6D43xBi2Sgoki0J+ptXbBt6YM7fAzYG/MJH8qdbuCwp5cxMmG3UrvjqGQQn oKqXA1zjYY/sBkjpUxIDfGSV2m6SGmCBTTNDfN3Va/ddAJpo15z0U6BujSFZqWHAL6tZlNd++vxL bZlYRZbfIv89aQpPnY6+bWax9jjL7KOguhIVb8YOfNfoCgiRiIz7785/b4236DNizfYPhunAfoPb sOPi45BGW4JWN/ZIK+357KRxF2Nz1+Gg9YgVuJ/Jtbvunq441HuI1Opvw3owxA2tQH6PZEIDiNW6 znGN7+rQs3a0Dp4FRc91yJshpE3/eZLYX8rTq5QnOqcpOHTFmCwASTtsrB0XO8wPeovk2GvXnSUw +AXYoNRYfwLnSSbBAClaCANGeN9CeK4qjCL8kbsTYg5EcyVCq0HtZEVDCjDcEpQBvBw42drMmGeX vixBxRNIjsYd8y8tFaWOvnzvn3SM27+HvZG12dZaHERm38F8t2yDlTqypFE2vipgSxWNjErBcS3W xPg9Px87CcCA7yQCunidIlSg+8JG2pIFoGCcrNOcqBDew9RWZ7q6/AgnyxQI6Lr5yr0r3pOIoyHU 5xAqNnjrCZV3GNpPkg6F5L06QA6Ppwm7RpL6ior83GDGxPKiTa4wxfdx7auBuuh43xY0fx7N9jZV K5wW+UG1Veawypce4Qzz2tcsyhmfwaWznIVrPi6xTkHHwWseJwBgbojZfWh28L68J74dd9Oj1OUE rDVSSqNtM3hI+EyFVMbwUI4KKAWm9wJ9YHiH81uTpGJGRiyF3ezoH866Ik8cFth9aE7oFte5knq4 GOPzv/a/M5IZaq3+E634Oi13Jl34PX+8ZpeSkRA9pOKD1ve143y9na847dzX0gb0n4mshf/wkV2x RTtaVEfOHQwcB0+2J583vt8cmQpfFFp3BaGswDNtUlyM4Pv/adgTMVEMiCNct4PQ3amuaGrOWPMB tUs4mliFpodYnWXVw5xGNF2UufUipgaS7wbldHHiMiCZZrF4QJZK/m/AJD4vnCZnNXs5AXzSl085 5oVCGBgvuIZWd1MGphiQae6BpmNIdnQuWRvZpaQCo5fl/viuPuWdPxHxqROIsbZu7UEnx/e1Qzg6 +l9XtCcRnwmlJQRXuFa9tzZ9S1zOEemYKaGb4b10iu9rAMdkORgPKlgdPlbhvY5ceMvEF9FVye63 5MVHF1h/iRhQKfHXD6UO9vQ5K8IMOXtkSN2DYWO1HOB4AQ2gsXOTmtLQC6sgo9kLwUC+539hGgjz K+3mBlNS53OI9PNj8JvWjtpHKt+m/Xul7fesXGvsgrqujf8g9klsnVxpx9xf9KUU06/seXkCj8Sx e1ArFCcldQbSeqJpsPjezAvtSqOll+Ow0M9szYesAkcBYZGUg7s7fwsT/z62ZRI22oSN3yf1jCwh bkeCdwAFe7q2OKtEIcMVH+fF8dkbwx9PLM1kpIS1FKafzawLSPq2C8KeGkrPdzYSrDbtady7JBJi i1kXml6Nt9aZay0/cuTHJUhJhqBulzLBC3gCLNke43h2bIbmv9d3NfTQNJjGGnto3/Eaoja7pRAC HZfxFytk3mGhd33tnN5iSWGYHh83y7jTVXbmXGxSx6my1zZHgtHYoUFA8evQ1vcQJhGd4zTmad2S 4WlibZzTqB046dHQEbCz5L+1TLoxDG9P32B6vXYldq2wocOgOvzF6WqPaqMjXkLVn7F3T0SOa9Cr XwG8GNLd1S5XNnAuNg6J36wGBxzeD+QTw7yO+ptl+6Mmv4DofEE4bZU45K9KmD7f4zOfIoPUZYux HfrWUGO2o1h2YVDBUBzFCF9/gQJt9GUIuAw4epndvihnADvOyMueWcmzDNEHg+y5585gVUiX1EDS Kz3LV3pN6xT7EWoYnm1pxwKHdEkv3ydK6L/s8UDXfsqXgRf5PHJ2+xbENH8zpHLUTenaKDpE5/CP +fNnidxlxes3vwopG3sA8OLMdRVulfkAj1fMhoumd0haSY+cuprFhodCMX1NxXta1Q1uvmPsbfen VC3QchojC6UfG1GxAruQYgbVpN3joJkFnUH57X70PWnxi2ARaszH+i1tR7nCKNL0asweNnQvzWJX +AeTvMhpdy/MJcsG+FkiEFOTZtsEu5Ixc3RUiecruJtxoAZK8alOwPKCeiE7YM1i65DHWyuK8Tsr JTl1KeGSK6sIZBLAbcYT+KR4ajgksYqNUvJVZM/3D6MFiEr4qMWhjLooujPdG2ZBTOLnZeLYubE/ 6lI8hPEEcfKSHj2GF5/DESQJ/t8aX8Oyqub5erPX1wNQVpQdhp4RtjmdJ4nOzgiYVHYlDg8w8zRh u47kaqYjDcKb/h3kuASrVHPr/Q+v+V2VdkirwJkAGaWEvkgbmDPnbZiejDlsTlVqacg0aznnStpF kuz7xCicU4guBMamZrGlMWryghJeArMdeyDdOzQW4crggQ/OVe+fbMMeGAbyjzAN9iO2uBlaKC05 3QKgb+25/nIwzNo49+9zJV6AzB6rb6b2PuNYF9AO/pGsNvvWF1t6udXi4haDzUbRPDRKFCXNPart iSOrk2Qw++5OvzbfywV4usRbSKpOliYT4aBpR/X3V0aGU5D4Kejqn9BEo6B0VRAWrUTaUVAT/zcr AsQEhq5jS4VldoIlN//uXMe+VEys2JHeX6nenY75pnwn4pSJC0TAEtHje+a+VCSAXhmyqnby7kDN YP+behHDJTFT4xfzpmed77J1xBK7UNwaOWgQkMejDe4QNe2nb9rKNZeGzSMS5dWtIqVY3lPZeOBc Uz0OjHtsSCkgivxAawXpSZP8JRqrJIpU3kny21n9vViSRQ5cu2yxBuxBGtD045npb2t6JKuXenDy yoq6qIDZYn/NTKZZ9+GkCWj60oHZcG9X4BcoQEb3cM2neVKBtt72jC5f0reeKYct1Z6QFL2SEpmk ivvxHmBrSyog/dS7CazctFRM+NKgP4QZzM23voxOHc4rAqFfTXriKcMIoKjGKVEiSaQvhH/rPKUJ UAie+Nb1vOfhIR/5Y6wOhurzgAeo8+njBYnfwIlPaLDbKKHm8K7Agc4WGSWKE0BadMVCzgdQY+QF cYbQOgT4qopd97xuBihWeFjZfC4OY6TBt8p214imoyilZaUmhF86wWJF0BCzYYmXH4//Qo7URPaf 1mzKwQMESo/4SMl0lqWwUF/LB3jaKFtEmOMfMXurNT8e/p6GyLoEMnCD27kefOtYyddtLxofCUkq HC31GlDcEJFLZuJLL/BuBRh7wtSznSC8jNqZhuCWZIYmmH1M4PzsX7+HPez30Gj8C4aYaDDVput9 nafGKG4WiuDJcx2bOGsVA+jGRakFyE9OpMEDDcg7lNnVeRrHOamZaLkhn8y4tnaiItx0RznhbsJC SXX3qC2QDkUgGXzhmDnd10fbDO8kiTyaRe8iUFt90aXqIoFRrySOkBQMm1gJBZFlHMspwy3jTnZ/ q92JhCny3TzrTKAhZ+dV6hCkJx4wdeuMXPX6RMogS7T3tsBs7CQAwc8jaTB6Ytg0p2Fi4XletTLZ Vv+h8ATm/mvkbryx2NzzPHMZzTmaESfLNR/KgemngSy/yPs6BPGorOZp4s8GyrYsK1AftxGhvn0P 0m+vat50MBAxDQ2dRIpLHhAe0OjkWqaFSi6bvPQ2wRwr+sKc3+PtwqY/pVogwsGDbobplGvH0hRj SCMHYtYhM4VSmJNmp5n26m0saPrXkWyLUjVSzPP/ewNUoQ3uSFfC85LmhAGlfa3vlobeCP9loCQT Me/hJ89DshKFRwiGNOLsGDMn+LaSw79pbg7Z3Plz+Vy9qhNAzovKWRUctHeypK93f7j8BXcOxr0z wHH8lL025On919MvgqZ/d+0XRucuTcZTlIa9ViBu84EDwPbi68wq7OdErcGH69KY9bpJZ+QsdFgm Efo24bXNb1cRtaaGov9WPJ6YdJeSQnCKQN8oI7bBAx9cShCCYh9SmROSgNCQRVdkmJDRA6jNY1Z1 ynIBSpvMcSJZod0Q41nnQR1cU/exXoCYi34qT42rdcFRHW1a7yGqqExryJMf8KYXMF/f+3QOxUqt zRa7S6Ddd7mcUJSx9M7dsqqAgQJg614rWWts5ToU6dmVRap8ygppQQt/uveKSmO1793MYCT+Ca7s tYyIBt5xm2cUPoRlhWFt6SOqy0hvI+XRXGcwvQTp8osLcROHR/qeCxkl0KRZJHMSpFyfWlJRUUIj RBoiFgOHrNcugzm8Dtbq2bjXyXsmxiXsKU2bAr1lh90CbkHnijx3JxcXB+tS+lcW8TA+dlIxNwhK IpXyOh5nvFOHbcHhma3eh/ipjmQ7npCf9N1uyJynXImjFF5yRgu9DjI3s3wSmcNiwSSi/JGFg1rN bKDvJ0TEJ7YWDiUybpicxQrvYnX8N/3e/2qV45xvIzmgR5bEezfJ8kpHMikHmi1+XO/5i+vepWQx usV8Pim8KQcbS0sDw219RipfvPD958UjMqbPIaCBl/SXWBfUzvUC9ymZkw6CS0AYcQvuKyqeAc5S tX5jsdhKBnQPMkSNJN8GogkaW9auYK0CDqi2glUOYbVxEuNCB+iY2g9T+y5bWhKMwp9Hj88G1+tn XDy4oFLz3PHQ0kPaBklfRdeN1QbqgKBOrYEw+MEJpbMInB2eBd7QkD76IbI4ZoycMhy8HVfnAnFl +6siFHmkxsIQOOM5lSVP+8MpcdmDCmoSvi2/BSU0zuryLvHr3wzHJ5JtQcWHlJd4LIhTy3lEOKTP X/1FMPTZfhGVi+F1dKErhwAm8nJjZA1vVt+fzUegjJC4SVgI8kovC9+RcBMBoa3R+3sM7bj16hf9 RsVLAYB3+rcHyICYWM0u6ydE4W/0TnCqzB7ixVklaZbeLs5ZmQt+D90ysxW1eAg2DRACp1VggF7j 2s0yeHq8qSROMoxpnD3oI/MzLFLM7vNps67DhloL6uSKBN0tAhCkYxaE1poBrx9U2f7zYidgSC4X /tp7ub3619bjdB9sqjRfF5O1jU6gHMX1fTAxTjs8pRW/gSHKveeFh03e+OBcjvsP2TZbBYVXEcIX cHhZq8/rZCqbYBqT5J1b8OJkfbp3cI+MvJyFxYBKuMN6lz28K77obry/1Qik0i6r+IZoqEpdhJAI I2RR/gKJS89GpxqC/gEUTKJcoOd64xMDACqL+wXNtHZhvDNaYKQ71WRNQeUrFf9P8KR1B0fY8l2B hR/zs0wucLte7Sco9hJRDabIu5kMnjE/yFhcKyrb+iULD35PHNk15q+oNosFNzpChVK9LimSGcN+ LQb3321FLu0PLy4pgxG7rY//2F+4SVrhGG4zc6nqrHVkhUR5I2E/6FSYe/BNR6IIfKVrEnbXFBuA korD4fSDDDW+kNCvup64WQ/hOpsupP1pr5ieFAWkWRjhMsuwKXqMX+BeOd1G9exji8JKeVX1vAUO wNs6+Ber30yEzgEPqJzCNzKRgVSIZD0eGifJwYOTXCo9JyoCxVtuuDJNJuJOSJiWP+9YOrSpTiRm wvDv/pKs9f/70eQHlnGmrB120iljY5rers2EfocJLra7OKEJzCLqC0gqdSjClkn2Li07+Mvj6F/+ lTZ24przygDk8nk+TV3ayGAfXus0jDxVqGYHsBetmAc5Yuf2/6wmZ5NYWEGIZIRpEbwl6Pf5PBId Sb2DOldSdhtjC+JcuaJWGRx/eNjiyQ+YyHf2CRKLM+PT+RrQ1ZP7A7ti6w2dSfcPJJ2Xa7+jG72n TPFH1ZbuDszFgKrTv/bBfigmP1L49JnDtPkRelX25NVhpg6CFcUzHEmEvl/xsetTuFMY6wyjKKMd PHnGdYq0Vi+1ggYaequqxhq1IcsgConuCmXdF7Xk7H/iAkh19c/15BeZI5ZhVHC2J7UVpiuwVdad UjjjXjLZlj34gMTy0U+WGOTMLiA067edO/nsGvETNHYdrkuLPRWnmPM1hA6svDvS6bjvz1JorMos rASUpSf/ZDV1UORtD77ZJkUmAhPC6XfLy4KrINj6B32C9+tbaFzX2TbQYIHnPbLUjWoH9Paw9tV/ qXBQlnSgs2w0HNgyG/qiwbQ7EX1ewtZ0MRf5snK02mhnPbWRDT29Xgi4SLPriO72X6Zpiwrqd+Oh j9mxYNDdMNpN/6wDcee3zRjhrRGOJfXLc1+qGzoSRfsQ9RURRGdlyE7X2MSB+6CWARleND2PlLqv 32jZovE2qxtcIURscjhqTzJDcGisRzmWnGZxC16zyJI920EiEaQ47L0QgAHblk2Z/CrSEgoSFvh1 3YKy8Qc2tbrm5QY/fgioDmwyC8P9BUVzurJhqRKg/FeaG3ZIAFzLgyFn3IfvwniXKj3E0ZdrHMKc ZyxgnB/+Mm8yD5cEjgEoAdSOUsjzSs3rmuzuTP8EN9Vf+VVY24jQffcGBh+AdjwNeg3PxViBTKJo mUpHQHvkMWv7BPOXlHf/bHLfXDBNw7NiYE91rQJ/4LF3INBmzAfKGiYp5kdw69qUUD0wPnJjhlPq uorAH37Yzp7cmuq0LISElCQMd79QqouujooyUHagcXYdBlMON+A4icch449R86lzNPje5M1ktHf8 nyjsnj9FCzbnnRxYpwxTHWQ7fKJNsFjN7IaQ+WplFsJLKTd74NoPPKnErP7y8lt2SrlAQ2dtWk7j iRpZ+IoeJd2m24cYmPXogQ89+i5HdV/MXp3sgUvfdT7TGa+eOsfQAsYW1bCipFN5gh4gGspUXV1y 1pMX9WpM2JEh7jOarsEl9harCwobp7G5EomxGEFxjFJ+ARYPLSW/iMJLk5doqnUOt3nSvIgi8J7R 8nAZD8AFacwqff4hFt7xNkumiPdwILHKFfBc3jYxSKAGzukoRqgHyJ0RqinFrcTxCrVGfE+EG217 GNy+oAdHO25MeW4TArUcvReUDwEK+Vt/bKanXedomXHXo1KWmyNYJ0NoaLYe8+/cYoFRUlbsclWl 58FxtpLo2NdxZbKZf1RNLiQuBEI0/Uwa7bgCmaVqTtG2TRdMqZnQz97nXA59seHL5UKI7aaA22C7 1MeViWohak+b9ITxgRanOaFafoV/UYGvmQK2TxYRNkjEFW1+LbeBfSPgprem0bqbLqjl1d3sYofV b0pHtw+sG8ZLFN9xTuArhMR4tMbxqrHlazGGLkCdxWtMbmXl+Ib6RPdkjmABl1qUc8j+b9krABI/ 8w4PjdZqBXQqZqsnZYw2GjhgbO7KA2lzMsMDG0v3LwFrSvoP/tRVMrCJYxCT4S+ICBkDotOuEBH6 Np7Em1FHjDcig0Jd582SLbI2u9BnWwk16+cRIZPT5dDGonwHi90P7G6b+9620LxfmdrpOAxQntiC jBzZymjU71o0wq2aEvR37kToSL9eAf8DQrLcvdRJDgAI940ectv3kA5cJk+8YFNYjCI0uyWlJJSd PtCE82HnZAZRFw1NItWqTzcwEdVKSBR/jK+UO+82buDNtSmIJxQomSp6DDqEIeWvV2vdRApVJGG9 kUH53QxUm2dfxIFwG6jKfbj6mqfPqw1DrkqW1FSQSIRi4gsEpA18Hrg8KGJGY7OYpAQBLJngBlOM 0zmm6NHtue0ZnT/GiossthJ/rlR1+iEb+3UHUIbKleLhqC1vVuysDzISIcV6muS7gnv/rbGCwWT+ YX0j6iZCX3DDlDnAVvpYaqCHeQFeqnL0wDb7PAL/Vj9Zplhewf9jfuK5qSMIwi8YK0rdx8EB1vQ6 mlNUb/DAbMBwf/xGzMAf7du4ezPyIrhzxAKKJhOiqOwnEoc1WRSxgfTatvHO4hMFXumBcWgTNyd8 QEFj+B9hrEWV/8zvFdq3bMEDG3OEgMf6oUOETA6dFtO8EQj7n//REKDehuUsWWGep36AHkVBm3xj hm2bNvZ2n9nbL/eoLNefQ43hUHa+CpTIdi+oUVivgg7WLa5NcZ+4H9oAOE9SUb+uKaHFTRE5BulU Tv5kYu0kYn/wmgQz4iQSkP/s+GajIMalJak1+arda2jojsl9l6EC9Lf1BsdoLMM1aZsgyHHk+Vyu i0a5bf6SUKh2DFosVBNRsVulB5wxHoCC2tNmGglxd0JnK2g5+C04CwUFKyXUmE4h0mWR8EIGxVBo G3oJpbUf8VN/+2AqbSmiHaZlL88+sY3Wu6CmROp6IzlRwiEIIvRd87yZJG64wvloYyNWfOVCsmSz qeW9OqCV0aK/atYjVUUUHcKC3n3F+4b/0LKZ37s2gJAadSgTrbh7LAk9gkgeSDfBvJ3CAGE6mgVZ tvC3O8xTwyr27fWsJ3J5dJcmIqXzxY+9ShwbRvcOLS9wnTIi1e4Da/zf/rykKMsVpm4iXB+uCY7Z ihPxkYazhwgFOiydeFhyvNiK99Kh+D4WWKvU+NMVgZ3xkqg/gPwLXiy/i966Cn8LEdoeAhGLxx0G CK4656B6iRx4QyxXEUwUppURZc39gDGMVLmexWCV+33chmVsfV7PWjjb27CReFF6dCpa0PXqAroP TarJw/zGzslndr6Y4EYyDtFEDVKsC68uX4St9lCPlnDBZObTRSRKHTVh4HziE1HWTizmpmMhORg5 yHfTdxwjs/wUj6aIM0swojWWTXmF+daVXYjWlQp1g10gchaV5k9xmo8Mju4ueAZAsKUfd5vkDF84 HsbdLbbNT4xV10sK5FM+e2f55PTi82/NxYNvWvShEwO9r4wjtusRsgFMsR4PurwaWUbAPKCjh5Og +qGa9jb1c1173LW1f9phiLbGupwgCmhNJxouQ+qD63kJVZ/6dWpIHBkFVP8RhTakfirQ638lYHTh jWG5+UC55y4ibd5fzcG9Fs4tuWo60W8XFZRy+pPm15yv8Ytc8c+vkT/vxHxlstDa0za9G+IfhFIJ BVxQkIqD1HhgELospEbYxkNBxxVlNwx3dJTs2UJQx6BPeKNeMR/vrZ9tBwzt6LPBRlS/jgn2WHjG MONmVAnCgkLKZoltMMgE8UKD4hOdFgKsSayHYgjgLdV05dZYU4yicTvWQZYdJWn3u3igx9PnIO62 0HxxO/UdE/qcAGFgux6JK6Iom9zkten18nct99w1Sijtbn+j6KqICO+u7ZieZjoq18l2PFnnFFkz Ni1QVrCAfRu7lRZ1LUGZ9jz3jFQtWos0mjmIlPEbG6V/R8l4GHSJ4FHzvxxhaJX2mSVdnJVgPdur KwGBRqnT/AFB3MRfBfqxxhYmGUvnzoEQSbP7Czy1rDWa54hbnbU70hkaxouDA/lP/mKLhQKwxLMf +X2f9dBdQm+ApbOUvnLgJEuME8CcgM4MUfq5fkjt4kkKVlPGwXtkrLXA9GRLewCST4gSW8ADQ4cN QpJjGy7CIhHdad5x+QcdjKC/qafPjEizCTywLjR8XV+GYCpk19aUT8my48gBBQyf30GZO4uzACe8 pNb4XnP/4ucm31HpPorcHQy3b4kKBJ9wGvCZyohHoSQhxxUUzajDaV8SvW3MnmIqhMeZZw+CO7zM nN0aXiXMN5LEOJzf/3nQH8wzPrO/9EOh4wSUkkK4d+gnyJgndtQBCm/zEvFNa1Bhpx4oLb2UBLSj FDtU97l46EKf2BU4SXpNoszZSmAn41pZJdWmpHRb5XkSjGZ7Td3iCQEY73bVJAHVvNKPeTnvOtZO kO26TdSUf4PgEsrKt62A1Btxw6Dg+g+W4brUDhXdAnZmaYTyb3h1cEKM527hqnygmVcDrFz7P836 Cz3TCirvwjLqo5ocq+Gq4UG7lX3mFVkzrQY+onkw0ic1ErnA24mdLO5d0e7DzzEYbrI+guAKb9Rv zq+M5xe5ZEdFJ4bl+Wdse6mcDvuCP2WYBO6DQX3nYBs2MN3BWADFPkRBbFJ/tuKsSa0G+D95Wf2E RUjbxFdSoDL/ccM4pEElF9Cf+i1G0/LgDwZ/NYeUZVpXPWIInS2I8s/JWkVHSmjWrhDz8P5Wi6wK sgDRl/aWGyW+LXYmYt6DA3vtD3g98GRC1VuTSlS358y2B/0gGOrBCESDZwwCuMcDB2kZCzmWekC9 uYpHxYETCLjJ5OPiUOVkVII6I/pX+d8jT46uNJcRb6Qgo9VPj9lKCX8Q7ht8WtRT39JJEEJpNpl9 hk/EFBFIHr7NuDc2Fb1o1QbQJwookb6yRn2opbKbxqQZCYypnkUkibYWV2YDIwVKI2+Pe+Ig9DjI hoan62+ttXKP8Q03bGObGxPEgmB5Y9Z9eLPqEYomiP5Dp6Z2LUNRI68Tm4Jd3whnWJUrQFoCdtkr qRzR8MzjL5vEKEIvdxbmaqCK/gPh77tNRVvcCBooW4vzmf0xImuyCUl221Dx2MrrQQuOWDKuAcs0 lbAiWmjKqURtvcFiT1sJgQiNIV4BsTsSTqbOJppJx9DWZumN5+FteTS1rbFqVaWcz3z4B4X6ZZ/a fdPvtr/+lm1vdR1BDr7msy2j8N6FrebeVN0fFPnxC0NBKOucPeKcTbdVfOlj6IiPpbRr7JBu+qX1 jeHYZcqlqNm759zRRK10UH3Dk4rxMvsz7GIGKQ817Cx7BX33poGGt67FlMK4zCJExqe5eFnclI9p KQl6cJ48NZQIa7BCNSZyFW8j1zB6s18vLEyprm//zaX/emwGf01ynEweHihrp6cEUsacqlOQ8ayD rR7enV0/Ln7FP5/DIYzGF9AnH6JT/cq9A/xTMyJpnkL17pWbfqkkqKL8WXKnXy+DU3y2A9wBrLCp qej7VmybDadiuVWmR8xaa9Re/G9+DZ6lMrNDw3GL8wKw/XG60En3JwNrzE7bzHeOD2hfgMAk+BWj 43WG2tbFAjtWMV8bBMEKxo3eAJMI7U86gIg6hpYj++nPZZOvrUTCuw2pX+TjR5AiqWB6DeHpA1W6 cFns1ERZZapO2NSGaf9d/2Y9a/r5RDazAbTTlwC+sCvCPYB8k5FFY+2WmWqh2W5WKgiaNuyeY24W iLmYnJ8axA1Am9mfpUzXY+doEsbCwCtDUW4pR841x/aYibjeZ4zCxl8QDz7UOXjnX7qbrxxc/wBf 0LlIJ+XZgGNK16aom5XdFaAoVOk2bcHdc5m2pRVvFttv8PEsrpH6P68P5e/Hj5cJqoyb6JwvSlwN ws/2FPSkQUZBXresv3NKWEFdC6LcsjWXLjJ8PVNpBaGp+WD3HLKU+jzl7KZBVO3WRVGwOcE2cPEH 33ilnfH0cgRG7pFNBFRCwzIcy6X649YFAsi2ceg/Egr+5oSX71y8s99xw8mT0JS0j23d4vqzq8WW Dmxq/HClTLfdE1zrAbij60JfhZA5puGFVTle6KvETzUtkbiX2v9vKEUMlWz+n9GSDoQO1vVqF4Y1 uKKcf+nNjYuzxttSv59WEwh4qnxdQAEgpxTXcgT7GNZgU4mc/CM0lP6KlcB+YcAbWjoCP6NdiPMK CaSEqnpJPl96KHXzTdd7+AUsk2ClSTRy1MshL24qh0UgcCvCECE62gJv7jxs8wCmFMKqSUgZDokN 5Rxw0HxHsjExk8wdla6g+GusRonct4tX+0kW0XlUa/VqY8eyko+hcrAh27Bzra3O0c2Bn4NGTfQB KSK6+6ymvNIEXrMdlaI8vi+0es46HXjb5gjOuQtDcK8+Y3C5+OLz6hOaDhs6RFatkFCEICRf7nM+ jo7h5oCHeaNyYoLrH74TPR3vJrW0lwRFGqJGGprP6r/kU2b6GM/uUv6piqFOgLu9vSlLoKgMNx+g j2Trmb40SV8F+OeLGrlvISOLickjxhmnCoyrogjTGwJpkpMMVm66t6HdM2EKlJeLGH/bOjaptX/e xsTx2k00NT2BemEhcjjpl11LnCIeL/QjuHesrgbK7wBRlekTQyfexzh86m7vXjJdCXxyjaoR/+2l iFaMOrCwSMxU6TeSSoPEhXbc/jJHB+YsbfOeY6ISzWk4wsc7mmeAHtf3eqZf3KtPp36/iZr6p6dl N8eAbaxvZZMAVQeJJHkxQibdwozyIM9kL81IsrO0MPEEsYkJQZDnUDFmPIT0bT94jF2neoSXWknG zWnf5vS/fM3Po2H025owhlfyK9BjRwa4MC4DHdFeFNurv6SpbD5ONjhXaZFbjzmhpO8X8Oic6cfO +NmwF2rnJjMPljq5H8r28X8PP7o1UP668BUouTIOw7Nxe6G6mGt3UsDNe7fp8LLBJapj0PXFmtyS Mf8yzRUjo54htbKsSUxST/SAk2YJPRH7jt11lpSDIob+OJKDbrK7JmyQesoBTz1xuGfC8pwnvea0 Wja0kjNlSPdNwgoV1KgpAvFg4eCBTZeEKDkXgq5SzSebFvfJ126B3C6k3f+ZsDdZGr5MckbG29wK 8CMssf6271dsv5+SPELAMZaCrTV3P9ribHMNaTdyRJ4n0om3KvtftrWFTVI5ib2AyRJ0pjizUtKo mxnaQ8CRUilACT7JV3FYsDrkMYvtiiVnGrZ0Suptll/l9bOVVJIoNLOpDQM7qUqCRflEQQ/h3mHX xC6MChtzgIIITqqRAa57FgLckViu28cf73XqXpQIv86WaU8Z3sElt5tlzWhqPuj2gPPmew5qgjqE xESM3jY9s+6b2rhplbMhLO6ePPgF3pUjdOMbsygfZ62fCLyLyRaTtZGIo8VYjcnQYjkSeV3SKetu PbkQAL68ururYzVAj4LODnqdIXHP9iPNs4V7Um7yind1yMmnXi08mhy2H/s425VT4J1yKCtCn+Nj v5ZO5SVonfouI280MxYGTGWL5qW1bTlTXfxKY0O4ZPDapPOiIocVWwrzlFoo97nYFYVkw0giKR87 OYxG9AkULGaA76ohuwjQei6qepxfoJWTkD0gK06e7P9Ta3lBSFbsJmwrSE/98em4Hbsqh/SfjjIs n4FawCQwqV88eQPhi51+ywfEGNXsweM0NtgRbIpLRZ9khmcFer81uI/J0VLIWIQSgv2lXExgXgse NFLIujfsND5HKLiI0Tf/Y7TOQHAM7+lVOYUBSXCbqt/4uLyuFy4f/oGJ7nbKZr63UHtSGn5H98mX h0fEozwIzt1bCMJ35AOr3Xrkaw4EKTU7qshFK3voP3pMpnRwfMViyTXMW8PE+qrIyXLhA2v2oL7T 2JeyRwmLMnsUhUL2XgYyIElyxtRiVEJXckBTJ0fcjklQjOBQrvYP/BQaIGoaUUzs+8De6wjUX3xR jBW/l9PSPICL+sORHqCmuwSZcdmLXUgrt5EXXfXFrR6ya4FM61TFHD/rzJdTUCDEDliaAPb17kN8 xrjWTl+aojA/DB9gWvdIW6pgegMSQQAxT5gv5PByQvyoN987eOiCWEXOnCGj+AotjYhUp81txtQt x1gdm11LDRgED1i9Az0JoGRb7H0SFBCDTjS1pRAE4h7/sjBhI82fTBQdR69FJPHVXk6WXW1OxwFx uC/BK6b9CVqvrF2terwQBOFDDCNu7oDvgl0gAn5L11SKHP6m7kcmonGOBgfdtwRvjKwyrKZJLiNi QBsMdYCtOC5Pi9g0Ccy0uEHuzcEy6qmHj9wqSk6WImdKr38YxXGpD77r+qhwcwS8AzT4cYP6Fsg+ r6Sb76TCil24MyoZ2N1KnUdKB1k6O09Z+wlJ4qhIOTNMVitcXziEXe2vMab4TpCPJD+aF/GnlkbK 43RV8QTssF6gLDlF9WmA/UF9Bz4d3ktgw4kDIpRHQRkjtT+zIXuBRbNfU9f0qDSeJokT4wjRDmHG z0+aXtZdXMiErpnVdhcrGZAE1hAlhAkggHm4x3yGWAkYF2iCtVybjhc0N9FdDj31zW84VMzQNbDM cfCb0VQM+BfWXgkhagc7T34+pWjlZunEXohX0Z3d52OGerL+hQ6A/r7keoK6E6IdkazQ5un527Qn 1zm1qN2Hqf/niWfIAFaNhsOLv/9Xz3qBqUPD2w/4Xi+egElfkhchPmPpO1JMhThKGPQc8UGln1mW LP1xWFLCx5lBib9+N2Z0ceUWQwjqJR7dVuQdKYurVQKvvjbnRt8HC3mUTDMBHlak4ygaXwYi3bSL e6+lp3lmxzl4o+w5R1R10tftqef04HVqGjhjh/EkSSijRM9YSmsSWdTF1VBltOhy8wgR8dEeRnqa cMs781NDbq9EHYon8dtBxSNZzjDUQMXkJvnGzlkbPEPVJS1PZYF35jZm+dSwce6pZ1jiuQbbt8TD tsig7iHVemaQvEKVH4RBTaivOeWrezhYzB3LCzFlkUtl4xeXnq2FnvYc5EcyHeSNYpNuLkWl8Mdg Awha8bjsr5z4Fx2+pVpQ0K8Wb7nIDNN4f6p9o+qjyAQobKvQ9f3y3RzmlVsb0oiiuOOThxb55spk ycpz+zMW/0y6oP1TGQDDu7tpGSqiSJXn3NJcfgQgUXBHOBzaaCaeGs1+y6Z5DusaUdTmM5poCoIr xnZdC1SEhS18+f2Z2rmdeaZWtdynn4W8OibGLBv/JlxhMe5RYGpmrknBXMkcPecjWoIJZJl2J9jT PxQjMKvjmT96+viniwS4CO7edTL+Ti5qAot1DZvdOPehimw5VVaFOuW0cjT4Zs1p/53cHMzYwO7j 22XNseQTDN1dX3H4TLs3RpQ+/Ibx54FifwY2zPtep4ny64AxLJAg5AHhFSXpnlVjKPhkxg4RIa3S I+Jx2TrTiF7rRtwCh8uHF5PRajFCshUI+aPgVYHQth43jnNcahb10y3KsznBes3Kr4pie0CzTiEq UKI4BqHhZcaIjtu7hwoA/EDSxcLV9R1PxOJgGwDh+v2Owa1AhX+UI2yM69VK6uw7gQkfWTN0SlSV l1GsUpNn9oNHIYoWJlBb9LLLa43V6Gysfqe5vYkqX7mPouYuHXmNxhzpTlXlfNRAwi30j51UUPSg qVAEORLG6VCicKsC5pHmXnDtyavbc5vfxJvW7alpqScVm9XZQFQ4/UI3BaIIyspeXzbnaOGPmrjy d/l9vjLuYs2rzzaThehUpKwgiyFtG3cbDhkkCu14P0q0sKra3swpq6L5cVAEmWyeH8N/Krd25Taf FIJo56cwVWLxR4Ix0YV5LbeUv45VNdKaBd19KfmHFkUMdzJj+xDGnhhdgxSM2aPSKY8Cts1woTBV b9/IBpbGlSLlO2IqrgdcEjWbIhTqLmBzIut/xrUkjNGtLIexa8tYRSNA5U9ANz7sAXaKCW4RAhb5 lg5IhjP2n5p0XYTneRjIueQheB0Emjy7a/PSUj76hQBuVjLpI8Q4s250t6gDX0bhwM7cU6Fntagf ziqvHzUajH3QqjLMx0FTBQUq0W4lZf9yAxkBwJTmyaTgwnY/yntXMXJqMhRwJuBUNiC8j0THpIyO 85YF+iYEzHFrQgcLC2B1LCT0Zeh7w0fS4JCsc/g3QmHL/m5je8baGGM7KoafUWFeRv7vYNTBc5ua iAt/8rSvlK6Qt0hRsuzHx/3ebrgNtmkGwV74lxNA36JBLis+tFa5guMtQ3c1gBD1mJPKDXMgwfAe AH8PfAUeKkUwJdWqC7NLZ7WgRPCgtCxsG1PfSk3g8HSTDt7BCBpi+kINo3T6R/fG6P3Si6U9guc/ PQDr2O+q88dhTJ6BV3vExmofciinpSz2nd16WYyAUBSdPNxotFG+0Skhz0mlYOvIfLbijI/guzke Bk2CSGLNFe8ck2fonEJbpVcWSVRCiQNgkZ8S1IsNeyxn4y5LGNtHEfdmCNq6IdnLRbch3mZbXPvD dhFO5qVUk9KAEVeI0n7dd1GR5mMvWmATlFQM2twlgmDXgstxyqfNRueeik0kIFMHxHWvoq/PIC6b GeQLamKcHRabHkNbLgC1A5BjiiNNBCvLcWzVvVo+y0sSU+DP9UUNMyLx61TPt79wJL4pHeHxkhkz aqObPDhcKYghFP8FLbtdBCOi7SG8sE3/QckXmwxXc1ZvGl6SefRiUSD9ZA16IviJGsH+ywKU+7Nl fS6W72uAbMb/EJy+GckWoSQEezqY7UcPfqByxcbcFETCvGP1rMhnaOA46Y/Z7s5Dvtuv4FMxy0n6 1Mb8Letfsi3JCGrTXJoluARc7M67OqRja5FPR5SdzksOdBcCfmDZ0KJ4aIlTaQl+0bXCotTbIfhO 4i5jFs8qRoAkrsiiWPfgJpb648X987kGLZtcAnuRxpKZ6rAI+FJI2XARMYybBKn4WHWdbjxaLB96 vhlI2dOSBgTxCeBLmBJ3lYjaM4G08oE7DhBN6m+qYr70uCHE173Q9t/GNpcikVAWsrjCaXzxAdGZ Uj+WGcYRdVCoaFbQvIstU/o7Tvn4eiclYPpp74ejnjg9M12fop7GfSXiX20te+OY/GE/9Tr1hKdq K+bfRYaN9VuZnn4E52wtTL6UMJaLR3bLAHP77klyljv3GCmVaIQpb9yeukG5AaIFYmuLLNCcrjob rir6SgnwR0IGbgt6+jy8SWQFw3nsjuAzrPIAbyM2CEDbdOW2mcw9T/M7BDNOaPg3EH8ffUsS1EDN s1Q7oENciF3u+R6cewgNukTphmdQr4M/AsoL9dPtmpbYrbrZRY95c89e/AomTX4Pmx8Q3SjTLpcB B93XrjLIWocKHxnwnYUZEJ/PGr5JHkt5exO0GWLF/jn/HgFjJ+ulKZBKgYtIbPjresnYo2sYUQlu hdYREbqmp6qW0VZqFAd0+0S4hZ/pqt/lYaegcaBTCSJ7WRPWSauJsKVNszzOkQO+ksxwRupdx1L+ w4MdVq7Xrg2EsZMWm4hMcYjm0l62h4YzC+ZhpmkKWTxeDyt49U44qAi3jOqwOvzROy/tLEQYyuRI bDmMqXQu3MZjsPlxorU9R488s7jKWji9h5Bh0GY4VHM4XW7DlJ07wx1k9KCs5kUJ27LhtL3UaN52 RqRiB/ngBo7SzedxA9Dh+MY4Ue25p61rNHTRDLBBGaGSxFIiG4pv06RhuIooZl7IDaxMinC3q0bP M2Fltzq4EahaMqtNKaBcELhPRgvqZ83ydZIvM5GxFmSJcedDBbX61bR8hlD7E8d5p4d/ATYFwfot tB1I+nw5sgwLizFqmoCIg2qsn5IcFfEih5Rjnx0faAmtf4oij5/xm+p92+a4xQwL6kyk6QTWJkA8 d8NeZ/7T09QS27WlVlQhoipGMwX2vIxTmYEj1ZM+1HcHO2D3+XrhUOGFwTjnSVhIARnVwr3xdcyE Sljr493VHy80V/+GQUipW4l5MjkoidCP/5rZ7smK5m/8fYbDYg1sXC/za4R0aZxQuzJqyycdKG70 1vUKo+MW/g1v/TpQdHuVS6t62p+VGput0c6P1VZpd7Sg9lb+A8PQ9M55EpUvE/tzYCJSf81uvsN5 Rp0RPvpQP/nhPWRCodR4bPXKH+aiqJGMJDFLVFQV6oP9+RigsbWq/SMOPDNNOj/yQ8Hm9g1SOhxr Cf+MUroqjeQ8fj4LJy5PK/w/mSeknGMKaQkOn11bdeqBJwvv1COW2VUScyL5M+8jyoMRs7Mj79UQ cc/uzpBMJAunYHMktB3wyNrITJLAX0oiyFlQd0mSkOstH9jaWnpwrsQI9TvHwt2J6/06y/NziGHK tqqlEM5H0k1CXc9a6HCext+tSBc3zsgkep7uiK36tJF9pg8dNfoSmzinCh5B/9Mv9tOXoeNXdpRz BQJEScvykMPZ7Azurb24FYIGkKijddMpelGLwVkiHb0gOcuJH+E26cRdKmPLj8fX5jcjA9O4MKMW oWiBVjkIZ+fZgY7q5cBi1j5c3KgiBqs+wG0nFxjVqJ7xkqzCa61JposLDdxDvs3f5hUfhmRTPzzU ouqrp2OhSPKYsxh9/DnByiGWdWajKZMLiGqXxAWQKcGVncH18MrS9qjlYwGSKpBstdPQ6NQ6FJND lhSS0lvYIigQaTUtoPf8mhylolYx9bz6U46dTlPSzMNILFRO3132TSPi5OeFk102/DjZ8TseBSdJ nAPSEd/Nx28Y2uRw6I7KZIZgs8jW1sTYjgklAHuKrALHtsGe7m4vi6XihWLi//vs8EAhuS7c14wZ IiVHZE9xQq2PWFALBHbA06J/he9x3AmrX2p4fr4rWA0HP61t5LrGKEHsYv0Gw4ZAJXHOh1G/KF8x Q2AqnKR6SB1cD1jET4BR7odF/hVZOybcjQLVZ74zWEfn9YGnvgOX7n7vjCMHzSdMWz4vuAJt9WMP veNv6VNppppcHW4r3YdT3AoiAWIg3wdOowLyFLDXIX17nLqKzCfMkiV0V3WE04Ai1+7Az27NdotG ZGDZoeFQkjRlpL34iY9rTAzTPqQsele9xWT06VcUD1FpB9Ksu3ylXStT2+lkgytVAwB02GaPyxkj kG3lVWVBwgEM618g1AaqXCjoL60J2+KEU0x8PTuZ3VD6YKRXb6c+Q+juknzSLiwO/7RCvmAhsFQs EEnvMtaFue0K3ttAx2XsfnlfcoQH1ElUCML6V9/L0vY5rdhm7OpVfepajTQ6Wu6NtlL62hLKGCwe r/SwqaAJ05EsQ7szLE0KdF8Bh7wSmCXzpJ3sS6vTv2+w03/8qrDT0CQWK52t0SjR5wz5zaxUhH8N a1uWdnpKk/eBJZ7UyGAls4NRKAqc3/c3mce0m9ohKQxh7jfOvW66SIuEaT8+2p9wRa7aUF7mHSGK PF9QN7vrrCR3yex9AupXOWGMid9oSSLkreZ+QSjp29+Knth/5dDkM6Fo82Y2OAjb5aBZH5223Hhi kbll/ctlMxLosMTyLg/U2KMzCbEA6bK0W7HwFC2Pj5q6XhdgPfL1S9lZYsNj2B/k8WZJTsYYzLPi utZZY1lpQsshQSCHO0wA5rqdv4CLtctSJQ5ZPckWdjHxRqvcEHLu8XoKAEjrm+AMR3mF6CqeVe6P L7UwhTyDqmD7v9WlxS6RWfvnQFWB7tdq2WSK4uCKpM0QFRdEnw9xx5YVwh275zqnWR4C4afZkJhF rZszyr7RQoa+BHB7QHmGcJRXCyOifTGR6DBZ1ssiIHymDjq/D00QXWNh2timCzcgAtv3lDN5Om1+ eL6fOClOyycMoNMcioOwooeKqbJxQqnfIUDpRw/VCEZsmMZkomlJPwTZ5xSTsJs3Ru+juDayumDt MaPh9VoPs7WeNHhqVzX/2HgZeS9lKWbgXaimXJLoSfr70PdJU8zM1fLBMfuKNOURonLjSu/fQO0o zIFTnJgYoFMxCgjnLlzQxN7w4/9maPTzA3DYg8xtkQV43n0nutCW9IJXDcmnIZGgxjCcPkGm01fy UYaKXgTBgrboA3H405DQOuzCY9FZfgoa9P9XRg9PHMStfPq0Do88z4ReVODiyc5malExpGQJjsdX PWofh27Nod3cBD685zAI8NqhzblkHfp9xK4HE89V51wc8MV71wTnBBd3T+qoj0iSfHNbPU9Q5x6e bUqNBme0PwoCh27KyJgnLYWXBAhx70RaGxg3vitYZi+7o9g0u7RTagGLjBjwo0KyLlB+Vluy7uVN VG7VehMjL6LmKPfy3IWbih/5XkgWnXoXNrNifd9AXu6XSD8kgtJBxy3nuN4D88uqA5ojIUIopiA0 Xp2wHsrhiaF5xT2rAWvewaoCWcAOF6o3nzb1WmmnkgVXPlEl0EQlYbiabK7FDguXxragKGDxfAl0 TssF3xXpbv2KjSSkkciAXgsbS6YfiTs+A7WKAQBJFSI59w2/wJXH7Wj2aKTVSsl6xjrNmbAVhve7 bVXiMiASrkgiQiP8ITGz87vkuALvGe6xb5CQKnwT0wWRGJg2jmIb1gjfga7n/D8tbXeaaFzXO/1R t16YM791jT7K66SujXdZnlYo6Z4IMSlI0x0ecHXQVqHa0/gt6uEzvhOpBU5dt2sU5+YaGW2cL2ca Ra9H4J5ML/kp6Cfl/ORFI7bYocnR98u51Q6B5WihhEmd4zwFOk+9uaNE8xDdT5KjXPbmCwkNRrrp y6ozbPClrK088uMfXKHn82ctIN85HYttq219hQzanhc9hHuBLHAyz3hsiWxFblttUoEktZqwDwCt DrlT6Ry0jLZtmpX5PvshgiBkbg/1lKK1L1FlBfMjnGjjTG6aLBwNguEF77jXD7ihV026ssETPa0t dMW7s+F6TTe9HAFwPGEwHzGw6y+L3Fy1fgTRz45QxWOLV4r6TL/AgAcSrUa8BRFAI5OYm8zzHaz8 xIzTTONi0fftaktm+My2WM3Oekv9F6HoypCQzmrqjonjxGUqmQLdGocNZHBcTJie8FKAeqth3GNs TUVCxh8W7btGiFbQNSj2DOcJbQE66Ko1IGKIkEAWPtQUNZaicjczs7VL87hCysOBuToWszX1NfTM 0q6ubjv4F639RmguW9nOPgsxTkI1i/5b6IK+tf67q0TcVI4lUfkFOZGN59xBaDBTrw+ikE84/NcO HP4wvj57XNaqSPGCwepTeGmMgd0yk5338Yw1n1PQWtIPL+BeVtBRSGxu0kJOa6emntgvUJfQ8U72 757IRkWaXXmbT4ngQ9S3nxsO9DdWGyRDkksxmIHCim9PhYPPTEMmKdk05/EhrMnZas5dVGgMIiPD +fCa5K+EhX9zUCTWlYtMZHgbvHrxZhO1mFWlUYIuxzF2VQDS7QfxdKQGolZ7G+6OPaeZ0OsCX5eX 67HPwPGp7jjPPXcx0jiXHR4lGhE+iwbTeC2Fp8Upj0zEM8tFqgYx8M90YGWTj80IqAPujxsJHoPZ o7dRuCwtGYYYrqgcThb+L14pgjLaT3IEoW1IzcDSTpnrsIZwDF1TFVIJ4xWG+iEBtcYfUMUYYnKU pxCypMYOPGX8XTLw4VI24XZUtTKuIGtYUKuMi+sSmo4V8g7zGkmSJh3B9c5a5scTsk4xiTimwdjx I3MZoV+zn3wBtSvBTYH4+idkah5NMqkLGh6TLmna2A8Q6cgj3+dssB1DKcQxXK16XVCru3YF47JY kzKvoShPFv+bTHUq7tMu+uYeWmH78wcdEEqts11oLNbaTkVv6d4GwoaEFholPsMoMDGdommW7vOP fktptS4QQYPk72PmP9w5BEC2L9bcU1AiKhupzmarHOB/W5mbfKEn0Pwk3pMONAw1wsFdRfKNZf5S db0Oj0Nf8/pivPGVpXlTNonJU6ujf+iXa1FJ7+2X5T28dJNxwbPq0sYObk8n2fjckmDQR5DLFqf0 IT531tFdb/UY05WLN11j7GcmXeLJc6khFesoUEt+HtaBvhfZPQ8Hrf8rL3zLjIJ+HWXgsBMvOflj U+2eTOHwhZ6wjExQIrHt8QUkmMLMg4a5Jb6X/mTnxEsJzlxUx+VBAoWrNszWQdCLCbdh8HcRD5en +pjT6LXtTgRuvRCtHBKueyxXR5tCw/IwiYFf5vM+AyDOfaP8s5jGpWd+3l6e4sv0kpXFCVtaDCoo 8/zK1SbwGVgH9klTpngB8W46rCOa/iNymhslwPdgJSQqFEOKMkBSPvfre0lss23adY2nA656dc9m T4DOti6R0OmKrCL0ZTzZ965OiyRv2McNBQt4+02uEEb3Rq4XOt5NIKjk68GHfE3N1RZLeqiEQl5z y+gGP3ghOHWk3Ep4jViys781RdN83c9DEghg7T5Pu6tPlre/5SeM2s7OncCxVPYoEzsGXo61D6QN V2z/T3rh0MQ1wy1OuUWD10cpbw1/Ldby7MDTH65Z1AsBKw2wEZ9mPr5CLZ4O/HqNpXBhQhgSHqJM Rnds5y6kZb21+hx5iqFjwE85C3SBWbqtCNcqLdJfAzLtzjw6h9CnQ47OO1mtMKBA66hTMg5vFy/H pHwg+nRUo/cM8uJEXedAnGYzVOTy7yDC+A9FtPvifI1KJUunjJEO0wVsjLtG4UaYcWaLO0KDoTW0 /zRVX3lq7MwUUwKWnJ3qv1ETl8HucbsEtLL10Y0M3dLXNUJa9V7IwNZEpnSFysgFTrSvx+mSznwr 0dJ0BkU0Otd8DCfrjKK4FEwXM06D/popVxbdU46pxluF3D8imJFBTeIfIGS8uZIK06zv1Gvh4hTm SGkrHxT34YjYHWPSvhU8kjDn8bC9h1TnbcVRI682EoWHfl8F4wMAbqXTZoNyb40kLdRYtcvOKqtT qL/1eKPhFJq4A2YnNnpBB7Z6pOKd0kGBoVuB2kLkIhUtt+5cxmVRhVAWihwxNE/2+hIlv99mv6Xw Nyjps0j1BjjN0MIXhbZsNOEFUHgpychpn7z5xUPvxHDzWz4g9ANi4yXWVX24L4iekXqiADvXqT+k YxU6OCg00N2rbHiVetF9JpPzpNinhex4/Foh2d0mjLADYvg5AppK8jE1pQRvg7W3CAMbV1xjJtoh QukX7Z4WiBPCtIgLiXXuOD1CslWt69vZtJpIorq3ypgooUGYynONgSYabRd+TTGWRyE8bG7lndnA 4ayw4ICt3Jnmm50EXXsYDe83mZXBWXVNUut5xyMpRl4HaSIJk6u8kcdXwk8yw+nxrS12B9qCJB0M 3wwv3gWHTZz6gTohOPVckXSbVXxIieUNMD1K5DpimF2bQpoM+oabnGUL8mdbaZ0+B8ICRNjd53OA wjFAS/Y9hG0/Zgr/N8bsddy/Y9ldzxqeh1x+PlAI9ggau/3NVc2tDCqwtcRtN86m81w3TBT3kxSt vqb4zh6ncwduGBWD+l3eweVYRZcnlBR2kt/jmM56c8fBGScjTpcqRZbXSeay94YXzaAWC7b/9JUv qCiWE2VPsXyZoi4RrMXE5SdFlY45mgvKAuI9V96YH6yUbLnL5oPJbW3l3XftTGQD0hso/fI4oQ81 wbXco3yMOqdfxJMKvH/GrppHKHXLGMqcT0bmSnhrXyan2LDu1AOE5wOrRnSXZKtRUVd7VWLuoJAn mIlQqszX4NSXHJxB7wwegWzEZ/Nf1ZPyUJLf+6+aCpB/S2yvJ712ATPovfZVrh1GXYfrgvGeOVLG xWEjT7/JZK2+PnpqeUAw9tBr7EwKI2aS7G0PyTsLiEL4xjFTiBY3851mKMQmWGoQzTwd8J9Zp7Gu zbvjrGRDIkj+FzY9tpVzhY0zMrCGhuCJ9O9UgoCDJ+RZd1Ys702c4KLY1+oUX8vfq/edj5H2XRYn MOXDDbPg59Alut2V0+iuATuJj41dF8J0Q0ELOwDPX9uTL+9lFmDbzZQ7nM/PLrH52ZjzbB4JbD5g fIZ/Bq2VoeXZGWIK+a8iG+TxVvqUROXqB/cA5gMz6FX7NUMW/+eO/8tER5mSuI34Q3YB6NLpQJrc PakdbmbiVRyDP2H9fw5Yq7XR7dBqHWZRPse34kpVaQZ90uroBzUTAJm1EYVaYVmbxNmcWImFBr4l oTtR4JIWUenHzZPgpMCfB1STdhtP+pesz3x3CIuHNfKpnGofIXWIGEbhmTTbBwSRzI3JqsAcSv4P P5DKVQt8jqJ0uR5Wv6g8RSNsyCdXBFlp1DA/SRlg4B0Y+q1fJdW1/Y2tYlYiyKR0e5x7MOtQ0Lmq R4qyYWPyEMro+Zze6sbed+uJQGzK9dF98WtUrkXPxP5j4KIb5IRNGKLqUfX7wmlxwPkKy8IadIQH /ohrqlRAgiS30h6SS9aHZ5F+zWl1Y2TKdKKXIhkon2EHOXDBLFCLyuXCz/mFAl+3pblN3m2Vpc0x 78cWctneaHhMo0QMc++Um93QTXFJ9Jp0Lgk5HzUgiWWp55pA1Ud48sMgPmyNUWpQBuOWF31KJk+M atHdWHcgHqw3d662UAdkc8EW5eZ7cWP4oGkmsrd0OLrRohxiSUVwjFi0KZ/oHDR7hMHFrgGuz0+7 y0CDCeMxoJaQRHikFewFNWbIEK7sD1fukfYQLkr8/XzLId7sebM0piW5JbUSCWCl+ublXxBf/LTj f/0txLGOPGSH9/sHPYmrJ725ZAocOM+JgED51goR7f1lEl0ZEmWscKGbDTjaVfgLD3oPWI8RNSal 55jGEIWQDkyHf598f7PRgbD1JqaOBmrkLsgaITc0BZPMa9XMRg/e1yz26xpPi2eOXPIaadBUS2uw k7eNjdUZJNaNPgCLTkSTT0Lru/cz0Du3Le6YIRoEcUeZDRmfB+dnXSjE5zSqALBJYoiY06YRBKc3 lpIN3fYttpYg0UXdghO1BANhfHxnqwDmZt8zp8gDbJRm2r/6XmQYEjhe4Vph1z02bC2IDtfVIldd oPvjg1fi5y1JpX0K5bWLM+QdFptYuQNC50CVcRzg/+itHUxAVGwRuLl5ZEL2zUoPlY2WoadFEehH AyPBfcEYPpm5CGs4vzn6oZCYm7YeNQJhRD0UEEseGMhQEsAIzP5BBXibY9vm2XxVhwo4z7UhVdYa +fZmXyzsqRSt3qTehE1IuRDESeY5cdWS4VsrXOMRvws0yTCYJoJ9Vh80EMmqdCIlLqwV5tbNOmkb 6dWYHixD/0EiC0akSTB9/9slG7HQor+9x3oC/kRJCPk0T9dURguu3We2u9d9d8kjOGtxGRrh81Ii e4PRPr0ebvcuPlbv9zRw1nw0vhVxYOavY94wmTTDrGqMwAad11Ftrf2DOMd4yZzzO8GHXWkg+PPl 2qepxfzPIS2TRAV3aM7ivsFYjDGLRerFZpKkLzwxdXBxiUiHHmEqYkbXoNMvXI1uld3Knb0iy1Wu bcWGAqzCtqZJ+m3stLkbx/AczO5p9nhpOjwa3K1BJkg8eYBo2gjsO8FLg0PFzGTi6KjXZzsqOZCQ BoU7yAcnHM18ov3alVU7ZxQ7GD3CK+5wAaoNJ/NNehEmjYIQidFhJiDCLVtaWUexdp8m1ThVEagC ehKB0f+nCIeEYqABQC0WMTi/H5tVc5zVedjCpv1hiU7KoycOT6FOscEMpe+WqgWgoOo3BvErFKu4 eXti2EtUs24b6EVeSSxfD1pDwgphvdAbcSvZcrDkGXLPV7B6ors5+FiWf4iIsYLQaFxKLPlhXU5B rXi7nGjyyd6dmni02jtNILt7SWPD8MWSv1vPsaqAXIM7JdQx3oTqUhqv2ZIhzC15rQSGxCqJCD6O +IantUsfvLpOmyFc3xa+EiHZ9B0iXyc9pwXDiDh3jcCbaNML80QUkNC7qH+ikiO8ZbiYvcHfSJrt wWU8npTps4Lajm3f16CT9v5c2NbGQBnJT+uyHpY1tgcKlvHaEbTNGG1jZHeqFeb09368Vkj1X/G7 6X4XwK1YO7OGvhB8Yff3NHSvf3K1YaMgPbCDfPPgbBoyIcxRKbezQwRmFEC3rF0e/DPcQN0U0fDf H1FNPc3Mk9BBdwcD6UWLztUICqToIx3LNLHqfPSWE6G2pTmm1a4K8TksnYcyyRf0J5nROU0yCS6N Qe6J/eUFkDNRrOgtj47B4pTL/yJXmwDycmYjaMwObTMXHZaa0fZIpcgU5sdnJU/dLqdJsHev0j/T 3SLbAUtCh6/b7twf42EOpp9KDlfZfwI1VMDA0IglqBGbAzRDuWuIaKFZbXFScWvVC+HxzZRhHJI7 n3GwQ6WqRUye3jpSp+fDcXQ/eqOA03PfJcMh47hixF2KvXUTKSb/m3gOEYAVxumBnhLNBsbEcqn6 QmwUGfCTKnL32wa/ctwCMzwq0fAEvPmmw1tzC01qyVMyZHeybzeWO6yn5UWn+1DeJGl0xee5brw8 QZhwT7bYmO4sFbL0nr5gmOpTLnNa8jQ6jFPoNY98yWeyKwAcK7GfAwKHR276534XwHfP2SFjJPgz wRFfG8/JKTsGBv0Enb5SDszXnFcVvZuzGSc1mN6Ro/w1Mx361OEm0imR/jyIEvbTy7sd+BLJAbNT 5nnpIiHv9VC05637E0fAa60X1wGVxxySjygh8FirsUiMZ3dP05HgB7KvcmHWe3t954pBIzHtX6aB FMPWgkffWnt6JU0lWSOxKMUEucg6OrcJXFa0AsVP5o9CoFGNOx67jN0T03YgCLXvasJ853lIm1vG VH9jROFEp+uqJ44sfQfP2X7w/lH00DR1QFbGA6erz8en/w7+1qnkMVBHi/I14Hp0OsIpNks6KkZN aoHHXJX3ijcKJ3ULG5Y1evlhzE6lVBhGJIA5k0XwTKynRezKIF6x9tMBuqe9PHgLKIkA/OFPO5LU KlswfwksO9j4LNBxJq9d1BzWvTzBs3PfkYa6rw69AoY8RSpDmgRHAXvK+gfZ+Kmguh5GKdmHQmOs W9Vy6SUphxt4J01vbbuB0VBf3PzuOxCnfKAQyx7jnjh+wo36B2Fb3bd1por96VjNtWlj+JqO2YCC GPDvUoAPpJ+YXQhYT6KznorIqjuANiTZj06CZxV+W+4xvk6nsIPCFxlmhrUyXnM+nPCJaBJcPgEO WkHS2q1XaDxyzLzzReaCHT5IT7N6i+TN0Xx6Tjc8VypDBAXw5OfpX/zfTlPbnyalEgb9ai+WDKnV o87A5DM/KzDPQiL83tuvKGbnfMz0i33WvdOT0PtvHm0aNyBCkzKaBSWGW18tV2Flqm30N4MPiwPy jcdJhi4x98zYEN4Dvmv2J/vJYYsOXEP3rjZt0um02tq6ODvuVYRN2oZ/HvdsDmEGlTJTwIoHf2B8 sl4nZHqqldG4DOZvYZpBBK+I33e5eYiqWrzxBHcqCtRSXA6SSDD6IIqe6aZ2+9DtYuIBgANfJzF0 mxtQJfIfpZxunm+f4z/gUvVOHSAnC81OLKJdG22AqeCfmbgq6/qOFE4id/TXGCXe23g4U7dnyxh1 HZMQWbLPFXKVNWqk01i26yZDY5Pmfau3diSs1qKLkpfK05horFecWifCM/G4+8gKdiMzhU4erZkF ulJnWjgMj8QlR7RUVxmb1QQFo0mzvrln6z4awuhe7h/BW9Ku8Q2kPTRKQlMJmpBFgGp2fDBXgYNs i/ZWY+UY1f8+bnpZQ+3NKqafvgjERfuLFkC5a2eD+pFvwdNEI/cqpypzvrXjomTZX0IAXmFz93bn TWZC8iozmjjmePbV2DHQuleZbK2sJSY7NKka8aTz7HOS4CBpbNdnP/PhqQO/zw7v4kATlMKvKTlR wFcj7+aJx3fiSc6JQWO4a9Kk/X2gqSTqmWi2KENrI0gl5FWfVTicpPDp7ErdQpdI22neGXbRI6CW 9kFP3IXVHq/V0V2qjEP8iaMQM8MOnQj18weY0LlQ4GhuqhXTTCP0gZ6o90ubQZIAy/VCoHWC0s4F HCLVSrKwG7HBMSCswtsqDUIQuTPX17F0FNihjGdc70ZPwpfJQ0Px2WLUJxqowtNNcWDfLX2FA38Y +nl2+MBX+T5++ET1X5XXgJEsNKJBSjK1ajVolpQCABBX3Vb1xt57ExFju9uavld6uxwoUuJTSXu3 fXPU8uk4BV+qXdv5xwys7ecgFREwNCkh3QWZz2YhvTS4ImybEucTx+23u0jSR/zHbLVw4ZdF762+ krI+SCISwfFoAWGJRFqTw7rZSx7h/iLj5vXaIeixoIAalJGwpkOowMpxZF21ia4h4czSU7yCJvVP F05y0Wl8pRLW2lKi5CZ3dSuQtiiUpujJ7EeHXBVjQweFP9GKXPzcMf924iYBfXbx9PQjp5ThLcmc U5F9Vm1rpNHZOtWbs/Yi4X0gJSNsJB2cA0rZ61sajmo04O7Y1Yl0pTtHMIvmHkfzYpItZmOkJxlB qA111uMyZZMN+o3UcEkhAgvNq55c+a0hPGO3YInD6xE7EugdfndSF2vM7GMKq+cnDiUSeaYbyTrG s8C6d+AB3cztLbhRws1KA4/Cpi+eFmnOK/F3dayto6SdQm26OT0s5G75xf2rcdPtdQRDMkhZH674 kcg7F5fWHoGnJ/uC1QAP037x/DYiUx3jkLRrKPNvSAJuqfGR7EOAqvbXKdq+Py68mSgjpEBPj5BT GLbnIE3RfPeslJjj5gHm2ssnPUzTFQ+gerkypRUVzw2uSvtlyeYxMTg8d3qH10O7ApEz1iWs0DxQ Lo4kViWrxjCczILwfyQqOh/tn8Cg8BNv7mJLXSF5QGckqAZ0icGQDrOZRQ1H6SCIv2W8YzxUylim nwx6a0lus2cyg7suEFjTFWMmBh0sjhlWbNYguMNTSvlYW8DavCxXlNDvU+ClpEYTBIqtWY+pnZT3 yTjBLMrnQSb0V68s9826819n7nvcxra4CJJgPjZlvRxwk1er2zLo3PZBO7SbnxyfIBnnErAb7Z8C 4922jwzvslqshnkAw8vrEs1hfNqxNUNK26Qo5yNXQkifp+I3yRiDnp7jDbzU/OkDCWli4stIsXP8 W0/B24YGUWto4ElhuXqpjFalxb5WBsuZ+KEOmt8bk63y60BHLuNXqyMzBYZjQRhlsL4+HvpbBcAR JG0vfwppGnwqIFD0x0iQ6l6QVAsNzvofDWHqaqDAAifHbEPyN0TSyBijZHX9Yo8S73LUQkzvan2d ogUNyQgGKnMysZSrGe2UZcDVfjV1ArsRzOTNjklYQg6mlep0H54K+ehqmGa61fhcrPNQBLH7+PbH 2R0wpmyNsMbXp8U7KznVIJ7Li5asOQGUH9d8iVfnvMx57LvKSQMUiT5D+QP94KpriggUAnLWNS9Z yG3OKhL8LM2fJfrymJUcEcx4YF1S43yMeeb189FGJX1nyiDJyj9qUsMQGtQL8vksPLa8Av7ivrwP wqWg876sEs9XYwO8XyWF5pir5lurVg82GSMtXahh9OHjbYoxqDB/yM7n1vluZMWS3/hinHR5x5zB LTy1DeOAI/cXGx2fQTYnUB427I82LqPfuTbcaF5UfYlspmBR06BgiYsjAhE1Rgubx3Xee2lRoPIz vBaWmjihy2CGe/Le+VZwWoJRGjLMBCeCybkKBbyRKap4BMTNie36gyU/zQINkLnmq9keKGssPYPM ZykfFXsJWWBBNl33/HZYFZ70lWm+eIVNo2aPAXEwt7/5a/q33f2qmwo5F9dGBPEo2F31SsZTLY0j +2wSjnGAgPln/gjpZ3/0iJ3jFnzEyLoeyi8YXFKu+BG4SNLo0DAVvdTYnQ1qkRkFP9BNe/ToUQza TIYLvjh8V7U0MLN7g1vTmlxuN3iYgHa3zsMdS1AKhkM7RjLzqAy/3EBMUvtf0c/gh5yffqS/Er+Z CsCkTwJhxm9uX5gjZk72D2TFIguMkdfkItsaVHZVVOh3xcZfAH5vC6Olv3DJDP+DYW6wmACh2xKJ 28lkegVAclVbYKINWs7RV5AZtMTgiNneO3ROTWEOgF265ALkc+G04B5qwukw/qcGPHSV72VKl5Rt +4qObPaqOx/EVTOO5trvZh3I470ZcqCtiZTXyf8dZDp+91knubohz+0F67pUAz42WS8rYleW749k 3rNYxMLvQ44pkgrIdkYWSHVnTpzDV5JyBLnXG/zSZT+bTfDbmdTcIPCt7+KUTjrSgwGeLj5THuw4 4pQb/Qsn+b4X0SwDSckKWiQTbY+lVH8bVpsB4TRriVFBuQiBfCm4QFss1Uac53W+gg1gN8YXs0oP /5VQOxsvfjQPF2wn3o6eWJj4Gg0R/+1xu/L+fpsldBJuWF0gaiGIJLVF7zLhtnxR7VNk1/IzblDN Icr54A1yq44qYhYk2fIPg8/f66/mIhSpMF3eu6EXpKe/S0huq/p4rJ9YNmK01C7UJ/RYQ4lmh1E5 kcsBlaCZwUNmG7N0XBmTC5T3VHYmeKItWudZORBOMDQtCupLTvLSJ9Hn33X60hpTifsoa6sSBG8m E/eSK38iW6ClKwGtNeB22egvjOIuY9D/xRr7zzfsMpzEu8Qw80RMRYb039msHHXiAcsxyHD2cX5Q KRaGkfAZo2JaBByvoRR6LZKD7MFxoxz/PdnUuJJvAzP0jgdesf972y6QSga214hz0sNR1QbpMjYG 51dq+Ds9cECjmLMoCAmbwE5VNE8aBZmghSJXxaqjVu1KGz66iYmdI8mMU3VOpPOEDDu1ur4ouZ2X 39lwpnoFb+xa8OBDUmFIYX3JtJYGRn2dQddPNceB1Ft1qDGgbCScsL6gsiaNaIqSdwEeSGGTJ3Kc xojjiTKIp/uBTuARd8XvhXcEG8Ky2QfE8wUPCFUXeIJAvcNnoHSSwxPx2HoH57jJdXs3eul8dEfz 3dDouN6jCuaXSO/8Kc5BFrU2QHzyj2lwbEL4cb/fmFsX3uq+JLlqcqOiglXhOGprvmLDticn5RgR ZfkbpTv/sDKyaob1gIMItXPUwv2PHelljs/xSa4I/p2Y9Xi1J8XdKIvVfhWT2ZYyhhGA0lq9hUjM XqrWMclYyDjdjdumMKUYSlgqgycQqqOVS2S/75DPRrCPB+ZnZPYOaFhrhJZRkwZSn9O0rZdgbZVP 2IBACqUvQubTe7ePlVGEBvpV5e0upui1bOebexonESVTVnz3BNKntbNBwOjlen2bTXx4e9hGDdTk ADH+F719ylrk//8/DwnRegdVdcycHlTyiTNsGmb91K1vF9XWNDu7ygck1xstcGq8Do/MsfhhaZ6J oKYzps4+b81ZNqQm2uy7RZDAYSRV6G8Q77POeHvFBaArdgPtxipofpbMLxxxQfaTL/fodVPaaMyC WiIn5FT7bP1zcuwS8tB5vOqT6uXg23vRsNi6Y3Wn9xlYFFubL0a6H4TSf8Ax3nyze0gx5WWBzsfz ljs/lGNNBA7BmKP1P3JX0VBE1q/vDlZLKqVKTNT+zrxO2h9ahIbZM3cR1AjuvFU67hn9n5DFe+So T3jsubskGtB76hmg/eBzh9b6livdfCXgJKm82jorFHLtu/S69lIXiasDbHeqcT95S4LKRb1BYtKX rYGBlhN68mAzx4aVOOeniHigGDnFFTVXwR+C9EkPogOKxjVHtrMvXX5W3j9QNAixMcB66v66CV02 BsUsxUsxY++uXtAnYAD5Ok1YgHfc7PLPdHZ4bMEdUpZQ9/OpZfzO7Bb2DdS5ZYufS1xWaQUC7cr0 lqVg/a405jyrRyHXuMthF4QvetW1aNBXBjw9RncS6ya7qaPvyiCBas04OHKsUoOq9H7D0vAFlA0B bt5ncuMkAWZeJRjrpjdEiKS/mYHhcK26gW0Kj+R01WqQjeEfcyB80mzbJTEttGvZMB/RNoQKnWx7 emKA+HPN/TfIJAf8qgVbqGnxUKc63YlqB3cdy0kVHiULG/2SWvIzm/MVqf8NGQ1xUd2898NXDbIU 0Asa50kz1qveUNHpixOHb53R80FhHBPogA9pbQk/3BE8GgUZoCaEy+DTx7A0qhtqUAh49BMmuQWU ObrCKizyadpMzavM6mdjTnUBPE5TxvmgOiLbwfNL7CSHHUqMGS5Pt20jjCDGDkIG1pqh2xtJMB3M tPiqG1ow6ysy2+oFVEQQykMQPWLHfw8Zqp3Vp32qjCDx7tv8RQbfHb7XSB6rIhEGJgJ8ytreoeOB toVErAF1UmjNTdjYULjyWznUOZqDsXEtBljZ7K3MBofBuIHMgvaWYXI6g2rZFu1V/u1y2glBKuB/ CZMJcsu/t2BcaAS5Aey9Ehim8UGYRdUHE++xzmO1QrcG/PUUlfSK/t/bygcqL18gwY5heXUm62yh z2PIAyVqR3zLJQMhbaBKlC0/UA9iFAYqdtKss0CgWfs6cZuv3JtAJKCCf5qgQXwC3GEeTdysg9h0 46MiIsFDAYzA3RNW1YNAhT1Sy28HmLqMfrwmMz6LftcIL7Jo+o25gIOnjmMzEanYCacC4r+yIeCq Mj9EG1hJBAv777P2P1+u/wIbgJAkDADHIoWYehcWyqAcDTXEXddSyO5Xxb+cP9m86B69ux+Motku EDB2nlqwOuIw5W6KyXtIrjKLmw2psHmUPH2HEavn6vLw/OIevSjo4lidvPt+NdDGBI05aTnLRHEj V5eMhIwukAs68B90Mm9gepWkI5lmH9Cydt3Egtmy9ucLX9Sjs7Dz1Jbu6BMfylS5ARxAYAVYF0jj GasWfE/85s964G0W2nojS4M5zD2Bt4aPHH69ZpXSPtxuBUNiSYdZyWHzo5pHhzjbUyRZenzUWCFA UK7b14n3j6G51OyKHpJhExEp1SpLsRlksz7FvfHun1hn+xu27Bb2VP6/RurRpdP8QHyh1sLC+80S GEenZN8ajJ0MFNgoSTv18EAqVuHrxp4vjCEu9Axsuyy3LCoBg0jOFVbQXLnMIhedindtN+gr4y/i z+IRoEpeV6j/A/27E7W2Z8FrEwukRmwwm+6QxWVvymridOPMfKkTkq4oAo9JkjZ0fKZjYRnVGXrg X8DVms1KwdhWzgAJhrdxEf3lLjpzOYDsIn4hQo+WKdq6DnzscYW6bhv9JubCBTiERBkDsGAjtFLw JH3SLwpFdaz8ZaPIgvbCIF6+CY7SaohpN6kreQ1ZMm8rvfCZyEVDm4o5l9zG3uUdUqIYANd4ZVFl LH3uxkhx70viqqEvYldR73Rndwc7SjWh9OQtyhO16YtRkTKlFZjD7SGYWtpS86morfkJ3sdqf1zP 9u9jlrk7XrlE3n5Y9k/7GTlxXrVWDLwdvmlriBNvfVBJBa2dmuIGGLKPJ4RntRdav53Z35L1aq8C /wvNKYgEG8Nz5LRmZ0OR9UYsZqaH0X87Ymbf7dN3/AFwxQr/fplOj5bL5y2N/Qfj+pglGKVudyeE +f28/g460eATHp8ty2SQkVLCTVZSTjO136bO0oZjfaFuky4Qzuj06dZS3TqT5BrC+d1uVEf80ihp GdqliuA4LDqsPW1pFk7hdtlyahLSDco7P0S8TTHohdQT7FqXhzVyr76lFOIb7LhupqXTn+xtY1l5 Gsbb9ATv+49XYWG5mHzeLpHI9ldoAigNmLinKe1jHZh0UjxqVQsjwpL2iH3LVC3pTw8FCFTek61M N81ekhrBqLnFN7LKS2Pn6Y/8FhibXt91eP1HWgIWvJ/MmiyysWgYfJbsqK4ehZPoUUPvPy0vNCPK AOEr/DEgGC+P2G647rOk75Oo+qCoMeaNX0IzxTiy4W/JkzFg+N1WU4rwM6RXvAvxfLDOLZjh37BZ 6ACAwHTOJIGidgtK4A8ViMt3n+FH1Xp4dNHtxgCeI8u+02lj/rzvOmI9IK41vuM1GMJ1OqgXzFeP nW+zHwznHTNEQuDHWma8nGPwFuiV+S4+G/g6VPXZuJ6bdHFU0IYIzl+q4hupTp50cWcBXMoPRM6i /C61mKahbFcKVTgSF7FY81w/9p1LFSCGrPJktO6s5LFKlLvmLlTrCrxoVzqemtX2ZTulT3gfWv2n 1zynHGF7l3xbZa5ISoStbiEBBQQcJ/puDGdRGBWEfUk+KJP8DZxXU5nuaFRa7EiQmqYlnbGPa6a/ FJfYAi9thad6MVGUIFomv7BCdj5gMeqo0+BmGP3/iqD8wd/SKXSTqFAjixAIY4vYLrP7i6U/Qhr6 8THkV+hqJiatdNXluiiEELiOYZABxcED8A0W3p1ebdPZteSyv34S6xGJO157mIkodISmFMwJhxpz rhfpkiG9ty4r1DpN4HDhO7K8TKnOUODT5XxHyj9aLmxozJeEuyHx7qS4/mcjx0FZmIEd90mo5HDv q2Gne09Eq+VwsaKMLZAeb5ElBj3XLlVJTaKT7Hyh23TN4uASBuY1MDGPgIvPnGsPeAZeNk3hVk+G E08F0SVR30YvTbD7hq41USrp/ADRPEW28PM49jBuvUa+CRh92hsPykzJrQ50eHGYqOtPZFosXFlh iN5ecODMFSbI/bKZIV2rqi5Z6Xh91VBHBZpClOWikcYVTR4SadN76Z/6uHxMHy9R0tS0BaWB/Av0 j6+3n1Yfq6M7uCY8FFqha7Xoy5bGPOATwGSyjN+DWSPjeW2nBu7dC9PstW2QM6rdKkQWVXFCLJHE vP9e/s91EdC9ZtIY6dDwSo4F0QAkDHUl2Wc57DK+Friv3FK71XSxAW/A3WUtaZWaSj7EGxUVMAa4 qVYgQkuYG6CSsx6co/HzmGXRpg4lBhZNMv3YvRzPtFzrCrmYLajkPhS7DSU5POwkPq9hGP3PtyOt leyd2iu48IaN1EZIv0U5FmMzfnxeovR9K6pfynf/kdce5FvaGRFEaf2VnrS7g0PIjXsUVqvqSXvK K6mN0zas9Hsnp0r1C7S8+3sdtEjNdigYxVnVp6uQZXGiQvB2yLXZhodhwsJyrPn9D4gavbpeQSyj zrWK/zyAcCCgQJNebf6N6WXGmiIjO5OnDmM2XHGG/K/iUyMzp1kWuwjZ3tsbPCEa6WSPuOKZIIPn PdkvWnjypZpfKTbIBzMTbnzSQLiOd6FdzOgFwcnTIy1RblVdoOcoM2u5WanSJH/iIgEyRVmafWhR JGdR9RKVDyEQjmlxki4XiB6vMIDa8b8cetI5Ucrn6/g8FEB/BMsEr1ZsS3n/5edL8gzK/Z5qOa/o 7Rfh5T3mIlzoOoYQaYWsmcoE4d0jEM2+hfeqtRZfGajjMHZFvNbPm4VWaMrAkSr1TDlRtnUGSBxI thEYytbHo1GIqv8IgiAASVnUmlqmE0J1GLWlGkO9xkJaiBCRMsJ2Ipln35htDsoXAntGX9fa1bYd v9iqdlLdF3MLI2FhqkWc7uUwOa3HQty83LtYHmNG/2satkrACsiQ3E2WZ42xPuNlv5+tL5CR7Aw0 KGszgztqEjD6TTtU4C0K+2LD2JnkVIOquEv5PlwnGzuYxh7zEQ4vG7H7qiFkSb29NgnvPnlcXm6D kDG25ejfmu/M0oHO9N3q0Qqcj3SB6pr8O4lSVG2jRFt7RYxNCR7WwMuyyDJCOd62O9RNpFPYYcLm 0N4ZD7MRhOhSSbmUgP46h/J6TALZ3lv/9Tv5IYE433QWX1pTPO2rxWZkMWGyB27C6fbiR+DudBiX piBBxrLJQ7+jtxM9Tr4K2JOV4RClPydVQVIg8ESc3dMkSWITYAcViyPEEBIHUQ+KFbgz2QoNM3sh ud3EE+4kPzOWfyosQewNfIGdZZSd5LTpdRR6EnZj3mvrIVTr/WXelJCw1fj75txLVloYCUidS9t1 qSS3ycwc7kDILa9qVGXu/40fPd3KvLswCduYsdqFG9swQ3ltHOMw/X8N1o3cUxNjFtOBSkI6iBE6 kR2ZK3f4tEzjESN3STDZsueV9k326zqKuaQoAnf9n5BWfI1G13XaBB+NNOojECNbLICLa5TCyY8J AymxDTn+mSTho69FBhi2ZrppZ4KctPkmMLF11hqY0l72e41zzh7up53rq3kd1ZGT7c6ZAcqO92VJ YoyU0SwUh3IjofPa+92gJQ9sDC6TqcbYnhPYcxtvCfoXWQOC/2gYvWpm3gtmN1Q1yVJirD6Klqiy k0uQt50mb+aaTF5yH4ve5sDBPmFX1T52DIZ1X3ANHE+zFNAWfWr0BgL0lUXK2t+HCsbZg6UOUmty 37RS2YnA95NVfiIdKLUpn82pDLhkX8a/scZf8BDNP5UwZjkGeCLrzunHawBFoM7i/FDwrkyNHmGm S9mMAUVt1qouBkJqHBDSoENy7omcEMa8aI4gggGp0uAIlp5Am/fi/Gp652YzkpQIQQ/DLVD6M8BF DEFQ1Tt2IDuh/vB9T+41rvblePURu5OldLmI0XStfaBU6/arDfttN0EADMyHp3J8Ps0RHFhGywS9 a89NxE5heAvdj+rv0B3IOkfuUfcB6o946YEgkSxyUUmDHtbvAthk9T0awy4C6Sdoul2Go7fyW2E9 3ZOwIRR441fF2y9wYTQNL+xFndiqVBXRNbihvtPJyP/jjeTK1oCupJHdSWsKE9Po8LlXEAUpvWql LRWvJ2idrkurAZjl4eW9mFZNqzMqe+2/RnShj9EE23mG8Sz63ZaN5pRG1KN0WKaKQIp9vUZihESe Swb95IpimFYzbOE/YxZsn1cRx6ZSJP9Si7fM3folUzmrCI7ZpgyFna2DDyrLWrV+sHiCm2Asw8Zk 6uKpGhyClT8AwW3JGXiPo5z5rYhBIVB6o3GLLlWDIrYZUa0GyVdZRYMkOT9eBVPg7oSUWT3RNCTJ 5Gjf0pzzuFafszWOzn1fYuRZHpljubv0H3HVTVcIYrFbggr4U9H26PBl7Wp4pEG1Seq+mKrq8xV6 7tYLU9TfmJdGEVWjr+E+fclAaiX//y3Pgc+VpxQmnOjwi60guVpER6wkzh6VuCWali1ma1W+MUoJ N435mwKzcpK+JJUsQu/E3dM+lrl2Cd/OpSVRwmi2xnSEDRThsBmfxG+xHT2UaF3NMAPyriycaEIZ MYS/+tHacYMuml6ZmlqmIHv6b/1WWVvhmv4LRHl5/35oOR85OWF7D/15Z3LfU6icU6rqgmhzVB4F OE3rIr+fzffLm1hiV2kKkKKiYL+sNouDgTzPg4o1NiNTXfrudxP40s2ZfUMGsbqrAML9odKxN9WF tkJq3bdTsjy3SJzO6vmKfK4AElYdfzbIO/TWUz4pjmWAwUlNwAik4LcOugwfivYQN4YdKMCbCeSq SAqM0BGbRiR7WHtmQYfgbG23dquA6FV+wC6ek7ZzUUH3Eh/c6qBeY7pXl8sGJSdimnTe48mShuEu qJylYdNG1eeIT7SHgqOVJj8Qio6W38q7mhTXDQKXR6WQKq5z0mtbjmqwk84oUqn1L2M4+4huBWBB IslE2G8K+xB3VK2t1M+zLT9GAE/Qh/ST35DpYe8pFJEuYx8kTlGLgAvTQZZauZIPJCbHt9KacIRq xjQnVbc4Ag07ktEhRvFD2oCgqYBbv8Dv0ZobIkpB2Iub0eLjGd2B0rPmeBIeSsgP7jHInmtNt6wH d4tfNpT9A9A/68lm3n8cxB0gM9KqivCUPkVAFLs+xFrFSCPs1e4Ue9fxvYyodQL8qIkQLhHgmcyD hjwzgfyJFlLQg9OpIBnTvJMuL8RUkaHU/fjxXdY4vDD2tzLQNTEu6udH9YXiDo/WdUCVBATLvOye 9AlZ5XaaAJngewoVxsNomdXbohAjieIy/3bS5EF6KpXCGQ629GTPQOX4rScI/tLqYjxqkgUhziNy gKjNc+aJqqB84McZfwbIf5oSBt9gj/24AG3fg1XoYUAwB9MYw82UG3p8LhKoCaKUA/9/dx7CrW+8 q9MLSJ7m+1YLE8NCUgZOghmPxZbCgWKE8oHdzC41X5qXyJHB6PyKBx1wx7Cs8AO4KIShS+wAuL6L cvb3p6+ITkGgI4v7/yUEKcvU6xh0Z4o+pVWcTpLfPzg2Tly1Fac3XmK1/g2CPGYm2dbU7mQZKuwY m9wpvq6criijP+8qUQr4Xt9qkJOugBRlbaAw2XU62dAi3DPf51O/JEe36c8M2OOjEJ6Vc+1NGv3s FOehYsHyerhD/bHVD/G+RS/tuIwp/XshefAih3ISKy6wGpCYzcm/q0iTiIfT8vKRL38ZgGxjyNFJ jCZeRfJq/0cBdCTIsCKp+plpefsjhRJVKAy1g8+jMehQ5jeqVR6YU3zbO+ZTWNprizCJv43xYFSN nDgJ7aPKxacKd7LkFoEiDYMfAHZN+JLzkRrRHvTp+jnG4RDsHxUJ5vSyOR71g8nlR4cSSCWgaNcO QjLEq2/Pao4c2AzrJIMCKNQlen73DsIElabua7TavUgcgB6HM2qTKfgBx6oR6xk/KFcD14G1wET1 BnO3Ef89d9ZSyqLUBmQaAVnsM4ZBxsRUEk+Aa/+rMTcynwG+wCySu7EibyVo+NK10QvG6MUA7shN lXz3y8fPJoV4Qtcotzb0lzFUsezplqDfF+XlRQZjs6dxnn+xzK7qNpDD9sKhI/qjsIgFZvyW464r 0yvAx1nZ8lHaQ98dSd+LR/CYeDm/uf78/pKlpDIq5yevAv65OyU8nImFP0AOzLd5OjxSXAwBk0cT Gn0p6iQoQJZ2eCgW1JB8CjhbFxj4+rDxNoW6oL2GSvhFgUSqCYTevqzN4IAPAC5kMEEqOZ+Mfc2X TDCQR73avtVRhI6u6wLWCS8AwkVdmRjlPeIvvkaJNF9nwnt0bchYdUzatxxweTC7uYpVG0WCyPZ/ u39kuFOA0iJsHx9hsd5JLiN7IrJIArMAQxT9iS3avbYgQZFXf0iJtccZcqjnxNQ/TQy91t0/M95x 7ERFsoYzKzdHgIquc0mj1/ozofHjIKZJ6UC/vlZwibMMn4bhe1f+L6qY1VMJPiaTnInfNNx5yO7G RiG3eglUjHDpIR+dCxqvYx8VhhyjbcnfFc4G+6r4awcewYOxAmSG/zDnIqHFQ9t2mwHMouTGXmgi 57koRe5joF51S5h2j80rJequgD7tK9ClW+mgcCHnmSE0++oKxrqkQZMmOC5VMKRJihEfxoYl3ppW 3DyMEsNC5LoJp+m1LbG1ODpKqiVkNUegW9qC7BeBb3TmD5oYnt+oy3WVARofOpq3XIdk/WxNPCAP 7dDWKeqWwmmR7MHzAK/Df/uhrHX+M9gx0FoSpDaussb71txs+gBsvQsySrPyodc6/htiYTcjuWgK HTzwo5IUGTl41m8TKOLx6yXIDCMuEP0oGeWOAO4rY519rLYaYWrHGtkMxvRAnintWFKz8IwYf+Fq fVZfOvcv/4OG7qK2/70SErKhaiJ00uVpjAuP8KXeFxNH8ImioZhJjd+GztW+PgLuIOdKcSseIHIW 3/ELcErHyc8r+7XHN/8cdTKp3WjXrtv8oXwht1NbCvyWRV1N569tBHE6Uk4a5SpvU5rLyH9ibsW9 ynIeUgLankAC4zSjli8dchDCJj6jjoJTacfTz8mmTHezQIBrdFEkYLmFpmis7n3V6vwwCY/uuWn2 FXBh7YPDVwkdLhgt9P55bEV81zjiqGYEyEBBGLwnL/oIgG276gaoCyqiHnSEY9ZUTf63oxkztTdu iYEJTn84kVYrLN81jRwRcsIUIUeZdv7K/WHDvoIu4HUXa/2x0DSJi3XJKuKjRAVpI/UaV82V4tMm ZekZG6WTQYs6wRi5oQ5GqUtNaKb1rnGiEg81+AqyEbQtW9HR7D8Z24d9hLnULUVih061EyCfW3jk xpunUTYHQGd1hjTxOakHRLVFu9sX7TBGiJiDO0moiNKN2/T3sbSL2nCGN+H3tQ28apxNHktNKXuH yf3jg+4Z33GY5xvl9jl7OzdN+DVa7KwE9uoDE/V9aux/GDDz8sBXDk1saxk8cG5iHBDo8WVWbbkg Tq059elpPlEKzJEAR0lOMz6LWQ+oLCxUHWNmsCARt2asY3TlGjR2q5zYUZ+2ePiYRcR2CfEZTgWE OZMwJABpAfCF5stCLq9A5ISAcB71ymbxls1DkqdZLoCY9sJG8izikzyWx9DVu+Efq9ujYnUFfb6Q tFs84AtilT20aFhJe7bx5RG87gYIJ63w9b1/zjrPmLmzFpdmwAAWnT6KsMOIRGf7UNrSxAmlbY1s dNDuJUqDjphx4YAxYRE/ARGPJa6MsDqyaytm/iZ7/5GjoIy5NqFyY3oWnxLq6TTWcA5/jB0sQbQW OVvRCzWdbCaoqhAWi4rNf82339dVAHtM2G8ELhSAK6H8533ngDOrn8ufosScyhlKpi9I7iOWlGUU YrVWtVQitzhzhakdSEm620c9r/DRzhHvLfwD/X1OU7wkJmNnT5tawU9IHKro2vOcLp+RiW2IJ0CH zieoMzBeHzzKF2VonY3J1h9JjP4EBeyGS2yktA3ev3WDtmWjAp9qOwxWaXTAZQ0Mtm691T5atHpM 4tuZkk9YYlLs9bUL+SyIwE1GkRkYXOObvhWvJ9GP4gopIbpvplN2kxWOQw/ShfYL05KqElW5526f EggH2XrCyxH+A51SFyrDZV6pmC3+OqHvjtn6e7usYF8QLkuX8RCwjbcDQBY3oQP4JvexfhcZhWPn d3kg4m6+wQa40CkC2KZXBM7wP4zuFAiD3WhC9GqVDiC2He8roc0plKNTweZ2c9sDxyCmyvxJR9Mj solzHWd2cDAR6RAPMEBiw1GzLpGn+dgjv1Q8zmWCAv/bmpoTzsT5PN01kI01RdVFlLY1O2FIegB/ RKXogqXrPl8qXcIZzHrkOGRj58yPAW7912ZJJj0iPfLGpzcz8j9c6gJPaAHiMyeqargl+qKo5RKO Hc0IHdoGc7ErACkuQPtedJeGz3zKioJ8KtdF5eRrZwBz3Wxl0tE1hydF9puwRIigsPwHDIPafOzy uzvf4xrRnZD/a0W/+/R44bFhPWVrYBMiiQ0K/sCtKOSeaKfnLRRX7lNx+p69CP1j40OF4TFu3CcU lQcPWqbVT95XegUfJCQ+bU3nVlBefbkhPu/JDeA5Wa6bqDzj1umI/6/uZzKxRszDMh8q2/xImu8Y 0lCkTfa8OlUEEmSBNF0QERr/tB4yU1SaXs2Clf3Pw7/C9gO+/cFfd3wsmR7OvywwynS7pOFiq8cf aD6kLXlZMAZwgaF2vIG9+F1jLOddlxGTRYRfqsjJNkI58njUf/Pg938LlN6lF8D88fsfQVvFuGqh iD2C+ChFqwCK+eofN1m+k2yh5qO+mGipHOFrOpeGCLpTDV6aTRZWUC1Wp/zAKhgkOefJT4TVPMhA IeW20WtftbbevoZxpW2Wj6+7/2KaqsZIhe9VIqH35XPkPd/PMukGNYfuqxgDIgUxZR+CexQ27Vdw eWT+q1yU6XMO3BcBkoIpAw0nROKnvKo728K/FfROVkk9V0lvwhpZq/S2pXWOEvWVZnJxWYIBJ09c nlzr7gmEj84NykclCiTs4OMYUuZxGRdSB5l4k9sZ76eI3eFZaWPYeZ0j83n1Fw42FCNVS4rmz3Im RfRtteq+8e5Il6+PN8xNoBlgTuBp/WwAjr5xXi5JIycHZ+xWeowAdGTmQJomulJZEgQNnyOnrv// 2+0o35zmxMvjQ2dJTnslJcm72MroLtm2P1ZGSPAWtPoBiBFHQcpykOk5lv8HlDrXnc6e0hKmHYFF 2iMrZUQbaJijbMZX7IThYCiPswmOfnjjNh3AAWwALfnxEgzfxB7SNXO+tk4zdKNhVAZfkS21+CPs eFUtK1/2/K8E+XM4EL6qeAzp3+YwoFtWJk6RnsQbS9ijW2GPCJNszHbbzJZNGstoTUrYgMl2WreM FjwBBa1/U04OnVkMlRpaAdIP3+Tn3qbh0A2MU+IFhFJlzWkKW5zsBVHT00w/IDH0C0eHoQDv+Xpp 8XQGyk7KYn/Qww0AlMDmaAPltiyUz1uKGe8Xuq0wieYsnhqrrKSt9rJAn3suGJAOlUl1pbazw6A1 lZyco7pHQ8dbIXxP7GwuxiInIY4yM0yEP0bTdZPT9SDAKABallRVhMUNhUlbGYlDTWhGYBjA6rRf ByIL6z3rBU/avt8qQjursxiiqECUrNWxJncVVDBi8odKXpD8NUnUfU9YFbBo6ZUtPQt7auUie9DD dK708N8DGfje0arS5WMZjrausQdpXxX7WT7C9qxDZ7MW7Ak1qw5QYcz4gqzPQFxolTZDbP9CNRF/ PKuXo4T9Re+AF5FOe7iq130XHuBreb7yiMSIqv2G0JvERsv4t5e/BnqkI3RsPMiF3U+Gpej8EzKl niaH0fpv49QIJOLZakpJYzJ7pYdobHw1FqAj6Fy5jOthCHxO/GUm2IGTDcoO9LBGa3TX89/rXJXV ZtRHLkWILWfcJl7yj2pIZ+mVnGVhT2HDxmNVvChQAXmGZ0dO7qm+pKSjx/bJS+ycqL149LKE7pKV rNJ1rFA2bkWV7PJpWON3FZ9yG9ti53NKYnk0AC7QF9M8UWk5WPZIepnqtbjyYE+tpHO9oQcjwBoD icV/kAd3JbdkSEG2TkJoyY/OB4cQ6vFX9J1O9qM6uHA97Gvh5sbBjotcz7KEakioszams+TZDaSb 2D6/6E+LX5GVB4Cvu3sJf2C574UMec0tbHXdDXyKBLPe5bKtZMCnhAtB3i57pXoup0yx2bQgiYF/ 6JMD+WQM3G3U5ima6Cf6n+ZOxaDp+oSeyjkHfBPRba/obnWGS88qs9kAIeGO84FhRLU313FUFW+J YI2Ga/OKf9yRone7Gsu56wvW2K0xtcOPY8L2jKeqVWNUiYhh5RBSRplFyx7D4g+IlCKI6v6NCBxx KlCTzAtcRgBKnY7V/EnRmopvVfoXd6IlpoIEYo6KndiXm8tVtAr5dBoXOQHOhcorf/lkP4Lmq2lF UN3/8GnGRqyBrZ3mlOwdOk5633wBt/EQWwtgiMT0TYnFFbRbNwQE/cMA++cS8iwXbvLACDnIanGb EatQWJt9ltU3NJA7nbCiCeVOcHy5nz+hfobiGmPGN28wDR+AFxEORTn2UnVt8o1xREZkFUfAhpS3 6Srj/epG8B3TltjskYNpuu6yG565Ix5rEQkpf0YXUsNhJjhFCez82AxoFj8nIErtEtZYyi8zTSqB L18p+yd+S3SK9sGJvkIXvdYIGvFW2JkH6x9JgLYwgAFvPFav0/58S2cuI16UZm1MFhcGP3dncohi +NGIFiRTpu6DezoP5sWJYFGg89c5SliRAAoDprv3hhaYZCvsI3Exra0SGLC6spLO4y34712pUgpg 9Np1ElP4GZ9t4lPnsGgWGBt/nu9gX3fnm7KDENjdKAtorz3XjO6T0S7O2OSahelkNLi0PZb4ynIi irIJSBp7WdeHvi7AIo8FTwFj17HSVsoVGTxz/2/4H9XMaws0nVd4IhJwVpmls4hvqejtk7f4v9xk DQhuU+EdHdp7JOhDlk4YkZ8cFexjDYzoo94dJkNXNw5qwad5A82/KciqUEXXI61URBs0WBd/wDZq pgoJu79OKqDaaL9eneX7+mX2e5ULLeJrWf5O/CV0JIuCUvItqifEXnjllkX5nywvH6txZ0LWYugf enf1Ainffeq3aAdsnw+xNrf5wI9Qju8bmEaNpnbjFWGkgtlNv2EZFBpablmgpBj/cqZHP5RyqQk8 SpSLO6VwXh1Edte0FnWiumf8vfZf6j3PyWVCl2X41lA+THqxE9TZ2r+zWSMuHMKDqmQq5NLnPy0T n80LODbRkqGs9gQMmHgWJJXItz+ysChkwacjtIxYWprx/u/lNKuHkrPIp91yupjJlOPyyWvea25I 6SnPBOsizxnQnS5H8MDF+cnziUIWsxVuSrue+tJCVZM9+EY+z5IErFgq7426xPAnevQdzOl0hXQm yURclZx3Uqu4mYZVd3NndVTxD8W8Ti8c+SgFsqQ7p5uiZeUhJGOJiwkcsO2eQ/q/o6dj8jULV9gT Df/2KypT2RthQm8ddi8Xg8vBRx2QJIXnT4bkfoRfbtjzl/iyYZVNFECZwg9vSMPcwATNsqbkJp1V DQcbbuInl9j9XTw/vYdZlmCkRRsKqBI9fURCRf+4ernlGmTroCwPhHm6eAVMvLfub+AVXe4cz3DP wgZLmkQc5jX/g5X9Qtg0jn6OvolYMSgDMUmrXTOLkk7CxD8FQUWYyhyFfSQV6TTEJmNOqgo/kzql 4QjxAJ8SYl9jAoDcxRsYcWWHHwfks0kX0HmeZ4UiDtJ5T+LdQ6wd1K2tzOA6U/oht7xBzWfd9Wrg HtvRnuC/xlNoPOhp5T5tmOQfdNgTeAeGHoC77IZQ71KUOWFg4rqiimbnJAoVs7zltoVe3EHW0ATk pH1lfnIe0Z0oSGsUBwWixvoqQwGE6AiZ8Q/ZfH3xmcQrfLENapPoCalAae1J6k4lfjy6OD+oGDSe L8HDubBnGSogAeBLxlRFvnznZphxFNf2E1uaXIOKbPLfNcfba9GD3UuS6rabLv+as0ACmI9dyYRi Vm+B3TZeYf0qikwvU2ZdQyA/sKbpyfmcayNdwfoZoLBYBaPyZp7wcb2FSKF9g6zGDNrMwmhownVr GzJEGDhZMBcA2VjGp8s0QeD6K9rCDokZkOowvs6oqg8JGU7kjyUpJlqzEnU4kiRj9cshFT0zgMSk jzExMR58+3kV6BK0hfGpFE4QaaQPavBnpRkEs81jiuBqvJqre1oDNjJCBNNwRqxDXDKqpMmQ8u+k 99iUxpF4ud0UJ1Nljv0VJqACkIk8PpdB2nDHjk1o90y0cI9JcBaPAe8xknEBRzIpzqPvGdu/QLt6 avDUnHYajiUeKKvPvfJWfLOinLlKtM5fK1PjZfgUQwBUFUkob0HphSS7HDPF386ifrbkMXO1hVWr 7vULYq24LPxzzJTEMQX7kaF9O239xSScIXeQQo6f6BPH0O8fMyAhMZ/ARH1Hl955X2rqSrsyD56e E1HVBDpd1+fJf2aduiLs8TKoCUaXXlzMDFimwGTQ2pRRbrg+mYjJ5NBl/iV7XjwMQkBqSamJfQNb V+btQfpoEBG9uYzHT96uGK7QL1iW4//AnPOzxXtyUrzjvfLgnTU410AI7n+mWPa3IwLlnoHyUeiS gug2A/og73xRexU7cHaejOxCHGvCHeVt9TZnVb+7Z2f2MN9RuBviSzCDmE/VzwLKHi8cp/6zjQyc CHZphXd2V/S3QDiAS0FPYT7hrkbOBUWN7qsZhCb8rw7QfrG4zxuArmDIYWz2eMwUXXmd09f8fI/P DkCPjUOa8GQz2Vsuq7A1rmhQFPNv5jqtn0+cV24jshChPkk+ifR//tzPztX6olnqq3APa+ruESUp o3xEGThExc1CDSr8bfRD4NkroPc61AMnchMadpeXIbecTIo3ZabDcV5ZqKnunPw6IlGPNt+yjK2m D5XJind+b52Y3rE2gs+RcOellSVE6PPLoF30M9wy2/buVRGsHka2C/Bf81eZ9dOxB+GVJ3mtfT5w bP1UMObAXvGUloI1hmTLiyUS6UQESIMb+eu/oRFzuPCj410CyzOrAaE7s8ipHbxSpDA2eC1MEdd8 MhispuoNk9fWcSWxCc2a8lVBVQan3N4Ch22nl1tc0zDF9jlfkxnz2CEPEXQVLo3kMEJfMxac5qCj LhpfFhC4l3ynfBnAGOxHqHS9jRRXXgcfCnu99u7E0O+IbRfGe4wSHwy682322WtPMkPFffGHj/so s/J7Uvx7GTdGQUhRyDoyTjxbNX0F6wVVyqbPDl7LLaBw/gXgVAm6hskiE3wc1xdKCgHyNf2MLIeB E1/ug4nuZqVs319LeyvcHK1RWDoMJg/kwNcifF40eOM6+2KJnXzPdtxnneMjjFyOepNcaAm0D0fr MYDymbv/hWs4mseX5XsWsGR3PX6HpSv+7zwGlQiT4zDyCpQAu0t2qieRbIVWwRVFaf4rvd8EGbj+ CBLLJR9HIFV+BS2Obd5jX3MWAHa7felUXG+0FqdRH0l1ncSsT4W/qWKSFwPK4qJ2PkjVHg6RKmX5 jILgCwE9wMQ1qO1lmyYpfEthE2wOzwp48sxMGIpPflGgMphBiF93npIF7W940xgpSrIoiDSHnTMK /paNNR1yWuwP4j02bD/ZuL4MOBwsLk9nmUH06XYKrCx/Nz8R49VbTp6igGBOeDAsAkT9GMd96Oxu naKSObOWSZX5cFJB027hsShWHDDi2BqNgaaqOubR2yGzIGKbPDqxY8fK7FMzSQbI+QYNGhe9F8se 2odBO+smB1zEYPfiZy2TCv6mRkKXVra0MM8mMHHwKzsXpZQPmnned+k3ouzVEVpHXT/kL7dzZGzy yC53lIlvIxoFV+uQxomU6M/SK1ycMgMoD2/NTf0hF8cBClmJ8v8UCkYP+kkf1M4q0GiZ0aiJsumw lYsAUe2SygoPcvcU46ik/yhRsZamTfqKyLRYSOle+Uvg8yDuIsZfsRswkICuEDQaXfV5C7+j31NR 0s8herDqgbrutcnYEKRCLExSRA1Yvze0mdp14St4drG5xsWhwu0ETSyPgtfe8d1YY3jOUBEFXubj 7ST79C48zBeP/QuinrdezXRmyD7HAoKnVLyzKhvG127Jh1ZiEJG4pxG544OeRZZXkdVaMO8cnLg7 WmIWEM8GqLnjLxUuxvZNsJp6TEDW3sxFBOzKA7PQlfajUvQVrdoH1nqo+C6gXS27tvRLP/Te/TZT Ieb2fSojd3iClqUa4r59muyQ57DaCt1V/ct+swp1dsbc8JZJxd0ezi16Q0umi/WxCehO28ozKhaG euF/gNj9VCWm/kwV9EjpmW6OO4nT4gcrjY5Lsk3w72MPqgkAYzgdAr//PeSOS3rV/zgm/5bIzO7Y 3usM2it2yBC2ZJS8uXi0dgo1sdCC5e5tHEfgxwdmMgr9ln8lU2IA95NaTi8VNZnBLI6RNyEdLe6X MGlRFg6FvKLL76NnYlvKIdlwMPxwejtOrkifQYkYQzqeGY8a0ZQE5yfIE8ZBpW7AC5sz7Wg35EfD z+DReezRHztGmbnmb6Td344weml85y8VHb/gsxBwom1R3dBy98vES9FlOKxFHZn/HRh2SZdkhWnT pMCzi3ZGxLI9UpKp63MRbBowBB5bnELxUJCjKkHkaaVFsTVgqQYTNvHW3irq7uOHos/7AHB3AItE qijNftNRiMH0MWlLgYw2l5QcpVaGCyeHzTKNf228F/eB0GUEwfN3ykbqm5MK+9x4ijMBAxPXnSes bL3PvdG4Nxhk+m3LIaHNfMujqhvfM0NeHnp3w+/N48tl9nBHVGW3qTpaHzb7Bh+qwuiFaXJEDfbX uSFulX//Fcww/DbM3RAOhquy9xBIl9cxT2bDqOn0BpRzBsL9mSmzbR04clrrG8Sak5CgzRsl5BfU /H/odEwQ3nUJCmL611jTamnx+Ob8mPGSMIDLHX4bxQiX0Gb9FkUXYlkYWJsrTneWHFd+aVM9ZjuX Az42Y5oyEXWPTYQ15d5B1s9taX4yvHwAWwgkGb14BfBebtGv05bD0B5ZisvQtLy2lccx3jaeEVYR lgUnPdPPUcYXAKKnTTjwfpc3Pe8rB/pyuWl1jzzzBGxOIK3gafJkhV6Fq8jxwvmVRUV1ijSBHomC hlm9v/ujBKHSaKYdLvz2CrqJuZuL03rzlbrNYX4dQCJhH17CPyQ2FkjYY+LiZf8BkWRBZs+kbynU XjfFuIRInEJYnKFVEo7+qGGaKp2YeQMfLX6L7GJp32SUAlMeIG3+gYPNAnZj8TdlJzeUif8EpP48 x2s8rCriqRGlN3eBwvP5lZlmGWJGcvVoE1beRcHWrLBCbkhTQmmwuBGV/uwmic/uVFF4trbNb0Ki nDDrIxPF7bx6WGqYDB6g1cnUj5wGyrXZGOWScJR3oIaGs/wggTTXKMawRrAjirD9MxcedbX5MDdq wynpzjQSUz6RDOaxbEU3R5cvgsZvVartM799XtNbygvEAOx2GzHDr6YQmi8UnoNKqOlzSOldJYdz 2Zd3xMz1oTJIxQ0ogDXZqyfiCAbKFUmH+qw1dQKSewvvjfdXoWKXO6gIJKtDLmS0YQafv1v5jLzr 7TlfrxQVdkaN5HnlCidjtrRRcfFEalJ+dxchzv1zBozdbcwxQyqochq/oN2pOv7KhbQhyZgjDZEJ dvl8qYHKpnL/UJrq8uxWtJV+gi633ipKnjbVkhSpPfIyuc8/sckVs+rmgnCvjKrlCYatVaMrvxvL +y4t3fj3PPlhX0u1m+e4EmU+4TAUWLEiztDHsfLTMrHi7uV5Ts9S14hWP6yWZCysSbG8khXY5hrI iA2PecbODR5E+2da8tZEz+Mbt7LeAKXkHyUgv79GIjFbxpEcASgdSvoDoKxHzkrjrVsLUbw45Wq3 A9tdjqmoknU3Xg/YoviH2yv/8NDsGqmKjXOu8Yd6E6q7aGYDl2G00F0b3t9uXtMJa27KQQRP8+GU VogSDNOsy0dACd67Cz2MYQUDbj2lTj0bkNz+2msZqGmU5Tx+SxR6BQaQG/y8HMC74Gp0rdzTXDUC qBBjR3VREEabhIXe/FBl0UzqkSmNPEHqVN91yzhkMUpqgnIef9aYo8WhOVkCC6Db/XFM6vP0vjTn SajdFbj+aPdWqTGoiFNF61tAFeQavcR+vNMHVRX0mHtPjjco/ridvbjNSn1veryuOS507qNwuRNv rY5dHuv3hgIigCxW6qqD2FvuccHnXh7WmCRlM19FtrVFCLoiryCLtN1nq9lJp4Nn+ZQbv/cc+0cf DCSLAKizeIsfSa9Nq3uWo+VHDXOnBf2BK0qOw6LpfsQV2xLundtehxJjRLev6Es9DfaeIN95xJuK HzujP2dwBlykhp8CHaZnu+FeNxhtVwvQmwtWPga++anXhruElNOT37VlV9nTPk7m+iKvwCFGgyxI WLS3sSdpT8tkawPSnNoRTPE5R5j3akqPhT56lbY81wJGLlcNpAVppZYbPd9UZJTjcq0OSziVsbO5 dO8pwg+80JODa0UFtLmC2R3cBVg7D+0pDaL7dkcBi052FXXkJQRb66eT/LXkaY/1U1E2UuAPAJeJ 3Feair7dpVThcPCSKVCp/G0e2A6fnKRId96WYtZ7lBxogJ5nJ6m9cv3B6LPf/71qN/80Jkf+cF/d 9oNWf0JEGls8y2sdo5czaoIDaXS47M73xYa2Se0r6EhD7jVTtQiKzT/LGUtSaAhUJxghMq62M7cE 2BvnttOWi9oTBA10RoqpAnHetsRUUV7k+s3MFIG9a2wW9bx2YGNI3jvnNLbGJK6MbHMgE86PloFU wV/RIHM/+E4Zf9v6F+JEjH76lHKsnZZAwgQgamf7CwwzDVHDlXS2S21V6TdcJ9hI06jyQAggvOF5 SzO3zM+fDpTQQlCFPVwq7FeDyAzELgD+JlH8my9dPag2ssr8HH80fsC2M0+IkrBfMBZzckUtvMxP UD7Y2eSgkVShY9Z3tqUsh+zqhw2kaq9d8QD6rgdCplNFyJD6RSE7Ktpu0mboNvEUSYkz7l15+pwm TKD9c01rz1sJySW03fGbTbvOORtaoUL1gS9B7GzAPubGnMW8yAwtLoa6dQ5+7bSTNtdLgjIVvAHX YYqiNR3qCXqRSNPPOBSLYjdcnIZrA8xlZWAzJNU1OzXETouOI5oL6dROapanGetZtQ7bN6LSzqCX zpOmToHBFWrYsMqVL1MD49XAz9UfeW11jd6F658wlTgS8fWTKFBEt8bW5txvooflN70eSuepdGxY QYZ/mJbE6P2xujsRazT1GoO4IWv20HVvs9iXa2pt/plvsXfTzc5+BTp3wc+iFBUvhM5dYC6zyA0L xdtI69W5rHayzDIDea8H0xPT2k8n5jVIA3jGU+ii6XTMYLZL6BIFWn/A2mZNwgNuzBx5QMYBra6z /YxBXeAmjaEFlfYGutE4ZRFAZ6VJtjZVnyz6AvCFZgCQIF7WEunPIq1A1oi3eO7sfVelSlEhAxQx 9nfwjt0WL/TI6EQuxxbyWmVyvq1RWdYvebB0kg2BcKtxUAslQFxhwwa3iLEbe6eo0voW/JwHaLvt 05NHCyjdzZiDitmpm5PSLfEZDvYXQVOiRyIOWjCGzAJVqk7DPKtl4gtZ7tze27R4BNQorT48XpZg CHb/v8zIrTSFdQOpNCpzUwEDzOqjz5VEzNN6Jg1yz2XIdFPiPk1njVmHmUz58OgxQVkmG7qjHOEa Mboo7EWhfp4WoGecZgLohyabmX8kSkUgClMMNnlKYQ3nP99N8AFZIwH4deg4ltbIm3d//XewjxTL oE6z0DkPzT3keAAGtz7L87aPBRQl1y9ltpgRyrSOduU6I8vhtbITlBsaVK+2CeXIv0G7doTw/88w UferTOTp5kOVf7Q+xWefICjwBcDYDQJKy94L/rKCApyjp1SZkeAgacfsABNwPGfNH04rUquaNWz1 cVeirCJAqJniE5qdmvVGk/kkmxU53HmNMtETaX9SDgjU/DThb/Vz0sgShXdVzsl2ilPdzMuMfP80 IwqLAfpatLqwZCZsp41y2cu5rTQlLNBJ9R4ZKRbkahDSr5+bar845wUInrwBLl2Tawy4eL0VGMna 4jrBzA32Amb8A8Qpx9HsmnQWUDt0qDfKEW+f/BG4+CGir3VMYB+nDA3+aRYFDCVS8hOCeZRYcmBa r2ZAtsw/cwwARUDfbLgxQigeiqy/0tOvEn4Xo1DNqfv+LilJWSQSK6aN+PBVfYVzoieICDybOWmf G4vBJ3esBLnmtQx0nv8knRQSTaOz3NMvEcKeHgIOkGvviBSvQEeWFGBVsQIQmpAx82UrFiJcQkYv SUu76KD3IJnycij/2YBoeJ6kDFr4TwcrYqbalijLfRk9aBHWTMgOULdi+OeUWcc+IguoG5UxSO7F mxjlYWXmhH6Tjz1MSsZrHwuNUu1o3KuYLiJMyDsFnTjVDbAKJ7V+6k4Sf6mvaJaVrH9ZtsqTFMFL yTM4cgx1iAexvHGqevzluCVBja80JToQOUz3v2Q5LXjhJrR1j7anek2SWHZuNaSPTkKsa7i5k29L SWe8tPQYKHQ0RSYQwNOLV9ZYMBl+qUk5m8oPlI8uKqlDb1s0/swq+A2CrAVKpnXyHgLNd2muD7QW pn5i90as8KWe8o0ZiEH8YARzs80trfcXyHi5FtVwP+oU7yDq1dKQhKw4ueD/1sCPun4ZApZrAznN OLMwhNaoclOmOvns6BhzKvd1WMYPr35x5YVUAcwh7KvUxJJ2WmOdF43Ny2nfJhZO8rinbqa4dUkf rx7nfUW8sbiF9ZzcuuxkHK4eeWCbxGMoMVM8Vg2QnTQs77A558dx81UKxLiItkm0b+rgZBB13/7w RQKOQ1rFXnt3ytnPP4tuym4TQkVF0VypXXfsgEtHka9FMoRzZiZKjhR4b9q1FAt7qFp1Z39onHGS x7kRiDqIXBiurQsge0IxXvX49g4z9CbinbtsyshRo/xMRJZQckP2WO4FGT4NLZ9FJIpKbDzJq4Dd QO3JxiyY2i8Br3I+8Kh15GDvjZ/EVCGdmcuepHLRTorfCw4GUYV46TJIjG+sAyFJhoyuKiV+c2eR U3GV5Q5Xc1WfPIzWNGUGdr8eBaQsYQ0Lpdk5pSNJggaLrVlZk4s5cBms9bS4dIjH5uwGbwN4j2qc zGCbFvWA/hOZ99jitw2zStSKmh0GGZ7vvlJjTK15O0sB1NeimHBltJufJOmnHQE2PdFbSZj+JBe8 8Jhla38nZKcYQ0yBwrOQtwkFvGnFDDhjbqs2OhM9nLDMsXstIfU8Pesv+P/jpTYj3WEG3o0aseXN ZZzu9frY5/7ekrhJkY63spVcQUPkNIcRVPGtMtIUy42oR6DEmtCG5HpGb/ipeM7Uw8RZiBnQyWRz NB9plzPAkdA7eLW6hgNzCvXVRobdN2obEJIqEz3/uLuWv2OgYKPn4HW7yW17Wjq7e4zLlecXb7Uu n1C4CUq1IH2pFe/aBNJsyOJkmW4EUZ3iInpn70RNBo8JJgYSTOVMpZMkQAEDRATWgGRn/hfBh5KX OBIR+5CdgDqIDhIep9ZDIaTro6jXHFfY4kYHfKUBzzb0gHR7RJHW3nF5SPCrOVK+qbdb8T0rSz/w mRXASU0jgfdA0+hkKSyKATrGYpeHNO2nweOb+VxzcFcoMzInEvQT718EKsnO0wg5ukiInGvkATva lTx86hGXlp40IwdRXGTcuBUMKiOJt9yk2nummo+fYbzraXtMPMsl7CDYyUpv9YrzxuAjTco4l81s +HWL5l8SIn68i6b/+CAZVFduQkQTnS0USsYcUshW/40haZMObvNLOnB/Gk20Ry1BQ0W+hB1fPYzh li3wl1bgmwZP72G0CR0I3dQwwTaxWbgupk0LY76cComSid+4tSJ9I+GvpmeXAtsAooccxlJn6fqW eDB7Uzs6J1pXTSCSaasKtVHQ9opksZsJnLezr4hJ0wgVl+8Sx8tTzB63g71bYL7QsPDzba2OgXGT bIq3Tw9qOBYWoWFqvkvwJngSbaSfwoNQiarsdfJ1d/2mF7UpkcxYYpfTp8+EtAaTxzTIPQLwINJH K/t071BTRuO4OoKSW2YYdzX62bxG7fLuAoyQzPRn13rO/17jByeuEsTkko2CnYZUKX8Gz5+6pC5P pVuFrG+7a6V66IPtsgNo/crIlceEoHffTD6u5SNtmnMMaUEeiljC7G0gwowjp57KHX4NkGnqLPYG P1TJ93UC8nvLypKi3QP9oHvbFKQiVUvS0q9ZT8E5k8eBGZaPKDTx0tMKzXu0bHJJAr6cUdLm5uMp bG7yG55+/a2cGsUK/KndP4XPWve7hbPqr2OX9KaKthM7irqAzIFtFVjzguLTH5p9JiouGvt/07xX Jf762FTU0YiKjvYMiTS4MHUiA/5n7F4urNc5GVueL9QI8oXOR6b9w4jZWvHrweNba4F1CpQih0dF M1jNmUYGhlqn7joCcguJEmxxnE7IDul6/MugdanVoC2Xs14dR3+OFKgV8j2UyM4fyELtBQ8pE4SA cAntnLg0e1HrXdPkHfWbvg9khiflSKG0TYgVOF44KOC9BI7PdQwXeEASGogf9m5OW2xnZJ4HzWDA cBZ6KDmaONy45x/9EsBZcihoLxZdwG0LUKkSSI5cNVrhLCKHJLwoSEyOXK4vQwnxfH6bVY6GoXgb XHtFiMCUZbEMhrZE1Kw5TfHRAgoLQhVe8OxSb1iLJ+pZP9EE55VrfPZEwEc1akvkcsu2wL6zvhpo K3i83hvXw7RkdX+ULQW7vnYzyBZBiqNi8vN/tjrf0OYjJwk4ECSJDE55E5rJDPivuNsW+cMs4pNu TNArzXMzDtGg64kUSVNRbQZhKIbTCBmy3mCo3lvcBnJ9ElsPgb+wT5IyFWhY3HRW2RLJ977wsnB9 t1agRLp6McX+v5SnVBlMJC3B83qpSGKl5Ta+FdKR+Rnr7gjej5wVvNem/nSWk0TcvIfYjfny9mdS atKo8c84tpG/kTfCzpC95d8hRJrg35iBIOtxWh7Si3dTgYldxtAEsnjKajK0mopeQnYuN8w3yhX/ IeBND4ZIYxctRB8NhoG7U+ro+DR8yABA40IeXdhtrkZlGbkADYjFypDD1QL3KY52m76GTj+BbWAn nJdIlfoVDrmMK0RRaxJn3ztIZi7UKmT7cBgCvhpONwzz4GtbTQKZUhOZ/WEc13OhaYvEO4z7T4WD YNHmIxKhaaXjCYjX1tHzL1AL0O1dn0zGlDkTV7wIAXNebNi/MsLeyd3rOesoe/fFxrm9DS5nL9k+ UdN9AGyWDeDmwwT9Q/bvEiAe2l8T0GXtILftz11bwM1I3OrjX64rmBnXj0crrDic1fsmp2w9shmK EZLUXZLQVoUQQNQELAInbRGXjTBGxoiOScudlVXVrbBJh/LTiJAaxZ/knW2Rnf4Qp3o5C+7MdWl9 uro+suekZpObGVxFGrt4x0EwSnOK1ALIXoihOzNPzvCHWIb0LT6GWa4K3Lng1I4Vf/fftmY5Fc9U WsDj5QjOaG3UFSkW+nuZUMxAQT6qGwX/TSgoIY1bHLjEGJJVIvoohJItIloUYjGlpjqkGng5LrMD wPeRgymyrpB61KRYUJNExzaucxRWc+gj0inNFXfBpjacMEj2nTyCz7trVEy6SFEWOTyBbcaNYsEh i7Lsd0cF42D6eZ3ng0R+B9WEVmT/HKIpDnb0sK+zA4HA+Tdeb3NeKcArNvtmOTOF2lCCZ3MO9+7p r+f+nxOJeHexkC6V5uw2/6vbS8MDjTuWOcRR0FRkNVtTBBRmi9wUdRvwxTyPaggY/27m7GDlPZc5 5tWtZoQ0miRcfkJ1qEwrHxTT0c/oVHYd7TeZLDqpAIpOhwBr0unQSbh7mUFs024EiONsgA2eNSZW MkPrdRRBKm2DLUDpRNvcgDtlk7uYrvaZJklhnYU3RS7u893dhMzIh5inmOXjoVkRTzzNXQrvtdww NPxuEtnYJ8oLMhPqAVV+o6dyIUoXlTNRxdHkNYGLiQfJvi1uhFc6jjWjKFMEbya4NGrMQwz8y+yV Q8dduyD2NXTtpLCZ0KA1CgGaVTne91vcJPpoMdXwEg/4KfdmWxpV+4VnXM2CVKSP6sy6i5HKcMIV mSpo5kGc1+ZmsPi1evw1GKXmapHsElMN6C1dyjB1aEt2RcN9/+ZWplRmhDRE4OiCLpYwAKBanIF5 Wal5W95/0f2u71cejhpW3ly6V61FRKaoTxhPrVHXZVD7DwYbVl/fGe0wB14HVkWcGjM0ROo7aKAB JhA4Fb63XWLS7Db2NzRWtz399WYJhPdMl9HEEmiOE4UW/tGErdW/6HLPAGGPcvPY8WcZz+9ekoCv TkaBVO4HotxgZzBCkCOEKt2zevYNdrH5j+C1haF5bjJDZtL2vkzPtuwoO/BqP4KThzKvX3BEuAM1 3HuG3jtgo2LNyDOqZRDZsgur8xl6C5hwwA+6zExDz1Szrpr7253SpVGr6X5N+K9CQGT2di95kh2z PdhoqRsMkU4EfJljT+idH1rsUtiBmHd/ivcTzh3Kyk47Atm1syK+5WYvKRGtmDs9IyTzCJXR5DmO SekSErfVUnUYERTUWKrYnSS6bSSs9miD5j1G1ev8mLXhC4UWfQiezEMvlyCX2lMViYqvLfiJ+TE6 Jcn6pnUta9tEwpvzBu4CGjn0lGEU7HRq8Iol5DbY/HuNPXj/Q2fMOVj2tgi4ftdpQD/P8JimDyds hs6Ps3xiPR1aKFmiQvqPUk7CcCd1O0ngs2plP5FQwzmwE+KNL8iFjlCcjM1O1Idkbg1a4760rDbh Yw1fAvZMxkN0sYB+SjN3XK0KlDhV/p7zLglXEVIfeI1mZZSxh1Dt7E/eHHG1MO/ZT1XqEzOAVMVj SXiJfam6LlnKqTjUNONFd+F6MJv3TrM/xK0f0lvtMgBatmiproeuYQBx2H9Ba5u9kP1CLLmExSSM 2RydC0yoU+06edqaFCw+HtsS2VLqo+FkDj66YjtBVZiABycK4YoRy2sRnXW1OigPiCin7wCHTt3L 1lj1hGwLzoNxc9iZqHvfTi4SQOklv4lmWTjQmOrg3P0RoGp6HRv9zSqMsVhcmtKAV1WpLRJustSW iccUMAiuESt1SHrd3eUw98hbaOKU5JlTYKvLYP7qR9WuICau0HFUr+Bj226qX2LBGUjJk+PkWNA5 qaGHzOD3bQo08c6BZq8ZAwsRdR8qS8acir+3umUhvqBCvHivHUI43PoNIsL1C89b244VvIgKUoNq qdSxA4KzneOwQGGLxE9qkrsPSkoQFf9p2ucC38wvNw4vxcFOma4mxEREfVReu0+Mszko1EEn2PqN +CefrU1Hp+HzU/Gdx/UO6/D9zT6iXeM97HSU0+zOXCM1DQdpLOvqUUC+h+wCw/h2GpOe0pViQXn+ TOz3rocfgeuEjeOaY/VIBRky9NTfntfbe2AAmSh/pyq3rFu1R2/uA1J0/3HGFgmhyhuhnNiKVMK4 X5pzmqJFMgrPCmaq5mB/vIMdF5BQyTSzYm0j5xNblH5lzEbJM6juH3yg4UklV8sgX92VaK9tKA6T jkdAJLID7LUbPe4wkE7ke1d1B554UOMXQGZfkd4B1EVDq7P/tMPHzuBD7P/o9boYnbd1tg6ZXGb0 yK+la2cIDD/eCZtMbOnAgl4DiNb414DElkYelp9CoqhqiuxPbceTEFiAxh0Lhjh1kOFbEyD7XgVn aM0olx8KPEppclyGCVMi2gcDLlGerBiJ9TGwJWVyeEIAulahjmnuT17bI8Lg6znPvH7GLpQBWOir /o4DC8IyRU+5aN75H7K4spCXIvZttVbiGX9XVHp+C6gJNFChXAdm5+JSEUUtjTxcBM2E6FnQ+1g4 1Xp93ZcJhJL2MKb5jNZk8mvKgRURth+ScAgIKGYjHgdHwtuSbPBbnTi6Tim0vuhfVVJbrgjRletO J2JEZQZF8UCttgs5NrQ6Bvn1woil2PM9MeVt9WnkfWWj+dlGesxtGLbr6NdX9/wqupKLmJ2tcJfR N8A1OtKODoYfmYqGm36wgxaURU3RZWLvSfxqelWAJKerXQUxP126dewVW7Ugy0jmwTSinDss6962 YMJ3OJtHWluDgV1xwh58/+gByrcZW35m//08SUGfbTlWowqE89FdHotpgjNqL7OAQQmudrSYPGeS 2BaAWD4bsrvwk7EtwbiCuhU8OF9gzIr8b0DifHmeaXsq2FKHVAV4B2fZ5iZZSpRmgoyFs/0O/2ER Kqgj+HSsrMc56P0sZCOYw7Y00pezkgV9moqX6LTbpW+J80UfLfvQRpxJuTPnONVIJsiNrgupatY4 l+3C16GDYP/meF1lBIEFztWplUxWFCaYxI4jTGVXntFIFcIbynPVDKvF/IFcb00sjb+hkbmLF9/I Xr/+PAE00sWzfpwk5EdHgvw9UJsGhczs2XK6E2m9gLmHv+E58BSrFfxriBc4hDTvRKoA4HvbUyaD v0GeA7ELQvKqTjJB/H3SxzouPkdSXsdNbVE327C7CZuUNZMsWQqxYPCiQzEMIQSowP9O+rpRhh3R qvUSYjbJiPLcAukOWihR80Xtb8nde3CgibVsLCv1JdfftxyFRJbgq6h4D8hMvuhDAVa1iyu3ZY3O qXwyP/TnQ0sPufR8gItJJII/I29jYKuhYz53UwJT3QuGFb4Fd1NGZU/djcNLpPKysTyyj07ffTn3 WbfH9SE85Yeg4FzV2oRLK16cVokNxPA6JI+XAxgZpZ53lRSaHaXaCtmZnq7X1Cpele8f9TXWeSGT Mw11g+fQB1Zfviysal4CP1TaBrBSrNc8+xXvFuk+2N1J/pZ81aIBRGxQHRNHDkpE6hf03t/exrCd ApA1f+NOsaPjL92Ppf63OgmO8Ucid8zzp//nZ5u9NdW28NxVGhOjiyIckJXtYYy2WwSR62a7ATuH iWffa4CXgg+QIoVdEr229v516nSF59V8K9VAr+/vAqZpBYmdHoGbIfK+V1feZ/vTA7iXWznC/MDz 9mahjAJPAxjmkMkjj8N7RKLTJBZAR9lQWXLbny/ouGRXbwim+QgtcfoeV07T2NFVo2cHFSnnca9u 1dMF1aeHPIIYnQeX0FgMQfmOjRycq4P/e0/FlztvEyz2khSW/FTi2IHBQLrTR8+nBRLvkEWbgyDW svSx7TBeqjnrVRn3MZbKQ5ZDtywQxXbl53MLmZ+LyQ/oSPSbJH81YTS+kSOw/7bpFdG2gc5bTfG5 2b9LZhwszpRF44AWpWC5PUxpHVoSGZC2tOmo1J49gKTntgSRpu6fWlLcjRuwhoD0DkrlL3wIVW/j ZVWlqRneNG8/3off1JQU+B2KZEc/VzLYZ96veS/n1ldKvWzAqS+WZYyrspWgUgY/MjsCBp+UvRwf MIBdK23SWwd4iFzhOFe8xPkZyZ8AXT1qUawJGYXA6ydsbR2ibINK3+ozSa5hGaBm+FK332hjI9Tb XArQNrop0lQlwCNgcRNMUmepVifuHVETU9LKkM7/iWc0afGotiwy68N0jrWYH89bOMea+WfIQJ8x ftHKfK5YPA6bWbfxN/UZJaHrzmKwH+cfY8e/+aNKvlUcQvRz05SDq5yLFo+oBzeBkbgztSxx9a70 w+dVQbFskwV+ovsOQGIXfngn+ZEOKPKJk82omXystm+G2u7j7dlIhxyL1c+VSIAI66AzAhJi3tPt r2nu/6hFxRlHUkxaL1yXKfCzXFLyn1zl0UCc0bGKOTj+Hav3/hqbNcgC6ShA0mxcE6jhMjD3I9U2 Q+5LP4c3rES7vMV2397GK5am+fScUZqSgKhQlVBAQJPdeGxTpDMOm7u3O90ZIRtWvGplouqRQqQg G86NB3+5bIV2F1TsDQ3SIdTwuA4B6p0i6NTc1iqZRrEBFsGhWVq3BZ52u/nV0blQrxjFmV6tv0mf n34qUYPcJV8lrg6s9v0vNRJazHyeA7PlWjTZML3S2vDD7OukYgWPTj7cAjdUsS0qiXEFKyPckiHe hXWXLuGKTQ1wkmqQiJi0MtzeGJ//Nb1Ql87B/G/Gj4S6YvBocRWyZcCv5nf3nKS31HMn/dw6o4ZX 2Lm3WC1xMOzekHpbiVKlOJMdumFDh8WoocZ8bttj3SvZ/BSv2lsKG+0U1+FGs4CfTrR86FljBCU7 /FdRQcMOYJ67BaUhPYYwByNP3HqnrP/gyazdWhPBv3fZo17SzGiDA1i8HeXP2hyU+Dq1hNJbwDtk rSdjy5Kh21cRSl/sjw2iBhPyx2ifRj3FYd7hp1c8SURDGzWm6Ey0UvsYDaq1e33b2BUDmqmkx6pv gWu2Ov6CfomQF7wA5Kkm0VCw9ZCxX+MWNkGfyx8GsCNEDAgRZZqoYrFADQc40fJD5FnTkg+EqH/d IDC2omVOWruKSjKVH1+6dzSMiMFff5y5Fr8Bezp1E8LvDAXYx2DgTws0H+twdXJjgniaEo3nNCXA TVnfTaD4PChXGkv1TEGjtbRkHkUGQwWFZFV118M9qr4/9i4Ze5ym8CVZn7qXxqErtj32+WupkkDn yL/OkXhG05ik0Wy+dRivtvgCN8Xwal0aSCWqet1d0hj3BWoz7uJEYdEgP2m/GnCz5m0j23bWuR9L LztRLFhjk0qZoILuUVPQbbVZM+iVB6egrn8S4OY3hi+gY00m3KO1eQ2TBohN+q39b2d61z84+OBk Tt46niFm2W+FUEawM82FirxFVV9FDbdZHatUKx0rPqBe4lsNZIr9W7+38Nr+aKnWHsmmZlNdR+IR gYxXhwKTUNeIw9jKTEbsGMD4Frk9L/HIXwRF4BSyvlgy7dze5Cnb/6s5eR60CN8AXrl99JgESIAr alCB0SuWWwP2rYbxz/KWIwXmpxU0PAQEO8aLgeBL6Xbw+RnfZNm3tszHkGutbu7orpJzDB6KrPv+ eCNVp1wbQtx0HKmdHCOs4UpJ417H8YDln51v6ZSeIb8GvpQ8PCaMV40En8DQNQ7JUMvNa1wD2hSG lkrWBpjh4hAqqsfI5lxndohJ2aMArvz/y5mm6EuAlNRFB8R88hwtithljoRD0LpDC/W+bw3+l/h4 3Fu9QJIb//BmFLknfMlKFpstzTXpGw9TBrbV+bD36t2fcuJRlDJAhUf+JyT3u6NnRuHsqjQq35cI c7fRc2RvBZCU80uZC2E36TAiha/TMAofgl295KmdQ/jhytgGAv9TDoZvVn9gtJVto1T5I/fZkVVi DI0b0OS3REgz/6J38oXw6wqUsGeckGAVOiWeN3jV6ULhDp+bZL7ZA8VotZVnTY4HBFcdpbH7j+My LMG6Ahb/dAGlsJKBgXdA+wPQ6mKpT1Og4shKdqm0HxCyEQ1Yohppo7t/fP4XDwEZUWP+VBrYpDVP flG7N51/AsAWWXEL6MxD90khIC2ZmvZlhvFGK9VAWNdT/o4FGqIlek29hYasnvpmOWru9V2Jp1Ue B/c0D6L3UZZ9RBQtAWJ86ZUwzlc0TRHdn53mAOMaFgFs+iJpjmGO4fS3BEcnN4Ql+dtMl24Q6yVb 8rIe4GZhz651gTedHGVRq1SNuJ30kO7JxHhNtQ7n5JktyUkj6vBlFP1VuQ3tRbla9sgWxe7GF+N1 3kAF7PUdAzcy9kG9UbvJxGcquGZEWLja2ffHZfVR1Te0LyHFGtjrEJnxcNwJRXWbhZgjCHLzbwkJ TjxnWmhMy4rAUi9P3iZMWzjmZelSSGXQoWRk6wV7P19hC6kOUY2Oha8oB3sj0b+oiGIlzWrGt6W8 9E3IqcjodxtWWPfFT0lDV5qaTbUobyAQ7BWIYjOpWTQcANKB/p1YpKSms8ucy5+7MiCdsjajCo9L rBmazR4TAD6Zv0c8Y3zIe8/u2cktaUDmvllnydjcIhNAnE1HAcsoqzzSzIZuREb5hqQuPMD19PM1 JDP7haIqN/b20QRcGhAMCfWUHyhDJJPagvqottcrgJK8jCM0EzKeRE2469j9G05I9UCpCmIkJmLd 6k/eUBtTx2tCYavHOFsanHGj8kFZ+DVL8k2+lVMqKJedZQ28JWabrc4FkaRZps22tO1oaLTf9RLB I5P1SnRh+e2YylFkUids0nEpwVfR7fRWFQV3jY5VWEsXxKUsB3wo+rDOzp2OclkgHIrFZZOwjJP/ HN5war0Pg1KpAqeiwkuYt7ERB2nmeniBtZZWDYVgNf5okvswLUuWBy0cuFWffZ1LgefK+d6mcmNt RZaWfZMujtGlbv1Y+PozEYH+QcNK8twenwf4hatY0HyrYD/sXanTnYi1dY9MTeo3lKeZxwSIBOyg jnUMluInWuIqjMVyDR1EIQxKMoieUB3dqQzIiP/jkc1nlnSf82FWZmzMtnaJ4k0LpdVEJQ9qDeLN o+1pmNYH6flBY+RQ6FKVDulgG7VX35dy3SiTRoHLcoM1txDFjNxiHikL7EaSZBXZRVgdUlGzbHSL 6l5Km6oNHO7Tq1bfKB9euD2XUerqxZnQc0i94u1jHiFSvcxGnE7aEKF3sRQTNa3RBE3ykLKeOk8G +MZDcLz8zPQvH/5zmxf6o7TGEAiqfkMsUnvueuYBWfhUMlZBlYnTT7KJ+1mQXHRLHOdDKqx2h41M E14mLYPVvRYz7TnfeHlMI0F7xF+4RQgij3XcbWzU74G9xquMp4huo/bfWcx4aIPe6ExRCxkLQ3WX BFqt0RRsMbKKjJuC4liNuzNp1tqbmRyYqsuLBnjtqT0vNIxygnXm8+OQQOiBJeHw2uV4278yYMqY HiY+TMSFOJZpjqpXUEi/YFI0RvTUKZFnste6jUPIoMM/PAXNNFVbJAQjRT4JjiYYCj2YzzP5CQw2 FK3XJD0trzzEkzhQvOl64Ci7gPy9MGE/FEll1uLYqg0mGYFl2kLmLfTAjrJjuGz95mrhxL/ETJuy +ifd7HMY+WlKDiXfOGCsxDOZPAT79KGzHZ8zr/AXMucLqTEcNg4fQG3aQzJhny97pmNm1ci1qDja KObPKB/hlQagxa8PBAS4tMnfpag75BehQo0k0IOz9n8Vl2BzKD6d6yFHznQqObuKSPliDkalIYn2 wlJJFte/mAUQ8A2ztTWKC9+aD0lgnErR1Dga8pHr6yUeXyOil1fWTmxIUSHTN/6eWkJCh4WFDJg0 m3EiDNmUn4nmgzEoMAxiEYZOL5N2juAouuQvoHSVeVtIaHfFacXcS6Q5MXX37TdOoy4JEhjzSwBR /b+my8jRzVZQlJyDhr95dHEwILRsEcZPhzQt4Jvf3KGa+tU0qa9AaabDYNuHea0mMeyE+VbMVgyt R+P0hBDykLSnoXAS7xdxZ7yo1QIYGLKNTa2qb13CiGBXzRGrdwAP+jdWmGD+Kg3cA35nSiYl6hhm n2LTDfRYIz/dfIqfIDu8hIg+EUeTmUlSMsxENhLu/iZv2d67UnUAC2qhc+4Bwh7/NfL0xjij1dUZ BRV8fizL4f42vilsGTb0IWLYufN5mW29DQi9TjqcGzsWMjI5o56C28qZVNdiQMxE153W2orUQrM6 lSnRYVJRZv7lvnyLcgyaIbkzE53sKzqYIEva30Hsj1X7eLixBX61x+fNk570zpkPwDxsRk8cbAc8 m6G3V3VwokSJuJUDl2t0CJhh6tJKVLSQ691XQPlW1D9krcPfKdUTk8HEG6s4ArwbOjLJqqbn2h4n 3DhmHOHM65FE+fbYdkZunZ72HINAj0ran5koX6fqY0kaEs06DvttO02NnTvL6vAc2rmVVgf/Xf2L sPdqXWzIp5eNIhu11dyt1dRpXBSPNqxq/bhrfKpRo7F3KR+mz0IVgftbNS4jUhfD2BapAVIeYx8U oP/fuhQ86R+V2wzxbcuzzH+jV9chDQXsuEfIBcEcItCcn6EMhnB6Yo94ctBqiTvjrMgTB1hOshOf LK8C+QwU9wKK2K1soTxr8wFZmR7WPFqQXQSoXAiDYVgCo3TT2A4pjWpzL7SW6VzkOSmkGuoxWkEQ FUMqDvtHudFfoYE25HqeRG3MoN2ILNH3mOK0qn/odlWYkvL1cMtGDqqaAddFMRwM65e6Lee0yT0N SvfwcfZwxhikjqFC+BWkerf3r+6mcBvDY0qhFNaz9yGVdZ5Y+jlP+7XeiEk3j7EUGY62IKChHQIp TS53mm4z7LkOkYKBcaFY9bMIeG/Gm7GLUhQaaIXhBvHPVDGEr6Z4jCjX6HLv6G/aXrJjW6znsI/h NlP5IbFxDn3KyJxIZjHrjt5kejm2+fLj5nNBJFumM6bI7GScg6kisLNNeeHpjuLHxgGxR24p1f8O hZv4gabjAvPv76nLo7s1yoEvBq2zM56uEcwEWvYC9y2K02q009KYyFEUF7JIFHFCFB4F+UthZ7tI EFFpfY7cmQEB60irxt712WI9iXFU7WaUQoV+2sczZpGsBVgwrT01GfXMAvRaWCN4C/yV8+G+gc1V 5r8PqwraRJLTWDrPTvO5nCmps06nlU9nXx1cWhr4EhtTmr6VIXhxRigQGRiLf3Gd9BgATq4Wjk64 3q6ZEBkxHPyZOVy8pYKEscjE64a56jJspMIUGsdiF44tdFw4e0rm0cE55X8IkyblVmbxedNRsLWU SRh30QiZATH+ADYsMqo+L9k6VJSDADOPsz++oa28ggI67DaqAXATsJ9cJ/zy6m3Z8BUEg4aC0Qa3 qxaeoWMNNuNyRa+JmtSTt9enUqqQE7rzU5cR7axi67S7llSyfQ8sjIgguN+Tji5Yg4HLlYKY4Vxz JHiDZqzzS3qiJjeLkDv+ZBHfWYC3c2jwmShh9yPqg1qi59XOafSMr8rWW/DDNszWaoIkRKgTCzX7 r9Voxp+AZfmwh7BDiKvj1S3MyfVPQzh99/I7GggP81pgQ5OQ4JctigvypbFjlQz3hfKIF9PDdLEG 7IN/78iIpbVT+d+ksyAFBjyk48e/abd6rp1cynKPSAfYaGq5CuSlwZSLsCSVTnodKt8Fx8CgOoFx GBGaQU1Lr21Y5o1XGpnlp/yYLcaEXT69DbCH69Sb/sJDJlPIAJdkewEXmCS4Bqwvj5pm/MoFCroL 12kVMcqGFWMErEbjCQo62xSrnXfmv1fHC5/Le+A57gKRdQ2zWk1ULWpYnGrR8lKLU1t+rA42GVLM /zcs7qG12mhKdXB0uwXFk03/M0esZ3hIwah3vaZvGr7ziVqhpKq1pIvyDi7l4W6zG0PpSP6em6Ge c7Y2C4g8iovlmr8cBclINXoz5OPbhlC2pr7Fugvsnk+rnkHH+9qu0TCuSv8Ex1W5XurEzlJxrw1j CiCxID0ODkWYXI6+zXrsCYtguMqgI3Ybs1p7R/o6HAmMJUP5HlpznrRyuyFIHLu+sOZeBIpkFiyq 0KxZJLU9hc99wYBZp21HqR84AQ7+4M1QRwsF/ZgLPGcsSYlGfLmXicmyEQeVBwNjw4WFLt7KDkVi N73X56LsQ4NP8dtEo1zK2mV7PMdJI1wU7sM3B37MFUszG5isDmLw7pylNjJ57Twn3IDoybShf2ij qCxhxZN1KrakaFbk892snCDvuQgSV7nB+0UuNlqxTmAw3ndhKPNLklligOa+oHqdpGHb9poSAyJz yaAxiU0nmG4xeI4mnigosmEfh4qUP1tJvwT2NVcUs0D725R9eSGNbzzyqxpvzhAUSIXL/KfpZdAn MXFX3bJJXZrmNgDBiJlQzI7X6EcWWOs2WRMM6V4ApLDUxP5mLp6dLdl7szT8CXZDu6Uc9jB68Pxb H3ezcpFBFczKgieH21Hk9mnC9sTCzElg+BE5ur6WNyOU8uuy5nq3p6BRLApS4u/KO7B1bYms6hkb dc/wp017HnEsVgFjhrCcci4TmEqMyNnY/SSH9NX8E61CpCn6QtSRsd4QYnTQxJ42NYTRTaDmBAwO Vja0GNXBCCgNjoZ9OWAI8q4gPcAE/rzklsa7clsusA7Q6zZJpMkVdo7Jb8gI7kvW9vHD1xtGRbd5 cRO5FwbLfDsVjjsihk+/SOcHs1j6yBW4LZADtGFERwn1JM1vtO7qv+4TnQVLoV222RtbWg+aem5S SwA3x2ZKsS1U2aFQq9NKOoyxJmvC/4hXYRE/GCAqN1tFZdki0Nv2xi7rIxsC8UljjxrF8e504MFQ eQip0bpDBDwI0aVQYtOZ9joZbYRFyapxNB8inddF0N/5Jx+cLIsW+LToERPjtdmkYTEmmdHnD3NT WXRnFAtKqYbPLMmM/NBp+cPRSBZ5vpcPrOgqF3k7SSe0z7FGPotsxEDuK+W9QOZzCPx6EBzGz1fN fanEUsPB7OROhcznp0Vz8vhvhsJIZOdAnd8MBY5LEyd9D1S9do0RHU3Uf5YeaAhUW38Jo2lAn0B7 q2CHzIskVV13yri+m71k7QVdHqXtZgbHOj4gApKaKNTlNluZmehkQ5O5qsLqNTPa0vM56fwTY+hv EmlyuDWBTwQkm4du6ukmaswVHnWIV4xqYVVdp9Q4wFPQDY8ZIcd+7zW3l/mqdi5rXE0Yepn7I+6r wC6MCHxaQ4lDqz5kdoQsrdoMykgjPzMTDImds8xEQK9LgM+BKbNCRcw/wHsim0DlMcRUjUckYsBd h0t3/PrjIEWcEXGKX8QwL7iNR4ZZWL3twC/SypGWcUGZ2b+dLzIMqgwfMRv1uX6GHYnkcnCAmAP8 lcn4MBQXxgMZD3RQ/oSVwST5/zZbxey8pEjkdCUesR12ZHYCUYMnX2wtZMBzxvpyoeYMbcKbmiQi +2EphWdAkyJOktXH5xnqe8gz4tsE34H89ETCgsErbzePtUZoctfE1EgdGv0RmzXR8nZ17IVo66me 6UQ1kBWieohzUF+l5VfMeJb6Dta9MCymaEGLUNao8/NpZjlrhwH7E76jK9I9jrXXaI2zClx4QRDb 6mHFzh+wHVU85J4Fj9rC9FjeCqgceJsWQ6GocoBlJUWeZkZrM5hJDoGExWJyPGCGQFrBmIBpKpaf OvBrv4xvD9vR3FYM6B6pcppK9a5d7tq5YT/fxdXqSq9L2IkqyNZPP+i57ov4J4GqcU7HGIePKH2r jSm+xLHdvTXvPNpphliHHc+Hx42FFswOHi8yIywyU7bMiSV4iCmTrUXtBJC3pxoEfl5Aq+8zqXfr vix84TKclqRw103fust6mHa6s4lCEgAEY9t4AFhS6xCgfxln15DhPPesq8lw58pWlzyXcROM7F/l 68fjYDgNuRKJZujYi+quj17r0Wj5XII8D7nEbKW9J2yVMr6ovrlFagMxTQxH/ImRwWwB+/trXnBE lZamvnNxrtuv/jCdFt4XGyWSegKt6T0gr98Sl6n3SV8ZjgjWgqLzEdS+Uanoh4vn4C4Z3Kd5QEn+ 11/2IFpIPsG8xOOVTRBGxp3mLNzD1o71X20wOlIX7wlBGE0jJxyhUGBG5pH0pdfd4ViSpfqhHcUj pDpvr93tVcPdE8q9FaNzbtGG+HMLpK1J206K0i6VFvhZuc5sBowsutg9pJ1B3Ezwcg+tw0nVKJST kOr/A/muTN5hg+KdQnAQYuvi5YVtNV21oECRV55xxEbJmYMw6SBB/Ne5OaM54jWCIO2ddrdiQUbB uHJjTsWHumUoUA9DXYuFUhChNf2pHMT0XZ+PNVgVB5Xo5tcywmEaU4pRbyhQocSkdJx9wYralTr9 RGlocVD8K2Eb6g/DwX8YBy8MsIzvIfRtZArrdbU38Xgne6FLzOB2m+IG9nC6wy3XUJWnYewfENsV 47TW2cFiB3vBcxBl1nTiY8N0FNVViK9U2hPeAoO2owlTwtWA11pMaQlBifocu2krRcPDH/ZWMoGD 8CCHyR6NuBe1U9+tb+q0dBHA4DXc2jatH/x2iUqwfKqo42/IcVFmLqpgfqljnf5Ct3JD5o+fH34c Iy8FHAMKjj1oDjNtsFKw1lnGks17MyraOZX5URSwevE4K0thzjhsO0iY4XMqxV0qUbBd4vK0ftcb Obc3aEcZ/femxVabjqamX04oj+NEckYtHx6YnHGPg+fo7a3q5JuEm/iUXXYFeg7zMfzfI6p4RZlq kgQtP2RHrfQvkl1rxoba6TB7m4Cm08nseUXR+J4JPCynz/aGTcrZBrzR99OSY0adHSb4s6MidGkr TSJEZqo5ntFnM97tfMJKSK54fvDH1UP8iGruuBIlyiMnd7wKHOtF3hO9THoj4MUz138eT13XB7gi S1062U8s+pz/h4uoclwYnEYwThCRAPM1WQ8pKlMOxepoi2LhDvKI2j7c1tXe8FgWM7uFXtssH0PY 76V0Y4kLB0eArQnGD8MrtUhjU6Bj46ykpS6RY78QaMKWQvoQFYJPJK0Fo2tX/M74xr/ya3Fl4ZBs Cg5P39fsdj53Z7+uB1fSqVwAaCCEiQ5qYBvd5sCNyQlYlrxWnwzr/E5W2Eph/wYZJDdOOdpItoqp 3q3JKhUvhPjSRo9kkgcVP+bze7NO+YTjZTpvNuI6FAhtQNIv2TAI6xnHqWloJMeBZ2uHlx6/G1Kd RSUvAZpFtExw4A6jPF9ckSS52WHHd425xXRzIn65N96pXirhEIBX9oGaA8iu0Am60s57tWEKrvvc eisUY3oxVstfzNwuz5aZPZU/0o7H+cTXgn3zqhmiEhfPfZvyQZ5uU7NsO/2CYUEPWB/0D6KajFQ/ uK8htv47+vUmqZB6D62zPrtPQz47XQK4WF3COgX17HH1X0o+D/bvgXYcxrB5xfCWB5piNgvSAWfQ y8I94yFaDHz1mDC0W6KtHJ7XdUY5hLZRFFOb/N90U49xB6uDmcriEfGaz9wFyAXjrsX0wrfVnsCB ZBXT0czdHY0JmPcxNONfmhs39thIxe7JZujlRAmERXaoNGJ+e+egVD0vvUayI6gKyPtWPWkvAtlv Gr7qucbU/PNZ+FrHSh4HKPZnywM1PNvmYespaZ5VuLtx+vVcyHvzmR9+IiWPMRZyfVjBwK5Pw40M Lj8JhEYNit/GrMCQvNlC742fkurre6xDSvi8Vz03Ml4nT53Rd+pjccEK66Sryf5TQMrRZfHdzWwr 7d90BMOx9FXVFOrtXCt7lV5WDkxLt8GJrAfWoK1lb9QnUhFAN0HH5/v/rt8EUz8hMJNvVCTKSZYM hTyH0AJc2CTDJmVfWXYNHjNZ06ikiz3I1ktmrhM5SUnqVLLQ1/KlZej7oOEFTcCwErSD8n6ySbFI BUX0eQ+hppUAC4BkTlfZgW8C9q5tHjGMQerod4a0inIXJ/zT23Un6t1uzEUIxzkX90XTarewi7EQ 2kRtk2594w2WTFCQeAl1OKNCLWY0XcnSocQ6IafInkoDqsIPzUXINYozNkvZiCXPjUt9uMvtaVfw Oy81XDySNuOiB7oBcvKfHdcBk79OZDIn5a+0D+zJZmJhvCGXxOW0Lpyq9MG2mhOh0zo9rjEmsn6G qE9cpyGdKiyera+G/EafYNWZ/5CRFvMiJjPgaw7XOxdbx/q/NBlcqLu4Su8fGYATFL6rQ0X55L+Z N2p4TDKuhIM/YkTrljy8OlWQWMnOnrmHWxn/Hx0HqpKIrPt6ahy57/+J2wsc3Z/PikVJ8y8pJP/g Tt48XOgkPZ1PAuVTysOynkdMTB/f8b11n3B32yygHpRUYkGMZrPLT5d9sbdzMsYeEWnwEcQ2QYk/ NjCy/ro5bDyeX3QC9ovgZrQIQ/E1hwZMrGaSDdB1lgljGlauc53Qvsce+mM5djMLTADOBxV4lcjl m9RhEofi2B7S1IcEcj/SlG2Q7ONxmUHVxT8GyT43hvr2NROr0LaqJ3aZIcIfvKSto3lbBL1rwBc0 6r056vKvI9Sjr4HNHoqU/DtCBHNO5d7HHHAlwvJGMpfRtg4Wih/+Fo+dunMAB3cu0aElkRzQKgy6 Yht9PcjSSLMm9wM0qeuamZIBJ6+Gz0JCYM+r7H4DazHZQtQD+dqblrUGcraPRv2oZkdQR9KD/m/L 8yvqmeb8m8rCRNNraW7rQTVL/rGZ2wWsmolMfzpnRmLV6PzJ5Q3MeoV6J0SEPnMGCrVq0xUfbdpj 7kkhbVjrpxozdo6PIESChfIhkF/Hwv70mD8jB6/vGXY7SFzaFprLHSQ8YhU+4d+ogXT9YoL5K/5v p2SlWhTvN6MRzp3yRpZQNCv+nlJQA2WIEYZ+5mcPD942CVJlqQbSQf532vz/AoK16vcoh8zaKEK8 07VlwTDaInr0uu1XNkwY0LSu5vfiRPhtfEFRzidWqIzOH0/3DXPOZZlJ9f1Ac5uU/SowOebkZ0es OL/74BXNUvFwsrWWsell0tMfZr94jRYj9vuALQG5LRudncZwPZYnQ4IF5aiezYB+yTNqEt9km50P MYtcRi00rJc7y/L1Dv5YSWMoJhA+EFGbrAiVx2xFeeYlzQFyeCv5dbXJG/7AksV1RdDv1TpgjJlh LvvHhef3wJAj9y0pi1aoYTuZuxAlXYYuE2j8IGahOitGuO/TS3p8RX/7rBfuw9tke/UtaFquz3Dp MIWiGzsmjHejS1erGcFBwvN+jSJ93ZLI9pLXYlPu3I9OBu/oYr3GpH+cPRABysKnfOoZXPAk1kXj Dwt6a6xZNszrsjJybg0ztc5aGsyb4OxN0H4A7RUF70ldB3PqqyfxDxI+fK/r46f1PJ7yP/mq0IzJ 5gLUcGLozusBeWynv3XTXktG4XIAvtmo6+SZOZZvdjRl9cmRGaeFN1PiMWLy8iWLD8rlxkr/fFGS 71DVBLRwjGRWOev2Lc0GA1szuHkXvS/W33ITfk0hndBpeyl0t0O5iovmIJU5EQmYdeCqFPVuUGRY B4qaz8J5GlQGfsmAoNXc3ucA9xjf2VZITmRgVmW3EXK4XNVoaGB1Ymxxj2hcGmH55MhOyODKKBOo TZrmm+6TL8fz1VSer3bbNlB07m+zddcSBhsQry8E4amwvf9y1wi4CkJNxcT/koZfsrAJZjBU0jaH RNzfkB+gi9vD/WTd3GrW4a7jfzmdsFZ+anKci8at81BCVYOdCaInjZ9FJPdBMAvmlk6pmnTs/gO4 DepZDXW2YJTwZgUNUZFgrPxWQmDDbl+IeduX6ZDHRLiZOOmhAqTO4sOc0hOxOMnQjI/MXVdZOjZr jArDS7kba6koQpAcyJPyY51t+3oozQmoP4HWkN+4+TeOmtNJh59fivZJZOVg80WW9QCDeVtYP5nb fN0iwZWRbUrUI5/FF2kwYmRwDF+UotjNAMmfa9CIsP4fB9S9LFJAEB7dTc47oGwdguU96l8jtjHM or6pupvG/+iRFhL7y2Ez5EwXLGpWEof8YgZYWv1iJldJDgOrXxdZt+v0rzk3FjKqpoe5gLf8qLkL xKSb2lR93ddNZg04UkK2bGPoFB1FOhkdXdroiafJ0fZaGSuBFUF6LVNz6b1E6f0f3Ag+0C3Np4o9 1vqt61Ie52fW0ntYMe7H5tQ+5yQucw7BK4F6DXS+iuvPZzz3BSwspvQ30dxdsiO34SOO3GCdRfJT JjNfWjBvkmGoA5JU/uaHGhr5KcNHQ5n4pEC/IFUzDZpKk2dup3FwurbShADZmq2nBAsv91LnyNK0 91tfkWIj4sYylglpEkhooC8amyOX2wUuds11BMXTg8zhT7kvLTr8J3cfjJ3EHTN4yCLZoQeK1c07 W39kpAT5qvxEVAdli7r3phkkMZY9ONEz2k0Lye3NmEnBn0y/bmIRgypsNUjQGPUQ/dZmxHbep4jd 9RFTGe8ncOZwp7eKK8c7XERW40RCxTXqFQq0TS1L9EqNub5UlVp5jtVRRzcfSPzR0m0KZIAlqx2x ALKjAkoEyNDlv5H01oLCm0bMA7HlfnXGUacsGjmScQZvRgQ15Imzansn82rM7ABrNfMJtmiIJ58s QNbrIDIzFwGtu22qgZGz1dwZbLXEuyQjYOOZ44Hw747V4dxZdQsW9FCdFksQxbTpFQspsIbdn+bH RDC3syNmqwVSo/TAxZX+izNJ1WYx4LIkE4Jjd14Fqidv8xmdDXR+RBrJUFGokhQ+3CWLwtUGUqC9 EOrOUUuJ316rQAoQqGwy7tfokuqijakuC6yqb2yy16xgK5N3ziST0rxn99/yevVjs7wDkfhK4eCv 6IkMExrphjO1CPwJ4+K+QoRxvcoOttko1t2ksDPtrY/tUWC00x9EHx5HzTXOXJ40pO9ttQ2HiFC4 uBzGTKd8uSSDWASqzGdz0Xug/de7qYJkyIsLeOtRFZnKjDx0k+j6xp7McmXqEYxmfGUWE653r9xN beGtc9SCBTHDRxeEwUp+F8AsKxCRLX6E5CeZw0i+JxFP25/w0eYn3zdmT5xkPYfK5JJjfrvfzOJo 7fSsHGAMBBqV/DMvdMGcUynbyJGGDddbbKNtP9OPzctlx45VQ0l3hUpCi0byFaIMAQm12kE9WAHL BDvA5CkrnIeMW0mNbPenFFbJDHjerQFhy8RJW/ZcxOpsh5sHiQ0jAKLrxSh+7bRRD/nul4x1GI0p RI6f3mCAVZCAq0JHsNXNH4g18Mxy8P1t9zKVeUyMnrbRFQ2herAeaLPuzHEd6g1w7pyq1WdhJPq+ yu56u6ZW7tuCGjfWYo4xtMp126B2AXr0DWfUldQ1EjfuM2PxtUu6pl0VqkUvFYtPbp6I8GSiigDK Sqi08NH78/eKamLdQ8pK7OeM7i036KW9rKOmhCP65cgnPMbbl/ejaFHxGK2z4OtCegErfC2fan+4 pKeKa+rCxLPIjIqcVZ1jsjvv/QtF5h5cpZe7OIMwi0j7JO2GNaPRIy3aIp4sCykqBSeVG8VYiuzq 4NkwesBLcKmUqe3Tl7pGngW2k3DxcT2FYQH86GRwB6LuAkELPvu0AP1OpUdLzH4BtoDos2ush/0E ogddd/kkrybb6OEWOCs6olIjZsa4wU1z5eYBmm2pjWocqMkgPiEQkRaxPXpdWtfD5Kp2LhN3JgDI zXmlSDZ1tlF92jgo38/LSp8BH37tbsW2ecKXw0T0obEhzNAtx6iiyXou2YGBKCzgswfupJR1IizO Zf7G34IYLeCFjL7gwaepcmj2PvIq6NoYbD0m1ADCOIIiy8QeDbnmS4QsqnHFq0kPbgzP52XnDvnQ RSfLYs2d+w5237P/ts9eBkj3Yk1i8NDszv9L6wiGimwTSh2y7WoGSIdIew/0mPAacz8T3oaWHbl4 tKC26apQNTypmVTgdoBSpCg01Eq6wLjffFOrbLGKDsGHd2I7dTFl2F0Qx3k2mEr77PMyvWjrOspS btl4SeD5+8240GVcHipU1C4AUNH+Kbz4PG01HCI4HtLyXW6U3ZyP798Ui3ErBmr0O0RwnRFcm5EA C8bE19nKuJo+KodTaSbMRi0+bVRDA7w/v21mt5hbG3C2gZWtCvMK5VWOpSQUHItst60S7dVwzecW DuIOCA9c6sYU2W1I+LAqcptmXo+/SPy/WbygRfbC71FlzbSGGPTWiwbtnu4nlm6Wdi/f2YAlVfvB 8fCU4NUWzH1h5nGjKbEjDKzqTQr1dw8359Z7GNQ5KG0Pr+qHgJ//RnncxDvG/87N4b4EMCJbmU3E ORmkTrcWTFJ7cfy9NeAt/C8J7nsyAi6UbKjvkuXUgwas005iS2rElWDuKfxj8U4tVY6xTKmtwaw8 dZaTu1gIvkfvMve5znrMBNl2T+uaXLqAmfcpr53z4VM2sqerxL2h+AxEoJpUomWwnPzNazjgNEIt 3A8ZDPUlKb3SbHgWQ79zZDTJesiGBNlx+8XoDrKYXUT3C0kODXlH1y3eWJz1u1URtINNV5xA/vId vy5piayz2aP0dbh7rOkxRWcI/RirQrZT7DdOquix22ZOCWwDVNABePGF656DJtlFOS0BfqydzHG3 SeBVaUCKigWqHJV4Gl2+c4ycxwfMplca7dnN4yvkLijc9oRnyrIEmQO+G55iu/GnH73JFdBiLpS/ DzM7+IZfueHAzO93M91ncU13NKGbz8PRNnKB932VDgeFTmoFSVpR3w6X3NmmmxkgoaCUm8mkvWn/ okz9phGKGNW7zF8vRVBKZ4lBje/RzLkMOzMpThsQInH1BoyaBco8FLuXPlBMI4FoFIE9NVeawja0 Gsw0jYfBsqQ8z2ni48enAJSpx8hmEksqMUNVBA3Szk9GNR9DB3ocRSS9QxdkJ1esin6WZ7H3AA1l vluusmTkqD3brs9A9QQW4HZBIDLDrMUwEyBzwSCNYV5LWNKFbxWuk2ZHwQoEop5cnO5w/A7Nfv49 YaJ88f5ufG27cgW+tI6ZRGSqa3/fZsJLWJ6RuVTHvgc5spgftIEmmDpzyvLWNRoNgCirHtMfSVI/ 11O6DszKJhhreoyefNt8JPn/JWS/uwxksUyPLtaVbawv0ql0zQIXICWb33GS6KfJIGYv2t5YtOkw ZXgaRIDqubXx6tIywiuTd90lu5gokybg+lv9iSirMUPKQXuO9wS+Hd8KqRN0AYAPRAy8HfJ8oItP V7xzfXTePO3Vnemy2tJmDxsf5dIDdELq6di7Hc/ZGUkQ3f+V/o8ttNmEbhrz89TTUnVQzue2fTlV wi6waRP3wmc/3NuS4rg+eSj4+4tq7qK7U6OC+OsmaixiWYFzD0yLdF3+UuJFCU6ktfW1h9eoNi/A 7f8bNeYsOsG9PxJcdeLTpc7oUrokxaGKz8OP57xf1jPEEQJqG68Du1l4uf2AvNPe7/mxdKJCWpBd rUd6YIm7L83+aB+QFTBibPbY07IoGNOvdWpD8sSBfdnTa0WXIlrZ86I1kAVdLIW3Eou37Yq/cbK9 w+ZhBgnmXzeINaqzSqnk8mAseKoSoio+5Y/7Udre0eBWMBU3JxW4KtExIPkTEjkhE65lQWwZZOTA khaIAyjG/FdZ+28dmw3Wv7kfXcaqXTybDOaDrTW33ln5efb1j3TGfayjiBhbl1WBNf9/xpr2gne6 zq1JdhzTnmFk4mmIRHnGr4sUhcaA2Z02FNhneoZU1IukqMAgGHHCoU6HhaPMd96/M9T867l1FBPQ 1J4rNUJeRK4Fgs56bhFnL4WepseziEFaObKyvKbUZI1wop3Taq6QeINFWOpXWFDiSvEuiR3J2N/z 2cB9W4IXqxtMpDLiQ6VM0sJWlInx2b0JqU0yQc2SrKFZS1yo0SkhCAPrzcleDhw7mMit6Eld80FK zJqQGwfyl3pvsmzRStiZyd2X5PKshvpl5U1j6m/cUqAPgF6abslmvhlX/buhHPxgL4dct3fTQizM C6Ig+7WS5ksPSOpAiflvpcs7tAdtR0nlRgRpO82QdSu9X2qS6fC3r4gaSjgGzLQS3H9Jd4rZ54l7 pBGEmDcCwUPrKtW+ig6tFZR0f04AlFGz72CpoVD1gHgOilrEYJexB41gtbJRhd4iia8Su/ZGN/3c Vgx85AC9vBY2x8KpLZX5Oh3dPAwxE+mDxBq5ZqRRE9UkdjT4Q+z/+igaVrTigLJPE8+Olk+ijHaV n2X8LZvJk2RhwjJfu1hc7GyIAAp1Rozoezun2ror8GadwZIeSwhTpvG8pPo1ggvRpUd4m/gq309i ll8b83QHbTg1JoDN3Hs23rEufK8uFPrPm1OulCe0CKAyB5AQ2NVB3IhzHL7c28sKeH39qWykPwCD wmtebYJ6eQqq8sLkH0BCJGQ4KyBFXPIDgFaXZpcu++NkEq/pL5DVtfCg4+HII1c7+oE97k1DuzJJ mlmTQs4JpzP+IWPZl94KffUlZORyFDR9bVpUIHq48m3+mx1jNSkapbq3nAkQ3X+rI+rN/MM0/rQo ySgqdfsfF+P13GHdO5+RURgKj0mmG1xYFGwr4qtDutach8J1MGYz0ktQx03kzUSLP5rsVCcfKLGb voejnVc3CmLz7QDfsK/aDtfqY268ZnkUjGMBs9rTuH0KSzDK/DAAaJ1ld959JzjID7NAbj+rGPDS ycypIHUSE5yP2PlfdNRZKw1kP25/sPJYfH8SJNCzZGS/QmfoovaLklZiNCyQv+XuV+MX3IY4EVjh oPzamD0EDdPGh+LR+HZx1ilmSVTys1ZTjIvdeX00H5/XxU6rja2b4+uMjV6+wvl88j5oMge5zr7R qaA0sk/n37dVxtFYRBT+Hqap2RZuzcACn74cqzoz425UFNC1G8DPlmp1O4teVimyJzQXyPU9IEJX GSolXcldA7Ro8S8r/4H9t3lEJtK5mMPTTl0I3VKDlds1ZoPgvX8ZAQgIB5VlQFM2iiE1AbRYJJbp Rq1v+NyKguJE0bLpGnfS0SZSCF3DnSpiLO7UEHmYaZDOKv8cAiXaGn9U0pyAlNFqQhsblAqMDKuC AV8PL4ce+jH7cLnQ6UrlMNnZlb68Nc/PUErBqbLG7FD5MvITYtj4GuSQ1MsNIDzpXo05gOHEOKuU pUEZVww0+ZxbO6KqcCamZWS9K75N71w5zx8YygseUXfUfTpanDdQ8vefsIb3OfDctFpak7gm7j2F +VsfU+g+uAAYmbMI+MnZh7B15EtUHzrgn4Npb4qo6ECHv2+WkVq5XWhAdOjOfFgZK8WrFjq5ECMx NykIp0x9SEYPUl6NzTV/wZnkK8H/rISpgW+nLGzuNAK8Ww+ntpgG/HEPx5qZNh/1BV4KL8GViK80 g5XiagXu6mVIE6cjos7M7FAL74wKzYAiGfxJcCRfPuwf7jNNPbrCQa5QKhuBYNr/AZrSXWUPwVFP IYCNOCaTdBEuJouW2TJ0F+fUNYn00vWAYMqLxVlrAPAc/aXMM1mkvDeX1yHO9/GGcmwzxH+cZ+my 3m5dHWPHS1JLgfyCgqNE0hXzyzNQd2X4C8AnWfkQkVJNjGXaPX/0aCFVP1f3h1yZ3tr8Wp20PMQf 395SBrHsMSIMI9snx9uttA71KfZm6i704mdMKNLeYGAef5vtK/Pcx2l6fFV7x3w96Y2FmXyX5Dbl YF5ZVKryPAa9Ty5VGYLoK0EQx8s2/L/dwraeps2aplzMzBJKwSFs4OAcV5mHuwFluo5cKNzgv33S Ncz43ph+NTtZYDYf6OaDNr4njTC8w75uUag7umRPpDiA29zvVQJ6Wd9iWIzXBxBNmj5mljc8iL1d jK4cA9V2SNsJTeWMbHKMjGJaJz+hdrIVno4aEFOvsZxpm3FDV+9nhKF/BadorJA4R4dccnR4qgWo zDJqMnnbSIalf81u+BV7poVwww87mRrKu+u5wns0JbbiNK98TeKb1bM/X1GlMqjlPwstBGL7lnLG Z/fp7Ncgr42O4cCrO09WjRUnsCJPrW+BErTiKxp83nJpN6bYODXsFKzFLTDRllYzwYCsf69S87nq nMnQoX3v6XsAzM0mIooPOm5G3T+McKjQDqIdu7gwHAxCvKx7mxTdbUdIy/49zI2XQeKo5w8xYbfn k+a5dI+UbpmCt1gsoxs0adnLqK2TR1qzqTbvN1D9slINrbrSoWzAYmFSxDnN03dMHODTLNeN7mxS EHUlkogfT7kuFyMMBA42MBocTop5/OTPpLimxb3xJYZJi7Un5U5puj6S48HdRYM9Hut0IH0B2UIC G01mkkhf5vb1LcxS+qTIv8GWUl55grD5wVSgVULxPQI6YK3yNExQR4IFNb5bn4D0c1lJAANLp+WH o/h2sYFR0rkrtOULa+Um0Ef+WxYA290yWootUbFVepGRxx3yvOsIJ40t6NhGYzMl10FYWHBMs3Z5 l+0c8sWKX/cS3tk2UVocLE0ErwwdmYNdSj/h6KXJt3/xUIT85AE7gkalpzs+QtBmUrWnh5WPP7e7 eQcsI+5fp223A1miob9tMsvtWEub/cFc+hxwxZRx87yIeqEItlYQOAxlAMtBPQZHBZ59E2Fa196y rm5Tn1sLh6mr8R41gs72rt7fi9YRVg+5PyhzDNnuCmduiEtIKOoUryAi/AH2NTynJ5ZP/tuEWCtp oPlq39idwZxz5K2XWEC9KIQJKijgp14u0hN2lS+FZSHQSxm1sI3YAyVModpPmO2c/bzZcbZvab/O DhP6dnP8PySnFeBTFhklBAy9inhznTsJkcfLrWBfsIqc8VzCIflvY9uxSOMqkdoHSfTfu5KCZSaf i3Ay6x/4HAlV8M+CtadFclFK7SZNXtrlCh9tCHkC5s6hoBXqPBHiMphx4OvdShh0SHmAQcLPvRm3 pBCEH+SxZE+BlmT9ZacSZ6VxmoqFDBEg34Brxszq1GN/Wg8gDKtNiUiP0Q7f16GUHHzeGT/hnr68 mn/PZ4iacXvJkjnEujynWi0mXiRcTBci/XBXoZoBq5P3aXoSxDmaIGkWUtzaiuOv4mm5firZuG0i LibCe5RzsFzUaMXfc1A0smALPKgVI/uR7rO7AWcYBz99gUsxdJQdQxkrtfGw2fb6H6/hsIVzysPA PnKIeGkWIsQhnDRYN0dPOnk7k+Defo5xN/jf7/DIpXs1s0tLjYxHRNNfs2u7aTL7scY1Typ00J43 Hd6CbmU0F8ndgX8XQbB8jaIYTWMx9UiuYnaS6zTbuaMX5xXWvt+aSVmdZPm7LUPIaVGyhMBF8DAj L8USm5kJ8rv7aA1hoE49VKxsRC804i1XfZd2GkX5I2FIAeVO/Un8f2Pf6S60LtB/wM+lfArS50/B dJwTNtG+UNAQgVI9+/eMZrdH7aFQoRZKAf6Hhlu4fS9OYH+gXPLdaFGlyNPdIBWDiTI/AutP3ANj hEkiWyWD56getseY9Tu2agF2Lz3vaXV2+MbolQcLoh1OqTK4MTbhC0ffI4r3fE8xeOYIIB7PD6On XctfAsu3N/htXyUNupnKGMRPyeSu13kOX2MxdIV5atoRSqt1cvVkUc6UUTIZoo3AScfkXY1sim3L 56XRo4BHzfVTR50Dt7g2BTL/tC4MEOY1NYCnbl9G4iKguh9g5H+Ep/WD0pMhAesOW46aVwHP6TaM WQ6KR3eHXElrCe32nE+DlHZzNOdAd1QaBhIUabUpqbj/190slchhwoL6eNIJSAq2VJWsRJy5TwGa kVEVNN0yHERRsvKcPayyLcE2O6kC4amTqpmC/R6VmivRs7916CGzrRXYIKLN5giLMDXkURvSAFOh 7CVhrBcLz4ft9tWHf4o9q4j53PBCuodHKJDwqjYG/XFLESp+NNGZWvs1DWxWiucIuBcXIWHnnzU+ eX2yR8t/xw5H6PE+z6cI4Jg6okWroswrO/K0T4JSfcg/+OZ0tPUMs8Cl8fphFpfznL/2PWZeTZC3 iIe6sPmIQx0ORmiYM91kTKEQWAk87VJNFt+WwfXJwVKrovPdoSDp1X/fkRTMkowouFNt95O8JezN CCFsbR5JvVCt34MnfWd3wnofg8XIqHh5+3gV9Z6gC3QcC9LHpmg0C4ZUQhhsczg5crWVkTYkshhT eVQNkNxKAQJJsbbxmed3v31UMV0qRiQ4bRQHNnA2x1wj+S6/x6hjCx/ziQWlkJgvYEiEMp2eh6mH 4ZoB789cFVo/rKsXKfDxKkhwkgxLrP1eeQs3eJIL8/RDEjgtOD2E0k65a6Pi48m1lgxym/GCgq7a 58FrqGrozi75EiUWxRcL31dfa55AaN4qqFz1VDL+lZgVVkNwvc8k+ekpYLxxmn3XJdiEv+dkV6WW env3s1qchacZpQEL/wLo5IrJjhkAsktQh42ZSvx9qlgVnZvktZRCEgujR0m+Lcr63GJoRZM7Rk7P vT1lR9wRvRx33hblb3knsFdj5+ztEtUeoaqTFanpiahlZIfa0NWWLLrAM+buMxoWxO6F/A04R8IG 8YujTEQqlvZy3/pEsVR9Qk+cm7jB1dvVfS+Vg1QM3wjKt4EvWssBQE8FfQOi8Nk5cZLATHiBJgWo EatKVeiNraOjR/uhR0EfAXKwhHCB/ytrOIui+YQZMthSXaLwqm7IqxZkUjG0hsTnVZmnKxMg2iwf UYKu8PTHEwueDgpr4BUU85Iq0zygrESBoghWwrMR7lC2pBXYDnSNy1V1Zn72DCBA/v0swvywXFbU gZ//7426Tu1MEq2Pm6/nH15+goAEYd33BPXFfwn7SbKVriOF1Yaj+fNmrEPYQvMpIlj/EuK/vgBV hgJhJ9jKlH49qxmsDn8CBt9utuojXPHz5yk41navEbpzenGsLZa2YXeUsVuoGJQ5LVitq+CLKmxF fKsA479xRZqkMiIRDtJ2n4mK93BTWOmkCHSuITaj1hdy1Ei9Kdmq8fvW11vdgH5V9QejIOwVz9TC guY1Hc0zrY+etlaYnQVNoc3RojNkSUY4N/dZ8ujm5/K32HvLfPudsglIjcxj4eD3Kz40yObpkp9W xWKFtX8mP+RF2lk8YIqxKwan3vT5/zK2Ffi2PvfIrccYZoQfIQ59mSdYZz4TYhVewMfdQwnqsyqO BmHFlztIDs9PdoI1ibwFHkNUobwK/OLQJfrlj1ZfwSwSvn6Ku7deE2j3js35b8E54FKy5vVC+w3J J613RAXkDcvnTqhOCr0/UU8h32p5U5FXdNIqAZskYPg/rEhjKStl4J0v241IU5VWPG0uRKjxXZVj H9Av/XPkTqFJ2nBuX2AoeZtzSHnyVC7OOBl9NS0STNhd1JmAXuFRrd1bep4yJoXscU/TJ3y6olOH AJdxsUwHnGBvhMTZMRvJElmKtAKI9cRpobYae6mp/TqXfOqyhQ5W0sq8U2mNizIpVx0pY4d1FGKA wnTBRMie5bv9BSUvBdMzb1N6UwaiTfAnR2Qc+tSiYWG62Qy5B2tIHcgkrVV4VLqk1toIJB92XTIf 3UzyE6okzP/m+AQt/0vyHQFUxf+VIp9mFAdLIpsmU/GoEH08T9WIp9eT3t7Dsdw6cFMpM97P8sIJ 102mtPCYRpnY3tZ4sh4hzdoDoRqOh0t3LXMuTMSGjsIRjU+krIIlYFJCAzCmoS1EwdVWMSdTvDj9 EWWLtvDF3WVBRQbij5MB8WRWk6EJZYmxKt3Puk0a3bKbU8kPeYrHWaYSvCPEBa0aAiRBUA53etSe 6TRWf5fKTqpaM05F5WEo4uWGsmS1jJtpmhGUhe0AQLtJERbofw9rCtEyJG7OX9Z4tR8olopawXWC eTOykZlMgpWatLgvEIR7ggN12kxN8r2CZh153VhZ3pdO5ZW3zMmN7oOEXFUA+QLZwl0/JdGPJHxe svR3QZk1hNri5DW/JlmgIhNAMUUxbmeRKR5ajY18O6aojcV+Lh1uq1hAXQ9ceJiSwpk65NIDGEAc nJGyswbLQHPyM9qPGWe+lkWeQHt6BvHljDt9SzVk/U2SQQWOAuvX28agDViFEsbX72tfEnMAjMEE bOCVBBTFbi/oXxVrzlNyjVooYZGaAikcqa4jyJWbhTHXfpK1t+hghap4IISUVLkJ6OygiaR/T0cn 9PFABZ3oJGtP0aBlCead2a6yXcBCDMZyNqlw7c69b6V7u+yqHoTDhG6DtwF4YpUjAWTGk2WGcS+Q VCPgeIb8zZfEq8zWzZS0nWKqdICdU1Ak0vkOpdB/8k8XInrBQQdDuYPr+CRgQ+vN+uPOP4BxdZXH Z3+GsHcBqgcyqziSgLlYQ7raNsxLb6MPIrfSscWTBfVnzz5DufaKK9AfXITNml2JZFIcd0tp1t80 +Qfhtjce6swzB2SuGIy2V1hUUHKoFzjn+erXUG2wqr9k5lDxgxM2ARo1/qowOpBXGkoYjv+Z/7uk rKsGR6esnTm87bdVv2FtCSwNqvfTgBsTApTINSgI2pvs71Op25XO9sA61+DsoIL42IKFV7e9Mefu F0DaPY6yBPg78XwQGipPan2wxhpon9mJ1b9HO82dp4jJaJEilq3ur7OoR0z1PWFeieX4qWJ5RUjm JESV342A9ouZXnrSJIXzV/awVhGwRMU5wsXPINgY1bG9dk65nujrtAOe6ilJPmqEW40Z8ZstM9T5 GgV+XUkMHTxpdiH4fl2e3Zj97kGmRruiIn1on0x811ppkHdLnyj7pTeEm27/FwDGZs5OfQO0nAjP 11++aEjjFg0R+iP747m+T5yc8nla5/j6wMChukPSIDqODDopwPubAhNg9zWP5G5GeGRY1aCK3F/x hr4MDSoFuZjrppw4KPYqUE14rU1177e8cfzPrTyaW/VK+vzQZQ9mUf/I2YUjfsIyZKcJeNxhRrSq jc0apw1OusWi4YKztXkk18GkkVuCfsY1ElGbRvbiwOjwaQz7sUePdV7mQNB1L7o2zD4TSPecl78E 1V/paTdVV2letr7cKApDZ4VQ+lMB30SML6kzollBZ1tZ9WdLE5+nQHfV6445pGYbWvGohMPQLkNf m89IAnX2/Z5rdysNFXomBmv6j23mTlIpmvYyQ3K3CRece8TKPRXa/BZaWjaHNfxA0fx56+uegtyx QTKCHnSmHrOheJThTGj3BHFTThi9NNRQeO3QJFMqX7u00FidqWn0akJS8vLLkY9nq2v0ofgrepoI vkBN6xvTtv9O3Must6CT1LBO4/vD0R+eGk1PFzhFqInN4rBPSEr+r1qUFxjlUntHh9IhULrz7ILi fcaClWW2IbchEm0jRuK1DLH7RiCub+iSiR611tG0JhGDCm8SFDaOX6Jzk/7hthsj8sA+WY3dBkwv 6a9ZXrJB6umoSsmWyuemhSElnmPHn1sAqRdAE32PjnKxQOl/m4z24WDs7CGMJNeKRbGxdGDOiEkk F9goqkIP7FUDC5O0sC6sIJxNeaiHYnOmRmnudTEnYIYF+B0zhDWLMx4fsNSXs0TmgTbwpSwmiEdF Yjd39HkRLciIaGgfoH2NQUwHPrsPIXKBGBnZ1vKOjtawLgNR2MQEdiIWit+M8nTPUrHZSBR/Vd8Z /K+5Adrl9OikNjA4WpJBvC5to03PA1W7EV7t6hJ7slRtwXYrqZ5yzLjP/+1YBB4DhjchD0bvZj6H r9rgiYlqazzciwsl4nb2MrIuA5/AWvwGcofvCVMCsErLxZKJBH52UQA3Y/f7YQK89pvm27RV4xdG 8sqIh3SMfkU99It9bcepwgdfNvlXF0xMkIcGVfKkKWWVwXTt4ToILWdlyXw9kFhe7g2J0pXKvV5V wyc2gIJsntnMKW1FY0eP9ccRFsG9ohw0yB7XamWF3cT5kLMe2Ae1aGypqC56plZ/dPDx0szBVgM+ pMT2sl9TUFkpx+r04zJoDpaTf4UYCKtVmZaArOEZ21UKNyfquYRiMYpsJRPsD+uVCLtKc6jmh7qv rxOD9E23g7bCK13S0zy62XQ6tkQM+nB9iaU3ohsJE8SI6VHFq1FG1EjLB8sxKiNY0kOdh9CezOHO i3m/CdoTuMMO/w6vv5VQOe9q6WaoMeAoTO6kkSDHd7n+k3eJoerpIRC2i6uvbr0aRpUs8yw3ss4N sdgYCSp/wyjvXWPAfJ1jPIMM61WnSHroJjOVKeQ3sGTcJY2lqKAarWfU5fsZxw55zgbbB/n6ApY9 mWeeBtWiiHCfe3r9rCJhotyNoyT2FSrjAK3bsCKSmsTVW68Qs3uVZfyh+H5ExXutodQjfOlu48aB l7qgc6Cut2VXHFYYqw1awYCwh1Fa3kUYnn4Qz0KNwuEh1YJhAdAr+sGHDubTDJrZUcjCoy2lrI7N 8kAuA3avK+Z+WG5DWKMnzoKA98UUa01ploi/QQCKtEq8zkZzYI7+4gKUS39WeBCLoqRpXm0Y9M9X P17fqYthvaCDHhLdvdJt53NNFXKxNdAbWc05W+QCod6sDGxKAzntX09cW8joGY0ls42GksCeTyZs M/kBFjm6mdyVWb5twCMyXMxhVeiyB2tjlB+wfWHIVyJPmcDeZgvvLNC8V8J1oKbFOdpYt9oXm8uc xYLHgFDpBabZH/K1k3QT0ge6syrNYeevHvAHKRB7FcQHC/oLBuL1i+pMp1mKIVfeMANtKM22ocwA 5t/jfMA9MpcMQV/6G+X2ff03tjsGjbhlatDpdlt4N1xXYNMqBUf4tdTQO7rpd3B1BgQ100QxDlDY gUHTHF1NUkutKTissIJvHgvBt28pDv0hM+/dQTIN4mdy/PM525RL8OzlVRJ3hJGthWUge5rGtYbu CYE2UPjSPcBnbdoSfzGL3TyDkh9ZRcdJdHZ/80sAdDv20HdIIdZmS24R4uxSPGv2D+OsgqRpI4bN Vuf3q+xY6EpcmBSb1Y2wZEeHmEVCBjIO9lpZxFVqJsHHfjEDo8wyeUwDz3WPYSmg/GqJaLYIX/67 YYyuENJaJfJCjNAKPUX2VmEB/YbxwepGyZDl+Ee9VKcKAQLPAVYYJinB1BAUnNFtLKBaJSaH2OeY 5G6ouPJkYMFFHsFCxinlNvZB2clLFiMwoztyoDomoPv8Iq/QO7kL/XjvJ4OOp3KO3tWe1pvto+8B OMnkplY603njNnFkjeAzDqTmuVHnNDbZlFy9Ws7rNnUbFkrdpEU2BL//sCjb7N//v5LtATxnNqOv vANhvk7ZpX1gLkC4K21arx7VERUViObgl67+c2Fmymvhsc+czB1jDeAhrHDKYi7A3Ieu6++7P5CE o/3D47bC5WvGi2V2ziLQyJT43DQJN44bmyWM9f6Fj/l9rTW9N3V2xX3re8OtK9Qm/CqbA9NaltZ6 m/NIseDFSXSBGfqsd44UJJMV9JFCxSJjlcDNDFyprVzs6l1hCM4rUMSU0UvZQZkeJApm71nlhIit 3lcX5uVaVVIdqwuctxrrGYzon+9k3ZMh6aa2iduPh1KhEwrT5UzlrWFU6s0njZfvx8khQbWVBSMt Yq+dLthJh/LTmwTd7iZvVTWbgz3hrc/e+RVTun+xYm3HCoWMjfea5isIiA8oUwksoUmW5JUg0WFR aOKvoxpKBxGSBkaLoLhWf8gjxaioAAZbJUTT482SGwZrjdqW7Wv8GXx7yueHRgcjuCVzttvlZA7+ O2+aYM0M4UrvpaASMGba3lJ9WQ0ochSAy2xxRk2NfsAXB0PQFESvDknDGtI2h+GKBTSd9CSIJtBf kCzeehQFhaJqHKA08ddp/4kDvKtLYqCtiU787+YjaHPZr6NeObNaGHU0USvqjK4koOTJe7O3z4va WKoqWsciZStK7UtJmyGL6Zi/L4/cYT9AFKC75mojlqqj9nusql7XfRVR33l2dqml0k7WooiwdiXz rN8axjhK6sf0TddxDH82JaBWT8Hu/QtP6Aq5rcpffA2FgfZ+q2YtBrQN4Mnvz3SqGs0P53XVGOtg NpKDsY2CFvIT6XekmJzY8DMbMRZpOpQiW0vPC1RsDwi+gmz5IsTVeMjyFsqJd4o7Q1um8svoicpk ToXDJrOKgSr/TVYJu4VDzEtd3CDdN7ThAhJWrNOQ8GFugbVrNfkXRqr+raISumuhA86P78FscHan UzrFwKDu4mW/4CDLaAkcZQElxJ6JG2WrKQrISWcBO/yqZkHt3bMGmveHad9URQ9UoZtnjJIy0mJ2 u+pWClFWHIUeHKu+Bd6oI9AqDgaUb5CxWBBBJMII3pefOLA7VGMpps8er0NodJHYViKk9jxzEUg2 ptXsgvJE0LiX8/kfcC7DHQQObQzP1cKDd+stAHaEpVVzbM/XbKHdlBR4IWIvlOkv5GgJHjEXS2rp 0CRyogruHTVXTOp+fLj4T4lFzXMZB/KLgZA8GbI2amcjzC92zObdw/67X+4kLhy4WkC+rTAT+cZH tnRdHDA04HxeA3NW3dvOWFHOlylCWm+3ZP3el2Xj/zIT5i4G/BwOh2nZkkwLf2vYDoF3MCdd51s2 mNxteW8SzdbzvvckiGybPlDnFGXqj74n/a4KhAfUTjo2wZdbcXg9MiDTJ5RX1Y5nJk97T4O+cpEc 2w5d0ahArQ6AZLAjSQ9N5k8BEW9H+QHidLgvJGUxA2259NSpxDhzsJcrn4/xQVF/4i7sFqEpKQaf P2oIw3UN5/Jrny/9n69IT/35LvG7xIMirJ6ByfgBLzclaXnUNCXVels8zsVvJprW6ViQ2fNdj+rv +ucrdL4tJebhpzSsICmU/Zy6NE//KvFOc30jrQt3qKj9eJE20rOTnmheNPCi6SeqUQWijdp4ljYb FykAXqTCdbxdS81B6NxpNyKj5btpv3xGbee0FSvlgiUqdkyW/MCFs0r4lP/kZp2pfPbl6vM4uTeg SSGs9P29KTAKNwvpaUSUv6X2nm8INVM/58Bn27R5aiKZ7UmK4lyjepa4F7mxLPK3KHSCjCDeGVuI cezS4Nl9NVWBtYoF5wOGv8Dj5qHUoIib657GSwlldkRdqB3qq7wf0vbxcQk0qAUUMLQYWxz5ovwa 7VZBnyZBbWd+dIIpIY3pyfpj4rug5NQHQq3RH/3ob6Sl3o6eynkd69OH+ln5XPsrnoYpSFJIv/FQ bUQw6U0mXFlb/bX6k+evSRB16LxysMeQ3Z+GEQcJATbgQYK72L6X4Rr6Og68ddSeIiuq1QJdxrRV 1Whj5kGp/9OO3En8KEJnrF1XdRTcCrnQSaaYot/z1GtSQ4urN7R6O+TdJmbGcRzlOt5H+AgKRwz2 g41QcOuiHycu6QiezH95OhjTvxL8xzlm823KTtEhs3vz5YL4IKDuhh4v+5alb/hfwHuAqOwvPYJi NoiVPwXL1OGxN5fTYIUo+O/iTYz8A21RHb26EXd6RIAR8P6UTY7G2wwlSyLOFHY+oy1hSEs/iykc 9axCBvcopx8NlAdgl1ZNOzVRczLb37a14L5qi0CiIsawqTBrenhFPFhA6tV5MqBEf1t8podKJ+Vt Mg08P50Yknz3LNv7weNClVMfSnBkbI3AbcjVnDHRQOZSoaTzEsiViwXE+0IbRmU1SbNtwUsU5nYp +G2Y3N31sLoFiEpHTQvurHHlmTxHlPpGQXWBQzTBEGvTW5V8/koV8/xo4rv/Li7qZAUKdKv/xwRH 2tvUATWO1F32riOIX69ktFoLnszwmtoEcE+31ah0TwQzY8ZAgOwtei9DB21KQzszYBcolKHg4LXw qN62P71qtpOaOtNa8q1OuTpd3TDoJHQXD9lLzf1tMT17CpT/vU6YMJI5WM0Abnueq526y6sH/57a WvJa+9Z7wUfsv91pec/ttGuB3cI3hEavH8xUSQKZZo1ehAWBb5VosH/k2NU+pfdJTFsN2G01uKXx 52nSY5O+YR0lXmFvXAiZXsokak898ZTAD59Ys1rpl7yTglUnRFfqQ9f3I44tcGwpn67zGZJtTyQP MhCWo94IFdmCTxQqQhVTzNtI45x0l1DlfULZI02VA54etQL1cJsV7nVF5fKjlkXvxXvxicnzPsW3 y+7282zGv+0ReLsfe/RsMoi+PauvLSWc+U0EdXIq7sS60SEhpUu/bgsRA8QF7wWo+koK7iU6vCgV 6aetX+N3gDqkQBM1wZCcTqC7kNUGB+B/3I/XB3hSRtfPnwJLEbLN2Yb3yEj7Lf/RZrYIUTZoTBa8 LErcp6sAdrmNjte1VzzQl75+2FjZs71o6M/o8xOKSABaapXnEHqXgLys6Lguy0ZNdbldzRuHs3Yy lj13uH9oKwxxu3FBIc+JNFfQuY4fFEO8kWPXSv3Hp2KVHqlFGUQ9i5YOGslr7+99bq/NYL16pCY2 CDzAmrujND34eSRSlpz/DCw81h7ykloW4OAMtN8Tjqj83yjI1YBKyDV7usMDj8Z2rmGYtIqFsoGv l/J0xkIRKhJMbkxI/ftuXHJoBMpX2nc2qZa0owblN71PbAOVmtUy3dQUChW5rvLjR4d/WmNamn2z 4KwDFFySQVKH5NucehJjSjrMSfhctVLAe7Jn84QNO1uJhD02CkNXNljV6rVL4j5lgPsnhxA1JwPb qIcxXhUXW6PQuDmF77b5V+GVdffOSuVSFNJOLdw+MJp8yfsXQIcAd7IFbkVTQCtPPIUw9xZ+tJr0 s/4tH39NtH0+V1tpfJn2991ieVOkel4Hv5iHWV2JMoz4QscamJIh6WC3ISnDgUhU0R/7AwKrBr1/ 1NtmnTRwreF6Whrcvjra82YxUNX93m6UsiwLeISimmleEj4AWN/gvrvQfdS40ubbqHiTbHjR+JVp E2NEVdOLBvUj7lVwtDfHq6Vnal+kSHvikWftr7RCjGeOTZonB5CKr14GiVKBRDgzjXnmxdYSFivW QL60AaTCOgyaBxIS5/OXc5wrBCjEPC51rCOz2NqLvGTxU1CXL+Yw+N+f5vKkrGHYndiqPJJrpW7w lZLZ+R28Uvr/LkqVFwWkL+FybD6ycz09Un6j5mWLSxrYKCIALsIIhsMPTuV30z0dWom1tdjRiPjE J4z8Knv98QhFZeSebNwNLWAPaedjwQSFtd2PS24YEPtCo/GS6FxhlUT8qqfrsI2AFMGActt2KPED +O8pttMQwNCHg4rDTEF3It/nXED2IzFlOb9BMYS8CjaZBR+yU0sFmG0PFW+he29ryRt/qxSHPfbS bQUXjwyaU2LfmFw1Zps4DQ/NgXIErBcNJf75OvrDIgJn6yjiJcuZZmjI7ubfSIb/QC+TxCxFLZZn btCzHjciiuYqmvb7r37ffs3unUn3mE/zitwDbdrVDDBmKPolcLjrY4ATstzG4/hLuTdu8IDTnWq1 jA6ttnxt56NC2HojjexaqExFjSvzfQMc15DyaS24s2H7oPzvDdNDqIdHWqez1JUc6OR5l1TtETmP PCADFw31KMmdQhekI68hA5vz9XB5Tpow4FASKWEY3FVfeZiZR+tIzGoRhSL2RClRYaXtZgCc+F7b 9s0jusPXWTuRn+iNG20MAFSdDBk3M39ixgOZfENA6FwaDk+OlxYczgoUiPf1pOW8IGSPkA1SQ3gC +/vr/MRmgFWKrGQ0nOlzcb+SnmtNs3B/u/jaZw6/HkxkDdjDEyf/7n5Ajl4HUAXsGNLro6N0UUY3 HqQKeokeDPkMg05tI4VM7jrP/rcsklIsaMzP/lcxL+aTfXKRRFlm/jbZZigBQOM8JK6mtDFhrZx2 9Ca49bjE/qrGknnth3bjh/xoLySGjOwfQQtzd72qrZwv7Z63gLoZiQ2zLRS43fGCeCBdwptd4f/P H4lvAC6dtlu0oKHc+9cgXONWHXjaMws6VSncnzz0FKhpl+co/3DJd91tQUi26SCIFMYY6XIUn59r wvQgIrPPmgy4sPGCtUNC3W7bwAjmp+KTHeO16pggJgn+AqPwgoU6IT6DeY9fu04OAPYK0cDxXg/5 yWro1jkT5waYCAwkJld+sDyrU5WlIeqpfWa1d2sBBL65IoqTnvYjlwZBOX45Ri8LrIjwHIBRy0LN Un0927e82Z2/xc3Gl4354/2MDqBfHr8N2SeSBoflUQP285hNr59L42adU4DpTsNCBRkUmzCacZxi Hx3KT4dAe4C0HqvccVsjfwk6LvaWY2ycX4PDrCAvNY9tWRKbQiDG8lhDFD7LtEZlIB4cFjZJTLUb 0HG8q2rWK4X7SjzUOcn5MHbw3cvZpmbdY93EzCv7eYfEJsYX2RCKmZb5i38zmppQzbUihUGmsLsu Sz9CFD6r4BF8Km0St2EWftypxP8GJ0IXijCeqx+WRZjSmV/4i2FPR/IrTdSKF3d/Pw/yBMc4EyXB OH460zLGOwVlFoBva84cycJwkbby+sjJdhfDtswXfoWHI7ADFxdAxqG5Pi9SvDkQWM4xdhnwu9ff 8Ez3esoJF2qXRZBlJfchJfywRul1h6adfIeD2GGc6Me7Ys+hw1kPuxiGg6O5bxpOMXIQXiQ/OEph DLW5cW4B/BvXeT6d08o8N2ikqevnBcOn90FSVrt6+WHgTiNuzZNIDxC4XQSZS9h/U9l9Y1Z0TfLg VnGQrgovNG5jHqvBDp1PIlpmdwVrj3e3pVDfvR1npMQddC+XVeouHsWzxqGuoFqJQAWreE+AjSAe nJF3UNRaBa2ynYnEflxwmhAAavP4lJTJJKAXcffpCasEcey60CW3AZe8LIJrY51s0hPauFev6zTb DhKitFWJ/+O0nfTYgWf4hvFTPjTQkV9xor+V3iZVLRyqvFE/i7/ttRyceErSToeLeYR11jg3yPj2 Ok++Bv9oYJWo6nuurcmGFHdGqz0+X5hdaSz/BMdEShmz/0sUK9TfaHIbPnFygB69UJnvvZpvv9Eu 91WZgg+o52v7CFLr6meB06JNbOk9eJvgNH+nPOqxkEKoHUcEAloMj2HtEcrBot06J43u+bkM104U TdaM0Rmlm9lrMYo71G5NMNMvh0DBkKWcvgi9Gpgg+Gi+IQHWQR1YQTFCtEmjSDGQdfF5Fwn+EKme eazFiouZ1y8SAsTDkw6cJNk/LCmqXSKRiYpo7+VXajf36jTc0Tlms2y6X0xAOnH5HDpk0tiV9Wuo QuQXP4DBR0t2HR5ihB6q010q+SQWJEpEAuu/w3lsHLNmNq4iqU2clBN5OhAqqInxDrn+l+kubfLO FND0OfjSGcSaQ1DOrxNCg+HfBMwlhAibjkmYd3G3vc2dZPnMYed0GrbxfFRmjzNT5zBVxg0t7Lob 0hKkPonW8tuDJnlRytm+9BIaM9BHMEjqQn1iv6qhIH3DRU9LVHNoJjk0UNTs0LsX/Yw2R/tBXCVr xUozhTfeS7E21/UyCa/ZlF8Mi2oSZ8ytY0VJPy4Qk0UatoudL67skyEYmKddjA+fwD4RLPePRAiC ljkq8yc/Ke9ClO16N0yCM7R7XQYssvxY7RfPsLPrE27ZBtNY3gt6kEEkK6azhI/jn/iobB0W9iAQ 1TL0nLB5zwnTALpebW3Q8kk8jrO1yy7U67xCIegPGUvqOVvFo6Jsvmdf30tffaNSNtI9VKQf1rVS TOInmE9g7GES884UwStMZXEdEeOCCH6swFdkmbmd5xQjemI5mpxeK8PR7zLHBAjPBJ4sIzVWU9Vt kuFiiBY2D9dNOlFuTaEnxn2K6hjo7tJpV/0stEscyJfIC010P9dry9LVovxBaw6yUIEHQExFDkXy yUmUMiZAjCd3yy2MUf0GdUNacuX5N4ASFUpsUJE5YqUljRtz/wW4FBuTfwdqBU4W8/Rtil3UUKZM oudDe3i99jIBV3PTXfRwig7/i3BMUUCECH2BFihCAOT2u7RGmAaFfg1TayEBuMGJahm9eBwjvyvn ZENDtG/3xouMipPXGeJT0KnrKOEARez9Y7dHAB/mWyyH5Gw+7+3tGwSJ4VcP6x1NbMIPQjdKovLS I9Lu5CUuo074IUCLKFr27qsmlnIWP9nfgZl8fJ/h0t65tRkVS7/ZAb2qacKghz6l3U1Zg9P1cNkt 8qGkNVpA3WihCT4snBw/JUmNDx/8GIoz1GhEWPwGyYNEOEiZFbaSkh5CetX3dnowQkHnM0mKJecu BjnekvG55fFog6j3q+UnnoqXKjJaFMUNhV0+wpbUq0Daek0GLY2AnohzytUZ53SvxJ/eAQvABeES VWVj6SKJqB/RagAf1mmT1bylgBtS8Lwjl6a70/nSAL9C6uhWH0b1fOpuwDHoYAsk+2Zk9x/oqNLX VtpTTZ1Qu1mrOu9jGk77P5fNAp/zfxKYAW+hORbq/s40lAaL815LNw2kuCgzvmUSo7+5PHtDbvMC cJCTmtL4HGcJAm5YJVG52j+T4jIhKXdZDh1ZHKEspI7tQi5pHZMERuZLGfEuUAtSU9JgRkSJi0a2 EYDH31a0F31JbyaxQGFnhtEYh+xuULHMFeEOyTEycUZCAEl5g1PUlO3WS7PEJ23FZp4s2r9IWeI3 rFh1B0iFI3VrXLUNtWV0f6S7U0i8Eyr6sadIgfE1uHUi0/QegP+qtrIF9tOEqSog2XabF3UGhz0P /LBNTp8gL73XibyT14lycv64YQLliPGc9JVIC+p7AiacmiqeL1HGhXtkaEL598Qga/jahwHdy/qA wwTH9TuoU7NZlBzUy8y5RcaYRWUnzBlIWHSnTH3Oak/9UXKpu1CkVIV4WVIfXc920GGPWwbBiE8i gc1C0gb9AslqOuBGICICzo23bP9bcGKoGitw7RMDyk5QzDXMmpdJNU4upZdnk9jIgSvzU2mZCkbJ RTISXPa1CIh1Hszn8xDw1sG9s90OkNcbIy7t0oOF3yLrAxLxv/IZkGq6Mz4X1/kTadYEARTX0CjN m/kBr7m3rN44ZoEarRjae0Lcthz4CnnAbD2apVZwy+epEldl71X8l6MX6Rn7rEO8zDl9XsNK0gkb qvk1HT99xzUGRuznUWg8E2l3lz2NRi9Ruot4ZbXPWs68zDEZkAfr0HytAdkgJSVoosLUPnW/jeJh B1aPFgAgcSBh0I2J+tmigYJ753sFi/phNF1JTPChDjU/D2Tndrw5tLag95uDgELvwXSqTFdl51Ke nxYKJYCYpc1k3KVN0v5DSRaki+doWUVjfszZhfQOYbQE+MnmJaTyprhYWRwe3lQ5xHuszOuxyXzB rRuQ46yVVeqD8EZ0UgDDo05eGKaMUj8JxBO78Xw0RY0mzN7M1Y3+VQZr+i4ZgXRk72tRAb7ozyt3 CSSKgZjGmVKhHEJst/IOTScfCHEUgdIXskR+rjEPumKOqiTmefoajJTmioFDZI5uzon/JtitiiDx RtUAZSnL+oN5qqH/9UyzDm3fyDuPUeErFX06j9Al1hJwcxN5ADNRAfd8QIZ9eywQMU9ji1/w3ECf DPotj+143fVeGiXWGUQpNAbDMKx7DrmDAl+MV1E69yB6mrx2WSqHvTBKJ5I8e8SeohuIjB8RaBx4 aC2Aw3B61JK/KFkQt6ivyqCnrlfpr42S036qcCM1jdh9qleVNuwnQymGBU4G3h/f1PrOYcupK38S nS9eQIcW8V/dBKjSMfWuZKm4+vYnvv3frhCT0gs21R86OsD88V1mzdz+O4i9+BIKnZgjOiCRwmR3 eRK+naVHNfyzRp5T0w0PUlRc0w9eUA4+/uoszBSaX0mmeyCjE4JMl6a1kDhOXNOXVrQHFZ8/EJtN ktN7tykPkPcSNhIM1wp+pvxUdO0C17CkSVAUUAl0iUwuwcNukk2e3FtST3RoPSjoIYOrYVF8eR+v XHSg9UqJ0ImuNyB8kX0v+PDR8EJcq74JPdXA5wNxqBx4jFF4o5D1ogP9PwptqUQEE5aN1ZKqQxNv FxeJCd3aTsjXsE8f0iCB6peU6/W+Uu/uPJStdxcJ0Ej0irMtE1R+Id8d+UEkCsE6gj0XS+tUGmAF zhjMajpKwHzl3YtIrD/sJvt+OD6ErvvRB8ktNfHRUSOWtzSf3OMn7ubWT35lej0kYpRs+Mh2E40Q w/6t26FERIFp9GHQFFKgxSEPEQkmIeYN4k6UJb2GeUiUdCPDlBOPhtO7Ve5zbis0hcv20zJIIkRh DLJ7OL3iJWg7JntNq+VDDHGr0VPHfA7Vzh9yOt991t8Sh5MGYXjgFQm/z+LYvfc5eIrIpCmC0pDs xfDcxnjD816e7BLX1+ZD5WB9jIloe4ySeOFXkCOWpq4Yx1NlzykOB04TlQFB9vcidfAQqqRUU/yw 525flGelYytWwNUQrHGfE3svQAOFzDy7mcFGfrxWmT852efpSwUqqvXT2L2KpWxYrQmTNHhE/GmB 048R6AFIYr+kaN9LaUMys+aWpq+8RpnQVHVDv8PpkcV5bHXQfBm7wM4nUv5AW4fV/csgYdr22XfF SXn80bmW/6cSl5EyvjWJ2YlRpA2JtF8aMzcNgcjRyB+3ne7/tD66yCg2TrzAA+p2cQ2FfWt13aBP Do8yf/wGcfhMpJq+Q1nC4XoDTbDHwvBG+RTDjzlNGSLZpUqCcxorXswnv5Nurw9P+UDn71E+L7iR DT1g8t83k6d4vpWOPbgTBHV7ZOOrda0gFIAs9LKFKnkfe5qSaIuNQ1UYJJzIY1NBTqfACin/XX3o ru4eEmxi2vyMNyckQUYfyFXAFVqvITzS3THAtEzgI/U8vazeU5T4lRmb63x6wEvvCaAGNQOc+aIP XSMRcTyMM0le/jHg0JChaj/YRacZhyClay+AdFoL6pm0dg6zd6mKQCbpv9vgdRPhDlkOvIXarbvW fiA9Mo9kYcmUzBwGEIJlwhxg3jQDq0Io9jg2TaXcz4UADYOlle6k5edBdfIre16Oi5xWYjvo6sOx opwx/MaUZ8f05HbNPTBkDrZXVOLzhYcPZRcq2Ezs81sBcnpf0e7CSep+yW2693ngpV9TJdBUfeQm O7WUtZv1qtyOO3HKGDtNmn1YUIQgiFaNCyIuC0dm+eiCrmYffBdLAWxNKBxRI/ydz5Vw77s7FtL2 Qj620a+Bp695Z/3iIFGbkc8Mfmo8QcNHcQewh0NA7bcL/bl/XZecEXSCTf0t4VW5AjNYRMaPO/Qh GJ9fsqyU6lSk3Gs31RW8txw0S7S4WLmZBXf0CayUhzTlSo4+we8/vK710/QYPV7d4Ds3qDur0loA xUc46rrp1kQzC/xApJkDdtgzkC/J1cVD+qfz2bxNV+QRsTnsl9ai2mO6ZkMXZwlH2i2Sg+EoBMX5 2wAwxum1eyCbIoxkcK5evQ/TosIA2gNZPms84MW9HCNSdRkbNNLLC6qH6EIF9N0Hh7ufkMnmLTuh 92iDeuIipAROB1Nf2YPH6VFyO3SvrF4chzNP1TThF+oIMSwqgLhd4/tKob3h09da/UTb0yq/uHED xwqRgD4yeI5vPNbTmD6EDdFm7TzLYs2FnLov3znug+UudfRAwJ0huoyGR1JM8eIgiPR9a/6dCmvJ lGzBqckqfnVxrwMcOxWdeEEIDXANGXRDSeyAeVt80Dq2Rz1q02rjr45GSv1GRO2zOD8eolP8hLD6 S9fghtSC0L1PPl6BkrzGaT9loTOwZlcBBdX/E9H8NNmcBLx1P90WJTojwV+bSpYs9f1yWNN7MpD/ j89wNasMVbBhcSfjwLS+I6T2IH9/K16jQWyRKf9R0ICbErodCaFxpjG3tkslIv1epVp+Ojpgarxw mfqGUM32cKrPROh7OAIUckYWne0Wn2tQ9GdsOPEPgjygc2GCAlr1uiDvn8z9pJh/YuCnkiaBiQbb p4oTxmEuyeJK5bk7QoMIrCSIsTvX0fIY3t6D9pk0lfSqQKWuQy1qSgnqPUypSKbRpYcnhtQ+UllN 4cuJAuwe/luC//sbNBpqKRFSONKqegFxW7ohGohucZmodaz0OQM2I1gOSLpg0BPX32sNxX0IRNWY AyCU6xKocHIkDoI2b4XTUb43JeyUnQkVO1iekXGIscHtvWknRvNt5jtMjtHJcHe1/Z25sSzKr0GO 0fFkYLQadRLGJfMdjss/7YKkMWQkVr6IgJ4EoW9u+XvcCNczzSX6Wo/uCF1chnhw1o1yfmM2irJP FlbqJcYRv+fVQIX0HSKTEfRgwEEoNaaEYphsb1rkCL/IeWK63p/lzybKPgKG4gm6NQ4n57TcsGgU eK90KshvU++AcD9oYg43fRQ3OpgYzIfNSJ+NpAU9wVA9eSgNC6lHTkNZq67+zMmfaXHjxySwYmaQ /0AcgrfvUf/lPqNeWKzHILe4iKVh+joE3OCnU7klJBKvCB94yHrwQ+p0F5nw0L1VRsR/xz0P+iYq l2fHfVYidZTfKbK/5TpldEuD3jsIKLW0P91OG1BZTxNtK5LX9QFJ96+pD+OW6vcbHbJt6tPaFnMD 0AseNU0X8D/QHR/D4ZlbVzQ9OJPTuxyhm2t/vv6/oynd1pnyf/JSETHJ6Llnht/aE4GiofVdMj7B bufkitAE/lnbnuaSYDjcZ1TYuA323NQKZiQgBa/9noamfnUpibrJZnhh4no1twvgjWPCep7um3q3 h5+N76ii0bnDzPCAq4z0nGQpEgRc+agXb46aSzChZ7aL+/2bhM8dveLyi/eMGtn/faM4cMGO0J4z kjG/j6bkgp7RwjyTXnshBL1HrAGvRDnD96lRGi/U0j/m2HtyBttuCpZIlBngte7zvoYlrmHaROwF 09J6PgkLwNai2iq7ErLrLESj7qztJI60o1hEKXmarQvSnOc5XHmfPrdmAigygNtKZwiUR6RA20Zl hxZmvol/b/5blyPHd64D2asarqAIleVZvP4YoETwCSTkv3PPkBd9c6IV8I5lEvcBgMK5THtpF7nE zRw6CqzUZF+ymLAuLWWAlpLDN/KmYuEbGeT3ixSG8a5O2zW4UozBWP77sSOtBEaHEvvSJjcQ3T5a JQhmBjGvA9Mz/yIJU7/dKF34azvBsifjF8nhYuli7wdB3CRBeqoLx2D2iemVpmkn2PSGYpumYc4+ GsopwV5PWXeLy0Bf38Cspp7WCjQDhbVKRDc5Es+TCXjP/wCTB244nDjzn6sTnMwcN7bkgiJ+n6Q0 vTtTXq4Ec9WRk+3ajKJujgK+b4jF1qSklFUI+TdalSiTQs69dfgy+tVenlCAL2zv1gZL4sYMI6t1 jQ7Fmujstzyv534Aw+gsO4yTz1YgHKGFz4e1DhcR5hhzICpXT//XL1voWUvLQfhc3efN0TKJlNtL 8f+vD9YeoKIf0/3CZ+nlHQ4pJMpiIOA+X6VmNY968HdQ2YF3tKqgCaxnoBlVHPrSXcHvejx93DFP 6j1WpeAMuJWmtMaVDJqrKytTa6u++cotx3WTzhP+CHfMBEuqOM6dDGSNrTk8pIyf/BZg3EkQa/Id 4H9fSIY0aBU2yvvdcf3NH+fxnwLRhwk/m5N4THAKKgUlifRsotxakYr3oaQRZ61QpFADHOUDIAeo YkdqMrD2KLr6I8KqXcjsHLtuv6ulfk5U3GX3c+bq1RrpfWaNjn8Hd9pSBqEnNH9H+VzhYTkrsfG4 u/QMzEL+V1lvgy4H9dcjnhN2LlsaVqHZACbY+RNvEXTvFIW9omumAo+dOgaQKWBt0Ma/gLhNThHA 7vHFHQkWuQYOYDeifwv97Ba4JohchT8ykL6tldZsqe5ZUNnhNXXKnEzvEnL9IJseMP1iEoFmAYgD hmrHHuYXQncXetv7Idi38zTOhXXE6B1nkBi+yVK+SdzuhgY1nl1Gj6vV84VoT9Qlbfu7o3XEciVo q2xYJiTxat15UOqCl48DovVe/4PNpcSSD3zoyNdONYhR8/YSaPDLNlLRwMmO8bNICUi30UXMpe4U OXVmuTnbAASbkf61PzpWXC8hPpMMLXEnjgmKeTuElS+6uhRIwVPDIua34QfMReR8P6rhBJbVaQfm 5K6dqJp8h24YPelOffXJMsYee/g32t40ccb4GXjOM90CtnJ2zz8srhL26JKFAW6B/yUAt029HY2v mFazecOIV+6E7I2DLpu3Oh76yOfxfaKSGzmTE4+emhU7AGkRwvOt9+/yuhNf49juishjt+sZ4CDi pn/oeR1/ibCPuevkUOSLA8zdsBj/h4rzrX9maHg1sYKKhWATDVXVlhCWVNvyCcUxrCh5pfJZ4lYF K4LkCa3uIeYAT0tAEOhOuDnQWsexEsCgMy1dV8T41Xzqa8HwZgJKYon4dlJDOF/iJnmhpQoBllwf 0fgJoxWzR8VoKUPCkCa18X7GMc5xOShzLdaUmjlyrkcnwOdZbVG+j+W0GdwNiSPiI/QdYV4fdGwA CHwuAe1KU0S794EIMzJu7+FeULrDEe8yRCog7Q8k1s2u8fVDxuXFeti0Ou0zXgNE3wL8NQJU/yC1 4W6N8cLfqbdQlcHafCSGPxe0zgTm1zB0TeCp/4e92KcZdQxafEagOqZN8eYBe5r/JOr34dnFqaRy UOqAwuy109hJCFkWoj64xokybl3YNshqnBi3eHhlvBmZHkYt6RrKCmbhahiql5clQ39Box36sjmS PzpK9TVPtXKSRBzCXH+dlLa+pS2yZpzsBburgp+FCDdB6UOJoZlFpLxVsssUBYnTqqH5/GcBPx25 cfaD7jM138jA3TOWROARKMSahGa83AoVVZO+48006+bawCjB6+7NUerjYjDiKjyq14DYAMWawpLE 5g+QYo7cZFbsS263J5c0scuC150cH2wBVTWanMAJcrw7eBiGz9kl2A7dswvZCqmDm9i8kR4AYNv6 niqGY4DXBFO8+9hsJx30vay/ty40GAfIL1kpFWkd0TZsAg6K6xu6s4SnUIoYI1/s+J9XNXX0wYm3 NpEX2AbdfvBr7hbZ+vtMaMm3zs4NnYSvUpmIdK+iHRzs9tdzdSE5PqLlGseEsfxwBE252kOdYAh8 2AIPoeHakRju2VyDBfxqVnVSNiix6HlA08lPIOHu8mdrzdjiHS+8cVeIUb5QVvTtDjQA5Ywq7BWp ecFt/zFl7ei0Kxb3wg9sfYkSUrwNMh7PRBobW7vTuR+Hxd8uSwUizKo/GJDqNVNIjacyvktBAfUK f8tMM9IKni8CkeUa72F/HbcP/mKP6RAq1wFEWOfCTkBLY8TqsKEdsiKe+AbNs7YNvNLDmoew4mWm YMpJvTrfoSf9g26Uq51EagvO3++cpfQlwOM0K36Wy9RtX/uZQ29BaYgZVFlVIcagpipBPToYJSVM dyp7Pv29jYS2edwQMEcEv2QmWP85g9ETjeXijmQdKg8Hr9cxN3Po6f6Wb9Lm1tuXDkyD81iT6stE 70h0YxzetZq+LSsi97V855nma2mUUD/IwjpoQdSG3S1ZJBujniamgZpL1PWa+n/hY0BOuA7xMMCq u5pnMfhJGnNIS484lsluJw6ZtW9GjO35e1kN8V8b0RlbIGN2gC7qAZkIOLDF+qkeVlmp4AHCLx3E LlkrwqKXQSyEyUd6oYky1WI/NGNTu+SitZyr9clfeFcotiHzBHb2s99d3WQv//qhf6yPtwkj3z7P kxvbOI0Eke7VxnwAbwLx4gqBU49abAE0bGF89v9qye4BXBPrABtTu6C0EwWCDjZaSQRKWth6HOyj qSJ/u0oMRKJ1WYERCv6mDjtyYnZe+Hn6xKC2tlV60VyYhKnfXIVnT71V+A+V2xskUXkypFM9bDOW dTEGpg3deTWWNr5MAz4stDg2PegmKusM+3zyMdUNRBrPhL0VclTNvlhHjSMO2ESstvbEePuIkd/l JRdee62oij4uTE/2cQiCCFouGcCUFd85Z6hi9O46FyBJhkVpBoTWOexbqYUwGUtW0u65wbzAHViP CS2lmqkIcxXZRUGOQffLF5F8dHNpRYx4F62fR/i1oaeMyKgvs19AaweY+7XvO3dQliPNL7nJk2rc X19+GGEvI93EiH9KDx/07C0HvpK6f+QmqESju5My1yeq2u254Y4Hd0p932qhxuEkRo1fUzwBd8o5 zfXjUKyAH4d0yTo6EoPnnew229ePQVuEWMk4cF9AGiwaa6ajgoJrNqosNc4eGwxD3MtZVdG2F3wP JtGR520SOo93V4b/05vAWVlWWIL2CrXIysJ9OFeE5hteu1a/VkBkZRjaO6oCK2n9YmTits2aYYI9 pe1PZOKc0ydiam+8S4GWLoM0rWrKc3kehiGgD9CsigyCogznLRhUFsPeCevCSalE/jfjCgzyWC96 NPKFrwsUM4DImbKz16IH0koz+QGSntEk1hMTybmnZW/wsKszDomI2DwefaNCJna87ws5VPOpvgfu 0y/rydACsUPTZh+E3mmxBfFSy3vNgD7jLtImM5ba4dY+cANrV5KuaqXlTeCnde3Uzd7xhMtZHkeT P5WJgg39804HaCr4UAqTBaEMS/tVwigbEbjuGu2A/D84mNsD7OfrUg6GW8VYHUosBh/hj0JyVXxj f/0ZLjgmcEFeCxrCFcA7qb3C9+i6AQYoTZWS0UgLTHltoiYEmkK1HvdqEsCxwheX59NKuASRPoLA Ask4KghDFCL58jc6eYjxmFPOpZLCx2M3a9ZzXPoj99ejEFiQ3j2bv+eZLLqcoiMN9vHTLubmdTli FQIymZz7qe58CgoGcwHxHikAvrXCiAr2ZLYmugDD6oDxnFCC81gOJuH68bROCDfKdlX5HaTwFGtu ys+U1mBHx4MFM0zgD/V17Vx+rMUWYtP8Cj14cVSnIKAqcS7BhL3gjqs+DG/ZkHpNDQib2SYGtjNq q8QzbSsXCtnAt3Axm9n9KhWWlh9emFML1gEzmwkGQ84NWcAzXMqy8Rei7Kpcfd1W6dE5gPpedgHQ Nha6gbUmCy7zeVCJ+VAIDXMcaY5eJm4d1wShUVwsAQdZHpr1ZR3feTRgR/7G2C4X1/1VA/DXqy2z V6i2C/aFqkdCOzRudolOMZmJD6awoOBueZFt23RnUlwe78wn5i05HSz9KdKaBq412MXC8t6EQgfQ 5Gp3ZQFPszxtj15R79VN336wMQwz7Dti1oS3mcVCc/ucGw0+BCPuyVNGj7AhEesivSWdccidQBhn q66i4jjbA7TzsSmaJIwT1KqaYIRBd9mDvfuv4vaG4AN2VqSA6u/s7UaemEFlteUhGYD4+gEQ9Jf7 2jtHTOL0mCnOLNIzE/+aTbDxh42W7ztqQxzy4ttMeUeM/M0DbrKC2kZrRb1NLk9tpmZLjzY97YPP AApdCAoevQIkyKwA09Z1lqxggfXrvMhr0M0LLJyfN+U/w0MsBFNYD2+bLPRYAJuS3ld2fiodp+kQ 3ro4P8afI8C4FDufL1wwwCozpxkO9+QGwHzhDv+kk8GjZE/MzPFlEMUaBNOcZW2n0pLcrJHxh67P 7s8cELXhsG+7psm4tlVVi9xs6A8Ktsw256jXUqcJwLvc3y9SA/CR2eyHslq543OSv1Aul4MiKsDr ffmfaZlQInvBEmkUNx9jrvOZ7l8XQue4qK5nxcmfzGA0hiFE8hnJjY5UhCHaEUxdi2nKwwOp5V7Y ftDX99nOadrQ0YF338RtoTmfv+5uW8LaQqhC5WciM9pSzg+y3ykpRkD41oEz94dVYxKdB0AXGgtG CuFX9vnfo5ZqZxGvXV1o6qQrBJ6AbR6EHbZpPyj5+OVziCvKNi/65N24+XD6NLaB+H2cQ/ndF+E9 xQR6asLgSVVnezfTeyMj8e79DxW2pULt5as1A1v4JtVHpbMiRVW9ABSSg0Js6qHeUCdz+GHehioM ChqOzMh2bYdRZguJPcbdX78R/2GRq/jt6rE/uOdi4LRyaAS1u4q1slBwk5qsNhHQeeIqm1ZBJlQj cwHmZVhOCjolyRfPwTwDBzUCe6rkHp/sSq4GYHZ/l10MvDglXyvmNzaB4IbesZt+XwJLnCNUEwX6 z3uZ7aZeb71T7DHlRLHY7XiOopATnBz7F0ZUEpY5Uc3UkNnqQMvRSrPdd9U0OGh7rKsn1TxIOAym 7l8iwVCdjBfxzaPEMfD3XFxOkiY40XGmlVjlJ7oludZO/5MWpyjGcJgRr+jXNj3KxlcbBeIT7QjK feIGb2TpQwJxagmh598YHd/RUCYROqHHOoYhDE8jQUXcQAK8oB9IWVlsTj6YzKVkpxQ2LgUR/x/F jJ4Uz1rFzZEL8wFO3O3LI21fsYG0QnroJuoAhJEmBdZUnEYm7McklCHUEde3HXO0NKTe3RP2keln Q+Qxi5Zlv451vIJsNLxI3rxbTh3TInCXpx81bU5mo3Aq/TRGdbQK3WT2/ck5AsGf+FwwRB8TiU88 M4UDSnjMZKLGs/MZ7R/yLM9t8UZlc1dNd+3AvlRqLGl1Ksdts7WY5F3bZ6jhGEVVdZueLLneNcrx jWjVRClwYn8D7hht0K9Y9dF3hd2wWlgojcYagi6gD4IjimiP54U6aR2MwpxBVjIEQOxxGm5Tgmqo RbhLZd4VcINNTmtE3BFzTaQHXuYD7okFf+PvJcC3EI+gQnTgq1XeGwkuxW2SnqrkrykBAwNjNn3m y6krtaKLkBKW5zWv9F4DiJdj9PL+tCkqJrd/9N7z2ro+JWFNB5146jyQ/DlipbDeWIzoP6AdrKGw yBWgsYNPcQk9Eohdq/i7fmrtWzsZpdT+f00FzCtz9HSXp5VVCkAV2p4J4BUalgF0nYPZJkqPQkEM zerKNVusRuXIO0zOPLVhdQBQ23ivXaTCgkus/BczEFXtocnUFiJZoBfPGAX9RHVht7tchf5QD5MZ xwcojF8sUhT/3HmKi6jb9+JNAtAFykWByawJEwfocXvrCOpGraPBg4hsdkT7S6VOWqHv9H0P++qb b7HysCTyE1+qG/Asc8KSPxiPKDYJEhS9yrMkcngi9m9vcglMxE+uoKpxdDI7ITrcjn+pNwe+sisq Y1RCta1+3Aw+6bzGp7f5qpRgHfvpeaQOSTNJTC5c2ODSA0MTZcg8gZGr8zs1wi9e2qz0tDbZ6gLs 6P2Uo79/dXpLB08YI/r+L/5Vvx7G0oPN0bJAjmhKUzntl8rWfcFxzFDCoqCwdoDbwC+0Mkf92yV+ RKZZQFGIcC2LmNPapAhEBFW8rijYSLK66PlBEw8VMbvUMYpsLDLXRQLyYk4TvGha3yOLh3KdAafs lrJd2KFt95w8GlPNVk/n77MYsxAXDwa/rUj/Hw1H1a6DSvDNQh6dv0gjuc0MmxIX2fX/MDXnFf14 hCSp87sMtbfw5SWyW2AmV9P9K1BdSwRs3J2glo6hOKHsJuVHDM81A6mnRNjW3WKeRlu6JH+PNAkg qDMIdGPI6JSzzvQ6mI5ivRneHDtjOeScgh/vxssfKDnwqzKdUmq/mzWmvW8f0Og5pW79td+u4vti Mx7QrPwqPPwVVOISirPWBf6yJ7QpSQpbsHrAMeJ6gvfkpJl4EpkcZaD+aEkjHveFqsimWkOdg9TI /CPltZEtCKOHWHQvq4WMpxEEMuHomy+w4/WkoWNlSOKRln9PHNEZbJ4Wrxr0h0+HB78NNIUGcdhw P+vMuBey6GCsRoW1GUT1/W6jv+bL9dwXFw2y6dCSNxi5zR6bdvXmV7Pj+a/BRrYHZ6yyZw25oZMK LQfSqaGChhMzHvzNt+8dbDiXrLPFrf08Qv37s+MeIS0eNOlPJ4C3FE8utx6MfoaZk2QtiEdQPhVA ACej2k3HvX3rv9Fgg9LeK0SpBEQjqayz8/Oih4DWeL8GnCptM0IJWNUojeby6SeAFmW2T60SzLh4 52x/09hEycMCxWmWHsqIh9udJGUTMbqyQgYi4ftgYjM7Ib8U6JkMS1ljfvC3tS6mpVRPTnK1Q/yx Q+vLj6+y6+v/mp0KJFuB/crUB8XWR0cm0IgE8d4zBBwLP8gYmBBNVRc1C3QMUcNZiqzmVbe1guTD K49PrxhwfoUACdRN4+BUT1AMWGv/+38bFiDzbZsrwTDOCPS2t9hsL9eRZ6gsO+G76eTnu7DL056S cF9tqfWWdn6PUJRo+FbneZtddY4axJ9ZoBqSUWhgPjEq2lOa1vj44lIsHURjN8pUN23MltALA+A4 vAwa5hwBGO3/KufV5tkOkkGsD9KgM3kdRVcteDPpbWGu/qmACcO/MfUBbdgJ5PclDuxGRnhSEtqF GwnKHKEQK1yp3P2plxyzataYwYE2H44Z74Yon5WMIMqa7kBMqXHVuURLI1Deqwxef2uPOIWeplG3 VnBc5VwgTn1/nWH9ImGFBmy+EhJX7nmD09sYw2GZZCzZhpFbyUXoSwO5qOgwmv+SX8dYTm3MyabF AssiUvxfCP7xTI/WgHoCBngQCyjPwUBkBO0wYHCz5TDNzWskxA3FxAWMYcO0Yf8T24h7kMZJd9e+ VkQM09IUMx5f+jxIksxxWZ0ebTmuXMdYTauSoki9TuOM/j0Peher2Acku+I2njB3bb9FHlEjzp96 XRYgenNMOblEqRMLCdC8KdqqS1LJ2/6mRQNAvpoK1E+kOy8bCaUlUe3BTTu/SztEhIrQ8b5Nhdeh 1PwY8rQ9wsR7BtTxoucojxuoZV+Z5ooaNSq8rO55os5ys1XwREXnrSu/63RT3ixr6hQZEEscovmz rLXe4ll4SRBWRfJX7DdmcrgKUkrnBVXGoVI979rIU2X2x3lbMQAXK9ufOZal/OxGxNOn/q4tW204 qytpJoHG4uhfXnXKL2gKdjJFpboC2/1GPXdSeK96wFRYWtRb7IkciIUQbL5wCqAh3Isy2pSGGbXF Ue+Tied03HIfnW2rZOR5+XgmZRIgW7qZGZjsO/Xhli/2omIc3NZVjeudIc1nAiYTXKsbNIjzQPGU W3e1fRM28IG8cphYw0BMTJ74bwJRszUkH9WnQ+Delh5LRrO8nv/nCLOQiqm6be8kA3qgWYI2i0N4 68r0QXSoK62oRZmqVZuo7/lUHO0/0/AZsV9xNDpVb75EKp5pPW8u39llaTtqFkikzLqDj+hbhuJ0 FMTKdvnoDnAjyJ5pVCV4Ewz3MeYiYdz/+SarnleBsAayHpXo/owigttG6y+5oLZ1NTTo2QVI4FeQ oesd35/kc2YpSWfCuFKYZvJbg2fSlP3WyT0ThXyrmz4ILQmhL4XIvX+1+jmNBA5S3xeXO0DjTvcD 2Wt44zHzWP8U5rwnWoZPRy/2sjZ7iHkgEX6hTrY57bsFMoAvzYen0KwOhnkqPAzGeaQMiGmGPRi3 RdTOB/Vhp5lX6NzLh9+eyGgPo6p7A9Btnr8hLnANpdo3je1YUz6sD9aKHUq2B4b/uGEoJYKsb8Q5 8yoaDtb5jdP7/AVrdQd12oZ8CeS6QTQoX8stjOmXllKpV3S0+NZ3P2Snaq6p+B2eU+hYNw3miwPH 25tyFQKDWEbrKKHdgiQOHUsOqWYv7A3+BEK0IG84nPeOfSDpzEvp1JUUh5XghIoNKQfK/gPywg3m xTZUvfHZ46YsVTHQKm17GZ3wlYQ0ksL1i9iB9urP9raHW+8Xy4+AVP3NG0gXRFYr7OUhnJqz6LaB nHD3TeH3pqGmMm/NOQFIAzhez0qCbOj6aSHfElZiq3do7r900NFdLWqzTvSXeoO3OlJscuUwAoVZ u79n3I4335zNxkxu64dPcE+P5FMjIDv7+fqt3M9dNEyLElvXhvsuiylsUr16Osf55K9qB6HwVQBh N/qTrDafC7bR+EQJ/H93WfBPEtE2t8rSkbskkjwQTG8vWm5Q09fBQs+nJ8YsQ3YX+ttngEKnkyYX 4/W3EtHGRGvztOi4lPiYV5SGdhK2iEWdlJBmpXH0EdLgL0tmvGhFqkuPRp5LyHbSkHpcEb5G9P5s gQ7dm6HxFLhdz/GY3ZUxmnW8ceWCsCoJah8zlctLSHsMcUfesF1WQmXU5slPsnt6TlM1AvmSBQ3b JxGltOIqM/7VfnEQsRKr5pBGXD0LnTNqtegcwpYN5JHQjqXOEaU5dg8gYzfqt0npHey1i5DdAMw9 QLgATIj4D0gMByXP+N49QXMvOVay46TOp2f+thBXZB+VvwDYuX39v+i3YSIAsvl7ykw9JhhL2mxT LdgvTXAOrPld0/e5opAC8jdOzXsecG2WFUPLSAcMSoAqd04eYPpXzUxxXN+r/dCS7dNSKwBW8WML 8TeZCaDMhDNTPhzR9KkV/R79cgZKim/pUBWG/ESfmkDwynMsJWr93yOJJWqUNNGFfeVzWcxwpS6S QbGYyOfs/elMVCgy0BrSHNdVi2folmYwMGmUC3WexuupovIx7UqVCbkp8kg2EQpn71ftd21I4Sql VOJESO1riGOi9tfO5vP63MidwrB9WBDgesEf9zp0gq/Fe51eSwAwW49+mFehSaQeGYEgXu8h/IEZ Mg4iTEBjIYrl7kG72+eblKkJ/ZHFt3nrntuDUaCYShZxaS0ZtTeqAdXbp+ukDFJ492ZzzBqoqihq Ud1J50rGC4OjhGeQZ4WzpQzt3hcePyuhJ/IRbN/Q8WHIgopCBeK/97SXJc+PA5O56yXKchvPhTvt V99wOjc3TtDNC+ULkLVjpE7oJFlucUFVQms7CFCZBxr631pErpJby5TSV9d7BGp+x5BaupnxTd5L r0D2NLBQ3b5Lyppzh1mObahcGQGhgTYjdcZ7kbIHLiB6fp7SdENOA7/pNoPh4mzSor9bYVBh6Iih CWndwUDEh801yahbzGTKB1/P+jNZBPZ81bl5VEUEeGha8LLZ8saMMOcSlYFfKDiLW5nNVmevi9jc KIQQ7kT3OOgsADSEoEBqu1rVZTmW1g6R8+qOjSMNdnT8wgquWHQJXLmp79ckv9/LnbqXBGmVY2db hMMsiuyRM4EjBewwdENZKv07O7B8Mqpw3C7JoHAXQ2Pvv2nlc1mVvoljvlQktGTyDLHauN6SpIpW t3uJoOLoHMTOlfq/E/nK6KVgDKYX9TDJ3Knw4vZb2dzQaabhMKBkNVzFThZe45UxG/elf3Z/oTHq OSzcumyAqZx/W0/DIn9y/czu6eQ1qSXzHNLJxwyEUm7M7Rnrn4gA9Him6nm6YxFisLEQsurXNs7b TN8BuPgByXe2XjXvQjUQW7dygJ9XeLaZyJO0AkddWfNrW9cF6zcYnr9w4/TWGX2t2JM7bGeCaEJv HoKTquJOWNQsBJ3R1ootuZbw+oj2sawv8jcpKUg55N2WTe7Lvh+Pot21fEo5lBqir/9aXioIGkXK Qtea+aLZAV3m7w72OCLZxZS2X4Jo1/MwaKYFvg98QoePylxhommUoPlr+vTOtHIsaRcuqDJ/401J azFmQ6EONhtbl1le5kF7ySOmfPMMrlk4MpNETs6X1JLuwHWIMSVhBnxVbcUMYCrGWWBZ1oP8I+Co Z3KZc8IlJk3vBAtqW4/jpedfTvhyc71CLg6r7Zf0QuV7fPxozsnPzXgFw4xYa1IZyc1d9d4ZB1fr rARMdKsYlz+uI2E0Ovn3RD+LQ10vWGyuxgla7YImX0hhsJN4eypASxPHq7M0gRz58SYBwkes9RYx 5BoytHbaJg5fqgmrZ9nAMaI11BEg8JK7lkSqrTKk9pwvEE/eGFAqvNLT2Wa1PP293A3XVvDdZny1 eTplC8GOiK87a8hesDR5P8hzU6X/usGLkKeut4Fzp6gDYNzy3y9DSSXEV2xOTkQpMLCViPv5oltI 2EAfwmwirfgYJWhF/pS2FC4mllUJXohqfbLByZk9VyI4xklzi/tbpYS/Hs+sXEU+fIXqRVKrzqaF GZheBZYdW3DwpIQHMudKyvh8W09NNFl3TM40obWaaJ3YeC0wpgHuZIzhrQEo3pw7P2ORCG1bFcjw HUTA02AMbfJGRZjSxYEbN0A2pGxiSHwQuS5pzWOBWEfuUJjPzw5QLizXsYBybinQ7aq0nkPT/GwR KpHOKHRTFQTlTIalisLMGlkjeLYhSY90mXD9iVOVgOxNXCInkWQ2T07OMgESWmG2XS/HZQNE+9dQ Eh6xOk4y5MrfrK1sP9lsZ6Iy/QSeI9XJlTJYi9d5r0EasEB8WCw12y+2tbFev85IQCkS8RToKnAN 63WWRM6oavWXo2fYqPP4YO1LKeXh5YRgpGn2NnxPrWnhBDBsTlAGhftNlnWmuuo4BkWRBRmWjt0u nQ8Sd6nBzy0MaE+sAY14FxmI6BFe+A4Kba3eanf2Uq/pi1HU46Fr2NE0tztl4MvCUIfAmcJBMLvQ z4IuNhLtSapvYRiG/yE6Yjy4DHjO3s8NEVdt2UcM4q1fJwa6fwLXGqjiSERGDgDAYRwrc3lGupcq xUIL0b7J3c2XeGw6cAZ0tGHDpL2ERRMXbDoJ8dIoymKlrEVV10p51bAETItLFJRGWM+jEpwvkHon sIljAEht79H665TvqkxtzQ44yGQ5VtZanTWMDNevycQ3+h24WpZHzq5atCBQ1aD2f/kYCR3tBFbp v5q4S2Innz28z6N1yPGrIfcbS9AD2N+Fs4c3zwPYOdCq8VnztIG5XOyC/XI8Ea3Ks2kM4wcm958Z bJk32J+ANFFX2bJduEmBKUyhnKpgqLKLv3MQAQQzERfXjG58rhalEYCmX1LZAR55M129H6ZSwZZv E9SDa8GqB34ccHtPAYu1msxcBj19Z9Nt3uQjnWFii4lTzhTVEJ8Ukwryo+/NPkB7ywUxbboCNUl6 FQFoEiCm/kMZ/rw8dAVOvZugDYqjAAiTy+9yjPw8u6iDg6y4/ycZtioyCHHFkysyDyCnhEl3v+Wh lMqAByYgQeh+9ivgXOOOOdvPP3b3vbDibJLRQhNMrLpI/A1pCjIAlL/vsUiMRbAlC2hCBR+l0Ixw bs/Nwr0I0/zCFR4YMyfMvWinbBMvwYEYc4pg0KHmMJxWBqoZYd5hb7c5zWos4PnP7ykYCR0iyYhc T8zljPjk92bM5m6SrFYcEjFDqgH4yo/yLM9uHd1ICrbC3Qgfz3EMujtc9ETNiGfDIBJ4fWhcLSA4 YAiteIZALxM5e1h9qY5XpL+25gM7/BvuLGG/HMeCbUIZMisEiA7WnmEiX4V9EGeTh/pJEE58Su1r wIGDRdWuZHAUq1tnS28S8OdsXmDluXJzcb7J9X81XJpDwT3TBwVkO4l0iQ43UUfffq7FIMWdTlJs i7nu76GpVszo/WyXCtq/wyQllox3ErGZKCzh5rO6uPDdGuldueEqMYU7YD4hOLGlDAMNB8CkaGaG 6RIRlv0NacH7ZSiW4V1lZ1dFs8XKbYW7MdMtiy/N63trlxQD/jJ8tgyuizFrY1xKL03DzNiPxIKS bJ9722sih6wiwjXZdZ6XATzCJtod0+mYY+HLOCGNW7LFdABSTpOL2xX69FyuqIW6y59+io7v70GA De+9OGgH0as/Oh6rCH6h7tCUlfxcKwJKPiiqVqcJl30cWbw8QBQFxCB4AsIebVaC8genvoX6MDLj JJ1wP9accF6284EqgshLxyfY2WgaWzLalcjoVNegKyWXZ+CYJ3IBN9QQewAaS6h66wNNr/MongS0 WXi6n3d2e7ORn2YNG92IPE6EgXFodLQBCHFSmvtk6q5zv6cKigP4EOVljrdWwpGGo6M9M8ddt9Ok Iw7qu/icJks5gLyFOtUM9ePpdi+dEyWIdO0kCO2DHuChsGfuw0p61wJlsgcoNULVFA28R5mb0dgv lWmI2kEEx0LCJ+cohW3q5YkvWLhdE/DRTWHtvrU+otCuzoUhSvKrcs8UMlZR7lzknt3Fp8VmPytm 4UVKsMKvYDWG/iXS5zd5DX8HqMuMA6GwBfZokX4yU5cTI2lwvC0M/XvJppaBCjdeN2m7y1dniVRu LFJDOyK97nDmT4B/+liWBC+x4SKj4/yifMMGgPBddS7i6fTkSPVaJxRgKT6RI3cANNDFmO5nDj/g yViRUfpfeP1inAQ9cjqvTmkExcTg3xUJi01T5x2GsKhGIyB4jynHMHtGH0Y/flaoAVpbL222dcXk +CBtOkg/hfUkkdT1P1bCzKsirL6kuHQsQdy8lP3bBhl2ZSCZTXuF797MFPugDDB4HDYZ5Q5+dNmH qqy1K8ADmUjoxsENQSzEGkAScH4lAVdY6etD587Ft36wJdpxi3WK0nmlMWU7gvpFOaLsTxcmteae lCxto6w8muBYtSf06/Y4Swv7nspc3K0lzYx7Ez18l7n/4oUV68sDfQVSkS1Ysn9JCLArsN72iJxQ wi2Gk8SVbSOD7MOQWGwCOFmhu0Lg94OYtvxeGN6rhXMoPI0HYbC8H3ezFjbjIn9JVPgZkOigzwYz wsN2n9mKIG4961faSFSuaLfMNSF2zghW+/fMBiz9ll9w1D/lR0QP6TFtrlwAtobtctsOT6jZVv7r fpYNHvhm7YjV1bLeT//5RKxPMifnP4mmtZTfqfXytgQ60d4MlVB+MyvB7N7VDUMwVWG93gQLjuSn sicOqAg1mlf7a+r/9mhaIUwxccYlF3slHS4jFy54a08KMhn3sQd9dCkzmz/BE7byL/FWXShi30Bw 348kd+BhyIz/wvJpk/KsHXetMEDf8GYP79P+s+HnAolZbay8kxyNcqMI7YlMjDkBm/PTdWTSU3ua n/Zpes7lAv9+Di9iS+pDtAu8arIKBQDMTffjSUiBFLJbElVUGTf18ht/XoDC8gVro//tAgjCmJn5 sCTe4jinKjPyjB0dJW1PnPCo7mQCjPMGfaiG6Pr5r/ObIXECiHTx0WnJwXQNPPiJ8dTPbNm6TUF0 ScVnbS2d9vZ9ss+hCqM87cEdwLCKKp8U3NpX/izjKTK39GSGmqVTXNjZL7JFTGeejCkSmgDJ/6PV YSb7+tPOyxcC7qJZl2jutSaTLT0m/8NwcLI+j8mpXiUNIcXvz7EBJJ0v6VAA2dDl4IHCAoTWx1DW azWynwGNkaj/eyorf1rck+FyvzRW/Frng59runbY+6Ba93jRCMXN8knK9kqE2+hzuB/S4XrtSkb+ TGad64qgaLtGAmC3wUIpMbwsNw71HK53DsoIT0DnTChiNipCA8r2t0qpDcn0+107Jpf40uBd7ye0 3tWc99aKcWNpJdGoHNtXwLWZsARkztWiMrbsw9pbwXY76n6magtZwTHzrbU4BADhSkep97u7qoty nkfTT927x3lHs8eWgvXPiHYrIGhCo7RAm4lOTr8UjDupFVPCC7v/A88LXOzP1DwF9AlrU+I0I2On Izkyd98/Bc3AMvxVV4EILs6UOIUx+FA8Qzyp4TkeOXCjIymSvObTk2pryjueNtJKW85bKqy9ZJjx EUV6FJPdlKbeVjlsJKlt3HsjOQqWkeM3lzw5L2D/ybOOf145y8y2qxVJM4Q3TT3VSat7TSUoGwFx WOMmSjSKlFscCmDlBqTO8KEykIJ1GBu5LrLD3wNzLzmbpycKQJ+CedblPca7GOWy+KZc/Lv258xV uDFL12VI3ieFs832yy1HLX0KgsZd+SIvDa6JcCKJOP0VRHOJilrDXuh4IHnNKSi7uHc1Qds12F50 FB5KD7A4KHS+42Zn8wRLDl0yqYKx2BbPh/gPPojVsIKLdBsN7VLhOp9DxmI66fLqxBAyxhq9u2Ne czGnHDNzTIe0RyjvOUvEtjRAkTaHKbz+xNKf3uo4uDPA8DcwE4LkSQL2IElZMhHlKyhxhdx/madV Umszo0AO0PAS90uiciGHaJ0iWOQ09Eldu9XRUILKXuXJ+f562/tvk+9GiwWpGEZ3lcdNrEY1p+Ah L78RT5kuuZ+9bHjTY3xUMlZTaKF1/DXZD4OOtQDVTgzYRPOqoWOqgRFOod6faDTMajSHAhQCFo0J qMy0umOAhsERs3DLc1o23rkdOkvoZJ1zGLZ3QOYa7YFEX8cW3AhZTbRwBcH358Lufk7kGrZbtyUk OpbxPtYLDlbbIHvY5zt4X6n8sy1E1MlDqlI9JDsgMChdwdTjS51e7YzJwieGDzy+7pXnVAaNwP3Z ttEwb23vMFbcinosIR5vBq9V/1kkwqf2OCyBuvm6VY4/jpDRPeJ8JkbJQyUvYGxKwjnvmkbmAQLE ptcY033nUnusZetMS4X2h29eyAxUb5YEIcCUkng1Z96tMx+BB7EbTcaLYTlNTA0Gv7lcqirOT/I5 W5crkcMrY/AGNpSHsR4pbAr4e3KT2x4kJqW+3L1qQEpoEYu+VSSLlN2a6GAZPLWP3fARPDIGd3jY +OYQ2wqAyAW7QdM3xUFj1GDzQnjXwL6QlORkIwQYDrI+opLBtumynpjJjMwbGgfd/MawMcxpvYQ3 mqEsL8ywU96EdR6susFiIgwNTF0a1BjZqnwXzSC19+jjOfRaAZhzcAFdF0Oz4CkwnuYeBA7/EIj7 C1llwXvS+zj/6Gh0uO4oNOdW+R9miKJ3SfQTld604SqGq7PxIrB0SfbjDJPLiL+8ctPHB6YIRvpd /GX+PzZdYqFDDTy2kiNsuaA9Yc3IM40Xw7digcK+Iatf0ZLhzys+Whte1XlbpUDG6IF3LVcrxFVO arv010yJ90bAD9w70wfS+jKIil1E+XkHP3gBkDxw3eb84IOGwl8tKmpVQsyTGs/a2NPfbF8O6BFl 8ApH7GPxFyJmjWfL2pR6L8Bb31uMTnv38mCkzEg43lpZFaxBfSqgYi1XKdTPUD0jvdVXkl7t3M4/ qBUxCyxNXOL3WXkZ2Tivgo7theLWRuGgXjNpttXj9jWPh3zy40qW1lnstrmc5ZnLufFb6AW6slwI IKW4gH+Dt8CZigCpR8Dy+yZv6SBRkwKjR2gMI/PrrxrRYGPq7ptEKHYK/8iqTlNuZKOFQ8kfMC0o yjTFHfuxj2ireMTlcMo33D/d8A1YBZUn/ntSXAfA2RAc36Lc5C7XjxhGo6QXJGi0EwPlqQAGdny6 Pem3Z4J6h0cgOzxDoGp7ES4g+cYIS5o71ukeMCEJpm6tjp/D88rEpaAlByfFDR72ND2yJHfUV0Go +ofRMDEMN8uWDsnbBN3BQaDHraNaFizz6K1VhVMPyEkByQ4PQA45dnhGIkeMvBabH+LtagRXACTn ciP8dUKF8g2sBARiThGksMlreBR7hmQvOwxZnRkCNCWK1AWqZcbw4AyKohuw1/2GaA4vJSUtjEIe xnpDRKSx+Cljg7wD9zgIZ3AwvGGu8amu4Eiq80YTijZEK8PtI+BXdOsniPzqq9OftMuhqtEfb0Dg MCM3jxrE+8vkqPt5IGLqQBsPSSvqsskmtwlvMUv6F6TtmjebhE6IhEpPyb8cs5Pq4+wKSn1X9Ka0 uj1DKohg+Hqap9qCzEu7sRU/YQ8ptZdwHwZ2FFVNB2FSAvFZlH5UDeuHE1UL4Luk48ppdXAi5eVI snRTmRZWtHrPz953CWnbOjSk5NUSeV11Zv8Ne8+MopJliOTi9T6vPyQ1p3kK+w2/Qg/sx505u1T0 OHgUwDRXU81GRdfHINBhsMLs1D+ggicK0HuVzq/kN5RaItBzu9cJJGAdC5HX8F1/3GnjhU/HDe17 qZnfhxLb4a9Fux2VezDOBT9KYenw0vuPwmfslvnMlyBqYUtpWR2//yALVs4kHo2NLO6Ype/WlNO6 cOoraxVaFaV39KxVoaCVMXUPKU1OX8tTTsUE96CQqgKra8kDiJKkE7SYLlTXzkMTSiUTKyYSQsGM Yg+PTnKyS6ZQ48FP15LOLvWLQW0K0UEWqVolEfrART+yRxVjYT07lMJu1wF/rPdsOkwPY4SNSyTI JhrksQKohYyFsU0DOA0+0Gp2DOwqjjdZb+WB6BduCiWMqm0C6YZczsXTk86kvi3RabdK5k6Dbyy0 Ce9HsVnGBPJQ1Cz4M4e7J1pqPpu87pHCXT24yG0lqySCDJrOe73IJCPrfAxt3clGz3+PmjNgNEsP YMg0wINYZn3v0I0ncpyqdKlyVT3yOKb9audxV1Uimu5UFiD0NnJ4hvZ4HBinJXEd1D8RqF/TM72s P7U8zBbNtY09xAjvG01xO9etT0k/sbT7ptQXDqvOwT3dmyE8DnnTTkKMpZHL0DzIr6VT45v+PLdy JuCluid6AsueZ6pRcH6+US4Zu2Ky6jWZe4FTVT0l8QmcEFF9H7VU2MUhaq6przfI2hTRs72ilZBX nDekTTnI+TKz/Hvw6yyPCFcsfqSfkKPSFe+W73IbJcg3MBshADCKPRbbu24tyxA25yc92p8kPHAO Rw2CQKh/Tl4HRy3c9VTohXOmPmr/KLCTrFxnVKZMcMM08pJUXgjjHsqjh0js1oXs2+j9Q93UThGL FckoTRittfblAOed09zLmjrje9H8X+yvIvTGxG3OEk7DojvuCQSWFpYxOUo/NqjEfY5s36DtrN6K L/v139YlF6xT2/y9DR9qKqMz2mIDpnFy1LjLfsgttWAt2BrFQ8SLgIfrH4hFCbkb/NjQEWQ6h6x9 6OUW9D8oIO5V/UirmfwxanmEc7cfBCbT21hOZJz0lADWwB45FiVWn4kVtsqIVsOAeJwfTZ9elk7G /D1SeTeJj8ysF2X970pMBsKdBRLiCwt/lVt7JlatflTQIddr+uX+4L7EV3ivMOSG7LO7d6ZsCeLR v+gv4p/QWf1KUDNzveEpJQnrpr1x7+tWPOnv6VDL5tT83PVWjCd1vnWxREyJf1Tmk2cVgadGOL3m IHuA7eaCwQjaTZkBW946Ap0duWuK/G07Ymie+ODUHBoYAfsN22SX51V9kkp7UPR1xU6fcOcslpnb W5IKERY6SS1N7AYWESQjXkslGbSd+RztdqxTD1vfSYL1P03HXE3LZZzbhUOh/PBgervP/23vqHJa pRAjDJZbk7qIhlSCHq6d8enB0ujGz0utKB8V8qKn08bNmlEz3+RGLSSdoY/Ea54NAho76lije5g8 h9zHx4ONNa3LCNVSPvYvErvGFIWyIDVoJ4fynbSJODFyc9EzHvkv0XgB3vqp9yj9Nc8VkwJL/XWY YDQC8vZ9zHn96hUMcdXNwd/BT017G/FSpAH+6CNqA/qCz7emgmlzpA38g1xSQI4YDJglN7B3x58E 3AxvQsa0maQpu/c+iam3THF4x4lpi/KVX9W1/+x94J0YiKQCzHaPid4zx3ojCDW6Pmxrf4uCiZ+M bHS7gc7oR38dVxxWeRSxR+cWrBR67PYX8ixEdXkWqmp6J+VTz9G8PaK5fAZb7XtzZhaWcbqfWFIB wPh02jRlsYn48GxYe7c4IiEH+fpliVZPZH4V9hGKlAPOg95hjLM7k87pCcBRu8vQi4COUSHdcKJs vGaRbUlC9c45wsiOrG/K8wIoW3gYz99ApibjW622wR+9FOsebKGmWR0eQrKECqf5ItcXS7t30bAp vZOF81cMJMVTq6hIQESnoM0+rGUA4TrhQWh6tJPMYapFLoRmgwFdiagfa1u3C+Kr7KmAtl8jc54V EJiLyKBIEtbtju2MQxhe46u/2EE87XBKQ7CJsW5UQeL3ieC39N+jzCnSxwzGaLnqQBquCy4E9DIh Looo9l8e9xtPX15+aUPsgmR+/Bh3DueGV16CgNF2veK1kk4IYUlpZ2TOWebKUukexB1mK5OKzlFl hXCN0F0h0DC7FyW6TxxjvAoJ421N8ZYoDF4WtG6yB7oJ9xSeEJSxkr3DDScVUxRgUxeR9aWFpaC6 QNTAFyja4M1rE/waDAZQetUpdZOyzhFumvmoVOG9oA4WSyYwCZlKKFBQRSBA8XGUw+LiuwVIW3l4 ndkXZW2WiIV7HNoOs0vcYd7VqMYP5qd70Q46t0CvICL0/PE0u5Ebq2ylLbGBQbSTQ+1wB9wvrdzB 2kfDLUR5I7wM+zOub7QtEj5kbr5wHGQ+U0J6QaRLg9xNHFQ/DFI6DNy3kUlEhOa2p7eXwsSUKJuH s7/dlKd8lS4NgaTkapJugh+so85NW97IqGbK6Yl3y93HtObp+kfqhusasPklBHV5DBv+7zwlwrgl dYL3QJ9KXiqyuqNkxRcUYhASa/73nMlHYM5rFaRYIQ60NC6qt9Z6T3hLT1jd3u65tA8uOfgodzZ1 oYaB4nRIBW2X/EoMBXiTvmEYOON17aT7ttHLJx6NV162odl/xt1iCusg6IqXHCJgU3qSnRi8litR QNzOj+Yd6rgKzUjTG+TNKi0IWSzhNU9pIcqDFCNYpMxNGpk4BFeJdfVCrpNA9QvkzyWGV+b5wT6i 7vSjm2NVQdFrX/bXwRb9Q1hcyK1ykYsIM/2aScDFpQuYT7z/5Pb0N5BzFO6oDocUex5WqKvxQ6Z9 x/QpM7MmzethN1ISWOOtH/G7mBhlSKwmns9rQ5R9Xvg5Kn4gpElTp7lkpvoha6fZT1T7QiGnviGh Avj+tRzXeYWRnuFbd1Twqf1ZumX4GDkdZ38yWzDH0WGl3446OG+p+sZbfoU5slW9rmoLo9U2nbS8 D0sdhvZqeYKYGP3dcuNjVwsJAKGladueMqogAEKhQC+zcv7gV9xPigrrjpX3VkF5vCtWiMFVKowF kY3bKyeQpKkCEIXCcr1v180/zUq8XVOtHjfnpaaENFjwZgan4zvyo009TkQeByJTQPmhKLVrmGcj 6ox/lESQkFqNZmSvGkL7Ooid225DfLBSXR9EluM+g9UzyLPGm9JqmVfQaiyN/Nqr6LgQo/Rs6+TU svbTxZyLkUIHc+yCR6F4B4q5SYY1EA9D5pkVnNhnK0bhlElc4LVHp0PPDMNnKssjwZJyZ1iSs54q kZ4v402/YHVYdVsWGQk6PO3Q+p4Nw4CAnAhwVahhjJcgGMaTKWZuB2hP2/ZD6Jd9f9Rw+ksfClX8 vtkVSBjT1r0YHC0WaSxb+Vum+mo0SsZ/6+CUPuDpEIr9gvZTfz1H3vNYjD2drGHwB7Qzt+g9W2lX Ecmd0wPPYgqR9GPe1+usY2tXs2hLyhZlJFi5Lc7z2dYcauClQFvpIO+w9P79NEHKFTFepcwQXRav q2xhdQwyeZHcPUk9MOLo5etXVgUfZx7XkdXEgXBtVmdmlDu7Pessti+nAES+jTjjPvBit7p/Vh5z QsfBOcrDAD6zYeoL8pTYrJRqTxq/KdCCmfKzrh53MDQLutu0xgE6y+RiPmeZx/RqO/2jKn8v9PKQ m6ekHCpGPjTv/sTL35e5bxjHa2WMIFCD6f5yO8h9cdfQpRMfWLBx7UlDZfqw+1cUwfh1sah05yA/ e/KOBwxcXdOuikQ8rboj89qfvWWixQAVGrqkfpm+XpxyJ+uYhqGriIzRorPIO/Rccou5O3iNqGbY ocxtX4BQsGTzBorkZLJ9vaJbyHMhSU/SwXyrEjhTkpb/ZcIydxDM72Okn/fiSIQQKyUdQRY6Ju82 qTWd3cToAdpSsaYJRM3lddligxcBXA08wxVzLvDUovtP2MN/k7JfCnnqobjLDThRm3h9F+IDRhJX 5BLjeeram2Xj3KZSLvK077/N2v9glQ9+aQejYcdoznyOOoQIjT+8PcigXm2Wquwn6L3ifWoBUj3l Zsu6ZA8KL5J9u6xPf6dh4s6bSb4D524kumyfg49sCJgptCNvY1tioKf2abXt8lBmEn0bLTelmRwy XBYyZGYAiCUxHkhskBkuYwvCW0cdqvNJBpWmOwzuwPkLn8KWWPAWVZQgVOv7zZbYo29ilKnBhdpw Kq7N7miso4I2xI0XMlRqCFS6LUYZ5jYOqNk3ycCNw9Ocrk9KTQ1TxJOLGL/JBw/n1Cy8aAMBwqA6 seSzVBZ5FX3GzwXLwKGe/bTxIwWsUjGI7RCxoXdTmY5X4snRy5w4tDHP4ap9URVln6Ynnv4H87mO XhhZHN+I/3syWB2UvCELI+PxoDwMtnFmgs7b3glTjXB60YXLOYZsXjDSQ/KgKONNLkbgiKU/hlN+ LIeFxA5iEDmhieGKf/oJrmsjl73CZ/EAlFJLcvHmZSZ8npLAsIMZWOzkiyf5E3BV41vgCgP+GgNS hoQR2vyGBcVz6O62nngBvewUX7OjLby9gxD0fViyE42UN7+akb/9FJchuO60r4wIDW/7OXBY1I11 9ALYVnIDYnHjFm/N4U78J85g5iqPCPqg5aux25hDt6T6d1txJzdPnDOMLKXoGqSmt3XHAh1nwFn8 qUq99O6WbwCFm7jPazkSUip4e3aCZqGXRDr76Wzmi/S4UIRjmyOXt0j6lCytO2zEfsqaDRdxY4TV Wr1Xls+jwZvBT5Rf2pO60aPnD3cUm6PnWV++4plRumL/2KCYUv7WREUidFqP9cdkS+XK3XTcrG70 kRrPNzuJxiH+fFCthoC9uoJ1uO+VO0sfLJmfoMlAN9XE6h3yCr5lAE04upXlx+YnmlPV33iSKlLV eNrkaX+uPkqlGA/+Nu3xxb0VtSObXxnN244dbDlzKTdtqPeVQ1j+u6pH6ysjNsRiiksjZ8zArhMc 91cXIhzo1pyLbiBhzgGKFE6MTxJCDpAl5jm+WSDzU464LT3AUIPcVtJ2AfxbGI6ZvXUmsoGWO6ZJ EfMVjhskSwX9TP+0M0CNchoT6tQI+kM1K8uYyNeoX9gLfLks+v2AUUXo6SermYq3w3KJPprKF8gS kpEQhiO2w/gLJp0pvPOjEu4X19BRPeUExmk21JHdV27g3p5Aob3Fnd9Msk6v9J9qna0X5Bf2JCoo uDMP9g== `protect end_protected
gpl-2.0
d3938a774d49f044557404e744ce81c1
0.954499
1.812936
false
false
false
false
amerryfellow/dlx
packages/constants.vhd
1
384
package CONSTANTS is constant WORD_SIZE : integer := 32; -- WRF constant wrfNumBit : integer := 32; -- numBit; constant wrfNumWindows : integer := 16; -- numWindows; constant wrfNumRegsPerWin : integer := 8; -- numRegsPerWin; constant wrfLogNumWindows : integer := 4; -- numWindows; constant wrfLogNumRegsPerWin : integer := 3; -- LOG(numRegsPerWin) end CONSTANTS;
gpl-3.0
87687e51e2baebfd3036b4a85f9456e0
0.697917
2.865672
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/pkg.vhd
2
205,459
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block d8v2e7UDTrU+qCuX+u6psXvginXJnibNV+TO8SiO09s0NGzzSQDzIkcol5s2zA5daaY5kgWtugjM oQFxvi+VSA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CaBTi1h8Jp73ccA7QsHvXULKM1xPMtO9gimPpJavat9tCAjZgGzAWBPQdJ2AMFxiKPg9/Xi2es0d Pi9EbzX26oRIIQ8UtkihV4pITHPQMnk70rsu4KJU25VLdOXu2zBb5VDc6ikacA0rnpDo1kL/UgIg gMdG69liXmoj1OzNTe8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qQzJAIerKitiUqj4uTKSE37Fv+WZkscrFhYRX8w3kELOW9+yOxiNoACipP4li3rOiEb5zoNYZOja QAEyfHxuUGTPE6bsJw/PHnXH3NGqvwRx2nKokPcI9NCkVA32V/zmhOeJdnlq1imfnPnGl1U/wGix MxBPH4vKEg7ltvleL+GZ6JtvbeFcwVxhlgHsQ9kUHnNC7ilmtnLgI17V9b3DqjPf0HUgfxiYOI6K Y3psDySAkYhLdnjh/KKSTCOOSB1fbUMZEp73IS8zr0DadPyVQLjV1bQejTha4KpvNNlxWa8FNqo5 xTC+9ylUy8bztfj52hrTheUl/D7CSD8N0IaKkQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cM/3u+PQwJHJvddpEAw8F+iUO/lafdQqnZkePgbaqaGNry2crwNduYbTMHUnq7kDmDXPvYezRu1U qNXMO76TVjgz3NYBuElF5mh5ziQqeSCHq+auWAXMJqzIxF3LyP2DOmNZq6CIjB5RhMJcAgLd1e1g scTaQ4cr1nNN2TrtLIo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hdq7wAC/TyRctPzXjRGpkWE/5yh+Dnnsw7rt7VdtsMm+O9WJ/uK9knhc/dBwkLw189omventndq3 xdcnDhP+d3gu9211mVFahKbQW7FgHIkB8l8agBk2TEw1VTILxLbUmMQubj+eVfxa5L+ub2C8bMoH 5GfR9jkgYRItTKz3mao/tKnvTVB8cQhmT5ukVRWmLSVrX8qIP7raqQqrIvEx1YHdVDMm1gYGtE0p LLE9rbC9rXdnT3mAZAgKQA8AKpDA1jLCfxJvb1gnWIqiDc0364xhgoy+ACrH0OTdUtxYjUNMYn+n QdodHkOYNMEeDiVHtNVLnfobgWMYspF/hPZzXg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 150352) `protect data_block t3GUJ31o/eAu2SgvIe/jXX2B92BE+azo4Xpi/kCLyHOjU1qrqykCV6UnK0OxdlGhk2gsiR4MKOnd e/BoXB2+fLpCL40Hl+ics0za0um/NI89XJ8/tF2mF+K2et//EOZ4BMOiennan5ELDLzM34NijXv6 yN1LERCAi/sTqDNuf+yglhGP8DLZubU7wZwsmCOghK1ccrkbAJpPBkD/kVtmA/UJLDPmAG8fKs02 8RChFdR6mg+YIp9MP8HyRB4q2LMMqBQB3Z+dOWQm2CJbQutgXCwj8/bSmhuYZ7X3fI8AX3UORXTA WIkvcYPwreRWtJ/EjOWMQdw65lQ30CkDy9x7VdcOuPgA2RQACwvvOVuhkW39rv2V7yehzNbAaxDO BKD6fol6ck5WVZedNgOYCd0/aYWoNZRlDUEJ814xP277DCJx9ZnLC7cG8PfHmSmERaubViugcyGa B8SIclJezST2QCRk2yfWRkjn0JOMPCQ29iejoUhqAUgMiOODNePurNvD9ULhknIn+sSIgs5qxVDa YZpjtecsQQV2TalbflU3CeYzWkmSx5giwymiugJaVh4VYZVb/S7HINKZyIr/rS8IpbCH8BbPTWSp +w4ZPPKPZ7V3CVanA3E7DtwQghYhJ+O2RjEmVDWIqvwfYGx7xR/Ja+6oVEI2AQhmpW7OxBx91XaU dWtURoFSELGyugjK13ylCia5gq6WrEthVPovA52TownFYGqRE3b+ROAw64i+dPsQD3HX/oSt4g0V PH636Pkwj7C6HhAhGrhFUHfl3pimxn8PX4YAX4GF1Lc05/b49Bp+fwVId9pxOUZ1fBpeRYeTO/gE Y6leFBOB3Zn72KOaBUHpinmU+dVtwtHhwT81UVQaUBrpjha6uSq2DxXo6y1HoDMSLl+MHtRa8FRF 8mrCiGw6cM5yLunA2/BnXq+536kD53e3NSYkVXyzVY2vIjIoxknMFN9zcpz0wWrghkkJCXvqQYhJ 1EsSov5JNX8hSKsEH9PS1Rl4XpRjCckbBUX0H9xHQySkP3IoXjXJt6t/A+3fobi/X3VIR83ZJpAC gAQZTdmYZ30geuDGGBZ6r9gciR2A531IE7Z/L/4terKp9591uAHLbBFjLV9Rge2jRKjwg5IPZAoj pU3FouFqzLRfRnTdSGPgFrrMLN3kZ9fyOgbnScE5E6WqxslNJKx7LU/Olfp3kycxf3M2gXKWJF5y WzSKHL8LhMleUa8XJGihTciHdPUnNvlZYBxBcOl2+yAA/qWrKd7EGPjsy0amliG9uq/U9fyhTU+z VWGajI7EV1coCUtc03fE/HstyYQgJ0E/zfsbviNgZq2S+uTW9zQ9xjrVjPyVMQnt/6tJiqMAEfQ1 iwJ9FurI0OayRXEIWNDdXdlsjIA+ohC6eK8ZoGs5ie5KG0hHQhRg+AvESFfsZI2BpSd+EU7apa3h A+pZHtBDUQN6Jgg9oRizTzbYaVSp2+Y2cDr2y01Y0vx/o/ZmefwYJPOjB8S7ulVtIcDVVk0hQkpm riUQB+AMN/UetQqM7mAehUBUcuEB43AJXptGieI8BNK7dOfX/OHYajT+4QK1Mgh93O4+ZaNjpdFs 0SIqZJa9SimLCt5JthZcDgKoP58Pbyt0mQMl/iSyecfUb+BCLU/CSB6PR3+mRJclghqBOtwICptx YS+EngELgF4KZJ5W+X2xoPT9vZPxKEuyo4r2dg/ylMY44VnYDIsAbDvrTC7hKd33+2UJ5NSwV4Rv E5slKaACZ93ZRXt5gc79uMgv2brhT2pfgHXZ9vDvR9gfK+ut/u/cnauER8um2bp/r+/iFlxYNrI9 WJUeJLhDUSceX6hmbXB/5drWrtjz/SLeEPq2UYJN9sItu9YA3TyTQtLaybbEirCTTIiy1cSVHldc GuRXtTSWOtf4Qi70qsQV+mK1/ftI6VEveqUxPXb/yGvtGqEGAlmT8gGNUqOxi+AtByqpjhrYkJNj G/P6wzFmcFuRigd0PNP51CCwg2u7PiV5S48KLWq2cgH4mVZwM2ASBYXVE1LgBp6roIY/nLqI7INY jJXXvWTYSHlEaCMzEdcg4+5XKvvGSMrpyf726lTkboBDf3ftxkJhY5gFctSnUpC2mZys50M/xA4K yAAb9uuhG6S9Yv/NT3Ljl6n1mxY0Svg+77BvOSQHbNIIXC28ujaxjSM9fZ8pZD71MpW2A9h6o5oB xoOsbyu8PzdHPZUNuA7QPa4VracweKpOpOixcs55y1AaKy7GfxOdcBCnayNOeAaqOLeUaz/CZ3LL zAXiW/2qq0OvMrx+KkfhWVggMKAVsnSL8oIt/dwJRykI5gCUDmUkQHNh6dKFvLp8lXHi93GX4Yxq AlVQ8GRITo0sq4jYyf2b9m4ZcvTsbSMdn0rF7Tlrz1ff3oK+MCS6nwLIcGVqXpfIe9rh39qpW0Lk lD1h7+cAPS18X2fvdsqX1jczM/yb+pCAdHkv98o2HZsttwUel+ZP4zXKZi/0qg2fA5VYsz7u1uvb c44F+1oWRbZK/AUe3M9Wyh7rMS1bDt9iPOkjmN8wLb6L14K2bVVoX1rWQYxDfaSsvGAhlDvb6pwU PLIMtEYMzEhrpURp13LuAmeOkylqxFIN4ZtMTuiTdtg2Mv3Vyc1J9xQW5Br/PdH5EtFC0YEXj1yr eF8z/NxQZwgHhJ6z6Gj7X/6yqBAqN+ivivVovPGayIUbZDalrnfrOvPXJUkKifpRMDhLyO3wRVQP wMAROlpKOomkvCO4w+uYdw2Ak22MgrUluwxBV62L8ge2nW+1f5YQcCMR9HS3+ISz1cIG+cFFdlv7 Li3nvVCLJHCjUx/G3W8gr8pGjoOAnuinGMKK3mMqpt8XhzG7YbDLHAzc0UZv7wQXn1uWFDGhuehc upMF8m7UtiJLZyN/Yucf5COMlyTTWdUtJVs3RY+mSEs1y48WURmA2N9GPb9YIpTuXXfx5tfwTXGy RptM5wDc7D0Je2SagGR30TqZ9iuv0df6IRtLI23dlsmOCMlx5w0dck1AtV4WXbsntwFQ3fYX2RY4 tQ9pmvzvDKkSX5mJ9yRORpk8pRNBjtmAca/q3t6Tk+1+t1rWMheTp9SqN02/MhDWOOmOT8rJMBoE uOKg0LNwu8nX6OYkdWUzkX2Wv1JXorSDH95urxvoml0FEfD0JzvovsWNrAEI5ak5cSpl7YKkWYkp 9e6/n/J4eqQ2vo3/jPPMEpoA7KLJ270wCkoWxgcZAB/3SzI8A4/Arm88L0jbfvnLtmCodl2NxB8D ITvIswSQmJ99ju4NMvLqT4LMjz4xqL0x6HK3EgkBGLYsxm9XP0fLBg0kEchrZWTocnJwKTARNV9s zVB+8w7lmuJ2PUV0Vu+wL4CWb9itNbOcg6UwEhbDtzp4mhxVNFue+NfyEeqJOSCyIaAhNZ4CY0Zf 0hnrpP4CV0zUp7lOcm9zerTTSCs0Cqc7tSPMPgvRe32QqrPTqHi3cvGvmKDGDgoBoeZgEKi8XfMd z5vr5LjhsAn4RzQ0CZzOi+711BkuSF4eMhOa442+EqNwxOeR6X773RpQ1KD4KiDsjya6gvnZwKDI YEYHMxvhp5wTwjtDfPzwGhW0F19KyZZiYN1xDE17FLTp3p/WvWHBNy0IH8jPrE+v+aOQqZ3OhaWd cBRmrLMYGJkz7VA8gUuOcN4A+RUsI7k3zDBjrjUZkmIDwRomQOg1LYYaUwinZHii3IJFNZCpxPKS 0dweC6teCcz5o/ZM1g1zKM3uFhRUCRInTKRR1SsYHGLkXjVSqlZrXxQRrKVxjp6tpaOGC3xEpdfw 5HYdPltKUCmq6dOejVRhLqqGDdajSQcN1yFg6YajmdYZ3Vd9M3hlC2eJ1Y9AKYxQvQ7dPH/T3x+B RA3eQAEICdUmEeczQ+EUc9jAeATdD19xSBcklPzCS1rP1zjfOe5Q8C95Ubp3bO1myJG9pEGs4xwV 64ocl7574e9p8leqj8V5gmV3+orVIbIwQD7Aj0gtAKVfWrlyYAjD15AjK/sr/IVhL71y9cFIxMpC FcUoDRe/wxVv8X0AIKq0aqsnNYD2F3zkYpIi3j/OUdBJ/GxCk9KWBNSb5PnkB5bckRRgm9MvyXtq nMfadydSsta2LGLYBVAOL7+LtmVSpTpdvdIKzj9q9nFh9NT76tFaKkPdMuByXJqnZUO1VtDjQClq IRnDqY05+tiXZ6EZnVi4Kdk8cMRRCU+sY7sP6h5uGJmYRZnkmjpedgU76/vsnwHtP6Mj2h5mMJ2m H4UKqt1L5Q7wqs/zEfigJKj0RORbsIptEmio8FIQwH6bvAFS+t4AOWUClB+NovldwtRkCzwPUqJG lbH2WEHzWR/I9hHDNhb3ztMecFYEY1Ceb4OrryCh5UW6A0iwDzFRhdC5e8b58B6wSsTm9IzwRwoG RtTEd9yXfali5n5GBoAiqPb8uHD+dAFhNzh9WWxFo7Ifc0eViO0u6brsgGdE4CY2+vUuuzTCuCEA F06nu27lGAz6Lo1bqHhZUBDFBWs3lVkBCQ46CU9x2UZjqpBdRA74eKHZCYs4uEVTm22wUd8C/gIZ A21myaM/k0gBiIwtFZrKeFc9vm3dN1B77OewNfJJM4cY8QGm65YqaRx4usqaYlzmWyikOY14Ysdg VcciyaozZfaf+f1d/ZlnfyT2pBq+MgK6EwPV0fAUJQlf3zCi3YjjNV5PyFI8Ytti7iT/4Rga06GE nE89u9FM8fNZaha5w54KGGLNe3r5CT7phu2SMoifgftbaIWElU/dAvK2HSBJTflnJhb6wiIFhmFE 7Vzg3nbH4WGp7ZEePgjSTyrWJRVpod5psYAvz+YEGgbl9rguzKJ+HhkdkU2rQQEfDJeRe5wl1v6Z NlEJip4WXHRaLi0nVGxMbqzcRpvfsgfJPw7fj/XtPcq5bUlxRp4+lXAKZ/xadXokoIBvm34XT+RV Je7fZT9OZVWYmrn4Jtw3luFPHX4JYEhm545fZ2rP4SpQ+4k8fPsR7VQSZJJ1vDZle+dks6xiVBTO tnA+98aFulHh8g+WDj0xsQ0YqzlZxnSrmQBpOvZGCrvb1QDObP1lJt6PV2Kcfj2ioqBHyoQfG4e/ YHdmeBwPZEc41aiMNAz+fpnIjdLgD9zB7okjiIAQYBGfKVbHE6c2QGnTxoMXXQHnSuFGEGZDhHaI s2ozvRMv4ivsnBEWpX4a1RF3e9KdVTTVp14WRt7y218Vihpr0UfWOlvPIfQdI0PYeGPsDdKSnwgv QWa8E9jRsKE8gEpgimfE/MJITJznL2oGOcOgmWFcuyUenEkQ/7z4Yb5GPLF3RG/hUm0TorZ+qWS3 GvP/AfFwxyif0rp/3XlXitALEnTzfH2c9Y+P42Xbm2tAbc0BFlfmdCyvPMObVLpW9u+7hju+7xx8 JYuVOzIiu0cgvDeGNpINSe+WY82F6q1VrqMpJzsijsRyHPE/Ly4lBFfd4bDTADMBT0KU6pc5fcEG d5siDMGXxShMl1fvVB3lJLRIxXfFVDQMKxdtt6DmhWvupJrGfZ7Bk/avuOeKz4sE3DJzK/K4RDRc cuXf+2Jxw3cSPhIyp5X5apjqo7hGhaC+lzh9S+rnEGsAukyo6n6pV2nxgrp6AI3283MsmeSSMH0q PYxF4RhOMXiNlfgKOhf2v/Wk/5+hUIHJcbRMrzbm0+uB7fH2GW3PtTIuXdbLwrBm9BDd+2ZxqkeR dSarXOH2w1foQAv2u44pcRBbCnGVnnRdW6jJaWRgKFhTeJcceLtNusVKcCqx8dVmL44QbQ6IZUIS FsmhuI1YQAu6ebB7eeJMi2e39o1v1wTSn2XcRRATZpP3+UYszl0DHd8oOuvsQ7aNtxiS0cssKovO 5VgpIOXhJrWk4E6nB3q39/maP7nLxAjeFRCSDZEakxi8OxF/ZljheKPSoou+2PcI+saTFb6zQqWS BKGWa3B5mgZ+a/ljTwg61PGQ1X1VRmXtv4Zp2V07vPMVAhRbopbVYmRxzJOailIPBXbbiFS56hFQ NjVBBPHrWRuoquogQRPJmrtgt3jR0rGOZMM8l70Pr8hVT9bp6Zk951Yz5E0nMfZ+ZlL+5pgRfAGb k6pnzUYvgUNFW72RIXT9iFmTk+B9B1MuoVEoV0Ey0Hm8vk9UXgUjJNxUHE4MlFfUNe5lyAbtQNBw yhcqSj2OQlS+L0NsmOa1M+/dXJ9Y8YuzPfq20NKCLqD1jSMSH7KFS+RszlmDxKyCQ7oCbI1iyPVT zp8X67Po5Mv4x4e2fByPoU9eIY5b8VIyIfzn1IbFKNdsjROxYafQpwrkZ4N+l1+2DDjwyzprtdeG 6HtMfgSD6UD+mv98qQt7DQkm6YFeh8lmhlMw33fDHtiw3uX7APA4Aehm6ovJywnlJHvcRRR90dQO dqDU3W3Lp1f8VuH1aMAPXyDM0cpNp+J6j0V75cbgbfqWp6oUT05pDk+FSDwTjq9zvU7SFgHyqqEl 5Zn/E1d24FtHTWFxDnn6KzWAuT8hgECCwi6sL9YBmFmGuAFQmH8UMV+0CsUNElfFVzALra3AUMnk UHRA2M4t2+tTVlnadUl6RoLliVNhqtG5TsEqWCR8KUCl9YUfkNGXcyQ5YsL1q4fXWxXOIV6z1xSr J7Qw4tbDvIIMU0sUTblnb5xEGgJbHufhx7Oe7sRh0HFW+A4qI/df768nrhbwonBArD/KI+SEDGoB 9aJdlHGg382iiiQIHUhiN7nrCNf2yv3JXrUiawWT2wsDxjwq37+sWKt2gyn6a55CyboKA1sxPRRY IKgZhU029DDESrKU20i/TJHyH5vBiubPPkSebrdTgbZjSDX+IctHzsUjLU/1fXkkTTW/qxZsfJdm hYVg9htsgsbAiRWFrKE4t13ZknKTgiU4eaKAU5dnjVRNU9JtGj2hvXtzTDcqvsrHxAiHNpP8mDWU kNWGVrNzR7RAQd9daGWu6CdH0KpErX10R3nYCPWd2VjTPNDB6lcY0pYmT3Dj7kk/PklLYzmNQr15 LqlvKxVt0bhGffHhJxNS0rdpavqGNNYMfEBE/9CfFa2EzngY0lGXxzVlVswss7geCXaENMjL9ceX hsDNvwamTJBmYCmiTpJ3Kom9BrRiOHa4M6vQhqnOEteq4nFFYTsHoKS9s6rRqp+uE8rRKdTzHlAo 5qIjw2LQ86oxlDJ4nt8AtqFTxHjAVNiD9vBNyYbAwg0y4f81YXKKh+DFXBmZAtNPsfDHm/c587Yj nZbIVcB0pkO96ST1SbbRX4RS7gZSxiKCmdcR/gTylXscR9/qyCTZnTMycTY0sLEjwLN73E+ywoH6 RJM8tnWh1ZV0ae4559oqhT4zL4olHlC8oODPh8KZoBjgxurD/McfDeOgE1BTuwR0BDYE7/zDLgMQ 0fF5wDNMSgTMr1S/6UqJW4dPhvExUPGZcmJNjWWmc9h8fxI0Gf/ojUaK8e10OHfLll0TE+1IY4zD 9zMwANz5bY4zailY1mdAiAUU5nxxS74GxinpB5ca1IsurVVXZce6NnhT6/qIjyE/UZ1kMI2hSBVs dTD26PzzQ9YoedyaxKKOkQVeMVxT+Eoc79zTk9jNdYbEs0A0ICIkRmec1u82+Ej1ZF+bGwd7XHKc IOC8DDu0uPgxSICKPvQqa3QW8dFyMnVXAIzXnrfJ8rwST1NF/ykNecnpnYTOomNvsOyIc9CyYlQd 2EePmC46NGqzRztgjUA5lD3FUqflFVkLcErfRxiHbR642q/NCsOtS5M731Yj0laihQGD+Sjdx1D/ 6v4LARi28uavd8A0F6VBcM0QMOsSmkjHCot9P72D+m/CHrhjQ1F7NtqUIkoy9xJBL1hcY87mH0fR n6aJu7+lCU2ECMFUcOpy3RfuIGnW52rRrUVj2vQQpxWZWCG6gecFDdyTCm2jfmRcPm/qj8RXJeMj 9CfnvaSG0tZcGyMKoNVyOCpnFKBJcodS1dEObzuvmQop6bLGLKUUyI0TBOeUyhffbM9avbHsCqd8 D3DgN30axGj/vnezI95MVbGsD2ZFJpAvOBtVa8BFxV0dAVwQjnzvlMtsqI+g+P8xBWtlBc3jodmW aMAkRlpieDePAsWN+8+VQ0hEb2htVn+4ZRcgH1Tr5XE8xhQbVCwsDg5y+xWSprLcx+lm7rATJG7j 7xryMZoBx+eZ6zjBzq16pUkXinIfqKFSRlOybo7lRaOW0RpUBfOL2v9sU/BAX2LIwH3u0RNiRJG9 1nLPOkruRv+kiPllpyIwBvwswW0ZpgkH1+MZEbQS5IoosqUqDE1euf+n9AmHDG12fD4DVvf0FRWE WDDIk4cvddHzwE6vy0W4CB4zhA9EdQQ7Jy77hRsN4F45nOoCvJ3hZF07n1Csf4MPkIz2EG4k1JWy 7hOb/ICRxwuIYxrpy4p7QJzl/4fHjz5wFvGFWE326xPt+BQN/e2Cabnwf0yVni81n2f5a9w0FgvP eZdv7QJoKECvp/Q4W6Ii3kLytUdzcgCCrp4vXEmZrtE18ckjrixmmwqcpLc8/M9pcr0oSwfzmOC4 jtrQCkxC06igZGxZKxx5mgLvWgvqgx8aNumPYydFV7BE0vAedEB5Txwb1zeuy7M5R3yfOJDThQ5q 6QEU6uXmxIJ900Pa0szOaJaXhHJzplkyNLjT4CyO/3CTPbkpfw1lsQJM9k/vLz/qkOJKS8loXTco eJkUfmjxRE+O+OIrTarThpyx6ZTFe5acVjX4IontYpEX6XelYvafWwqh71PrQIhpPRA1SRH2EyJD wFedR1qk+lVFzS+t3zW9ftzPq5vhzDXcNBlDdTBx2uJl0ltsBjamLYgq282tw1WF0e8m6F31aTVX M+JXHwpv7fkOA/JjwMm4mzsPykFwx2WJBFIiDLPudT+xL2hnrZQvg0vSb2l4px0WC0E+VzDQ4dQZ +LPlWzmb6QcEccf8POeU/KhTt8YU3stlmdVLudK5uvO0eLrHfsYxvjjMXHZKtuRBVt02IlTYWP3q p2N4CPygYbIaEyOb4slrOtGu3sheNWiaR1WNThBoTYcR4bdgtkch+ULg0JCVbsuUsrENC0x2KOLV 4WVdI4K1K5iate8k0jEHZjiUu6l0ZudPgCWoLL3vrPqwtj8+6SKxiazsCJlbpiJIqBcTn5YWBO6Z 2xvMYH+K6liP6ZKAZXjmQLqkAhrVtSCx0MgOyk2Lzdq6dXoksVTK7Dcv21yKOww1b3iy/87dvEMD uJh+tGt9ROx75ggMoqveWI7YV3Uge2Y9Lcc44LWKERLsEQBf3hdRQEdPyM2fSdYIYT3FGY7RDEmC kb/OoOCHwBj9suPh+/ojkqmRhEw0mX5yBttVV0/fZkDSvanHZsihONLa0o9Ccp9mqMBYN7jZOv8o vS4x3wnte0XHK/APZL0drzQOXcY6T72Y68oqV88icJuNw+RoKOxuC/8jYQje3Qm0N/KzY/Dnn2B6 hsbZTfmnR83jazxJi7r55PUP+eHtq2urGpQFQCgLZyUxjEy94wBC5xDveZEpYy4QNrG9SmIjg8gs fEa4Sg/BARxyHHKGNQUSboGf0tybsU4owg9iZwfYuBb4BXRDrva2abissrRkx/gC8dzRyH0T6xiV KOEFqXD0QWTeJJ9MV+rWXcH97WJXpJItP4WSgdb2YpEBol2Qtg7AfF1XDtHmn8rQQVhQFYQFqCKn NOw5yGZEv70fEL2udnsk6WL6qik6eLGINXBGJeA6I4FX2BDeTgvufl6/ZI4ebwTleDEZxdxYKUOF k7AOQ721bXKX6DV0mqPt8zepZjgl5tlH/NeGjR1ItFayxhKkLAsCdg1Ix8T2k+AMBXYs2X1achiQ kgVRoeuN4hcgAs2tYtYZnPIj2jq450Urb06CSI2i2QuEKI477dmyI/rbEfz5R/Ck+XHf04/CgcYu uwM0jEbnyFSC0Lf7OGQQ0K1YafZIzhLG8YlGuBj2JDitKa+r4h11qQJ3Du0QCVMJWy6uMXvbGiL6 F3NDU2PQg6KglvvT9iQgdzomO2yejsVFR0W7zYbLEpImYWeu6cZX4E3cIXJPN5quSGnAqGgV0EHW Ubjoy07m3REzGviBZ1efy5PT56ft8/LIaXp+0CMu46Tj1IB53FkeQJKiz9FIjPbSvcz5eDPql/9p xdJCb5kaCa6uS0g9Fd0c3k5f+ouvgbCnTyVlXt1XPmWOZ56BnVQ9sPbK0/OnsfZNOZmA6sBZhQuN 8ize3w9TvG3SLz/JfrhjauqnTHrizgVSnOFPGh6dx65dk3ugiOjZtbSbumWTGYEL5utk791lhKhk PvFHXSezlJbIKlXmWsJHQxupbULIIC8VtW8NgQqN1ECMnzNsrMEFJ6jXFTVOGC72ZU5v4JWNyaKQ WMljy84KTvjAeTuiBXx/q9F+zZr/vsjz+KsZnLpLvGChtcMeZYbcw8I54YNEf/NXrATQVyxFz8Gt guhHB6IbkaIGoYtmYfVTEVKORREbymraU2vUgBF+T/dwFn/y2FJ5OHPneNmM+EjL53bbipZM0dqP 9bW+RWxcsVI/U+rkbO30WPGQ5LwccHB9trJrQ2EZJ2ODUrNL/191yFRaXxM/wMxnv5PhDznqDhET 2AEW4DJmwXvTBIMLernKl2cHK4vEOXjLddXrMo54jCkZJDY4C20qaugVG9bKvO6m0KDFfWVBoTsr lZvOxnCxHuJX5x+A+TZXN4FVCfgOQFL2ZUC4BPsNXkGMjzL7z/A6fYICaXD1WuGU1qQO8/znW0FA TtCtNps/0KEP6xSyTM9opVUbQNuyO/MSve4vpQOqsmzdwIID5xQbXa0G22wUXT40XSQFm//6g+Yl b3EbzWZSKTG6kzvtB3tlglFeyddXQbEWG39M1WvIWvQJOyUjyBOK3H38/GG4M0hEWqULdkRKLL+H okEojS7LLDORVUCM0if+Pu1U59mgoyw/wjOkT3vx1vy2yat6JCjlXPrwX4mo2jaNhy0uwX5uUApk 9HvzA6GcSfrQQDZ6GWIsd+tJAevOFygbupoblYoSMzhKstwFLl8YNEuIt5tN3jCgiuqwtmoQcoy4 23Rwjnm1qIGNtpO/41rClbooe1yAw2x43tI2MhFjnIfk4U1iYr72qSjJMBqRv5kz1xyi2g0l+wIr sbM1FbPrq0+KnsExw25FXBzmanzEeGhPR/+p2siqqJiAzWZQQym3wehEZoKtDd8Ds6U8apU5356j gx5aqVrl2gBK1GLPqyhN1BjDmpg15WE3ta/qHBGeHhpipCYVRzzdxMexT2U/wMn/vYT0BLGPth2s O/vt3CZ0hHH101eo1oULc/kBgII0y/VRZEDwZanzZRBXnCZHdlk5VbplTFmOFHdK6V7FqhDwWhzz FHrNtxZYKVylu2MkuO/cxeZHhMNpdt5UOuNPVkz5JdoxuSjtGPgUnOFoTard78yW3P0PIxxtCu3t kUKG38HXmSftrBETskdJF7DzJnOjpS63HcKVvVaYIsmw3jL/SZq/NEJPsHEDLa7e0+5xFNLT2IlZ XYL2WZVAH1G5vthRL+AzAOAQ/sMUigatuzJTd78wjeynJMDwVyqVu9167qcmWSMKT/Pm2ifTDymA eKPcfPQB/jgTBlZ3TFcVkyi3f2cXUQ3eYtHyJaV2AH1+xhBJ1fUrkUJGnDI410yHDTmclqD7eIUd hsfLp/T8a46jsTQkRvxxfzzVXe4Qs1dSWprk/3rE+MAKcE/0kime0juG7JJbbLwhfYie/bMS005J Z3odnapQwTgxsFNW6OfDgWtHqTpVEexVyadx94mnF97xjkg9T0Fv1WpjL4Zlsj3K0+08uosp/HFT J4KQeUCXQOHAP4uWZtpefglCgeJa9oXA9zKVItvbPDmBCk8Ppr6iOeDRBYs1N1S8PRv4oioP34yZ df/+R6x3+Be7sWl70k7wtz+8WNsuObt5fwDKjUyqimM11ugDNcL44BPs14yAM6nONB68XZf87y8j fD7TpKqXKSmwTTWx7K3QwPaIzOZve/PdXzC9Tw2KwwjXEmg6GvIS0gWODQBCouoSE0fckKvLJVyD ZBHpE+NUPbwk3cnbblddrfC4U7ZfzyUlO8XZ+fXwE+VhQ5oMT5U8LcvnUW1qM+lIx2ra4ZgCdLQJ VoYx85fGN1SbZU3oyG+kKaTx+fN35WSYDyBGOfiuPUKct6Gyr2BP5Pwyu1cD5eWc8PI/B6njG9JM MyTFcI0Eyz/GnGyiIrbjIsdzEY7M3O7yCgF7M/tL66mxtb+SHFKwN+e1X5ADN+DfRybEhcU0dfca OSlI5Hon6MohHUuEfCvoWQZMNTNB37y6IyA5Tm545bEVVhgsuAPsTidy5IcQEQ5IVWf9bN4tNCjk SB9OgfqdvmpV75b6Kh+LDK3hEwg4bqH/iMXR7RQrjG0ks+JZJQc+yA031SlwUjO+Q13NcqW1whIz ozl0hTVJMuyvy3WQZajA+DdoVXCbJ24pfpe82A5keQ9PaHWwNphCCHyLXavKaDAjhczsJWLoIHiA xtmuVr61IzYSOBYUgtt1Uv3mg6ORYUBe2IL0fUxlx50CL60ARYMqAlU2XI28NBtWAEry7P1dEPoz aSJvqj5SvWasf63J9+C2TL0VW8LFu7rwxw6f2/4sy8V4zkGhSYGHorEstHNszfz7SbUlSm9yUVZx +hxt6xSUhiRCL8hN2uLKftMfsx5a2SHRV4a1Q9X0dI9gRorNpv1kPu++mI/ew6/9WgNRtPGg4JPd CnLx6BoGQNW45DyU3ASl8LzYr91GGA0JgYyFD3ekYriPOx9JoWXwHKwWtwBhy03oRGvHH6A2spcM JplUff3rbgc8q4eQRtpBY+gI832KwX6CV4d4soeiUNwAWcn4xIdnee1Ct/n1NrFgTK3+VBvuC5rR l7MXpxux3MjKk9PRU5UOirocLT5ojrgqgy6eiudqo6g3YsFThwDdjxc4W84rlIvzgHrHndkEDjZR 5EXkLqOLhu/8etXMyqooDRAhu9s8PmHxDo/nuYOyU8WC0+zhqEQ3fxdjoxAhC0UWUEwxV+2surtT oSI5xESHdxrP24k3Rd6Lee2cAFKneG9hswt72w/Pb0JoWcpUB7EeAaOdsKLYdD7v3bM+dCx2N5Zu Xj491pgUpqdYtiWlUoEG6+AnYKugn4f35LV757Yx0PIwK1C/xE9E1JmUVIkdVSMIFTb5yFBxEfWi uNDUaVbIok1Syqb59Keezaht0upqpB2wYKV5a46OKFgn5ISQIhgWF4rZIPmK4ysOmDAHmgOMjpf0 Iily4l5AmqwnLGv6PMEPTrPFq8lX2LMmW6LYcciA9nzSc5l+UWlbptMtCZlo8DZOCsZkf+IPXFbW tJa0T6diziXrCCnmNk2GGmB9118Pl6ZpUd/phlFRheMJB1pBh1halMaKbYWaW1KiDEGZHCmfae41 w5RIogMaYjebm/Umjov6TgZDxSOX48tnrQE/ZUWpbDvoUMpSsVcFYtHwEUOerH+bWTrGhm9nC/yP eJZ1ESrwCgVeAqmbg/uj96C2OmxSqN/qrgsCNTA34gllqirNMlUBm8Hw5m0sCRJ7RD2u6LwOlNVC l2stwhjHkPpiy+wEMVXMLiNn1rZyGcS18UwP0SO2f9vCJsu18afxsrMj8cZ/Mvv52YFCHLTZzKnH H65Nm7VKxW1a0OYCNDowz53FbzBCfGbxarKnXuG2FcvybaKHn/11tDISPK6KFiN2396LT8hu2LPo S25kHISRuDqqSsMcNr2ZP05VqIWJ8GCY7ntohe1ykqO4LWTcJkg8IcEXocOHQnZ1V/8mZ3Al2SjO t4zF26OxlUdo3nq9VifoA5eUunad/D0aoTQ85yAHtv4iHMGVkInpaScvAZz6TpTE1OGwoGHJStVg KkeqKmenPzYpC3oegY/v+IrGmUoKUGIAPqGIU+zta/G9tGVOG5J6DOp/70wKt9oi7HR66pqKmPxI fWWDKRTfZOjTqoTfYbFJc1+I2xRFy1zsGUAheRloeFKXCZ49TMp7jfikNZ7HAWlxVNL5J+9hxeHg oXZ8fq59cQ5IaWccgZfFIfXQjlQ7ebIpohTo9XAh2ZD0h6UNhU7DqIcQXWso9kbgMBnBMn5Sz1kX TtGyFaCP6tAfhWzSrY2ZG79kemdsBPZm7JWs4xR5DeLux7hTagiRARFu/2Mdb6we10jaSGR0W9wt kDTNBcfu9aIIBJzhdwn9MYRgbNpFz/KuYbWRdTVAo5Noo8+uIVaQ7IsdAofrH88H4E+Lslsud29d +lr7xV1hPXr0MVUL1Mswm4YxSu05HjETO+Ob9gkkHNFPoZM8vrPMGUfTy4QQUBma8VAdRh2l5A4A fuljTDpDQPWBpE3KLMLyncTjnKlICyYx41Y+LSICnS7lc0WNSRc6/zCRWl7M2fPxFYgFR7o9Jesy egrr5kE58hSTPjTtvGCdYHtUQMU8nYAYIA0bskcmP583NLjBvFFHwRbn9Y7ww/bFmtElwXkQ6HYs xxLICK46BdW4oE17o3x51IhEyY6FC20ADfXQaiCHCSdSwCAFx8ZYCefxq6KWSrSZ0+V+OTA54Coi kmHe/gbxnJQ4K3rQGZ0/3pL/BTD1OQddIYdfWTtq08HDlREWYEiAyx1vvdsWy27uNM/Y7ooRtf// pvRLlHRnOv1s/FjFh3rUNGxzbn5qRLFjU1mOKQfYclRm61jzOurucuAdVd6qV7GUlACEVHpHfRM2 CvOwfX7WYIuh8P8jROwRVx3xXZiP425V8QTg/cA33A/a1ozgPXQ33RBC4aMTg6k6FVyfgwaCE1Bc SOWYYC1wKggdyUBDflRyRKyn4lqBp+V4sJf6Ga55a/R+tSBJpRPfuVpYRToSyqPTz2pdsY891Byv AYXUyccjTdI+DiuPr46CsxPAjLUIAsmX9oAMmk2XlSCL0zKjkM/lHBbEf78aNpIaijjhlA/B8cwd WRL0eVPcMAPL7YYuDDAU8H9SDVkLoVrQKKYiO7At6tUSrWkwiDTgzyFVNtI6HzU48xhbpUNtxMH7 /OkxCyjh0TJPSRR2t+IBH1gBBSC+UL3SYK6zsKopEm0DiATKVdIpmFNoT4f9Vgc9M0GE8gYiqfaQ QAyG+sqr0nR00It/HnKKp8W8PSrfcyrGWJ1SYnXCiFXLkZ8cSwJHdIoQ7fJ6okqwEKaUX4ORLTVO NXg1KqBWzCwTnDCfCVvAt59Et9txIVg77RK8IupoJy9SnALNfhj5QcZTBY3rJxuD4URAddl3a7KY 5Ds9CKgh8wXZy0T0xFj/IgGZBxCeZRYt+VCQ2VEsflGMvMl2tIni0sLwV42QQVVhKuUSzqUF1sIC L33OOyGJrvbCVDEcnx1NhYRhlWtFK0OMEK6S/78FkPwGP2z0uG4Nnz7vX3zlxMdTLaS/GPZfzvAD aoaWC3qJUJ9Qr+zkMPLUyNLeJpujU/DwRH4bEcgID0tDPdN0wnmBije6+Dto2gfjOeT51UCqQoyx 2FdBfR1+tNWR2toyuDm+6Nqksf7XOywf2J9jLOZQtlo+J8q3zV4R5OEShz/uW5Ygwn/kylR8Ggsc EXnIlyEEKtweNnCSkNxBGQ0er1sQrLAQVNCygVsdFCC4KW3tR/7Tqk3zg5NwuOpkZIOYQ4fLu2PL CMA0iE0So7Jnd/39pUucgi5mPKPDGXgF6LmZCSVUAe8wrVbMjFNajfUeiDzYIkiFhBrQnV6ARe3B t1FwsjBZxDyUrNrjjGLKhgYHpgNpSf5evmgZ97e4rTl2R4U1RibkLRF6VHxTvrrUpm2wGwjyBcN8 CNnPpIUEhPsuqYGm5DkhUFuum9aX7qkbiZQ+Ty1I2bXxHUbWjAzVaGS1cDjvID+5ubOHMwmKznPJ PiXqdlcWND0bBSnpEGsw9DgBVfgMccWZkei8voZx6tdbTG3nlR6r86xhOAM1aPiI6Pou9BZrMEtX awkQv2bHICkRh7Dva/JkpBuKolriWDpWXDy2TBA7swzFZoUG5leKHHjRwb6U7Wlepi3do10mio9P G3ORCKw9oD0AhKoKTGfkqgc+GNqEPinb4EJsYhQNmvghi6uOTlp+dranCIkYFLKrVgrNjTreT9Km 2vy1PWbT0wRh5eos01zqI4xgss3OcNEbgbPDNR0PgHddoxPpPtkXFFUbUJdlwnhpWL+xfhMUkeup lLgMGVCFLxmS/4AkccpQfjMhXoAtuqj2eeqoinSssSN88OQZKlJ2P8lPVMIhcX+fmQ4hg2jCFY1O XhCm0y1kZhaCpLPiSmq0TJ7479YhIGLwDsGsTVIOSo/dj4al9kA8bb57UvTkQlXGG0NDnLyHln2I O+R+aWHdMDJHCupKusoEPq95UI5vJwsBr8SlAQ2saBLAVTZnN6YyV1TAITi5KPA7Y1aq1ocW0BvK AXITPgz/wDp8oQD3KX3orO6AuPaKfp5n/I3HHS5pXPVUV3iY/kMF0L4gntRQLgsV836KNs6V/0pt E/4+bnIyiBG+gRWnef1y6NRYWZqNzuzRMxd0bY+GfQg2xx1+3IBniqQsHn9hU4xyBEBzKvEuH/BR UoyVcaAWuYhAlOcWXjSMK6xNkpz4Jn0/n7fkUGtoIWJjhVMaRSNnJ4ePrpPQ3OB0fRM74arZrzcL xA55M/fA/CxCPZMvva0n14WAWAsv9Lb1n4CytZhU4NYYL08pd/H3NlqMN6q5sKqzUs2YGdVz/lZ/ d0sc71HVyRPKpFFqPGxKqX6mBGswJX0AVJkvJEi60vWoHvrb1DDA6fVwLNNx/E7L8o9oLhpaES/+ RF7/SNbxckiBtJvShkZbycfOsSFzXDVw8BlA8td+flaUkq0bm69LvMqQHjwWIMfUMCxkjK+0TP8A ydBa5H7q/Bk+ahXQRJj/7SOE7+d2vNsxATpxDcGmiJQI8mHFu6UcEYKL1HCL7o8mrurd9t7hq+Xn aGb1hyAZj/5RxrMSTOgF2Bi/0uBnGhZZtQLpSMyKOgp8tMGKkqrOA/ZrY7dYOMDzBCxMyG3HOk9q GissF52oewZ2TeBC9Fmlesr7kEctHj+pQLtAmFIaWVtDORRP2bjmdGz6G8ylDi7CUI33yek3WFoD +U+FQAFm08mZisFbtAedjamlRyBfV6JufRGI5hgB3PWM5Iig40p8j+rhjYJrMt2J1bERqW+Ev4vi EoJG9s5PdobO4luoXkjOHwFUHzQW399iIF5jSvfGrhlDn0BNVHCFTWKqrQcTRmvWgPnXLsB52aGH zwdw+r8XQ/fi+S9wzNWBq15BDqkGqyvgdb9RXvtqMYDBgzcItNz592yOBCFU699+DoYM+xvGaOe+ /BBbxFn6wN6EtDEv1C+aBhTb+vcIuBT1PIsbyFQysQNJ9z2l2HJHCKkNv7el31vBPAcxBN4H402T lpe5pJiMCMnUGS9uPFCWZhjB9iJIeYxPVE6d9X2Z9/HgSVsfy17ntFTn1ioqqopaeFyTOt1sTrKv QqGjqJ+aJC7hiGxsunvZm2KqA7xqMtn8BOrd/sVCNsOIAwSmaMfF1QOcowYVi5H0fPjU8B7Qnogz UyK0uBr5p01VX0p2uVd5SVwEwD3OjZFzn7l7FRTBoD6U95S66H4Fp2PIvmLtuJCYnQB3SJgEEWt8 8Nph7Ey2E9bMhL0zGzzRJ0RICYQe6zN18Pa4cNKxGBqEfc4ouwhff6r5GWbkitjdux0+7T/rhI78 LBNOpdMno9ZtUZr0Zj6AiNS1yXMOpsO+Em2830o2eIqYVStJRJyEBUK5BrxEoZt8sb8Es/oDt7SY AZ4H3oavPsdsYQMrbfxxM38IYKCban6UwmKa5ympFB+x4o40Uzz73KqGUkNF+45lbjlb92MLXK7k j4AjSora6bDPotpTu8mYacb25dxaBbr/SnJ4RDkIFSpRSypfL35L1KCrByLPCg5zVmTLK/Ff2aao Sw3aOkb2fkQdRfsCK5ok7DUkzRNhKNBt+FHLWtXVf4TH652whi9DaqIK8c1PfjAGJ0aOkKRkP8NB 7PHJs/mWMosMDnWThOFIN3QegtaAiGXD5r8nltP5jxY9XZ9aCcCcKNCcjy6vMFOppGjs3PSe7MrM kFdyAF1FSd6Ga9pDXpbx9P3DdHWtINszMohbIoM2u6jazUM85vngEnwptqioe57SJiKmwNO2oabe R4ncQzLbYg09qd/WpPtfrP4A4BTe5lGnJNiIOdwmK0tr/t90FKuydxqugLOlSNIZwlrhycvvHApX DUEkZGWZ3dHERrTRLMhjQPuArCONXlfBXCVC8Xz4EHcgwVmGaoDE7zDZFCc3KnJFyR2T9l9APAzu qCU5dGqcjm/FjaBSu0fO3oXiJ4D1usd1PR6QcIKF0LL9A9d5VuiZ1tbmKGoyVJ3PLGAE2jmjyu9u 3iQrCKg8Jgs9pRimnb8Tr7rRj4g5PA0PhZNpcLtRAFrx2Ho2oThOVK+JxluQTlJqoRkwB9DN4ZQ0 pXJX0MZnsuaBiH9VAyCwTpszUKH9pFDtSzSc/2hikX4TMSOuhkbOLk/aLbav0Dn5uuPRUf/hLYNI PkgOa59QRMzHBIp2KfIP0RnvKiZhF+MdHLG1Xp16FCkSuD9kzJurwT3EODjam2swbmHTNxzfq47b qkWpMg91/x54602QbOe/ReQ27bNFLPJi0Z7knJ9hd4EfzFAdtctJXMBobSb1j/oPX1ohtRTlWMY3 gVBK8A3xZc68m1svM60el3jPUG3LhResjfKP9R05nuBAX4ctppMS9x8TVxlzQWaikY2Az2RRe8kY IjaFj4c6uAvR6JTAsToi122IRvhPzYLssEdpA33ov/DzmmhWrZrvduKanyO2fHBaKdyceJAigVJu 0uzSwZN6XcI1qC8/2HCDQ8MFMmkshosD+nGP1vFgSxAQM1MwNIDLUZHiBK0ZTH2slr/Kvdd5UHRZ KnVJl7v9o4CTmgX+CCbKBpkMPbuoHNZ9cmoQibaWxBgqQZW22o8qJBIsIF6r1gOlQz4KDUMG3o9b HEceZL4owXU49n0PTGl7yNMHrKvRxf5HsLnP1mzTb45GY16QHSsXmTW78JjuXPsYKfDJDZIKtXlK O1cOVS8eHQ46xgIqwj3EnsGDbaiTBRs2HSGLOlLh9h33PhILiwSkHVnKhrK9euP6XL2x+yPiQ1x9 /+AmkemnD/8427EAsS1y529OE+BZHurvzwqxnE/X+7wRojkewQ9tJAgqLRcSMgvvj6Bp7a/jdf7v jwHk4eb8LTUTvM4FQZ2EyLoXZRvDr2I7Gnr5anI3gKnSFhLq92/M5PBGFhXEtBlfpvL0c7SVAlOs JhRvIlI5pjAzYWJZE10+lNwF72zIPSp40mWift9Cj4b//6pszgZqDaT9xT+lVrHF8gShkVYyUVUJ 6exr5M7hzuoD4CE2HJwvZ4fFes9Mq9qv8bjyfHn3ukA5zTYoyal8jxTdd6jngl331xafqk5Rio8s II+UysKOoxMMJu4XquC/q/sZDi7pPNreouZ37Y+UpmlpiDYA2pOyokpnTLBfPhc2gr9S9AMyo351 TEt/Bvj3PP5CtAAVxNKYVjNoCP0szjb3J3HWEZmfqgOoPVQ0v2UeFh/jMk7cRFclm/ZrgypoOnan N+fM86hfsTmOBXJDLlm82jUnGJoMke6gOu8e+XdSVcROTzm9fdRY9HSYQ/9PfisvfUskRMWzB95y /P+IDwNtY2pZgnMIeEJeqMi50Nk0klSjfS94z35aOFyUgIcLMyg7IVye6pVhtpVRjLTD6vPKdsF7 d3qEg6QAFxNupkwl3MlW9HZkc4AFtu9nOqmo3Ym46D/0Pz7IlQACfv9KpjQLEn8WGgdw/RF2KXwJ KscQ8m9Lj4q7d1Icz4O39x2aOs7E64uhEB83llEqMS+b8KjDkhzfSkkDGZnnM1dvTh+LkxoTQN8A aFsJO0VBf/VIp0lDu/YLwId+6H0UyuoKmWeB9cssooF229LOTznAeXkGWKUWUY8yURS5GuhN1obJ dkqb6RKjsuBgDo+NDbrD+etSylXN42zv4UP8VbKhxnXyc1h2fnfaaQjHr7EB2iIXnBKUe4/VSpY0 6iVfCx2rkTTw4vAnrmW7SPPsbLnal3ULIJKRdQUdkUNBvCyUNwfLlHNx0C/cTXK7PpJSTpHWjEI/ LLrvAdDuMFIuSYPPhotfctJCANmnZ6RUKq2B3LYban4rVjAlbGDJmhoQ+q0kzZX8C4EdISnojjvu g5Qt+6mhXdbtnD+ek+bwzZ/eCQ6Wxl3/xXRfIAbcfrRXJcyO61/IsOW2PbNhiRNMQSJAzReraASb Ktf3jSPoxhOk17kLg+wO4r4ws7fOvf6hbLAWj/nTPzgCdPRKDjIn6i0hQTTArIvBT+e2qLkMSAAb 4IzEmG/bLDmWKvZPZmuAZsHxqh+rBuDOgf+fEA4gCeW9eeSEmOK2MHP725lhtBPd6P4cja1UJJ5u /sian31wYxwfrj64B4YQ4GULf85Ird0xIz1KfSZXMAsUsIL9t1HA9gTeSMNXElAUXwwGKiOc+Vk+ s825pwtRHmvoErKSzVl8LlJviiP9aKcIbx1qScpjHV+m3eZHA3kSr7ggOiTjZlreVEgCeWOVh5+I s4zTAjiJaJoeDwyNGqrFJpLC/acplEsLPYaq8i1j8xCKEXjsZaSlL0lkVzHOygkb6rqHHOegFVQ1 IZQZZjiZGtVSeZTWNf06pKVP/b9JyWDBGIJyYMxHEIu8SoO775258jrq7t10J02GaSmcsu3QcOaz bsKxn7E7OgQYTJvkvdLs8dQvlAAtp/n1ki73X9COUmNJYtyMXlB9Rz146nBtIqoflW8GH5NEM6Po Ei2UuFofvjhujT6OnIPR9b84vKzAZVU65zEflZ26BimDjkwtFQxzzo/hveeBbpUK32aawhq4z6NQ o/G6jCjL+digzaggiGzs/WtB3qnQLR58IIw0RaC6b9ewUxEmXqIuyOhtlSW/0xk9BfPOEyUDp1fN ARSW+81RiPP27EjHV7uHMj0AV1L1FJ6xsV8g8sKgZdIZGZ8MCsjOzZoccPh4gIzSczC7kRvVf4sq BH0iVzrQ46uXt/gxyJgOpxR7xRbgyYPQbcHA9pdqXgszKoA3j5UvromuFnI/pgIiUeDrwI+RAfAV tyutZn5MNjOmLcd1WqDaCkohbzGvt/SoDm1IFEzjgZ5pFmKiI6kSqnf/a+t/L2T6/l2VKATZzMGj hbkPFlCa4ifQiQQ1SuizK7pxLouGzY7gn3mhJWocLvo1+0B0nHT6WHSpkD8it14q/eoLPaXh1VoF N3tSs2YsBU+qGJBYqA9/WGiNtetEIeY8HGrMnAaXyoouLLSVaSb0uxzslJtRs9oq6WzMAcLqTeM4 TZuDyY5SHNu2FhKA2RcsPvTL9hzVDv3aGkRptbjclA9IsjSPQGMoNB44XnzCWJWV9fnwYVIoqPXv FKNJB0cnaIYWPfjYgYLdWhnqZZ3FqDDnlLW3ubT6SbzXfFrf3MoiCUg3HIEHIVqjCwnPDTzndZeQ PYsEi+rD2j2st9+WguACxR9IHM+CaoFWNPqzj3ckJ2E/oqwLTFmqXi7DSxGad6gTht2WM6F3b5nq MueU+djmCsAqbfiPllXgbFa2qKk0k73Gb08QNdFNSWfJ8FLXHxtd/LM4zLEN+j0tvrTWVygz4nrm hbv5ezs5IK7O28diSSZNlPWwHib54NnO6aWi6LiWEIcrfPEhRVJ+Kh3quL/igojkBTwId0q47kXl GK1NtV9SJftLnr8UHB2TGbc45m6cqHD5+GXFUAxA7JhNWvNiXbgStyOVnb/KdMmFE4e7pWxxCVsF FdwkWBGUHkYlf+HlFylfwfNMGx8yO3QevoaTRvh9ddFa6j65tdFWNYhFnTICYz9RwZ+CPUlH1uGV tI6a8RlwkPeN+oNacAUSzj2LmrxnOxlds2dn0YEQAyyLF6VVxMbBC0RuiDCT1VrpBlVgXRGwtm3+ 0IYuJNJr+pjLllm9j5P5Xz0fxsBXC/Af0hvFUTfKfN2uQm9vyebFZfMHGI+ixSTvA5WSrzOmmddm I4WcUwlAnDLgm6u6ZwRBWKG6MLn8K5eFojy3iRkf0OauJE52MoMp0Vh26d6Zc4C7wnEKqpirq8OW InbBPfDCNi8zV9UlPLuSQaztDzg3godBcGfvoTW0B+cfIxR3HSik0ImB23znpCTB6i6czUsnXW/D vHGYd7iJTysE688c8Vl2gwSiLQojzlrUWRPiA0+AvWu6KKfgOReUuf/eQ3D1RPBWTw6fpdWYjduy pklmR8xA2TeI4e5rFXozGHBuI9s9EdoMnGSxTqk0u08MlUZh4J+ziT+x7UZ0deBgPqGDBcARttFw 9/g/jz7lvu+1hzXzstRRu32ZO16znz68B5ObU5NQUd0n/lox2f6aFje6HETea83bOmFXxTUH8Ula vUJia5r2sXn7XuCnDD6YGtL/GjZtiUwI1HZNVE+0VHjItOAfixyqwnPykO3NLL3EIZV/XzyIzrws UyVAkjaLuwWwwLes3eoxfMkneuP5SNT0PgA9zi/XqBLtJaxEqcz5RmeR60s4cKE7n1Yx+A1nfi5Q RUIB1hwink4TENIcmxeLBPebXFq0oGREX2ibY99Nu5UGZnl4wRAFyFvSVHYPvTITfC1PwpNGTq+0 XfL48uZxlJI9GulPajoxQzhRtShLv0bNoSHMCg6m8Vx42diIbwVF/mcxS2o/O9oShbTd/Hd4hfba /L3ofhfJ5mnYzfkIOF1aY1cfZ//bMSsyXTOYqESynXhSOTqaNdHKkqnB/HTvLkzO8afU0jEj/tKE mTwoXp6u7BGh080prVBMQkic6xA+lWL0ok/0hkADN7FqSNh9soB/xNTJYA7L6mNmxBeuEitkvRtU g4LXps3l6FXgdjl+KUUFzP9347v3TahQX44R8epcfYMu5P/8qx+6ZB4N7Z4iNFy63xBZCKtmHiSy zGnm+nTNnrnhbqaqOwwiLzzpxE22Ucm7QPz1YzeapLpBgKtEeT0Fj82CvWB1Wm1wkp0vKkS6k2IJ mMULqqHibYrV0ibGPxDJbRky+5JPYNX3e1hk5BVaCSMeazsP5SjWmomZx5zqFuEa3jtXS89cpE3w ud0UPBtVggr0nsRMGcaWlTBzTgNJ6i3qBjVT/eeJavEIJHLJlxi9Uwgulh7SnbEpbU/nzeVj0pcK gF2wVrTEAW/KmWM8sc/U/FqTdhiz6p7ijIjMO9QpCpGHNY8wdPiazadcPTTiA1RdauAyNYThjlps boCUJNenvc/dyvj4s9vpLtIWMSukdHnU0D/AEeMIaYhPLbGZQN5cLCW3sAtlJplLl2j2WXKmgOUv AbSlvyrKqfkqvo7yQl7mpPmyiaUIE8YmPHt6hLRLD8pLOwmeoIt3xLWhdApjUuHI9JRDHl/ljWRg xqjk1uuVdzMnQJZJbv6KHD16Yw9j+xiPQWLT5E8o3lIWkdxgdmiy20lqv2jz5ax+lGpb3LPh14wz fZFzOWL5PP2P+B0ffK3PdXa4e2IQEdhtaQqKNePk9rXEGaU9Ql2bhFkthSPcUO9pOPywUe6+dnAk KRVNrifAgfa0ynPGQ5xeqVz2WxhYldvufN5kocwRTTAeXVXBSIk5+vUotnysRSmhdtshUqh201gU A0ddeDBOz3thfOJ/Ud6PSuFXC6xHsX8UklSs4hZ9SoHYW98JWnj9z70DNco2l2a3w9Dau2POWZ9W 115CdMmVg2D/pSUrGHC5CGbMHwkaXAMc0VOCews7kVb8OxY0YwcKhrxxhyZJzDafcPh2cBJj7X9u 8tgYcBLOQtY7IYFR3LQ+Ahodxh5wb6sFaXqrtQfFG3mrshJviroBA6M85yc1LetFfHe6lq3pKQoP ZIJ2Qsfogn+cO4VOTZvzADJq2/9qSMqdYqEqpc98avZBvl80yoDYgOl7hgB2tZG3M0Gj3vEFGPPd sDxfFxfMIEpZoJoVBaAHgB5UJgTyAQJY10nSp0QYqDBGtRVJ0PLuzcDe6a6X9SB72zQdopgKuoQ8 pcZCX2qrV/rWAX//ORaFyE1kXB7K2/e5hDF8t1xl0Xoe7uPsYoiwAD8phW2ClXY2u0yfoGrhougU NaHKhNwtj5oYy/DrJr2olzATJ/o0advEhj91VvjO7+57cLlCPm7rZs8pyc+Q3Qd6T9hjqiV9pnNU s6himHNmRUtzrxQyf1JMku9AX7j9axp4iwGFhydvItUdACcM5h9/eicSTIrKEOUtMRzA/0sta1IK +EIaFGWIyZI7LnGGx7ZoXTr+2JXf82r6lakokx1W6YXgTa5KYwssaH0pRCFUU6NG0gNSBn85su7X zq+KjqjnNvLq1MH88IkkCQwRpzEiwiOJIa7ZbtHVcGZ+SYtAzqPZDHCu8KuYaDJlg3FsKB2bjdOj aRYEpkBa5ft5GGW4Nq4IYa8xsD4zPjWVdgwl+PiLUNjqnvjSxZtelOxpjHsq1r3CK40BaJmN/9Qo VZpy19LhTuNtrKS5oSKs5PL/oDf6xb4iwfo+cFCpu58B4rIFBD38siH8AoYE1IRecppLYPyup8M0 WeixovyD+q7dv946bsMvmNIwQTqv60F+kKpuUYYlpRTkm+M1G/Yu9HIOEBoXpmIP1LHmjx9OPqao +rL71p/Ud9Ks5kYTlyvap+whdpBM64PMaDPktG5TBfnvbGON5ndpm5ld2ip1rLqBNci2nt5c5AwY hoNVo2XsLjY/fSxToW6ROBlnqT/YhDwlyxTT2uo4IbxEkgc80UbgKwtzvpNgMx/nCBupI/5zJRs6 AwcgRuUj7sulugCQjx2OY+KPcOO/uuisxbJNHfTxBEcq615cvaul3zIz4vYkK4PP+QZu8PNHXCiG UeRmDNWAacWwWnkfJ0kwbOA5bsO1jWSC/W/ut52HfRIjSuZqfZydccsnHDPgEZeztRMEEQIZTkxA KTMpcBH50luYYjOXk5+wJhd/w35JZJpePzQYwpvYMzda3/QOfLToDSUst0a48xy8vhoVl9JcpnWH /PNpUpLqkKxcs922wTqMwE2FYy38SxYH58xdESx0qjvWb9g2GOHgVrGHvqPw0uykMIjrGlc7MCok +aQA5ze9uD8VOQNuq7ESSmZ8UJi/12McAMl22LorUep25gJamGzKBEUcODla8eJGA0EEIdEbyAoz q8qwiRS3Kbl8G/+UjmLXCLvodsfT57DBCNMga6vOIxWwabaysQLYZabwUlvDbCkNFYh2przKJaLW 21EtMx3RL/CjHxVmU4Bhoslh1hcSaQDysDTlf2Q8YtXHECwyNvGUjCKJQWyzQNg92IwLKnTSgc7b fMX+QDz+SJNAol4dgaLR6A/RYUJ5mBsHXX/kqVXyxFZfYkpQxqPIl1EyijuQ+wt9PqMN3Dlhcx+p iIMFLSg4iz2Rbqi+ja598Zm3GW6LiPvkLfGmCC8SyW9EUy80A/X+DzW8j5NrV47Ywe5fPORwEXUV /49rZCK5APzm5I2F5dOWbWyDITC88kBRdgMDYbMejyFOPz6Ox7jiU9ukypEwOjyO2QnhrqrZy3BM PAm6ZjoPVWaKe/fykVMRUPY46kgKa1sEoZvC3+J+WWAjHKTzS7ciqRB0vyS1XJtjiaum9OKv8lKj QsGuowGHr4InFZqZhYTaSE7FINU/CCjt8d+56q9T1kCgRAALB3yqqIcJoLZwRbVB2A5kqF6YtCwz Vs4nDUFOjOL+gIw4PoIKRCB3MCibh4JoSaQcc2Kks/fWjbiM84ykh152ENeB68Rzhk6pdVvEElfH oTmMLHNx/8q3CR9UUiZ65dDrivqGyFAsPhNPO8x05AhMvMjaars0R2/vZNQPK8ieKkaw0Wx2IOzI AGSdGSyUc08DE4xOvdYailWdCBJvi8pf/I+fwjuq0hgT16JZIOXG8Wct0f4JIZDqBtedlPkfoK0u Sb/rPIwS14YOJQkmN2Ij8+Nb4oP2OeIH1+tMtAlcSVP+2Vx8e54psbM4FTLOZw3hIRCUjLBG4f0q 3JM+NOEFb9AqkzRUuhfZ0RXCwZ9d3o+b523nCcSrtvU0GfUF+ZuglLqDjTB8QpwvRQnreyGiAGUb MBR0mCLBvDDa/BP4n8nhxQijSgc1bx70Jg9e0YOJOf5hBrfLQncwzKfXr2x8g/i+JtiuMxlrJY/R PndQe6YU3HCrBmNgrzoXKKZNPt+O97w6lLKDppGT05ymX+ZuNkaiLivQ7gnxDxNfh2uOSBeflJDb qOSlFN3gz2TDfZXDdbYJ5YDEOojiOfQurlK0/PL+p2pJ36O9z7J15jVUbo33nFrUIEurcr+X7Ua/ 4wy7+IHWy0LZq1KwFfrvOpdvY4ms46oaKkxJZdFkEJxQcaOxMxCC90FzlsAXsXMTtKcMS2dj3Uli +Mg3T5KTGTQMF5d99m4wFTGxvAIPe0ueoZOwF1rdgG1aDojXWxnWjNMNZupE4c3DEC7rkVLraaxe SqwiL7LCMGh01BoOY1wJZQ4AXCvIX8dCOO9+WF4TW8+dy3L/1GUYvOdzXTk7LxoXgeDdWIPsCieQ G+VH1NQbxZVDXTf383YLhDlH1tXCchZlRXUdaZLMdZ9TU+5dw7VdAzkaXtGI+0YYjtNieehKr3GL 8jYwwOIj4RDXtqu+nmM5dPbPqVtpqyPgPFvwcUTOH/fnAXm8vWFAzfxLcDv5j1cjSzZAnL0piKbV pe0AIhROocF8LXQhlWDrkNTkxaiCeWRJtchkwzomrd0jBzr/RvDobly9liT/AD62kktUvvSVKRRI 0e3hOv7M5N75Q8vMbJPYadfg9HfnuR4Ff/coqGvP8ntgwGiB2hpVzTmBUv/uw9OEkJEAnP52EGn1 AiyUrWPjqzRGQegQm1IP3VFxiwXB4jaVzsPJc9zeAnqusGcAiU6RZ/l29sd6Spa9x/54VaRFIJSV /8koHsNBSUMooFX/FfIj7iNpbrd6XLNtxYZ2O9xbkRSEEAfs94nosGDhSNpJQ1ztP+wrEXnRKCio vkSX7s8miC6zsEXxV8kph3EWFX3F7oKOYxEUs8sRN0Zt3TSFe03f+kudAxVFf+x5SiRtb5UmFs8j AD+udikJuLMWDOo1nLYqf97U+O9TvBXtpw1eMuxBJV90ESNxHo25aI2jFKe9Ai94pybXOtVh/wB4 OoYVIugABX/S2ngZoag/CAWQradSW7Z981p+npKwyfqkkProcb3sjgE859iAACFSWTqZ6e9dH+8j 5W4/39mVcbhU+vQUiXTZuurghvNZAchKLvCKxTu7Cj5R0C5CgYadwwyTP7ThNpCjSJIwETpVqzK6 MqiihTrmPoernS96F8cNCGJR6nA+98CDdyA3qky4K1xu2XPttU6zls5hvDW/nZmCIFbbfK/zfUHE +ckLz+N8KfP5I43gRb7T5kevsIw8ooe26ybntcOlK+xhHL/gIhfuJlluQwhOpB2HE/BbgV/4XShH ohUadtwqubRwelyoqMRBhmxIRQ8HNs3qZES5m87E2wGMjx0d5muvOZ4kuxh+6SPKgsbIT6tW75u4 8F7xr2IlLtB1IrXL+1MudPKXw5Lu4vyGbu7UngSfi6EzftimSHf5uN1UGMQb9lMPkbPm0B28Db0g SpdZ7nxFP3g289HFb7RL5w/llJkE92TYYdCrWQfFeLGpjE1Xrtsjx6hY7ylpueMnP29/cYB2UUke n91Fm4sVMElJ+xf6UduQcVM3qPZTlj08+2CJ7DKWzx5fH1hULmlPYmOYIVTLoPUCwa0LQY2VEv+z ozCVYA/uq97tzinti+9tqfvse1E6K9347UwY2B4sSZScypDu7q5qiJyz+Lj4s69RtZo0kej/tvFv tz5loVWe8oPb17wf4tvvYfAU2h9xMkBceOjCLjST/o4ySvdmZq8MJ1ZR2MD/oB/D+HX9Numl4+Qd ZFppoZ3fQs8oxEzlT8Wf+py+AqrcgsOaacYlNK+6eSd2GXBLq5qrRyryxlA/O2Q9EsdLp9A76jxO 8EXR0R5kwbuGLmtUiIgzUNbOBIIYFux8Y8WUGzKwIrNtbaR3/flSuYly0ERN9edYGjZWzsUFZwWN RWZjjc8XZiDdBG0VNAXxnM2H18e9LD41WdAqVpbHLgB3z5GHo/bVorxrfJJereN0XMISxic8ASTf KS1GzUXtUWB9/mYFlN7ioBvAndBy3nfX+NYyRDW4r8cr9gpVzPWO+pJAdm3zFbgqm78Q4jK4jHBz //hQ7EG22MFKXfTfwas4RwWLcq7ffwlrOfyjUpTc+qMXgOKmVKQUDdVKNQUzX1TxvuxJAr0ITMOc u3I07g0Coy/pUex+mHhp0IflQRUMnWtD7aKv0vehqOt/K+ZbyH4iQoxXNgIwGlVXIw3VIRaJDGwf a0O7n7+Sp7HDLcTPW83Yl065bHXxAkEcA9uPcPNAn7FHodEapdEwV96iVxnsANr9l2y1R3MjWZt+ qCDbZnY3RmvrkpiZ3YK1lCXjCwLMK9/gXHYjPrYRIk8Krwz2DR4zH5ZP9rQqDT6vcHoKsVDEUk50 alNLeH5TtJrrSnUUAkPqtD8CnWZc3R5gVmUiS5kXqsEXnY+fURNLEvhZeJyRzyyZDAB+trOb2y4R bxEgX+otx4cCRS61l6y0zusDqHBL1wfcAaTIgQb23a2m9SNYfIfQrpsoxZ9yeqlUrtng2MpS32t/ Sxl6p/0twsB3RLgpOSWmUv17rmNRScUZ8+xSoIOBtBQ9kXP8k+0KMLJt8UbQz5Yc9AtdOJHsQaER Grc25Y8oOY67i0Z2tOH+m6oUPfywfsm98YqzTlmd9xwDyk+JUyUseD6rmjqG2UYbFHD7jttme162 fNFV0qDlWNzVMiBrbS5/hyvjSj3SGFc/0lKgo1OE8u1z4yFAmEvcPJNMoPzDh2GzN6X9ef+hMK9S CMwcTPzRkaP33xOPQTvKOzvykTGwLZ2hFdjZCmLAqdWVZckNsNPa2vWFZfIq9UeaUsU768LO9+dQ 8IGP9AY/HQxpyOzFpXHyY2JoRR4QLr5YF5peJGL833BtJ71hxQ7lk162i2qlVLTUeCAy0i/yt+CZ mepio7NP/zhiApgdUKEEMdGUXexZCb+rJXVPV0SNJTTPyg+6CGUSFsUdxR8k3Igp/XxgJALKF9yc VfGL7LLx2egEKAD2dQmoALYK2ttv2oy2PH5hqEkGB/uAZuy5lwulkisGKpmZpSUAv6PK67kKgSie biRxOQhGtdXlnk845WFDwXa1Cy8hY8kMgv9+1Uoo86gu6QJo+Q3aDijGx+GpX8juPfAs72eH7jnW gdxQSalkcEinfK8xi/M+Vx0nphuK3ECXBIRRN9iLCu68sRwykONSwSQcL07P/Fkiain57XyDuuD4 Q0rMRan1EXCKCPK6D41CpG15qC7YFdkJTq1IO+/gYPRvBKtukIlmUWT9e3zmx6W8Tzpj6ZIpZP1i o6p/ZtCRka5cAYSehhQgAWNqp5rObrgiAu+xw8k9a4Y3cNOx0cXaDeDMNGCU2nCbyNDvjLl+606N n/SzazAtDxIfDA3aTqiq1fVDb2V3dgKPFsmiTkoLUOmmXyJOCkqI/T1dnnZb3RNjgfl8nOO/us5H NeQQfmmYV1QnQnG53iOinYVaS91yziD9bGKutBHoJxKqI0fcntTBHZSxTwstmOA98CGAvebuwipZ qkvjzYbAPRj0c79P8MK4wonCIz7SuqMmcUMmkdA7qWjGPajdhBeTAyUX2AmYfsg4iZS+idrvd9/N cz3uaZot7zEYVVzcKXWQPeo1CKtRqniINUhnlhV6mCGWeHEjk3uqhIMohYeff0VVwXxZBiToabFq hC054wII7V+xnKL/tBJnRNla4PrqwDSvB+fXhjli2jFP+MLaKVrVjicgkr0EHQdMDuOabcy+Sgf9 IWjWv7wYQ+7P+sIaObseWMErTfXZujkHXPiVXuxbHn4k5xilO79LAbp86XljQ2HcSYH7nkM6gROE xXGnlvRhDHTwqgCRlKVUxIiujSSIWi/7DKPKnZ9nbPwBil618gAv738ttH7uQ5O28vdCYHlpDlMj 11vT1gg1LYalclDL8LegCYDcrNXcR19xyHX95U4e72i6eJjRRHoFcLBmnXBqbIJpJ1mJipy4jhTU b63FkkeamDo6L44WK1UiDqY7kA5Fvf6XkIlndTT9p7gi8zzcVPRbsbeCm43Ji327y/e73JACFMp3 shUW+HhyxgqXZMjYq4g3pdEgKDv/rEdZWGii8h1ru2it2WR64ltk+D0AF+twQ63qkonWc5RMrW6u IqSOVqVreEiNrocnTUqmBsYx/KpMG37nW+vZWbSzLCcXC/z26ywvfakV/N2diWzj7NcJdCjkwjUW 51WFusNppSkr7kwnYhUjNlpEw+ofEl1k8PIJv5pIC/dpXymPr3OrX8/WBfn6dyXP1Wx2ACcmboNF +5fvVdEA2+HCfBQfA24AZ5c7rMblLWtCqO0hIBLdE0D6ZWGrF2G5EHZf+9Asvc7NKwhCE7Bs1Zn4 s4LXuHlVvTUTSCCyb6mymsY0O+HiEAtN5P4Z2jLSYxOFSNMdSBA+8gdjOMaO1zMIKpE3/UAs+bQR u7vWzh24sluAea2qfLWvE6RjdUUot4YvQNMzUmw1k0eLjfUBQlbq1Fr9w24nV1HLL9JP18JIgAAo RwDkYcXGeRy8FQLyYK6ldA/OnpuIJRosogJGEbRyxC6V4S12tPVGs20wzDZMjU/LdbT36GRhEYXH 1FzisYPfUirqst2+GO1I2VKUeYVN3XWzqBg8OuNyjGnAR0jiy2hRrjSHEnJiGX3V+ebkNWDYPKj2 PZa8cGofBdEosyqYbtnjQIZEfbAwB4r3blZXo2b1uL8hJctyTu4nZd55K1OVnfG/tvfeNCtGfeoM 8fYs5N56NaFxaOaxsaMRfqcnhQNYT0N/TrI7RFdxSDxeaxW4PPR3p0UTujci0rp/m5dc62cy9qPt eqWBDeJD6enfWkh/tL0E3GWFfxf17za3yXyzgTjUdTApktqxW+yNdrhB5pdBi8P+A6IqMwG9VhZK oPgMITln3fX8zDL4iZPHfL+ETSg4mlVVQ3qF9QfHvhDTnZskYLrmjaDk/2y4tvkiLjJBPzHLat+v /CEbL72IFFeoF66ItG7LWqYo3RRCymSJzfCKeMLdFBxPs6McNX8xoKsmwoQmFIu7Xt2l2nDRa1Fe MS/pZLdIF2Up5rw8Bll5HW4sHTu5fzS2dgvEaZa06y3efAPQzoDJvFccSMADIImxdu6/bsU0/bgU YBrxipr5Pmcq696k07CEb5nr9CM7euYTKG3OWuE5VE94ekuAgkZ5FymbIj3vzKB5yV6Uuw6bL1nR Mjx8NOkBcNKomGmMmliFuKxOrNyC5fFTj6WdtZDTJHurACaNxFpfMnG9nJR9IT2O9N+YBZ4Vgsj/ 4mbDQlyBUt/qYA87qrbutasbKLnlaSHFGrC33s2JaI2+f1mW1QJFS8gd82GVPJPxV2NYAS95p8w5 6Xu0LjA/r/tBugQDNeqZnQoWXTznsoE9yhwJ9z9+CyBma5TJXQu8AjG7RRqeclWA3oXxn53HgZCR vWbc/tats9Ws3xPb8eHU5rwE0U1icLBI8bZUVab7e0G8q/Nq/LgQJGakJDkiHoNzriLvHODr3EQN Q8G6eelQG9EiJne/u39Vd58NgoY0MLUhedQNQsXb2meFFzgpL0Jf8l09dEzAdSTQkG/6ZiZfKf3g xI2B6QVc5MdXcgIwfYj3BUO6kx68RnHCGPWBNQrKtdIls2VE1okipUMVs9rDAXQ1meBX14D6XkhV oyUeAlynR6cFffv1a5ieiuZ2zwA4TdkG2VWOHHo6C/Vy3GTs90XJ2p+hFKPBD/qzgpauB3pPyXOU 7HZTSgWnbn8lP90wnDJ9CFV6I4xKiHFAHMFzMVb9Ye6T0MoV95sNLJyoBXsoyj42HDDug2KW0ojh 1QCfyjULy2Y4N6/Oz+GuaA/yLURoiXMHONjx54mXLxeoUeO3/83vdWFCSiUKaERe0aBPpHD41wRE CuiaMyg8nko07M8BCdn8KwvSong12bg0/KndgCTpjmcPEjsLCrYtbL+l8sbiK2yrqFiPvMjfYkTb M8gSF4gvQVrr0eGKlsO2311FMA/f86gcQPlPD4Xj7DDZofiXyVtERfC+0Ad95qdf0NCTHuNrGddi aOhfAyJAnAg3jtODYtUZD7G9ra9DFv0D/gsPnBpB3xalOWcEvSr09R7zj0AJqpLWz8nAlSI0eKgm cH9yJEEzJLy1MgLyh+Eg7PH7VLIwVLXbLH6DuDM7dEmflBq39VgcQkgey5Ff+otRPmuWt3DVqwef UFdR32h5j5k2QyuFH4IUmevF1w1/SWvrviYNc2KTso6NlPg++OCPblSSp3xSA4QOZpypcW32ysR/ Hr4Ti8EgZeJMI53hnopWJcKJBwDw9MXy/hcnatdxLW+x26fv07Kl6qwWoBIG7lJUGbMmUlTcYHgD H4zTBmbkaSvJHsIdXsUVar6XIRavc3Oaxkzzw8mpMANQxiP3lHb5fzFtisrGScApNlhfzYhL2iFT JI8z7GI2pOLscL9vmPCmPgC0cfAcTCOeNFTeonJNVH5a78YN7jJKes0XCdSKCR/7dBH12a4WiAi3 1rW6WfgSpHK6oTbwjFet3+bTlEyX3RRXgux0+jfrl7wiAt/dnZACB6fNCgRTCrkEMqNJckxhidMj xVzWcmyA87cdxr6bONAW+yNEvoLfSUo7L35Q8XuHWzloMiL24PwCsbrAs0Uti3C3tCAZniudBy0N IIHdEuNrCAMrD7NC73omIbEy2Fq7JATR6YNRpi+xyZJ3e7V66LTuBPF6Hg1Xzuzo9t876tQENjx9 fBsuF2E5PF/9yDsG+SO7/Al2HIDymETP+3VKNR4qaSmOuvga+TysMyQ5kekcebt+7dks5BPfBn6c TcSKdVaIRLLx3fm917ITxUST/n8nRQPmGyiz5TPDkoDI88aFToOFjNRSOKAFkabSuLBQauZP1A3E NFOz8lJI9CsXs/0Nqya319+pCSbOYswpeFvlIahh9aawe38Bi+eU6PLSUYLdJe35scmMPMpzhp7+ bN7YmzQTGZomIWNv507bXU7yVMH19CgF9NprqgWcVPz7ayp1mtjjqy1sCShLbAoAakZB8phTwMdq +QkFi/TxkqP/ErdupHKGc4eqjdgCSFpuBRWyNu8rhr4qx1Bnv4nQXEsJ1K7qahNOra054b6qQCnS 8wcMaq39C0gwgBA68k0Lz13uzqjPpTf7zlheso8Q6+RtGsrdDtpYb3hMwviTfaKRIvZyWebwTlK7 hcR/3r57vF36P95NBQfO5IZFC+4ayGW6Q7smLiIyAAoXZdSCyloa3hizb2K0LC58S8Tf9p0Y7ir6 1x+pqA48rHZTJapfnzEotnb2w0ZpeUB2ENq77cFMio5YExtMgt1wJokbZpZJvoxdafRipmZpE9R9 VMu1gtvMCOZggO3w0zOE4ruUoUWGL78VSgQPuaYUbMNMgTYtB84s3B/lzTkIAPIQLPvbomZwm8NO I/qNO3y6GRQEzF8vZ0KS42V51W7N2RAs4sG+aqdPiKeqP9WTiAqk/XoRwErJGz/Wc1sVtXSEnkRn oi5XUmcAnBDX2A3HSv3nCpJH3n9Qe/u8cG5OWgPyVE7RZJWjF6OGtTZ9o9wrQ6RZgvbWK1n3HCqM Q27MNRoCCDW46QRtSGeq/I36bsCSn3HFezACORUJc4VoPlgmv56Dp4asHdXM40npZsp7UyerRQEV ikibkeF7oKWCxF+H7+U9iXE3S+3fVpvhdHB9+7MsaPXtAvJV0VfWFjpz/wLb3Mgn9192df73PDTu 14tWBEUwviKa0vjiOrE0ZePIXOojW9gobp2gy/S3QUjfh2sXw3mTK11PtbJZkxPd1UjFkLjfeUUh d2kqFTTg1p7HnjiYImB/1EezVoEFldIk0S1XVNYk1QqqcWbfQ9Rq7fe+YJcRu2bKHwNIZd+RUSeg K0zFre7+2s1G3Z3PlxSAylfSsdiEj6xBrxZV1bwFydTfcTuTAvm+iGjeyivsfEedJ1EEcYWoR4N2 4BtwEhQ6lJ+VbUbs/McyLG+8QUFzCUjEtGwFn8DQ/gFg2bYW+FSe2JAusEQuq/mhkSk9afIyZiCo DEfIxg5zHLgjQmWVMHz09lYodulEy8/spPJF/VLmon01BL9xH9QkDkGbp/IRZi0cwLi+r/CAp8Lg V7jkP+X05sPQT8rsPFxDrNzxLmwaQncMWUBPhawjHNV5O5Isq/GhGfH0rRPa85RMWTjNysuqn8/j wU1bVQQ/zH/N/KzPk84vZpWoKdkN18mXI/BLvxwxNKrWu5R46nJrAG7zuwlod3zQimb7ktb408dR lws8H4msxGzmkeQiONhMTLaiLRqFSkGQWkSGsI7YD4uJpGibZpYQ+4jEo7pxfgOMVymiV6/Uf7eJ Y1nF27aj5px9KDKSNPH/tTyZwMLRl/vEeErPsOYY2T2xC7E/A/mQCMDS+47AWNMv9oVTqHST0yR+ L3Z8dSd0f1PGUeBdA5hRTP/mZMBx9nNuBSxo07+AoEHJd0hvzxo485rn5zXjKVAFelhX1gEoaR5x 3IkpeU3UNe8nwCW3O3jfElk8LTHkBGWTDw7tZ/mBP0VTD58guOhREZtEq35OtAPDhq0La0B2XXpe 0BJma0S+Le4tT3ERctuauiBmxJ7R7wyCZk5fjftB1/VaddVTm2rwho9AOdyBQa/w3uvpM8DQRVK1 +PsWV/2Zm0iKxA4LwCyFyuLK2R12XpDo2k4cZV5oiAE0uLGgdJg0MAkppu97e97DPHTjJciJAyEl 54gtBZF+FJlyFPvmmF4z73SDfBfPC7dqg9aFGL/XIMf/W1xGpfTScPkEiR6SKPE2k0je+ca+TkBG cbqPb3/xHMsXZVk89rFkoTk88pO2TJzqOW+2lCImcdq+OF9ZHqcbYnrVTxAxtqf7gw7MoEgMJOK+ m/F+1R9BtOX7kJsg3RZSMja/D4giBqbweL5KUCBVLdrZB+exCiErqqSZTcuqfQYmBHAkEJq8UNiz gcDtNa9ZMzadWy4ofK4PKygQm1pl8S41u7MXIpW5o0oYpd8ldvwOqjdB0fP+FxVM649Xc6dWhZDU v8JbIB374L233KLzWXbjJSgm7jIt22nr0UgBBUvy0R0B1a8OUIEVJGQTrWDwwXi5t8zFfUqeIF/j YZbP7ZGJfT+8V2cI6hgL3X7qFxWwB6u5cXT28bsJhrQJER2NRGe21YzgqQGiKmTKXT8C3T2V/Bxu MJ42fgor4VGBN+LbHUyNfCfYIblwf/UcopNx6gWwud0vIF56mVS022mYHAMR3klyvtMey4MAFycK c0apoCoLm9fO7YQ+AUkZhC2sosVSricvh3rgXfq7ylbxIJJ/1UW1Psiktum1uoEbq2OPhibSpFzu EUhpuhJvxGgELLiJU2W6c6/tN4BQ9ldD1l+ddVYo0Fp2Ea1MArhz/wmpKKwtljWkYdyAZMrE5twb RPv1EI7P5nii70Shc9M+ZshdshxF31Vpo9OH4iJqnGa6AUdfdvfU4dzS/AMW5r+vL7GsYVHXlbVS +/Qoud6hXyXG8QUunkPs6CQr3LUKDYirPEFzpaj6UrFdwAIz8lQF0FRfbWb5JgyTrlJ25A8F+B4v P7Ab5aMFnCUFgwzCdppZU3iM1NvNXw1kOoke3rWiEmIoKKT3CKWW4fTKHd46IFrCdH/vpHB8RqO2 wTvKzja7pfcd1Ag2mrCL9WYhNsjZ7kgBj+ryfWCtpofl+Gg712yuhQ188E0FtWYWQm1FYLnxqe7I 2Jmqnq5G/bumjuRdlACqHKTD9a5rTT5Ew7d1zIylQihrV1JdpokzJPcb2EdINmPfy70w2fAZOvpB whP78yZP7S/qVvrhRFQUX0T89TyXIAgmPNOMQZRawOvjS+K+Ozg8T0sG4Q5BIzna6mu1sHk/bBWr JTb/6MU643X34W8RwPQyTsBfpJ2qZY4q1+A2D6szxI3epEbcyq8ArelaWkcgREQj5D+zac7uR+3m SR9wPAlMRONty4gpXz0YCOwmxOAoYuc1v+ND8zLWCJT6Ilc4iC2tuR3FdfYuOpIGo/WS8np9ymJo UqDyU6q/RCbtssqCUrP5gTv/ZUfFcoMwEq4lKH8h0H68KVhv8xtDCfatF7OR88d/vWVHtQdrvx6H pbB0uW8fZWqwjbhHLLnv0iAwgjfX9TIDLMUougv6r0mADWgW7oB2lEBfPbPnPFQdzrFeR3NowXYU UNzzRyBK9LzCmGSQeqwS13MgX4xfVa79GDV/CR/HrtGEQtHcawfhOc2t7n7QJ2sMwsHHTF+RAgaS coSCwsoWIzT+UnyNwZWjh0FJJwneU8LHMmky7DWDkV2UZ3Ji/a7VNRcBBWo6XBAeMfAPO/7bC8BE Nf21gD18aUdBfeA8Ginrf4pWrSJomaIi4j3U2HVnDRAhAPZrRN12WOFpCGL/3wtACjF5UJw4CqFb k0RXPzstPYSjWfF4+NZy2Xtyj6ffjoTo9T754ARFAi8K49HC34N2i5DIXJ1fUhLCTFZjgTKkQUPQ /ZPPXJHrTx8580vSh1Lh4rZxFgd0nVO2Pwz6oHoAgOB2cyNEYMgpsENPRhLIRf2NHoGnLt0Huilm FQzApFywq16Tc++XlE/O9RV6huOHEpMrMcI2B6k6NyX6DrURjO2kqEVwessRI1ugeuxN3w7JId7O Hg3PRso4avvc9sRTuZ/Tyw+JoL9+/IESlwbKpwHN4tYfl4g5CTNiQicxj9UDYbqBPYa3iSxsQb2X je2cKL7LZHTD/pds/U4E8za109eRVo7h0vW8PMNQWs1CTroRmftC6h4Ohjde842kj22kwDZLMToQ w8eZIqlMv+cwiA3/OyAm2A3Bu8d9weOa/C/Ka0Y2/k2ck1Gg/HSrHc/VlzhyGEygPyI7CH+EtjWJ NMf8YSk8VMj/KtE0QYLjSJ+bn7KSoBf/Ggdv9wJTRLU+5vqIi0uAPuhiSn2FLSc/WEFNuUdqDVK2 R6jE26+lu9LqwgI1B1MZGhZihR8og39q2JikFrElfO7oXVuy4+sUx2KMDe+LthuZWVnDOn+f9C4l n6TIcnCk+OwhgtZV4FSmeKYa14dvc4GeL1m9NfHCAB5JigA22+9/EMKIsCBGgY9IhLQ54+LFEH+7 mQ/YuVCsvnoMdrBwlQ8R2hbXKhGia+HNQv5UNv2+/4nVupvAxoV8INtd5FR3ZSei7YcE5TV4RKvY gTbN4i0oaiWvg5groYo0wRJSsTKX87w0+jy8pbhGVYpuRf2VYwXSJ/3YtspSafGnZQqkt15k7qSO 3B4oPrEB0ew+vL+p7F//72k9cgr8tyINP6kL/RmjKNgNh1+bXDgf8YwUNAPcfIkavyWJsLS4takE WqZflFupjkpaVpaZb3XTFW8umhmIncEMXbKnpclhBhX2fzat/+wYN5iB8PbXGTNUttOxreiei6tF orcv7BRsSg6hrI3cwX7wy5Mm5rXli62qVQ1VcHau0bXG5TyCv87CkDzl8B7c7U6nmwpSej21/2d5 Q2NPiJcfvekp4O4vpzPoYlVDfIfbVK+jQnpETaJh/003RncoFcG2NL7L+IhBkHI3syujRpTVY+/L o5j/ynCW0FIe0Lew6dH6W+uauWlAXGoPAK3DT1fa1LYwrN5vDPALxZTQ97NDl9wciH6s6TRnxRij SViioK0VU8VCWgw7qpjFRrEvS7jXabiQwL2UFh0e6UQRWrMJpPkTIi/RDAXu4UwXKGzcSoVqyRIB 9Xhrv/vcT3mSmMrPJbUgvnM6SV5WOz9CzNVN1gWK67T6Xa0HsdzRhGKRrL5wvvizZYOoZvR7CMqV DUK4R0QPwrIopqxt42B5ZeoZG/Va3/EV+I70r+nWGTgSpkLZoIYZ91f/R10s7YB0hRXVlEnA0FBW 3sW10/W1jkG2yBoEFDne5IKktcFBHFm+TsaxYv9IEYYsTuoz31RR1Hcf9EfkRtX+I33X163IdqtI TTsKNwVqbBLnd1cFeDV5e/QBDgknf0vu2NuEj4NG9yLdJs+j9vRSs7Mu1QPmQuceSqpxH4tsn9Jt SzkvEylrhZf/RpYXXAGjJBI2FpLvCz60Agmdvy1UPhKOwF5jupjBoeEcvhLPbK+cenLJgqUWUtFl yxO8MTig7NNDHTs0mf3TQcDqObpCMzcIDXA8kRvK/7gzJzXE85ug3bwYlg2g8zyf21CTzJE/xLhm AnM2DrqPWEjoKJRxMZi4ntYI0iSk33cxkzgHbQkzu56WVukCCleeXeWqj24yu4d65BrhpagANjG5 xjJhnzhfLf29OBBPwCT/RnSo4+iEJolgPkRs/NG8AgxEcVgVMsM74T5dP7bi377Qvazz/DgkzSxP wtz+JJioYfS3us3REK/PH7fBOFIpkbDC9A0a4Vf0j6Qomy9slf4VfTWFtuV+c35FsXH7K2JqOzXk cZL7jBgAMSZgOzifKSJk/H9PAx/ke4c67RRzdfDQDpclm4f9+EBGTjAdUG+ak6h2Z7VWXhK2RrF6 hGy4F9jywMAMnmCjcsxIarOgPaVCQbNmVER6mgWnQUvTjfAXIvz2GjSAnPQC82aaKv/yHfF/1yW4 8XV7kPGJRP3+x0NBKo+H+QumomCAGfQLpRu5XKLtYbOj7im8XaJBbNX7CyfXIqrKht/f1HLMDUc2 F6XbLz+/zcRPi4HS+xPxcEgPu4akhmAE2MVbNldzjgikqIq80yIl/3lPgh0FIhsvWj2tT0JC09G/ O7adJJ3B1xppmOqhQR4rDTw9eO+5gq1EwyEvd9C3c88JCRXGZodLRjbfA7MrNxIxkGzsJCtw2hI9 d4Z/ADNvgbh23ycO08b5Q01BJZVWKek1E0PMd1fHsyPNoeY5DQJgLOM6P9q4H/QZUOuISuqPi+mV BWl2OGJW7AHjgf90vHUVta80S1AeXTueJb387Fwwy4bquMNI+yeKyWWgljYVlLKDchCdIFcCPM0L iiQmL8H6I8cdjCG9ASGHF4B26rwhqPbQHfjAXMaalT2sW0WwZOb8cdb5NsTq6gIGIM336qpqS3WN EoiokVndvFXZOhwuMIS7Wj7Cc2Gir92ms2BattaJs8NEenXmJJuL8woYcMZ1Ry6tm/cMB7590LfC VJPnpUQPQzCz+0Y4ZiNqOr3NfWyYHo32IKbp1xODl7k+MCfy1Ke34JVSs6iPUeqC4XykEPMRfjm/ Mwk0uiCu3VRMfq4PcFOZWdIOJ7PcLX8+FRsCC14uUA9+u2FlRDIN0CVx9ueHT66TyZhB/cv93rVr ba/7S+ypoYyfKDhCpGge4AkfEEoulaOQjVHLQR3CqMY+mLJnxHTP3l8kK41QYsuHZOYK1NxmdgMf sBelR8mT/kpY5fKwoQAalrD25c6xgDwBbKU+6HThWHSR0S11kJTJbYBE9FQvOmq9ko6u+tEBJ3z1 gp4b6dzXOmkJWZkEjKnHLt5hLLDvj/3tikpi2GxlWef8Q5QcrTeuaKsF7FkgtLo75ObRXxIvHPYf tHLkIrFRG+Ro+oezrnZ+lgbyjZ5Rc4/2NhwencIQbv1D6eZHXzORFjaHSuRfeh4a+AGoX3wAhKL7 cAwo9+Auq05tU8K+9IvyvZO75h6LnCCiJOI9/Fii5nRSHjJB1Rnljk+YgS2F1Ai/QtzPh4sSVPYC E95NGl73EY9YFAizCtpp64sQ85xUXBRmcwcgpqCLd+cx/SUlCZFKcMfTH5Nhkd4mMxHWPAFo7TMV +xugXtJdsHHd5LJ4FsXHLCnidbEV7VhRKA1ubnSlglIS/tUeOSXlDGb0g4s4AD2TVbu4YVf29yTQ TJnGUoeXDth0Zkgj/6XqPJHABDqH2y8po464hWk2oIpyvConXTnx9CCCSl6JXgvDVhJz3LJYuxIo pM9Mqkse24NcDaH8YzL6TMdJe6HYSZaz+yJ6xhJFInTCafQf6LJZTkkC5jB/kFgfNAXRN+kkq7kv t0p5SaVR++hPodrh+amdFBx7w/9O85DKSPIeBqaGICcMDnSZ/O8OY7471ULuuIVrVy08ujIMV5Mi UBXurKmNjhcOlJqQKWDORcdjHG7S7LeRTu63yPkpCCIx1P+9Ln1EAW+f+ReSeARW0bl8Xo7rxl2v fiHVb+oDvGQGF7apz0P4zuqnIVnOmHAewY+Sqn5P2d3+8NLyY79oCxy+1yPOa6NO9dU75yBY84XC rDenTi/2vBW4ksZGzmGPB0j632H6ldlexnG/VH/qOvMenZkPcKh8zj3u1ZbaTi3RPE1bwZW84//b RDyL6aG/IxQ0867uVowQPs//kzy6Be+XvjIqkwcinvjshwOdzBBkh6DRlHVQkRpP5N04mg+tamPd WLIfp7XNIJskuJYEpXkd4R8IELO6LUi2Sld/y/sCNhIaapAC1PM6ZnedNX+qtYtFXphl5Ueeybqo W5Mgm+1wQ16ujeHG/4l/1gwumbVYXbIdZhyhK0aSUOgtm2W6pZbeJp2GvB55QZRpvb9qh1sLUxaI L+Z3UP1H5vN2h8hTkWDnA8FKi3JdHtTJ26t7EaPOpk4+XuuuWd1OoltT486w2c/512cNzspcZW9O poxUsiW34xvkd06rnldp1/A6JINjNikCNpPfKkqMNh7oz98VgS+ofLeP4mmTy+GVp819vjVFQvPJ TraY2uOpVF4yCmU9kAnDtkvHcjjRv70O/vgzeUPVKlurqApYiwFO6NrpFlJIQP8mLlapkOLscZWk 72IYJ/zbroIJnlmxsQenQVmFTCdGH1kyA+1a6uJZ7+HwsZP/1sR9hbdvR0RQunTnHlXU8aYOLZMe Dpjwk+6gE481oMEZwsHOpMZH8YIsY3ziKYvC9C8iKxyvdupy/5lUSrhslDkjTHGwFhp56G++iANG Q8XeG9a5Xp/UXHLPwdhP6E8gTwJMukv5g0eGN6dzmz5P/3PtvHOXVKd5ak/qhXJeM2tCIncuvoXY lpB5bUzpvA07rSkaCL0cA611acRnf7eHrrjmdrfL8aKOnW88qrLWnluJmPUF4Vua3/HYGTl83TZ3 QpjFkFMzjoBerjlcfPQypvbkY9NNsEh3DvemJLSV6K7er1ZM+9uZ71/KKXDJmyqKnXfJcteSuNR0 XiaHHTFJjr77mj+bf0rix5pnxQc2XkDhpE12utdCQATTxhjZnqvoT1onFxLJ/TsjRAJ8RcmzoKVa gP84NpQHCm3K7KP2JHaKhv9ZxJHoz8LjthL159GVmM7E48thTgLdDlEQOR6XBa/Wy8R8Y++kvCDV 5t0/elUSK4rRmdFggyipMPO/+AHX87Y9VmJJs/iwBZsyRmbl4CGFIlXXl94gy5yfVnI6MNTbgcek bqb19tklR1iMvRKTh9AfKUksBUhGCgSIibkotRAYZ+xFfzm16qyGOzUAjmmXO8ReekeSfB+tV2gK loTxNd54T4h2PMN3hm4rvrbG8N6cFjXwJ28lwK97s2fnQ8v3pcpmvViFhUF4zuwSfsH4r4i22/wx 9qw+/TfDWw9dsBdpg/y43+7qWGqKRz5jYT2o53VM018ymsbdTy5/e3h3OKklMV7jFC23TeF7s4ey Asx40CMtIJkxc/oX/dJULW3rXFV5XOjw6gYnP2m4/xOMUFAE6bca/i/VB8oHNI2IySYz5hCwXmT7 wMk/yywatZyeZGq2V7cTKAFwqUP0mF57xoNrv1zFeV0byfxU1SFM1OBHDCmqXVDMY///r+7RH7BX dUGpipc0+VhfYW9PbDmmAbCCcrIw9NnSHkJ17lXhEiuwxpB291qZFmGvS+OQq9/CPE0mHt0+imx+ COyex8VZxjjTZsP147tKQNzykuNrRDyiG3BBhzQCwKEPUO02K/F44Q86bZ/ldb1LsJZtWS3VYqV3 MuWo+vaa7MKbhNtTH3DgnJ6SQ1unZxVr4nlD901BC/DOCtpLTT5iLj/x8BXyDxkENsj95UQG7SY/ myAov5nzPzBurwJh1kKqRKgHh3FUjtGqMumec+685Bqhf+3tsNQIJ2BDPb2ZQglr6j85/skkUfKu GO7zUUuH81aOby6kRTf01t5z8CbVeu3dfXnJOCxZlxKPv+Qn9oZCJLWJefn7yYchEc914fsU1ZfF uemK6Fdu/j7miZw2MFk48kaowjNTlT58OPPII0ni8UovgyZ3et9Ie9zK1gvTmwn0OH4K++d2w4N1 /MsrXFrm9UD+RAMpHYUexGQta2VhNAB3Aehu4H1gS/IextV7mETMqnfcGibxcAw9TTzf52CDKrK1 EU0/fynjgCYu1GtMzPyjaCiZ5QPEvbjYdAO8SoO6nB+t6YEYjltH9G3woV2uwZj6smq6cuxKDCSS aGQwslFvqmNrYOAbHjPT0k46Bdj/G/t0k/m8Fim8R4EfZCq1yhY+ClbFZzLXiupOvXpAtmXUrC9g yJpq4t2MdS/ewcpkPoSkvXz+Csp5MzJFDg3yaJBXaLby1mE7w1cWLNHjn4P9rNWQw50vBLx0S4ZL yyeoRUqzSUOLONufs/BFqqzsX2X/WzNIYXV9YmGzw3kpHTHKp0cgNupRCIlaf+dhYaCMvL1tdh9t abPe8o3YhPAfcwHYX5ZjGMlf22zzh5bSssbPltwelzKlzLKa7Zi+K8pyhuq4S9ymL7NjaTa/KqEU 5QptG8YAEwO+A1rG7x/w5XTIBG/LA474aMExA3gB8kYlF0cUEHOsiNvV/iqA74SdpcDSDCM0m7A5 +plWUmnQCZFpF4Mpcuznj7GbvfoFdcFyJPkV9QjSkjtr0xuH+1giNv3D1KhXvXDM9B9Fvuqou83C 24rRr5hAqVH9bo32Y0H+oxl0kRSyRDMnilYkw/UgL/LsEt6UesPle1JYPHPwkzB+WMj4DaeX19ZI qHeQ3UP0qnodNf9UNR1wJ+S+DiJCzyZC90gTaHyj524E3YDbPykQxwpzvC9GB/He7dLTeJzPEp0g 1k6wb39MgUpYHQmZAoq6pjULLL0E6CZWPnaywXil4h8rnjpr4AKMaQy05szyk/54o3PV+Mjscb/P oWqMclFV/Jvs71C21a8Yt+Q1FhM0ZkmDIfCVZuh7/T0QJkLIKrBxv7XRoKYpR4DM0GnVvC8GGIQW 6RfqkM+xEjLDGrMVF0bN7NoMOgtAxOORt4VBlZu47859mrGerTDSRAApXFWw8f5e7S+CAKnz6nb4 u/9Ha3UePy9u/9OEC3M/PhXyG9Q4CjO2rqXOFaUA4eYIu4ZJ18BGuwAw34lenLc9duqABOyeYGhT VfV+F4o3z+Dy5ZABlu+KTUepv5gi/+qwQoUZn9sOtqoiWnjK8yV4sv7vw/GhpVV0Mwfm8HAjUOT6 oPf2eLVUyhhNqfTst75YwfJIOGa/k+jP6Wjfm9hot7C6kcWQYm+f0MZKzoyOXmV8JHAii8ZZOjkR f6zOgmWe2TcrSIRcHYIkjW6m4/hXhsxU/0nrHuSlKxlbdMpxrYvWgN6UA840fB8XiN+slLQURC+s AmDQdg+Ta1S9HmsH88WNkZ6S4mmDzw3kr8eH5gJx3QkngGY76thZ2aDp0ekBkhLL/gXU7qHZfUrS vwlJd6dS8nKYDcuqGoWDUaCvBVer+p+dMut5/rLQ/uxq94ThbFWFnP4mp1QSCF681e3XVCWqXdOS 2HAbJTBjp3loN0yEF6Jndda14oasDjhmI4dp+IWnrMJ/vobIu+o8wZixpAnhJ0hATfq5FkMA+Pau UbUiftGML08M5jQ9T5xEX0rG+9W+waxoTS0b7x6t/6F84f1kmZstS1pB+mG2xnBoMdxESU++NS2h CYv9KCJ0UJJhJ6ft9mtxaDuMTP7XIevel7x+K3EgFi/EUXXjJeXai8r8AXcxTWyzluc61So8r8iV i+fEnklHkvRqXbLNJimTFH41NOQ7MeOi+Iea+Go/mSbJoFtL14siYPRhKz1jWLw5BPrvHrLy7NqV WgO1ZwUuld9mRwkvqVW/b5KthLxt6bff/RGNd25IXWok4CdW04He8sU82J/k5TmR3YTOyqHOF4lR P8NnWj6lkzAfd6J8gy5GvylAu+13v79H/iFmzxqF/NlddWlJkDM2IQNvM5L9xpu2H68LoM779v3M tT3TyGB98xycAfuAAPbP4WKA7Su52nbeX3a+WqqCBWEXf1dXkByNrFYQJW86yulWi9WRSTbWrMmd Q0reuIJcoNN0pBBzzPVHn67s6j8jVJG6BJw/DQxWl4doUk+M8jHBSqF2cjRbYWuWVqZBLizBUDRz P4W7VRV9VIqf6WJqxxbJ7T+ECI/j5kkPElGShGoYxPKGqE+Wq+9T87K8RlcfwI88ObL2d3HsX7W2 2ojrhVW8TDtMXi0MgMZYaEu3hurvnqBeAcw71rV9iDU5XgmE0nCTXgDasLDMY9QHuGsj3HloBEse ebvKej1smE2s70xfq4WMCbK1R8ElK522eB70yHqGRbSTDfGYWOx9u/j5rYQ/u1v5wSE3yJ8kK55x vMYOqrzOWPYTalAARB0+eYHoe8R6yRlxFpYfKTPRAmP3G6uYUjJDuh6dyWHRiLGAPGhmLt2GZkLJ qKJDuyhDYUHXC9kBDYVHa7OOBLapIz72r8bPdAL3BhB4GYdt5neEEXf6lxzXiSwWYcPbMh7Ukx9K LK5JULq06qgMugeTmInwpQn7Ky071rQ3fSc4mtcaISU3xDJETjM+UyxGacKIrLN4l1DzoeAqb79J /E+g4JFRulCx8tjNMihCDHLbBFMgoRcwpr47Fwi00HFubcXqo3nory17FSSnh/x570D2kGqKg0IJ iVKswo+mDieRDHR85uhu/iF+kc76YLT3qkYjnPAqdo1xstP8B6ONaEpYsZN7htqKgiPpHqukF8Jc tKxu6bNMupKeH/16wxtIfGRxH1JcQpcLV8AAU2qaehd3618XwiPvS+uOz0footTT7Js5vyBQ7TfB lkz8MYdoqHuyvvhN8eKsuo4EVZpqFAH7msVWMZ+6ropOhclzmdorTFzfQIboBsBsbOaRMkokZtgh FYv4oOx3LzjfjErDPPHfQqWcG6OIKv+uJPsEYEvmoXuI+75PW5vF7EBXO72kc1OZ751Z5yKjoTrR ftoWCbaOAdf0eugWqoxs0TnITKbAqJayaToLMokwWPAEGWyrVRaZfldtInsCpcKvhWNSu7FOce+6 WWGXyFvl/pOuyn970aylpuBPtOkSK3PghXNzY2t5TTmgUJZnUn3FRhRhv6ZbgTfA5xu/ubhE2LX+ HoBGOVP6d5ehtrzVh+qSTS6a96ES6DoHk4n/JwPuGHuW9z++YM4t036mTGlaJsTj9XCOyWOPUNGI uuFR1gktj0qxpJZ8157DvcfojCJC3lo/kOynj9zad2Wes6wR8Ezwgdr1GtZewT2EnkJ1NBd6sLbm DQqHGrTzGhSeWujjDLmKHhU6TLxDNf6G3C38BCLZ4lCO8QrEB9HMsyWgVjVY7BB0pb7mtPn94uIT gFBzUYcmmwU5/mahJ4RNYyTcUQJfF4Fe3cbY4zPLB+cB+ug1/CJmvTUpEs7ctaM4RdPl5tPJcFY+ KSUBoWe+7Ow0inSrj2kOmVZ7N5uEL2CKyk2EZ9PHDw6UIPPdBaCwTEWW9rkA3ZP5yogqjsx7N0h6 NJreDjDimnsQq9YKA+To22Kn/o7tWzUGEMm6gRxh9yqQm4V3PzEc+jeztn/v9HTcDKcQ7PyzpI64 23a+1ATMVtGWxBjvcQcF1R9Y7eszruDG1iBySOFLzvjK16piO8kKBPTiF/jrJ9BRcxe9o44ddhxl E2CT4qZp1rU6W98gxxRVHSEWeCW0uo3qQzGt97dcQku06XyQVSCPSPh9aZ31cfRvzM7EyyLECFjT EoB7B3hZwzOEITVxDjN3LYtGPP69zpIWmXKdiuSalRCDXL+XFntgokfgqsorajOuoRXGoQ0Q/ON2 0QC+ckopR29LLiTzPLK1dL+TIHmmvxVS23GGecm7RFdFK5faqq7AH38kSC/yeuqbdY1akLDBOSIY 5zepT6rYaSQaT7sj2G822xSaNi13FfHvIwl1Qw+n5xmuKPWTCqjRmPeCWbd2XGdkFbARJ5Xxgcln TvWY+4TMdB5iP6xrq4on9TW/nuwGZ3FCWLFXEibxp+Y88eAostpM7zzYZ0Qh0r3dUA398fmUR1pG 783tFOLTFpNiCN1X1OPs0eparMhypCXI+xATpn7ihjTbhRPe2ZSoV0wYsIoU7yr05N51BSIKnr6s Ba8SAa5LMrZuFtYlAYVlwz2CLnz/H1FYd6ilOuPHQ7tOaujKTYSQu8L4ONmwznC3yfjrVmk4HbD6 6/7hDLePnENkLyxqeGh4UoEg8QDDwDXxXlRZcKj+KTfl+Dyhoz69Ds5Py677oJ7kEqz2+YpAOMfs 5Slkm9x8GJzVd5j2MbgFlda27mh1O3/3/kG6nGqqoUfP7MD7sf1aKHleQ2IlSWxiQlzrjVNPDrIY KK/6A9VlS+D3vSonuO3zpEa9gy9XNohwSJa7U9vKB7Eic1dqSMlKw9JHkI/U7/g4xT1D28rXuF7y QFwHk9cJlsNMUnV0aJmhtMfwywlCeUhhAHC7V5v6xHxKUZzqo33I6JbnmKss4QJ6V63Y4oTGyVL0 kbXSqXa45HAsjO7YvT6w2JhzRmPoX2ouALIwACYGsXRusx08BL7//5mYTKMmC8/ma5RjyMaK/W9p xVLULdgPpjIBvZ3mOm9535PzFznf/RsasK4E9J2FcBqASIX+/pFS6Z1ZWnm6/ACy60VFP7Wkp+fu DunRtDXiox8FTSrwzyJ4Gb3tQYoSf6oLTqRdxmFYisnG5RfdyEfNhMc5A4gvL+fR3TBMXhESB/SS 5/NEwGs7mM7wqW/HYBarq4m2Kcr5aiU7jfkjWLcT74Fm89wkoQcn+Vd7qakkj//J2Ix6zDy4Hh8g GyzQLc3T7AIPYwJ2VVtXhHl7MMxu7F5WtIOtjdj92ui5WlCgrz13zASD1xqI+UmpsPN7dVIJEGlK d8ZUdkLE83KADFSytDHvpwXVWd66Z1AtbtkgYBVN2J++SjadSqgSpQCJeIeVfZBoydps7Gx16evN PpRhQGlJYp6jT6BdpgcKBQOKMmgv+A226hyaKollyDyNlkJnuDyw4yI9XFpEyyD17xKvx8YPSywr 0jD6ZQd6yPqkieESBbzBOwQHxDE1B6O6jHbFN2/3NNymnPLdsT3bFVo0eOgkCEyJQaIqWn37DcuA 2yTZByTFvIj6VfhDE6yOo0K43waDD8Ta1zp3auJAhjRbt5vRT5z5x3yA8qFv9iVOTWHneKxS56ff oiTJkjLyqN3Hh/EPaUPQMxiQTo7UNB4cd32RBRPirmkvClGTZPb6wgpm+anJxMw8/yEkt3GO1nqo Gr2+iFbNbcOZUdPnZgPMwljCnsrdWvlo11G54ZOAOHzD5K0zNca5GNnzffI0L1NcDM5r35ig9RYm 6fu74BW8WF+8Y2W9j1G9MZU/3i5FLw0GXNTKE9p7WjJGH6XKv47SYRABvoBXPOl4TqgfiYD75yOL iT2rZOpq9f3GZJP81ph88mDwMOt1eTVrZ6U0r+aHNEPqDaMAXZDTYHb/AMsQ4BYIlEsb3vlmFKEu zI/QgSAW1bC0FHWhsARBHDvAbpddHnlZB5Sbzba0Uqgj9+jdi38FQOttzqywPKEnloUEVLS2IhDu Q3kb6zRgWR1BVPM24dTizHOETzm2q0c2x21vrHKaGHxeaQSbKQ7PM9T0W7o/iI1apA01n2EFu9mG tuLGDKgLY6DCbuaduetAkajLSWeQVeR9qQy4PLD59EekuHZypyGSylbCyrp/8qt1LUvVQpllHhSP lkFnQZ20eCPi6Cu1t35rCfLYEAWRCK/g2seOpZhfwzeDZpX+8rxEJJa5v6/pFcAD6ZK5X1TanLgE vAqTO+LykLQe1SaNgZBKhgw++qpdgbZviIIiUf/0f9OttAjxraL8fEnh/7WpT7FCOA16WbxAndLw 82sFMuFAMUsu63fu8qSaIoQ6LDsy3vhjSLiYCsgYyi1DOC5SJcRPe8q1rkeLGfbFcIl1mq56rrxj PSi9wf1QFKSRBSXkMTapc7+7UOBFH4HJkk3hcudIK7PyEzSfRhiYBDZ8rO5hrHWn+byfmyiveRLo ZwyluFPGniFEDcVWyeYxXXk0SNEb5L24B7u7X+bgWLSPfLoUctvCk8M+GcieGDVcgvLHFAXkNT9y Uor81YJeX9g57J5d9u4X/5M4rcjz+nov/ob7NBUe9T6VbyXtbv09m8pTMFqPHpFjRv1nns6fwG7w BPuTuAcui97SlzlvanBMeajcLJtOThW01QViQ2OSxPRKgZU6HcASP0ZIf4KitmBq0JhZn/Fuj/q/ IFkCIwALZsYPSRDP4ADMF8IfHC74e0oLL5veF7wJmwe1D9G8LyZ1MiqmWiYVRdK7BZRHp2VgGwi4 1GlTU1fidjTAIIBV4pbUKIHa0Z4V3E9oHl/UnJFngvTVKWaDPR/0qjIo5U7YF1/tSrJUgmYcTgwl kOgMH3LB5S5UQz0p98dH7BIhXeKFoCvUGLD/USYkIn3nUo9lbFd7RRINEdq+caSSw7X3k8fQd2P4 u8G4gfJIFX0oaA8QcFxjpQt+WYit3Wrai/RZfFMPcROh8Blhxh4iyRf3FtOGiXExMdSYH5gRq6PH JozVFzPkCU37DUkLgHP8rm0mtTDbAtISwKTAanIwPJrgp9hn383zDW18Gwkj/36Uk93bkMNB0xNm gfbIJ8dwT0CmR/DL7rayu7qWR4d0V34u1r7XFGYJ0oK5I97xRLs3VorfwOaDFNATDifYcuBnwSin DYMAdcAYPq+LiSUdoREJCtq6pPXyPYVcfGm811ogsfQICu5wbovBUa80ZPS4dszQi3QyMvFabghT +T2DhJ1UCtnPJve05wNeqiJ9rayrw+5omB7mSClCMDUIZopVnbkToWKzAbpzpUvkSqLxbHk8AIao snbseDKHo+o4gRDu4Ek2fdhG5dLya4M9XZa4hSfRaYvT01WTxWlQfrZM0R0MeB5Yyg7mTk50xlVm axVOtJpqGjYa7H2ixPSqyObE65h/wFFbgBzHmX8ZnMQuyBmTXjI+wyX3N/MsCuCC+KNimvpOc1G5 hJFW+rd6c8ELVt6f9+RRHIsJoLDF+qjDFDsiZ/eUWKphk5/EF6Olw7/aGUzM5K1wlb7l2bjHLZhA CrGL+5EpCFmjzNZfZdhdWDewExlyFTYFmEl6tWTBCejpvftGt/5dPWIzfsNDDrxGoTLKm32X2esp Eo3+OhPChVw7Dfcs1tKthrArStuSEM00lIamLjHrFnShrhuEEc+uHRGFmxR0vstHx39cVdOvxLvK F7YRSWKocNnkCoZQbOwnJA/EwT+pMO5tl0DDzDFrG+thl4axLgc1lNa6uP1ZPUYuodd0b5XVJ/qw ZUuvfzynEP03ZqaUyYnvkE+3M9vVyIdRGKPtjEtaaBBQBW7UensUShCcczzyG17CI7Jl0/29uUX2 6vbA0NG7cmuPy3y7OXvIZZI/ejbFcEglXE2JaB4CZgloYLfPwCA4hPDJ4ysHfxZy8NHTue2U7N/n N0C6+IUi/BIVQZYlxn6LCzN97XkJOUp4pJJmbC75LCLKwmLhFSe1EeQGh3Vz3mMzvg4cr0OAlyzP +fUp8k7Oo1tSyX4WarqJ/t59YTEYzwbS11mpXD84ePZmM167nNAc+YQQgRn8WHczxFmSZhIBEZR6 PqS6Rc3cCPN2A+buX3Kw6Cl+fVsartSTEJFDMy5k5O8ITXYMpt28ZIaCGUvgg9w5CPhaIARbTQTy l24xfzrL0GkkLWPUS7CcVY/BTmmuKKzuGBxlkP5lzsufs1OLMQ58+HGPDzdcMIZQP7Iqh9M2x5ZX dxrywD+BIDAfjO2S3mTO2VkDYCtn62N3Ql8CnbIk5xWoUK8LE0DR20GRLT03UwHLlrs1zFvYDWn6 syzSFHbJ5E33f1SKv7mMN5qVdPYm37y5F6h1ZIhO/xYG31hITa2YvBw61A+k/DQkWCqRed+EP2F5 fECMXF8mWlkQEi69qj6N0Dw7LZTgJ3QjISPt6wlOtWsZcQhzMPmivJeykNZgZt9DkUDtrabt0Q0R qpf1pP2k76onAMHma1nXYs/QggS4SF2DHYk/0gVxo4O31tt98adHSfCvi58yHqB1/bf9+qCo/ZuM 1TJOZHP/Aql4b/YEzeQSd2lmRP/KK/R28Vbpx81+2J5m1ePi6kjahSxNdxGRPeJ5zk6G6FTbJGqa lBcO+YveMBtMV2dzEWKe0YCpLIIFBaCNHaex/TZd65LyMRNvldjEisL3lj4fXtQid2mPBI0laX0m 1tTLYqxZ04Q5yixS4agj1eI6HMXynEj6VQT0Eklqx7nAYlaBBPi1EregD06gURg9PlDqY0015Gyb NEv5yul6NLGrdpT/OT7v86Iv5CQ8fWg8+1yGEJySx+AvNUskf8OLNu9XKpNJYzf6oy7gzTCEMRXi 2eBuBgrhh2+4TCbqP7DYq+djHqZPDdGcNBkWgRjz1ZdeTZlfpjghlrUF5BeQDdQatXp+fs53ttR5 TwHK2l42MSecuq9a0STPN+ALqFx0Gng+1HufFbbXZVfvJtmDQ6X78jBZoGv/OthMyB7s5QM3BgVX IfKxNHVL4KnBBV2HvbZCyNo1FX3CgFj28kbCnpmfyDT7wZK7mP2lYGwvmOIww6oQpA/w1s942XL2 JsmbBRw7CpI4PMxmnDEfwJTVOO5q8kFUFDLCTm3yyHLXQKC4eIVf4r6TG2mN2e95AnGTQDOt9AGP jRvJg/AGLi2sPqzz17SgIElxaEFnnbwRX9g+meV1k1vMsy7LKWY9XQhQPCvD504foJcPQXVxGUIp OHFPJmRviEshd0uVMR5q0OaNwKLUkuRuikMXhT7Eo1lLcbLnHKiVbqn9FPOpbZuoufMy6aFzmj7p HUmti1QY3eD+w+6cFYBHrOdikqsqnm0WaE/6BRjUzrCch9owsJWJePszek6j7gJ9Wtbjg5UNiehP tR7IHqq4nNfWPZABr+ea+Ydu+hOBbuDbq51YGoA+rG8JNYfR2TAM7NNi74NeyUxEW5y8p17EUA/v fmUbmxvRW0nA3OOBnQujiVNrzkE7c1/+tZj+xvURflUeKLkwO6S6CjZV1iKxruWTTdhm+gpAR4+w 3BvmDEReS0R+mUGMBXp35cn4U8wlc3c3Pv408LytjpHqOFTmFzuf0WwCd4VLHdYkLtHX8i+LOl0h UXMj6klEvUseimaC4D67DMuOC1wUtXnbTVA+ZaYmCB02jAD3r+4L/S3bxEQz3wAqWtrQWwGixSMc FPLnqETm+1pa0d6EnfG3QQXQcv4Zr0WdQwP/Y9USwSRMvRft7YMJjgMsq+YbxuUW/le3lYxW3zhj OWHsLRCsdQr0frHCaEzffResCIe5n8odp0ZqowbC242PZpRXEu9bjAPfRNEvEp1w+RnGVoJ+YgQb 7YOeVKvufgfSOWs3BKw/hNJp1aiAZcEHVMy2IEWjF2g+vsmMu7fkYMbq3ExSHv9CPMKtD8rDZWqQ AwgLzdDU6+9btdt0GRnkDNi5ikI6z7OMGl0UT1ZgOMMt96rlezGg6PRJQuvJxXX1Vz8mWvvj69QP ndXAhFDnAu8HoDP8AyB5b+0snsM9kIvh1wUW2g+s2OtTRVx+nDauOfFbtqSbaHDDTyibK0e6C0NS JBZ+jT/sTOGE8N1xYWedyMSTB+8lJqhxsaO9hMphtnR0g1fA8MLFSbJ3+uBcpFba3uM67OD91f8j D23X+aCPx/VU059veWG09DJSD3cmGCPf68ExPA72Bz8HQW6bfezX8xx7OkNzcc2dSDRV4OSKh3oS YKZ7JI7mUvOe47FlqH1991WAF/8UtBm75ft2bIt7WGDS5eyYEidOKMQ+w7pRAokI07tIWVFZea4Y KjisBxl42WY60TUH0BX0tv1Hp/n/0vbmaEdVsLq1B8SRwT3Yo1GHSCMO0OAbBbirA9eehrJIlsGo apxuCwos9HkPOX7YJVUjt0a+1b0p9xGxTxUqkjYs7fX0LW8/tzyP0zVtKIu/uve9MD8bBdIePbHp hOc+MNkuG1pIBhjvNr6AvPAFUXlXM96eTXuUe5rnydUyHIJjOlHVllKZzukFwwIaZ7qTjtVqBxs8 xyWnjESR/0WmguVsmmiC6HZUlFk09cZDd1sMj9ELVPxd5x5nB017TihTuowUNwNcAhHrop8BwDih wCJhCgldnkDMK6TzeCO6bkDAHvpN6OHmMEca17Ue97qteoGFmQrNRLoVC6jKFjtiLG9/o4BXQUWi 4e0U3u1GM50jVUroIMeO1xdFd2ic9I5XlQ7tKDYxKCeRnhB+zjMDGl6mRJSzvhj7DuLTiaBF+oSR UK6dxVYdQ++Yqk+FjcL57037fnFPWAvUGBngW3RDJxXtgsjaNApDJbcZK8g1DkutYYwMv4/nL9+9 fnIgRVoGlWZYiM/aJmYd3/zc2fzmoS5fTFpbJnfBH1hOfpgFEiYn4PhnH07CDxdlLqHOCj7ADW2X G+Mq0cjiln8vvnZnHTb1C01kcZtahePwXQGTy8FEKMW6lDNOIN6c+qubwuT+IIzMyZKaR/ff6d2q x8zN24Dv9aa4KlRamscnuRszh6qlMPqi0ByC52/RFSZKde75IlBNxzjGEab6d+Sj/tLY11+AS4/E LZ+yh+Tetht1nSPFj281SR+tTXOeU6djncmFPUJDrC8j6p7mIfBHgU6Nam0MHPST0L2Ak79KhshR /k7Fg/6rEFW0W+Awn5SONxTettWAgNrcMcB1w1iqVwNRfsm7LBdu1MfMerarGj56QfXz/0s07Cu8 vZMXGcy5aUtC4TfW/Hhi5CjEcz2F82nJZ8qZhEpWFr03A/wqrDu7ILOG/0QUoiBkTQa6Pd3tefBl vCwWbZ8QHU4u8aPd37qIHGSlakhfEnnWqnbhHThNZ/XCHAuCo2gkf0PXB/z40xLIyo1LBvGc3OsE 85Rt71/umM/P1Kem5rCv/klwAqQ0+BjxoQK6cD5BrKlJt8UvQXIZ5K75cFZwkvNWZdUTXlYI7enV I5CKJ7hS+HQRMuervxaMUzRU474LVsBG7PlsDaTSXR/+ty3xUofE9AfNdFW8CvjpYNts+NCE5MUi 4Q1xppI8hXALSflZQ6deqExd+jsZjg837h6G3K8CptH9gHG5kEa/ymX/vEyFWigl/dI0EEozSKP9 4PulmeVPGW2CEaFG2u/whEepnQfcIgRA3W3r5pydsLC7Zt3fUdVhG4wch0ujQm+JxSulwrjoHJFw P1nx4jJwK3p/HZrcboCagzudjpeMtKrggQnCTaskrBePbDiJnfDiY5VnEv4lYvzOfGMazrLyvkM9 ghBsUvmtWl2bSB24p9BKn1SXniPO7dV56N2wdmSQJSzUQSKuJP64hVu+D89ISit2q0vvfUTxP8ip OjJo8WMODOfvCVcEguJDs6Xx5RBRrwuzg4HFpE4nkIS9olYW21LL4KviSvDVfXPhMzXak3KIyMou I3UXOkBlDyfn/uLfV2frWk01d6NuBDt8c42BAN6qSnYvKnI9Z8v4lHyvKcar36++mH4UIVGhryeR JZvYYLKFx2WLVrQmtHDsv550kni9CzTQ+tG0LZs+HrW7fgdvj9F9ggREB1sUxqs+gqiRhH3I7UUS X3s6J0PTwdor4iYrRmyvWFu7Ko53DDqCWmerJpojF7m61fm4AI5gALBxbZlxreobewINxK9WjH5s qs3ejEvizfWlbM17kmhvd1GvJ3j7d49qhU7P67aefhqOO+LU29tAulgui0wHQhAqggmpfamQIvMB LQjogYVJJ2PXSQSRg3MxOx6L3IrnRpHpL/5Mdh6scVRN9Dg6Vm7CIXPv0rkdWgOFeRyPgFRSt+Zq QfWjfZZdo5Y+7fgRhvTSLf62V/yQTTTOUFgkPOLHsSVxvpnMZWkyoQHOOk75BffPhxryCVfLLvFK nIH4+agXdbdgdVmRJOXfpeOy3qnXw8AffHzaolu9Ih4SOGnPodiVi8sJGt2ibHbIbuobpKX4ghvT FJneBwTjyogNtWIvp1qqYhpl3r+wVhRmngDy03DqHXFOufjQOp7AOjfVotcBTTnp9JpAV6niAbum 0gjFnY0lqwPdOTQBqpBR+xlh1FB38LXUIGUjlWFtP6jtIpunY7MK/vf75FAEWrTMIz2ObuAOq9IG JhujtHNc4gobNIQlmJ9uMs6vNs/njaEnpnpyoTzIdk+1KvJo28q4e91EGGccwUeqUTptYbmp1HOB 1Hz+k1mLE7iF2whPAd1RUsaOIfuh/eJ3K/9mR2VaRU9X2GzpBVIuJakJlMw2pD3FhmVxygVHGMm7 CB08qB/JNw4i8iU9ucKUnf0sabJSX6oK1yYnJvRouzfE30Vq21hOo3S/g0dItJZTFeu/PGSRPLtm e2ZqExp6jYbNLrUzLJekYV2Yjor0grSDDbzZFQWvKg+rl99GjtikFG+ObzpLWWsyuWQPJVWukVGa Sgb2yk7ZbGMTh4+t6Fw16nb7OTrpys65+LqLUCWmVn46++1JNuPzzNVY8MQtiwmQcErGDxJJ5aqU BlaNzrGzGKAzMgz4i5vHD2LDDv/OVtFX31HNG4K2hjkXcKgof9BZKarwiiz/tBm+OIB8NN6/O2fe ATow76mln6jQRO48mfBGBJPWcKCW440ZtU6z1lrKAz6wZDDiHK+KwlMmJU1sVnIBX5z9FDLfPUUG +8Ah3V4ZLtcltonu+ELrMf2E+w/QUD1Sv+l4szkcpolYkQ037POE1IBYvI3R5TOHB5E2B4f/v6pg 2w2PCROu73hEgQbxGgSvlOpkVtw0RpgSnaH03yDb0yNQnqJZZ83VMv8CMF17fHC6rmSOlNE+fXZ2 i1AwuOA5/UnauIKEQOqtX/Ve6TpQqtC9NvKF5NE42pViBR/D2GopJbXLF//qcSGHHO/VuzqOUzjY oZaRL4uMj7Llcy/i9lqStglX+GfiiltKGHXhN+/s5bnAURc43RRy1a0wB2LFd2Ifyrl0sX2VgGYD GFiqPSulXgVgCbt/bPFnQQhrd6uiEUD9yEhPiWcdTTSWx/z48Ez4D20NkCx4zzWniA55NRdI7JSS ij0+pS3c4Ea77MFJ+ipzYR7q0G+D9dl+toQkO5JyRsc4TjPlgqJv9+bB4o2CwF6Km43/o0ComtEo w04JZ/LUGsZsSNIPczgrmB62IF81e9MgYj/HEViG9TyDO7iXvzaPb7/N1EA+FQIgbHW4a4UnnIW8 m1icyETW7awOViaowy319q1Crm6HbDaeNakBLxYM7WTPKoh6hIbJKjR8gOD0IPfjmRFALmRxrsTi IeSyU/U+tDBMffMh1yLF0s6EYiMGYnY/yreOUnGGSB4jD/bup75E1chkpVrO80CxfpZhzcDUJpyh xAtrWGjyny0OWkEDJcWL7nHNseg7A7OTzO486PIg4809sJfQ59dWht1sNie9kzghAAxM7Rcdgln5 W+Q5EEybrcD/6BqQktX9ndQ06PV+DXQT+EzDpUTcHddJ8355wDH2zceRCEGevfuKqBXxvSVaMRvn VIOwtX1tOjjhOla5UtcOG70EVXHIac3RPalD4NQY1iVdbNgqFv6hnVsqU8abI+hJ1YqnOxlMfzL5 mFbfx8hWLOwTICtIL/1ExzTlDuukWDc7qPsF/qb75CreFuGl/2thkb1+KTV55RJAsqaEiyWHFHPY 5nsP+RERWDteNit5xY/GpobOL4RP6oWqYLHEcjLg5NIXFtADJIH6uIlqtHRuPdEUNFpwYrJRc+7k ToQlf4IUy0KJlfTQZamHIauabR1gZd1eOvJ/1le0vLDzedy1EWdV6gkRllJuXXBjF368ULkQx1o4 Ab/xjrgGvBYzBt7HbGZBxmcEbvVJCHOXhAYV1f38tfpiTJJeo/dT0ix3vVKUw5dAgMsbhg/pLyG9 tp8brYhb2Eopfggvv9VeaAfA3zSOAfqr9jrVO3PYSpomBtF0czcntNYn3YXcOMXWDEZITyf4/BOQ Yo49769QS4nnS1Xo6bMbBLOyjPh6wLVsF18KLDLuK16dRLEXkhBeU3S6atY9jYQZJvc8/DbTY+wT Jt3GVBK4orU5xUK6yAgbDTsZCT+uqLO+iuTa9iLBOaSTifBxwLOUZY10rGPvKkbZ42vWBNCuIFoQ kXove7B1Q7fbsxlcFKXLFyDTJuGXFkgN0fmQnbKxOsXIZmKcRAOwk/XwGoFm3ArzYWyo4vGxIhCz MAhgSEyz9YKApN4YSpvLX8vx75g08B4tU7cE7dEGQX9yIHt8IxR55EwvYraQ0ef9Pvunp55pV6iA ef3L6Evv38LAWmmAEJxgGHC8+EJqabbc8mqZO/4IJUjNGUJiUU3uWMoXll+d0dhlFdyWf5y1Yo8I qMvlFp9pdxxTe+v0qOHvIa91LXPOfdyBzC0O700fMnj2R3YizbcNfAxYz148NiWYAZYmMva0QvDT O4Qqh7zUYKolyBkgUCtij5mmt+TPgaBetvyNPUDDrzYX+SbeLedSs5vMmy1Y+QmNoOalElOHAane wWA4iQRp+NaB3hwS+q6xxQx5eY28Nt/x/9k5SUup13iV8FCxy9htxnqB6B8JuKwy4x8iQPLx/c3f EHHIXAgOMXv+NZ05rFRUIUkcqHi7vX1pB8/FQEA04MhyfGj9aZefWRvsGc7pbYuP7ztR8yaC4+oa sqWJIAQhTcEjBw7d6eMXnNg/rjO31wNNcH4N4MDgfM2Ztum1JMXufzb1PiBNXkly2/1SewAupYAN LZ6LN2VMGJAXKRgdlgjaluXZwcV9Nv4gvBHdGctw8g85WG8MpRmnC2ZGsuMBRRtcS77QViJkXBrG +E0QnphaEVmCyjsQ3PJgxXZj0iVq14H7WwxFuGW+Z2HWasMpd/kJoBR9rXoas8cQfWAPCQfUDHWO CCn1DmOQIUHULr5SWxEx13sPAanCC/1ScQdpnJFE3/E7XWebGi0RmdHk3nYACG+QkShK9p3PDv7b jDMBJCf8AxaJeXptrmcEM9KAqjYetTMov4mFdH8dVKAXlgGMdl6cms4G9YuH7wG8D7Vl9Eykl+nu gV8D/hOY5Wvs1CllilmxAugi9aKiY1m3j/v3uptJIZbteJQMIU7Epa9yJGA5RyJouo12bx8bCOj1 yPu9mzRjkTpIVa+LM7sbBRfED95GuEee4So4OV2QfGJ3v5ZddnePdzgl12qlPc0mp9PdAAaXqko0 7swo1moZKdSfvyhl+rPljX9oA3Es5qaOvlh2YS1oiRQA+W3ItWfPCSWRHpAH2wyN0GFI4Kx3P/rv UTitHNkZ7ROS6TmGK324H+h2EFk1Gkv7M/0Kr7aNyRZSivTppLyyiZbZnbf0BGYUzKnHOq5mahSZ jOSMIilKmQgrds/dnyiUXr62m2BA0eHx+yaWaDnPohA3S7or1jYvCSGPEBAyJCQfFhwlkyDhzJuz j1/VRcpuPhmBPHugjz4TazZR+ut7T54od7ehn15IhbPFa5xRf2sjbDnj8cmcNGtNpyvO1qj40Qm5 IuP+DECIErX3yndmDzxIROqR3VMHP2gsVvY1+WqBrIdoHVKOxDdxjpkTGnCGyedV9pCQb0OC+F0k XvTuIYzH631GoOmM3Zm8e7vC0OdigFVtUCQnwii6aBaZnlUKO7a8waQXiM0jiq09S53lUfBjMBJE WkH95HUz2JZ18v2nDUw3J3AmMvVurujV/vrhn4+Fszc1eYBXGt0uBw8b+BiHV31tXCrVP2KPtEOU AE6u1TASKySX+h6a3QQRlu9ZA25N+UWkogEhnIZ3EACz76OlN3cdcNXt+ggNBO+BURPJm2F4AFBc s0qyZKWY5UG0wwZKyRjc//3SsYEsHLfwFWKx5COJPQqp3dVJ9bC+Y96FuCdvcPqzS4WKrPsvw7b+ A9OH2c0VOuTSryaDxq8vpxWVYOoAPABigQrJ9Es2sfAbZHugh8yHeLmd1wNwBo6OeldIcfoTQ0SP E4kJx+Wl4CvOgsAQucnUHltQU0/8nLvLvMCkVy03oDjtF7fklo6XBxBXQNYQdmUOR99yeKoAhpvN ekFEA14moFtY9I0HwHV5rLLT8pALkMG3ESENkm+cn8yaoRZuIG1MR+FoRLo0xLX+mgG4ybAQrcGu SiEKAcmE2LyrjTdmNR1yAYT4QEZYm33MVd3lTT9hGukPOD1QfeNUIBgiqHxGDr4yGmfWJTIDl9ff iQMxEg+ZtI5G0n5t0hIEjCSSL+x6K+gyxOJ6WB5eJk+I+LYMU1tnWGX49HFcHAs/IqUiodcDj82e gzTbD2299qotPl6svlO3HYuAXRlURedJRpgaYBt4645Fq/8qEbBsDpGLm1z2pSznN6gtIPfPCq/x oSQAj5Uw+ju4CPxTQ4/fvrm+GkNVgDMjhUlPZ7++G0FcR7m+1iPqS4MdoABAZztHckGGlb3BhTJJ Dj+E5Ut3CFzLy+9Hn2PD56ndXQrPGZBNyVWxgTBZPLGEpTg+WjAPPE4iZV3x/xNEJKxRloBnhQUC gXKJsb9H+xo5D6oLO2tPA6DMlmvZiS3Nh+GRi3ufuYBdWZwhatYDz4VjxUxqlMPTEUU5wh9kICRR kuvKu3FchvE0emS0w14DQ8OLc1+HAv7PVwUQuHGMGqWLAiN2iqE5zka1coG8MlIQSDVTbnYgbvbS cv3uGpxlc0jz5Ic/GbKeqmzlWltHFE1U2G6PsGdHhKiLBKLBsiab59FQM7v2x+zhZ8TbbE+HNEKb iY7aByLxWZntwrppiGObRaUxW3r6HxQBjgj9ooozoe2LHPhTHVoCqr9VIa/5VLWWZB0P5CJeNkja UIbTNf55Q8ReqykyuFS+Q4d9gMl3WzyVnNqM8IqP6FDdbBTv7Zjis+d4/Ffy2mUXf1FQ+/4LCEVz Hx1HY0RhYG9Mbs/Wl5xvXZWxTYXnYlAG0MfsKhNQeBXZevu9sGp6hINFMzEv8m7I+ktFIbf2vqH7 MrP17MRtTWFeQ9KLvNPridKbtU4xZah50LyTg1H8qfBTHLKCjTNvyPuF/Aa7XBLST29BtYGK75sf fcx2u4D9nnKikREkpfQfoTLEqaztzNadCwTQmfFHf+jWIi45wUW0ORriEosI6k6Pv85s6ryusAMI d6EqkcG0Jv+06XCFWf9WsWwgJSRdQgE/AZKASg5Yo5r5Q09Ut7l3YIt0dlZyKZ4tIF4C+ziTBj9Q Rdd2/y6EB0rMKCYdk/cKgdubeYsoTsnyW2qUq0Z/c3XQHevnfnwRoAqOopME/BTS/pX7XlHlPVsJ PC1U3VbVi3kR4c/HLZ6SocKWbyQ7omWkT5qDpHg3Z6E3G72JZOOnHrksUQXlnI1w9AOGvVooyTmz okyVg7JgyCZCHqVjBPFXkg0Q5/0rlOBOFZykFTHuDQF8RM2YFqI8P/P2D4ES1SLbnrmRHHwgRAlC 5qtgIH6rhPJXjQdNODQMguPmA6bJnAiKaB87Bmw9tqgSJPODTpJ6x5ebRxQANiOdPsWcEnkAAb6z zaq2s2Ogp8RxjZVRo1La7qJpdxoGNpbqDOHHFuzvF2bDuPUeZiCrLV56fNU2G/xxEyCJYv6Ov5CN FJq6u+g6y/+pZ5V7AZXDYpgYO6qSY5rSK9QcNqIpKuUYJrE8iACvyE/6BzuKOcWnpI5mEJKuXKOc 8T49WY4Z2/YfDg1tp1ZS7GgYLpqJFQeo7hk6rn7a+VufW3oGdLi+RXPL5TDWYgLeplfiSywdWnbM HRsjWUl62/nyvDPF7KNr/3VDhxWbZClGdnFDxZkShSAPeZxz0h8DnkbLujP1Jtmhzu1MF/LIdSZC 1+I5ykvkGN77escXbxr6KJ96+pAjPFSJm21eiUUWj95edZDbgFfMO56MUlne1hJnGGMeGXV+N/tz jO1HxalBIu4vsc5GiH22DaY/wJ0TdbNTH1MsjlcM8WhN5ZG0l3SqmXlq1P1YqThqfYTB3n36dMbd 78pTMUqBIReHhBSnVuqoayQ2XphfffrpnEoTpg88QjbQamwLwFX+nqLxboTMH07KfEf96Aypga0N TMms+tUibewcCUf4bhBlJ69Kp9D0tYV3yEC3jgr2BotX3/5KpMsAyZgv5oU9j3kIEm+aBpYQxjSR 2Zu6Ad7mXigEvOS4rb+xTNEN1tpEWdoL1tPfhEKvYM6wrP4uCBN+ZiggYTu7Wnwh0TZPrpmOogO+ NzLsgHYg/7M44wZjrQWjdD4a+5D5gLwzSI5Wj/ajZA+DXPDrMb6AEwg5IpeCqeit+JFAZlxSUhAN 6t+CgOFBMQ7GRVNBByggQR/3U84d8sclG41auyUKOxJjQ9WEcjNja59nXI3C1RK/4vKHtOMyDJk+ jCSrKNGZdnK8+LnuOZXLsYOJ8Y5UYFKd70dtOkyN3NXI0VjPNP09Bj0hwibgxKcjjolE8tRO0/gL CI4AnBHz9kATQdX4A6aIiUTPfGNNuuvTjvnWqdrkpmk6xzIctk9CKYhDfkueJYRN1PBuUgb9KtHp zuKHF5o8UaYpSb+w+qOaa3SC0aSdxwSyRXA3ligfmLJR2tvClePzSAwJ/BnYlbqBsSVYoEoEZe8A Tlg4owxfpseVc7nt6voL/Sc93bTaQ6IdsHd/1gtTAAxB/Jhs/1N6CUAI8TvpeWNzFZAOYvIKIn6z UOkxGy45GU9ZbxBD1e55k8vsp+bMrv9YalXoi0kI0udMoKQOGSRNdKIRO/zr+WkizDVtvdKyKoEz b3f4+yXEe7advzktpWG4D3c8MFE6m+A8bvla9/eaQWTKyuULLv2Z/N0Fev8cOMZ5V1YBL8DdyIRw S3H4FwDJkzseAXNNF8AL1mBkYFjIfuFb6KRfCa1xn+lPM/hGTIFA48a3KCym7dy03uqOIvtQbPC8 ZM14Zj31uhuXbQgq4guik57pqkgGG6hEhI3GBW1zZzwTpmcJOYRJjj3APTtR+ektMRBLJDsjNip5 ZkXDbwTk7pWPt0xomsQWOzwO393CdgGekR017sQin8ry2CxV7pngz7DRYyaRvZLq4ikV5Y9xZTf5 a5bMsmJ15zZy8+GnfeD9siw4ATMSrqbPe9MTp2gV6zJkyrfhnszhmbOonn5diEedNbgInNcDSX4f NzuhvX8WCvglz6wbBaEsy1+AGgE+3XOtPoahGYXh5uK3NGJSyTwMqSjeay0BZk27y1tOh6RJspN7 2wpkf4w0tmoe25MsBT0MBIADEVS3AEsdNdp+oBej/jLHwk2597pz3HOLziAxmtkeh1hGbnv8OFu1 CdH1sWJsJw76P+2r8JG1VXOyViEzk7cComM09z2OhjML7wZcx5EgOEzS1/9QqPfGYRjhh4yKQRDs /yWJoNAhvDw7rPDU4CMK6uRQyZ/VfNzUW+WPfq4I5vnDTa64bAb8VGWPjAccHBD0u/Z0ZT9uzcvc E32wFf1u+pLw64cfTcmE+si6LZQW/O96rDvmVERWDDJbU2GYAzQF5jkfViPSdEQWxM6E7Vl1LUT8 G9NZRE/n2Qmek4YzB/oCmMrka9mdld/6PqbjVRFboHt/Qm3NcGAP0b9KICIRFXiazBiLG3MZfqmb ifTVqr/+1gTdOHkpMhUKGx3K8wqE3pGrv7sdoFLHMiCwqCbzXbTiMYOG2A7HMqDNbr0K9EjFYo5D jqCPol9wAClE0E+zGbbra/VmpiYWbxTvfx3W+m9jqYuhJQipSpPdfss7pdRlB72XELlVp8MQjqS6 tjLTS2JSHBbeeigNnWIb7gfszAlDxeL8kl9ze+DLmhua37ofl7l89Ik+1/lsWrQ0UZX6/VUZbEsc GnTYlmihRJeDZVfRuBcrwSPRvu3UTlbl92LRObTjuxYLUaV5YZcv7udc2pk+OBFLGtb0xLAYNCAC jMXivEVlqtk35CKGjrCg4NztYFNjmQ+Gqr/I8v+aCDlL3NxxdWdeqgkMiqBD+dTXKQyio7aNcJJV cyxHedqyLfUC5mWtVeQkBnwsvm4dYWcMCsgNJAVHKCmJO6rz3f+15Ivw69DJkiyj8ThtoeDX+lkk GOgiqIQFXtCOwa9WVU4Z52mtrE+mF3S7Itf8+FQ9PA0MOR+J48nTuuQURL7HyMZUKxO6ndEjsW/L oof5W+npTybuiDASATZ6f8U71xQvj5hWfWhMPXBw0npKEKuU9CkyN6Izp4FwMs9l0GML/k/kyk9B oeAU/9DgWF/ZpMlYZI4lC7tnnb081RFHmLKnl7tkBM3ziSy9kOGEj3DJtkFFy5blSzkodWCbW/KC E1SOHSXV8sSdD/vMWtBGYoATPoWFvEVwbycUh8AC+7H8ITuvt47jU2inneN0WaEOy8zg5z7NxWcK QZd428EowUjvA7zA4ZyHYM04tsPrJZ1purwA9Gd1Hv9cQ57fIPEDj8Q1wWmnFBXCeqGODXzfxZ9P 7EayZQiDXBuBxtvLFzDcMKGxduOjNjmVcDFwPKtbhFE4cHaNeIp/FLPzf7t8ZqoNj/LfZXBINp3s ajrY7pKyel/Syr4iVoYNzFwYHrM6vKlhsd0fY1sJd/wXW+ievzNUMDeKQTjFocOm1in1XJV7JjVV S1bWQNzl3YHaa32Io1VTPp15P59JVcYKlKpUMkH1FBAFurD7S3n9wyCdqmTH5GLPrfhhMgPlNPtR EP104WmOonRTpvKSBf96XGwGzoCMdVn46bnhCiXockhs7pXPpLPeUu8mLDu86LglwtZe/B2Ndwhv cISh1T9C9ezZQeDgX9+xRDftr9OxqHbD0/pRqdIcI6DGevsP/j43jyNjvj//1qiYy+2YROClmp0y 5n9AwTje+NtjY8NohTC/URFVK0WPtcCSUDb35PUjJLClebN5IcLaEUPdNRNFtxgH4CjmchJdF3Sm VHBEccf9VxjJJB33NIXpmuZNsDVj/RA5EXw+J4zSNOs4MorF7a/qj76dRuJR1niS98EvxJjLjphk nIkzHTIu+ssyuk8TKLHNVAwFusN2wTqFFIW2h6FhloIavwOehffwYnLV2pQeujGLDLWK3RNOnbIh UJxMi+Ls6OHMvFTgR9qDE/lG3yM7JIsADkQ7uH4VRm/T/5Gdszwj9Q+hgO6u2a0Wz/fwMFY0OG2h WW0CLhPJsY0YHQEOvVYuUAwkE7ZWXwnDqbirTHeM/Ea+kHpNgb36F7VCH9ta+xvKEF0A0YHW8lSW BHN5V12GOHQRo6BmqXHr+tGsm4zpU0E7rwwG4hnlCR0tkftjmvS8uGWcWghm91VZszVdeIECEpga Zy6LVD+RSkYzin9zF/+qqC0fcuIWlepx3AFUF3IMeBVvuFAUNmLlFzY1h+dr8Br1HZ71bsS/kHQo vDC0Gw3X6mIDCvt0v2bX2UufcHkt6gV16vuP0ww8Ym2813ymVT7gtzNkmTFNbhY3XGpcBPtIF+xD 1yvo4BVcHcbBkJNap3+nIMO7eednGABVa8JMS6ifhN6LQlIEL5kEVXyJrqEMGQiwacJ9jappWxoF 0OCWb4j8aBR/B2jrakSoNtNRq1RMnkSvbIeOLU7Kg1WYBcH3nPizlqwAa/Ij1pNDzvn6Il+6sIuU Wg1R/sxYlj0hmHNvoY65/3KGKu+rbobEUsjC2K6+04It/QMFPGYPh1xGSrKfAOet2bRilOK+WhTD 1kQRmZoFFmraTMfzcAVFXwP22hLR+TU8H0176hur2zryRw1IL83lBySEBacGxAyusxhr4c7DvIn+ H4m+GA0kgTUzngpPfV1nJs0BEQ1sY8gdOfDJ/cxgN0XFTZlob7h3GbGSMYdSfFwRdcQF06WILo/J NvwA8F7dhoPV5kWH34rm6gNlgOgk/jyAPuCmkqKBQAVM2mul0XNlcMMyQ+V9PXjrK0oZJ9AaZJfE j995sxYE62G4JqOVvL3XbCMhLlSRT8K5/m5yOhFle9/FkmUp7RdtZiU0KRE0a5nPyPHStV2SH4JL NhaNvxuPECUv3sdvnCGmG6pG87/VOo7FcqtSc9h/FHycNuont2pj+Iv8oyU7C4+PGKhCdgdVstUQ Py0abkAv1SBvVjFxrVhQ1VwobJqP780Iamj1fMkO4tSwXUhg6QREmiUu29shqBqHIgZcV15MaY+W vjTzQJvn48NHU0N3ZQ/ubMRTqVGvIYMchIswKJN+OuNSY2ucQTqzNFqD9LIsd5+awdUuTrDEMjN7 JRrA5I8Cixo0vPPRs814JsZNiDfBpMn7SKyXLEo4ei1RlKQnfKlkiM/wbohQPY5OVereGkeDpnUS 2xXRrRduz9wAITmpdiUypC2at6h6JQTSSX8BdrOcITX/GbSjew8VBLP0Ytb0ctVdSTo+l6OIaibq KyFsLvF01UlT52v9eDq3Z//iC1Luj90+7LAG+RQpC7SahNIi1OEz7wXGB4iL2nmoSZH4R3TMiwQy EK+sYIFYT4Qjj8SVczpiiYw1cVARvGTlHAniqOQU/LoiZoHeikGK2hsmEDeVNABqMAB0GCLGFFzV 8mag2+XCYNbT100lXjfSSyMXb9DiWqD+2ASogczWBUY/EK/VuBwoGaMZxTXASfR2+DKM4wzSgzZU su2cQYFy1ByZPqRbgiyHxRy/GwaWbEvC7URG5V0j78yNSoUIsj5f9RdjxlgUcA63uhENPkAv3Hob wd7F5tXKyX+Hu1GqSIjGhK0U6VGvZ7nAaz8XNKfu1NRtUGo7Mm16NCVofV/lLncN4xYVTPTYocrw v0q73y6qFtbIh3v0aKoHD4ewXJNayI0op/fGJGQLfflxfj29t9aVcnXbUsHPnCgMxTPv1TIbmbtk 56Ia17FWCdGQqwbnLn4VrENKv0iwm4ewz6MZPs+D87MHWx+YUm0V4GK3mQz5AG14Zol5xGCHjz0o J9nBytm2gxU/cmYRg+J8fO7I7NSOCP1RjCS0jdQJVx1Fhx3AIzlai5CBcpkrfWjQTMbeN99LgBxg X7GC9WZVonoK1kD9Se8IzPcbhMlIuzjrzgl4oKKM9iX/RWWI1jeqhBnl+11qTTUhIrGFhsJr9xa7 X7D2KVnLUNhAnA6mYsmQdOvKSY3lH1lmqjaNOsvZq4kQGG76pWYKe9HxghRIYekR1Cax24vOnvvC hjSY1PtXDeZxK2fFOrmdks1GbZAKsu/OKmNlnbA7Qy40fcrpLkUwGEn++Cai1bCy2LP2AqHK7Nnu hnlbGfgq9Asw32bzl7K559kkMTBS3MMSTSvQm5qfR81xZYZnyjoGQEJFlFL3viacGYjIVmaXfXo0 VwD0oULQGVXZ5R44AM6ab7priPGDj3sxzIi4293ZtSSsZvLpfwb9EmqfRtnSNsV4wkHiaSKk1EiB MleUE8JcXd9iljn2LlmTz1LRiAe2uhBwbrAqGjLUCwy42T7GCDYqg/QAN/ln0O01vx2xj73b142u 4xiSivY01+DF2EzvwA8mqBOP5oCNqq/Dqgnr5ARWdivmXsV0wTdCu1GXWwL6rnAsQShiQg59hYqa KbBEkvUh+c4njc2+fUP1LpjwklW+hG8l7sLR6kXPVyaMcUkR4VWAkxojpPZDWe05tXP3mqugkY1Z j/eebdG4VSPxUk/KrskYmwZ7CD31QsiW+AGjrfmw0Gg9VzShP+vTcSVXpEgCmWubJ7kD9RcxgJAU Rwcg0kRIl2+kyxL+p7jBxR0/9DMDQLUSnL5/TSuCnrJGEEnlG3FO3IfeebExtp/m0c1BukH3/z5g 8Bmic0lD18d6htzuHpcciStUQ/9ed4fwHhubgwn+riSLxlocOgLOBxZCnlkeksIKlJn1QGFApFjm oO5m/MqjI+A+vwtjjFCWJyzw7+CIN7iqpz9u6X3NFhPuFzO349MlbscB4goyh5W6HwzRQFbE/m9K A3KhuzqlXKRUaWCkqKQ2qZbgBeiu587Xp2GQEi2vBaNONE3bsg9ST7Wlo7HAk85R1LWQOt92bpU/ iKnh/dU3Of9Is7UlVQ+iPjwMVVgrmQy9G9wENBGBxZ3Iv8JkTGSDtWOFtLevkyEieQFWlLQXmPEG PTXB+GGInDg/6x+LXb4fSHRbaKi1RsHEb9mabFSr7W6+4Mh1fnLH8AkLMua1aQXfoB5zjy3Xt3Nl Fiqpjgqc2ArwDztOTTE7BLDIYQu6Lo6PYjRMfjhRINsvyTFlyJntFSJFjkCgUh94NM5fjcf2v2tR xpEbvrpHzBqFLPK6gm2L3SD6U5yTsfNbbQ8sZGMcII3iPCOz95iiNK2ms1gRa2nthpUrlSYpiDOl ImD40QbA9URj0++gEQ25N6Ir44oVP7wdfIBTAahPvEt05zTHPGhDBjkhWkDeU7Vw+1IVjUp1b8J8 qStIKYgfSLdyRUNccquV3uhF41kEyx0O0PJ3SmGRDrv1RDZ0NSkEL6TLIG9XWkwOJrP4RpmnDFN5 9falzVwLaPYz/oWe4CtP0lFrjnaME4QKTZNUXBg4G87KdcgBBYuexEBZ+6vbxqozMIH0qsz1MCZD FA2lV0oteamClhDjjr31nVvpPBK5NrqgI+YR29IsqBXQoHjqtbw7j5y4YOFWwxVnlnxuSlrO9b5v PjVJvoyjVHok+haI6eZW4y0dtr6np2Vcxri2n6snpi6z2b3x+Yd9+rF3MvDMv9sqfNbraEF7rN98 9wN3xoObhu/LyLavFECMbiDZ4lIDDUbP0iOOCDk0rV/CjfPQj6y9I/4EPRyJjUi0+beiCu0i/UEz 4tq9BLmcdeRkH7PVlTYuhdoJ1r0NtSqRWqC24Bikt0X3DDNFRHMZGwAOgRlyQ5Si4Hd0ZkJK/juT 5HJ8cLzuYNA8c3sHjNY30b8RCNxUeG0ZhtnG8odWNxB4O0Rbwzbl6B6e/wvpu4APwgmNRRXHfH+U Y0IIQ800GGQ9hxiu66CuKgecisWvzh8i6ksIaOIuLJOTB79L5yJqWmhfq2BvvZ7E3iBdGdqdwbjg 92zTCOOzbUBgYWL4PEE/BY4TSklnOmAaR30umlg5b9HiEdzUUo3ereQPMzOZnwkpjnG+5JEReNgL NiCiGmHsx7mdroC/hSMcLpL3Q7DQ910/iTQfJYuf1lUTDeKxYLRwor4RVp0XWiecXsloIOeJg4hA hgjuvDRTSagNeynKgVGjWv4PT98JIomm17CrN7JtVe3V3vIEs93F2XI9TOwoIq+Odpq0KoCCxoCe KWrokuEk06x8LgXM8W40XvZcc4IbKVYe/aUrOa1u1fLvRmHgygIMvaBTzrKnuPnaEXCBq5N1xX3t 5Df3ceIpqMns0wvh7fSAu/Ak4mlBMFJbJ6YA0sIz1/CDevkmdJfEhJxbo1DkRmNrda1HpP58W2yi X8Eib3/EgAZo4lIEJ6HxKBNrOa1GP4DnoN4UPscv0zcuZ7n/U+z+R/2Hvk3JqvjpRrtmuCjnE/9N 9002xdXdNMjRflmSR9subknqIsjkh8ir/OphRZ/2ejBXupg8wwwzFak9B0HMCJhHy64HE85wy0Db CVz0PKEjBH0ZJIHNogNpGVVcYYVrNvFjVzrMNxuEjaxoaWoLWaTs5/Bsz0lhwzF8npYPm3T5sZdZ Da4zJ9gkig1uDL11G1lgTQ/27pHNwntYaNKxjpQ1B3ZFPQfiHxSgc3TMQAwjJ70lOQLU4NOTP7Bx NvMRCC4GNuPLrI/9X+HcRnrc7LBIjGUAsxnX83EGFqPjUnBhHnLvvqOULsvssvPDXlgSUesnWjts qXZEH0t6YHTyy/NQY0o9P6Vb50G6tNQALu5B5sn0Z15EBfhx9lxCfOq/GgyY2qKkDgo+hklR6GaB ODdrZagIjJptfURZCJoridmSGE0uXUCfg6JvJFfg1YEY35vrKKZFCp7WBzwYxUaSBxboc0ugoFAg oRhYuXZ9VyF7MhIciHOeArAFf4UXFGRTYJwPsXcI8/e7F5CtRIr4QSQlQVYi9c8n2eOcmA27zine EkvsTZQ4cub8nzvwr0WDz4GUoAVSOGQsZcC0XULHfpBWaw+6i7neOTcbSJLi+IDQt+Q/iO4RfYTl q4jj2Oyxde10eRYJ1PCxC+IgyrMs/II/ux8Z7DtBTB5JEbxKvGaN4h38OZqRcFO8QdaDO7nVYp67 askXSj9caa16mJoUQsqtowloeMcSLJOV1bK0wxk7nh0mR3rsl0NbOhOFWYuGWXtzyu89QjhPdRxI bIrGh1gk+3hhWWgSD2sgVXgDqh8h53gHUWcLuXq13096qNLeCULuPt1WvTVJ749nz1n6fOfmbG+x zm+hFOK2xRdivp6NqXGJdrDeVaRLXId0VmNxZeuZchD4s0Il/n9Ihqt+1qkFc5Vmprl1LvBvlj4a KJvjWS+dkb8eheikA8YmXfdyhWnfKqb9PPu44ix8NzSyt7Ak9HPNz8Q7eW/aol8ug9uc8O5E/NON sQWPpCTAQteaF9sLYaxjpyuqbE3LdEU79sBrKJuOVcr+/9WOcTFUnuLKnBiK53uNG/hFxlOjbfc1 kiyP3i9Km+9l+9M3TkJvJxY3OaEUeTWSKWN18MJ7VOCaeqcUDWbV8EexZvDPgbv4lfpsurkQU4Vh nmfEH0jt2SAzXSRwRxtUBS5aPcep4wvo+acUtLDHbYDC0FVdrqvQnRqDPsskdNTfqLciHx/dWUt9 6pV2N3xA4LkPU09oLhtGXUxITk8ozlkAxC09nZykimdcOGX+fiXQ9fEFFeOJ7deTixESK3lexSDN Cg38WnpU3Am/oOLyQWq5tK9dq3dcHm+UdMR3I2HvWKSX/UgsBOA5W8BI21FTJR8hLxS/BFr1SdWv WfvN6GT/2b8M5rrCFthLR93SV9dD8HexKuqua/yeyQfMj0mvbhueboc1bNq6EL5DbgPgtHn32mmW bfJNWtSWsjiQU8XdBR3rehKki+aNDdAuc5GSbYfUS91KOHpwG4eUbk6sbmDm4UiPEPRF9L4hJEl3 x4SxFTMwxwGCx4XzV/LDCAXVi/GAx10Y9m6Y06LrMHM/drfY+cP9c1pwBk2GcmM3nRm01rUqIhfL 5BPxr+N33n/DF34h0wx7znMKTXSvecfjLKQIKY3hjal7Q8ZBgqL6c4q791tT/e9CfntWDi0orGCm 1E1uqzKtnsmovShnuOGZ94Vjv4cJFoDKbBmRbSpOBmw48tvXM4j7mqPKPUqfL8x6pNVEy4RP+W5N SxkHWDngJx8Dz1vi6xkrON5aMyTvTEYXY8jMNjp7rcsbpXxG4d5/bOGL8vju1YV8TIxkkRbFhRPh PCGyyyiL+tq3MoijusWAzqQqh9RA+WW6dWcPRwUnLsX5u4VOYWzymD0o3k/30ScUdeY5ZOWtDTRc 79QZFFyjVAWcMhkacZ/CjbWdJeBUGu4zz9SnwduCIGINSuoZ25KpmqvORrg3Y8sskRDFFilgl70I EMX2iwiDXddd8YB6cSg1lKItbQwj9mFPW4Aibduh69F/1Xew4wgWmIUaI8SZMNvluyjLtr+RJyCE cBD8c0gz6w+gKyRsJW6ftRqV3O59iIEh2YVHrlkWT79VGHGXBTDTCMjFiicXYWzO5Rl2FYdVZ/pg 8FstIMQ7kQ2E4XtN+69U9x8ZRHCMnsf0pWPoZG//d20Z9ck5KlKahrjaUB8g83cgZj4sv6KM1lv3 2vMc+fa/PObG8sFGZKNYFMw3z0XpQH/BTMgQL3FcTwztIophaRoTdQvnQopJfwYRWxp4rBn8qICe F01TmCpdya7m4vESizK+K+o15vSBvyHdJVugi06YgBVKuMEZeLqCNouVQpGzAYUPwcU5ckdW4nPj zrT+61ee6xRpwgwRMpy1stXP8EOJewj6Agm6CxC9e97mRq/RPKkVosTnZ5a4wXk/3OkONY/TMoeo 87c9uzFd7+BAw+AtRKf5fuUk4m35oSmR5FKPMhsGtmfo2Y3dNJpukb/kXh8M1iyKAQiQ0yhUWjmK mvdBtgV+w+oOJcaIp4ZY2wU83z6ejPLCeewgjbQ6nczc4Yb+7wpUyorE7B0MNqMHXzu073vXVopp 51xSkrCSVfHTgx9Aq5CHKZqIJdKBBe5lEzUbCBIJ9F34EVFpwT+nAKf/724wLa1rM2l8zdUovLG7 mOMqBFQv0xFIyccnPdb33m4igDAV3TrqK7U63fUuFZg/KM66ap3E/xhkMxpEKAQXx6O6cDSovTRC +HbOsU1milliUkOtyqbZJLpVHwAt5B7VDAntnQ42Bh0N0Ili8H2oSRC4tfSzzEn5pbIKJw+Ke7IE 9+ppVjN4u7Po8VxKWJj6cRyQvddyU6txovMsUHyaV5dHo06koaeo+7Os23LdMMN2oKHbD/dGFR4n wTRr2Y/rgCLk44r6QU6a3zSGr49rTXen/R1aptXQYhVTSENGd+eW5z8ut7oFDAsGDi524lltZn8m 7bQkKImd66KVyQxDya5NCMptuVsfVk1cdYntPNwb9Ao5+Ccfbk06hKrpo00n+AmYL6Vl7oa30MEw wGIvUcMUBdLFIen0DxGdvpN4TGvbtk0HQ7vnL8B/SmCVilmZlJG5INNYjMQ0HzxOuzqRaAk4LgaE +VqHBJDeCqLF/j3FgyhxxpOCWVu9J0QJPyjnnshY1l7t590Pso35qCNhS3GswGBMUV9AclhoVUIg X6kDylDYk3VnmWWsoiaVtaPFcKUUSFxK41XeN0bNLfx2tNWVPrJmo6KH+RIigrDjEyFzxjV+kzTe RcHs8loJiR8Y9I/pScBJ0zsMmqUM2SfYiWICWbFdb9Yovr1/rVHiXEMQKGb9g58tXAliK/xLOZcr RquD389o7XHuUlhdDpB/2PmIx4dIvbhGGM+I5jv+cGWD7ep7LrqhHwyG7VvPN2vNY2PKB7iE4Kjj YuP6HGnWPFf9G/cx2nlaF0ClXFc1RA9qduDfkqa4BS/rvEKA2P4J/xJWkzCrAAuDxmiX/AmSRz/h lSoTsyvO5lC5zmvUrBRBgEgxOZzltPXXEypukwZ02KZnmuMoEjQenOmYY0DGCg2Jx/aKjqlnsbIb RqNahI6KnamITMPeeoBrVQ5M/bvBhBO2hG7wZ0vAmQM+IPija5et/mftMeCrvWAZYW9vuBn29v4x jU1GJOYuhKarztzjiwDzSsdX4+CTGuJH+5tT+TvcqUSLae8TO2fnaXa4styp2QIk/Iq07gBjEtaV vkKaAEn+U7QIhnNEy/1QKcUnrbzojnBONLpGbEWLpBfJBlYyKvY6vOZUGa1kC3KBFV0VdBfnfCxT HKHXNu0YckAFo5qul01B0Brr4bxO0bnCsCgeV0R34uDGm3fqLH6B4Fbo1TTOBZg1PYBwbb0K9zwb fS3xx0ez7wTBXSI3sqnH9PqXAEhl+FQjPpJZFnZACddQtJk/YgimbzN4X9K9Orw9AUb5vVpbB1vv 8jGEu23kRZO1ojAlAs9WsXEhTjCTtbQ/72BUUJsRjwqCnyjwgocbpfJauc7vWEeHoH1mkVKCAQ3X 8UNWjek8Eg87UGQMSmg3hqih0Ur/hoknCQUe+qspUZMqsc/eSZ+NGEHF/ll2PSIyDI+slVDL2zPU YlFw4d3Sz/ZASeCuT2ngWUTePS+oXMRdR9ibC+kL6vyAs1lLZprz/sY5dtgIwES+aQ/JnliTtlM6 34i3QQtFETz9yz8RBo2Fnl0PdzIg8LMKl2ooQ0W5dKh1I2c2z4mMyonLOHU+Q1ordJhMnMS3gcCg X5u865wZx0EoPS4DokPWoVJkPm1QgAlyrn2ybL/x1g3n/RsLFvjniLiPW37ETUvqlHKdhuLUUnp5 u5pkXsOg7Qgw1wzP9Mat7gq2wOnvi5b3idY5a8R+H4vfcS+Ze2vP0BdjiqpWfY8wfg9l/gofzmPM 18q+LqU4Mz8cNp/t//1pGtCUw/2Qp5wSq9tt+0zYP+YwwIXQoy2ERluBUYHzY3aW/nn4Otu2cnHH g0Q3lXNkTGDa7/552f8t5hD4XlHVAUDSxInVwmofICo+vW5cqjez9tVp1Rj7z1/lDV9SASGyEdzE bE7wJYExmb7QselhIQpXbwYgrWCRVH2Mv3ZUMbVbXWeB7IlDzlztxfDHodXcRYsB/kJdQeCUImrN ELao4rxMOZYjbC2vVXBYNM9D8MfwUNKOz/SkFxW2q9wvipbxo61FZjh7NQ5MkaNvALezxEdcVZ4v uig/9+TlHaFrSQ2kGnwbog04mnJ+uDyXrmkZBNjuE/HXaH78rur9zs/nm32S6sE3dWyZHxVBrjTD 2kk30DvJy13QoiapQz4fggLNJaoesc0kp+zBuj4rKGUDyzVDzUEmYQfbz55QRmYJX1UOugEoh59V 2MVdO91wGqzR8uLXnoC/TWzfkOAAPo0ypO4V0NuOI6tVFchs8ni5TdsxqduciRiPA7f0sE34EcLj h1vih5MM+D+VHqTMUz//JcYZdpJMC+56mDus43NMYBrVn30Ou8q1a3+D5iGyyajVtATKTmK1iR8h n5bYJn0xhsTJwNmkE1E9nphZoWZDZAVO9b9G7TIkGsBoe0avql34xICuQnf4dxPA+qBR7NaeeiFI CZt6MFVT7ki2Ibp9k5/ul51LxQnd4pku9fb0t2Bu+uWf97dNahAiuISbH2Fci2HC3E1YTiJVuQmt kB+HOOJMvtSanSLXlqkS0vNhh5LD/oTmr9OiAZ2EkYc7PI9rppknXtw3EjPDLadx9amou5+XFLrw YpjZq0xL36nsgk42n+OFa3/kOC5IB6wwMoQ2WPsDJu1s8EqEM5G9aPbPeIN6MqPV0SPDAx8lt8Nk 8K6nBtF6JR4bQxwo80A3DOhw1pQM7ErPlWPPDxUDxjyE2RXWLRvhCbbCFqJV8Z1ajWVhWRcYF+yv viEsULt6oqcJJSK+0h/JaWwCBibjROQW7MHvOpnwkjtCTeiuXoC06r+2vThJbqZ/mX/2bs/Told2 yQTOuxH+yA/+685GRJfmzGX3K8/avm4Md1DuYerA/Khf+6JibEM2Hy1qzEWciDg+tarF9ohZZ4JQ O+HEBBWvZAEKRBlnZDW19+TtCucmvZtOd8RJtJMPWyl3N1hnFtDKv21Y6pQyRfsoFeXGlSA/Cfgx SvlwvzOza0TvTQdLmZ3KAB2IlCIvnqnM2APdV52nQbvLvUVeKJexy91o8q4UIZgSnHZeSDsazK9v jmTlNq5F+w1/gKCpnQFSPqE5+uxVzstnib/E4T8JxsmF717vGLHwNVlVsw/LFHk/yGjtOO9v4m2O GpXcaYWUdFMWjc/75sGeUUXpKquQ9vN3UOPPePPq+vXCEX34mLiz0Ib4FgbVWKJd1OIt0hGzWcS5 dRZx0cIKZz/rs0cuH7KxBMhxo3jdg53AumlrKZNmT62wD86+yk6MjOCBQ5WZe4dABafqKFatNhvu I0y/PquwxNhpzNqkWiH0ezKVDzl2JPlZmhVaABW/Z2yCh+sp1qWWmjpBly79nDuxgK0/lhodKNPk 8OCOGBck+GPnJmNDbIkCdLrOaW7vlUHM4dD77paR+SMnR/nq7afMvKl0IFjqYPe/ahdGjjjSpuYA pux1iaq7+oj/OiSVfkY7b1gf5tfPJALiUlzXNgUGagr2BJHgElrvGAeslKqLOGQw04bw9dha3Rpv KL+07ig/A2PDJMEGpH3eLGA2OUA+Gpx5iLX+KBYtY9cjhh+wcRALpJdi840Iq7sxxzw6WMz4o+lh XYGRKYRT2fNoSg83Iwos9K1B4HFOdVHa29VOLHD09TUGSIfFFRNSEABDKMgGGENkzp/Jy2V5+l44 xk6jw896v02J5p1gpqWB9VMx0jaxWBJbSHnSNpHz7Wk5uZybB2cEemmqKPMzy/4TtnsuiWPqHIK3 rEU0XMpZikelLLaFzJsITu9uJe9YjzwrlWZbN/tagjzPJZ/ESVaDmXvcBtoZcUikSGIp1IND7+5V Mx/fb2nUMI+VAHGxK1u+D4oYgUQvl3lLWnY5buhEyFMkCKCFJrGQ8NyvOmRKi/Ek7vkj7nMGsJe3 CTQdhmF0x1p0YztHZ8/Vu9GC9N36ZC8Go+xJED0oRReh3YOGhHH81s+MITBsIuRCNCmL7GZXgHGs iCdyCTOqqx1Yd3Czk8QU/+1KahkIHADFE6IsuXNmRRpLjmQtm4e240rY9kw93AM74qOMhMR2kwkI UIkNCCMNukhPvrT6x3EVCL8ievJxYLiXI34B5D2/D/GBy77KHoRgOeyFqDvv+kMq3/TepKxgZyUe 7NOX7EmCrLLC1Pp7PiaJXcH/eKUFuhZztctrXyC3IDdKzEy4jtP5QXbclC3/vyuJI+0q1VE9Uusm Zj8vo0kHhzscptqEAS7QFafpu8Uhy4JkvXxVHW9oUnVP5iI28QbNjTS/eM561CYmmoThWBnMlMQu XuZLf9C5+FhiiO+qr+8xPg749u5KmlRQ68OxduH4mLKasHvKQFVjgRRGNkb4q8t+jSKlsOfzmSlg lNaTUu4w7mYIdgDtPUIRQXdgU+/AOeXr7Em8umoxjmjDNrxrzoec4CGiAhekpDGqcTjdNm70850m 9A1/ywq9snyg1W/KJvYyVFd51L9g7Bpg3wcPde+wpYX+MJ0V/xqdqstJN4I6ozB0i2wD0u+fd5yc z3kcm5Rn+vj45DAifaR2Z9GKd3mZHB23jZ5CJ7FZ4HIL34GGMnVOorZ2tGMS7EUujUDxKj1B3Ylg emlwy4zCGKgZ7nUqeRWoV60KaRCDF99h4bhLaJ3XEhbnQ5F9bM5BDrqT7Ny3UdTvR+07ozSrFK/c A5dK4ISq1WgCUO4GWZDhDvLoVmxSq8vdUQKXgwVJFO0a/3D6Ki/MffeKQhxTdMHvqidU3wRpxY8M sqJEl47cyBVPEOWLQgO6IYPnK/lWo0gq1qawbI5YTUebvymZFD7TXIEbvFlztm/+rfQBsGQ/W+IW Er43sVWZdC7mE+Clb/ux17EoUMOTAMgCQa/jGIpke2TrZlrWS+wa1hWQOOEs93Mt68ylqiBpP+ZP pRkyL4bR4cQtFIRhyWsD7NUrQvmz5ifv+pn28X29R53+ykuAYxxTGh3TIKzV0IuWyKPwMBH69lzw SY4PkfpWnhNv3tuAOWzSqJfP8oGw11pPnTMC360MFgzlLFl/+LtuhrndgTBx0hmsHWzVc9Tq9nu0 AhnwcCvyXceN9dk9fMRSeWJBZUOSGzP/Kc56OGPZ5oBaB1xIXCjKiDri5YKEdmsfvr76nb9adrqm 4wn4uK2DNhPEqXmWQlOITGTy9gXc3PSUm4Kf9SApqajPZnhXS2kyqfBg7p35JWOkeVnh47AR4sDD gbd92kdLue8VMRzAYPug+l6wHTPqqONEKbuHjRJzgLys0Ks1EzkkfL5nEMLRGW5dL/YyUXNQi6/s ziQfd7b49hIuFaOB3eNTONDjo2LvtJsE0lNr7nA2eQLIiJjLWUVILsQiBcG4J2TY0RsXc7pZsnhU THveKzYhpDS7NB/5ju7owA2dyQ1Vezvsu/3C/i7Mk8CVM2FEYc8r2Vo6UF4f34MCBNdC3TC2qiVo yFIL0OlnB/mdteE29LaRmPiSqJVeRU35C/ZY7RiQwPJ6Zi4N81fnn4NqnmFgVCV8MaTESaafVnoC 6baLo5WXEXcDKUPULwkJvlRN1UYpAD6Gg9PwhQ1IE6w6r4FU3xsxtOovugD9tjPvi092l1OanFq6 9y7AuHRZ9kK1AgVPg2aBQ2AO4lMjOwCjlz6rQzas8LHxl7iwDY5i8W/QqCKuxPkRN24VC+sAbqb3 PC6wkoh5YIP9hytx+GFTcowv+aqjqKD965w1/GjZn2zcH/RgUD71BpgQ4Vp2+7mK1/wAdRTK+ezs Xpp4nwLZ/WcN+eDno+VOWT045UBxT4WqMs2Gq7HuyrQBAjoWHY3jA9PP+sSQTONgI6RPqc/Z1vpB sgcrExJPPLFxa2B9MbxZ4HzLFNpDWDgRwg+RJGYETc6ak5oe8T21j+DTFXH9pHgLi1/b2c9heUVn UeCfSmyFXIOaB+6uGO0Be1OBA2xSkdvelHuCllJmllwhXTupqJGfpsXpj7cIfbywHo1dDd3XnYTp ieONxWrWuvP5zn8Fxek/N92UUOJE8ksrLvGNTdossUUCvBeEwOxMCjL5U4M1jU7rFjZscVeJTzQu VPr4ARGxvU9ue3tHwhiw0p2LmG0e7eKylKJrsx7D9nrvMwAtMUFUAN6JH6cNBUc8mlxEfOex6H/x K0SkNPqzrSmGaMPyVJ32otlO2OJrdN5wLfS2jtVPC1tQa8Fn6hAQw4S/L0KoMPULw7FlOb3xMtRE 2woXS7srdl+QNV4/5QyZ8Al8bAxl9W5M8iaSunKFw1bcJq0coblocqK2vj9x0yzxLFPahLVHAM58 P8ktizDD8yth5fB62PmqXv+2DWjZzaK/QyL4T8OX2TiaPrriw0pZS+9jTc+YQMc8tklMX0n4WFMJ XP88JV0DkfHh52kuWKtiPdZ7PqjHMrioGwJOTD3Vt5hb0Nc+F4Cg6P+QyQnsVreps76dwaKvw4xC WTU8DjZm6h/StnE5M5pfZhNahGVh234WX5sza9HHsRbhe2DFY0c0MDGPu+y74W9/uFY9+sJa9nWL ItrVVpevYYQmVYwCHBkOg1MFDYGyV65wy94FF++WBb106ODHCYvY9QiyK2a84mcGgmcPEJZuXHb4 P+HmSqBMrYhilREK7h61Yn0Fhp+9JsS2+mxNqv8Ri3N7luUCpydpF6jnLhkCgtknJL32LaHtmnn8 0O1uPCGXxWjM2mf9k9B0cZteB/e5R1EdsFhDgu86YZLh5oGlwnvTXhmLNyqXOlIdiDAEhzV+X/hU P35qnIlYceCxiV/tcT99aLyZrSltPtEI4RXOdp5EDjux/mEvWHnj+rhz0fcNkCec+mHwXs4vohhp wImfuvOTGFrqtHr6PEYwUVgpxMVXO63WRWYJtWs1gbub1lUt6EZTHerTyghXB6W+vAH0E5EosEi7 /F+WGdslTHCNOXDRDEp+vFR6aSpvCxKxzCXQWoT5ckRouFF6c8SnjxdbHyYphM04staA0D8skoKp lA8z6sryPKg0MZzSfeowps/o72ykeoznzQCMc72aq5d4LfFWQE0Xtzxpd6Sdyr5WmaOfHjeNYzZ2 BGvScbSPlnV7uVg2RSS6qmhCB7/K0TkhSxQ3qjLugqveOvsJ63f3UhmgQG8Tv2BcbxEG4kHOphEq AjTng+BQ51KRR4r+RbexDE5mtFgDkKvfwUbL8LG7U5qAw/n+XYYKROYSpBMmFjUUiPjqckUfxRL2 uKyjgOm8+pzXcqe0cupX2LsVUuDR2v8RIOt0FzSUa65d3G+JlU67mcfoFA83Np4XG+vlKErVWR8w zCllbigskBhMirHRfD/huxSaMaXT6NuFzP7Is+xQlcfO11o6owCWmbufVD90BVQrE7M+0sK1W7Oe uMCsZJusJtdd3vCrKid4m5jMvFo6NfprPEDkHk8zG7+TMeySGJYAYoQ903He4q11sMX7J0Z1JYxA 6aDbNIlaQWoqTw2Pr0ID/qDDZFlwa+ELztK6WU/xQlSF7cD2TBbl4mNJ6Y/jiPdUc79hYXugGdtv lttawml1ZLNKUGnvD8Ao0yt1ZQpyEKxqQg/N2EWekWkla5CCeGz1wRQFStaNcpXjcLzgTIkFbMU/ KwJKi2dDNwEwUb66PaF01Zy4qlS71NNxuh9D1zoIhIbmUmVTJiq16hlGLQZTpptSvJhCxhHSOh82 uAFsA2EHY4f0eK3TQR9d+J1YvdUgh7eke/tXca7cWm3w9IYuCl2/rJ7ThXvh3YbaiPgbFydPbaCg 46FDYY5I4S9xhrX6EW8ItJ8O7QPbPHw9VTb7r0NPkrbLvZ+1HF6qKZnozS9yzvIr7OSNJyRlYoBG kOiXnDy2fBY/zW79/zexP7WU3087bMDratxeAatHYkTS1MEeWaEx9V/kIPaSls/T415tRSXT7hLb GDT6k9BVix8hWT+wO55EaAdA1+GyGIvktaZEu/22PxNvh+5OXfNw3TFLMJJk9MpZ6bZUNn9kggeq ZztEl/hqge9GZeTRhudSv5HXTlOV1bdoJycPEpa5gMbKN+SKShp2gMUX5sUjo2cEoRH4mO7VDBbg Uurbrn+zOZYIAWTT3zmtT9sC6CZmWX2o4KZzxjmzDDW/x5xOmGypHiaKzuNE8/s7qBD/B10q4Wj+ VEiPZRpns7PAoSKcHU/62XWcrahEFsfLGN9APOemRq8smmrj0wvIWYd0zFplLYztTTZD5tldQVC9 eGG9kDU6QLfzcKbpcQmVcsqzhhycixeERYyf6cPFfbqewz5+RbukhIMrQf7+k5sw3xZanB4T6g5S Q0/yWrhRjelj0NDViyNbodHU4BDYHjCTAt7jiKuXsfFg/VWZ5uipV+jJNuEeYi4n0BTC0ytvw2z6 xTDiPip4SQ/0AIuAA7S+68/GBPHZTC+m7NgsBrpHqjKAjYsMQXBQT1C4ikT37iRVMpO6dQU/c86b FuBAtHgjfoJkZWtYJYM8hViN2MruhhFOxIE/4Gu3xLT+N9yWRB5BEsFvQ8RUgv5IDHonfW2cmVbh v3NDnetZSL6A+5/TyWgIXX8BQmGiSivJZCLQYmc4pAv5rNwva/MytcWSoYJuefuacOwgzlwhtXqA h2DvsYJo4l/tKlHf1juaVWMCeKQktRqmQw3r3wQfsEsju1IZq9lxou/PlLC7XTmgPCxJNDHOUjbU FxyQzxkJDP091g6YGbtKkpow376r4dZUUwXghaxpycLikSgAyBOa8b33IJDAlK1f1RsMjK/Xwj87 VkoeNiV4FvFMsh/gYVGZ9fXvnBB12dAVlrAoh8MoaJSW9fUgyg2DJbQXzw23ooLVeOznszg+w7gQ gxByMBfvwo1a7SVqNLCpJCEuT1+VOW5AuAeOj+L9tH/CoNasxJ9aMkRc/bvqLNiSbcTz0e5a3zAS sGzKOk/hgmBKsGw/2eIVJoFt2+dQ2Xjmf16y35Wn/mADSBMXVK0WNZKM4FWJeFrAo1fwxV8Xklh0 CqnPW1FHHR0ekjhyQ7KswHUa6q7y3I55qybrIxJYJfvbKA7Q5q84MDLJ9abGLL8w8gCYYAHZEBa3 NJ/UYJrKdtMKfvfZSjE83TN3hxvJabBSXfAPh2PD5Q4nCPn8UbIhA2lktWrqEI2FcMRuhAzOkFXX lLChOQKtw6kd1Z6nditb29WCdyv6BZqG/wrGwCwio5E+qdd1GfabDkrtS7mV1BflJ6VBwIKu5It2 qGWYiTDrAfS8pC1Eox2Uwj5CHSSJ/C3oMzf5UcOH6/lq7bab48gpWIHKU9tWPGIBh6zOR3bc/wRN BQZlSWf/q6BKkMJbBnlkusAcJDT2c1i62okU3/JFJib/8cFaJqTT8Lu0TUYnHExf+hSmlzR0N0Hp /a7+NG7bfYm0RbxTJGMqh15vX85M0oCpZCpJvmZwhMc7/LcjRCL1/faTq1WZcxs02/SzQcS+QuLZ 4Fi+mpNG19LCndxrfAoyUl5bn42vifI/8GvLP75+jaLjgCo5AQJoMN+n8lRnhrumKivsf8Wk0A68 5AhbD1usJZ2ZFGL6aLjHPvGR0UB7FNdO8bRqE6uqvCRK4ui/gzMMHU9Tom1dEbB9JjheENgPa1VY WNjKjW4jBTGmqzq+PgPwnum29Ch9VG5KgSkjqM9LOtv0xezVPaZVZPxBHoTsRsmeyYLKljj1lxVH jAq7hdkFC8h36ZTboTuFYqzxh4dytwuCYxWtKvAFkeMQBo1o+HQrloRHNyhpcUF0U6qinRmFqhcN cEjak6CX3sUSuXreKm8mo2YAnY08yPEL3yp8oHg4xhriKkpV4GMfCzIka7Uz3C9sCnHrRrzaxV2g 2yBAsaj786GI5X0sutJHncBWIUXj+7oPMWSRBxFXEE9nZbtGuxlh/bDS4rttwHuCPBMFvtqCq7Zl Q0aW5P+n7SqZXze1veQyk+/iEomewCpTVfwlvxzX/KSX5BAn1U09Is4B1cP/NORCI3ke3atewVxi R4zppWNaUgesNv1j8naDc+SD20sp+ej+8hcrnVFe4X5MA1T918MB6T/0AhXLRHdjQnD3jAr5OPzX 1Prl9BhpZjdZnkecSBrQr41oZCjNVfbWRjNweaS04ynNQQ/1tceVosrLJ2H4IV9peyrSPZD5b9K5 5gH8SComnaEIY72vgXA1cOheC09732q6r3Yr+KjM5DznQG1XReXQQtkqJo84n/LNyVsBbkUsjFjj UXYcCtE0m7geJZPpcQCB1PlczYDEMys9cVLAtORAz5l0tzYDP7Ylj49RLegRu63c6EZLeGBIuqxN Rn1gCnsGVMfOYvLiy+Vb98+Y8zQM5oMPQh3fLP2p/vwsL64EfoHabfG+jsaG8MShGSvuYBDwR/bL IRdAeKrWGpB7FkPb2+EazUoKmJ+AGD1l3rPiqIRZrf6zm2zQUdlfN3CXuDitCqHICxOTRyZmYQTb p7mrsXaywJGUQ/m+bY02lmGI8O2eERfrDM49s9ev8RY6LLAUgiBQHzRsJ8hCeM0UJupElgSR0Gb+ PgWsjT0s5GgDeEB/kHse+kN0ouUiQR1jHuOOuvUHXQhxDZGFgRhzf31sVuRNMEM8HfoIyW8fK7xd uQI7MgsRu47r4F4R+XxkESPVEtDYHOkW1vDRWfgHv8CFdB9lOAVZQzBn66LGnSigfYCVBwmG5nqr PQuqe2BEE9rVnrwZzwofc5U4VmdkgVSeaZDDmHETbJOxRWbnpVZ3cxVKMB0rkuy46c/L51o9jJo4 XDr0QEgk87irUQ7aXgxLwyOaTRIFta7/078a9Dek97TnApgrL6eLwtHS+4QM1pRctuvQtV1zX+pM dKMz0yjAKEYqhXgkte2GU3kQxvkdipBf+spHOwESfnhK/CU33334BOCs34ONgLYXHJZiaISFGYWY svRqcxlhHourBwrAy+ZUjPzwLilQu3bYwT7gvG/xUWkDTdpLNFNEet7/Tp2+k/uOPzSrWzGV/HZR 5x/7Z1hzIpJyzhbAs+yDrDQayDqA4/FnJ9MtXR2/gb/vJQXXHXCyVJ62NxWkvkYnVjFmRm+QxOau 5XDXYUWm1/YzRRwuH392FOalo+qWuulexVmntYMFhjGz3yCWZL61maJdyAxh4HIC3SH/If87Nebg ZMxtXI8PPMpGKrUSpFv3JgaPqpXeAr3fRAEyCSob00rLv/Y74qMqdwjJ/7N9Dh5Q6L8vucBbqBxP FuYxNnzpDYuS5/uhoRMpiLAX6CIYTpUNCNLkL5Fo7kKS3+MOQCrNe2xBDbUNvXpIRagSkgQyC2fl HigGK3oCFnEBCvXD/OmghkgEgGgx/0ZM32aO/kkRX6cM6BFYr+g1u/dfSJmgwD+yudqhbuhK0HHR /t7+jHULOFoQDZ3JQkQmv1mPkji6zLQ6bIjfnDEiYVSKLTQPH4npe0CKgesokFnCt9kbap5MhCgy CNga51WVnOzNyHaKd2J+aspQX7GxsLkaW2wFZrpyaKLWsQQKxcok4AoeCXnNbB+MDo5jKCRUoUMH YzAEgCpLdxmcs96WpPaar7vjojKGxv94IIwgFwGGPSXAI/T10vP0Qus6qodjlSN+P//4RtHBj/9M uNco16iPSTq95Mh9+U393+W5yk1NTPOOi/ROpuzXaJR4DlcGp0C8YQUujdT6gNhOfSAHWlHO3TcH UoP0306UBH0Plccb1YosO/Nja/XQwUE4nqLLI7rwhvJcud35PTzRAGy98+XsLNVuXVUly6YFzusf gYrn6k7E7Ze46WkbitU1QCXIhWFupA7PqeNVLXmRk0+QNstD5/e2qJlbIUU+6OltV/Ba6kUPIOX4 sk1LsQzSXnt1k6/QCpHLuFCRwq4eQA9yMAbjL9VQhACb60jIOdGvVqo6+MfcuqrIkX19AIgf135a OEnoXgIuuatCAGdYTsH1fVTFRM0nFWaRHqboMaPDjIE7aVMafA9bIb1NzzlGD4v23G2QRWiWObRU U8xPlJhBfTfmExPak1SFm/G7fHbtVcCzEQ6v6iMzxYmrO/+JoHTkrjalS0bhqzWgJlGi1Mfx6PvW r7WFoExHsYYBZIkDTRi7qeOfWN1dGDK/idsyFxm1HLUdo6PNSL/uAAg8YwZeJJ0XeU+6MDVUlBWZ JAzxykYpiFsE+4Wh9xwRcHPEQJwJsnWbcJNBdpjdhtiXSotp31mKlzOsePM2waULSQTY6RiTlRmx 7YMV1hohOWeQaOJyqkOv2VffCJrl8tQYdWSlmXU7ls/8ELRzfXSc+K/axJCnsUI9ntaGD9CxJP+r Hti01r7+mtU6p7iOPPc0+sz1XoFngJXvoHtBqRjIAvj5vwL/woz1DOYwuBSIt1HOSB8OOSllj9CJ Sw6yDHHupFMARhdl5iEzvLN4Pa1bhnfOw/qgv1Gm5Jct9etlblUp6gstNl8q3jiX2mBv6VEVCFNy aUYJQqa6VqW6oRmvfTAdymqnh7YzX8VD256kIawE7Xeb5gaErIXNszWaWLF5MHBxStULxsw8KBoi znlCvuP1r0G1JMlB89xf3hUNawmkHuroeO6FPO5G2kIykP/x6DrfES3boRO8AqP9MDI6EQiVmA16 UDPMZTzVqe2HYpVY5h1nIoufO4ACACsooR93Fhrib/AgL2BMOYlQp7dSae4fC5Cji0XL4An9HAVl /uChbOOQDmWQix6rtkZJAuJuwTH4+0wjs5a03N+CLMBytl3oEN28H3/Zqfg1AkrT3Opwy5Ivp68/ 7xc/wUhgskEee/Y1s07DVgAvhmo9NojI1y/nefOHGa/gcyG1/ImuqELUFQ/AEjrw8ccSXONJoTFN 86UYm4T4Uc0ucFgtjhkX67L/LVhyiTCeW01jdS8QPTmmiIwVeYx+euXB8WCU8TKMVrF1INidPmzC YxCNmyoFsOtiY8vpnYhi7zFsLpnPs2a281QcdBo9w+VIbCecb+r3DLgTRKbP+zlLuf9kJvSJLlHo C79I/U+L13rXMPQ5V4rpfyqifhMfl775xNGw+4L5mw/9iyiRwGnzZ338T69LJDOPHwzfij0xt3L9 20m/g26c7HRQyE5wdHU7xcFW/WES5jFIKmyNuEJPnURNT6tOQKSsBpZ9j7Dh1l2nvKM3GsSMsvq4 UjseENKCWsIJxE8dn+bgE/1nW5/62+RHpC0QSuSfLgeX6rI9/ACK9XVzE0lMea1qnNEUk9KhUTr1 3m5BdBacxpQDVtRRluP+CkqIiTwXr/Ft3MCupKfY3gJQPesFbOZHTMotcJVh+dXbFTgJmC/1RAgV PoCqIydw2N0jHWc1+/WCuYiE4wcJADF8vBJ+c2TRAPKCUZNFAM4T/R5AsDAizHh+AlMjJgu3ekn/ suXxs6BTPd0FqFzvrIn+t1X7m3eG+JMgS3LFM1mi8fsDcocHWStbRDHuALM0B5o6zM1rZvnj7Fmi jJ9Bm1/WkUNdddjFR6c4HW9E5trFoyuKQYY8iGMOUkyUvJkOUXbhe8teaUh4/GDzFtzDpgA5p5hV 5pRv4yqANOuIB16O2crIN66LEDxc7LNV4BIq6w41Hnh0ngVfZgxjvpturf99ZyIaJFDpR6rei4nV /UBrwZ8lNLWmPYufJZc6wjhP4FiMeQ1NhDehlA3TiFpm/Ht0dePd68N5OwonVF9XohgIp07eOoYc IJBoEt9obg4emtAVywuQWmlD7huRQPCH453IuJlPG8nh4tTc55PU4MnsvG45SpKozP9wLPTx3oQ2 kLwRrqQCQiT27Xxh2aBehouePWX0EGB51Y03BXi/dYU0d/H7i+fic2fCtKliXDni6GmYkgeuHL3U BWzpfmxQtKR8sfZFwnj4uoCxxCbVf/XzKjUu4UgxpSLE+O1BUT6QDX7lpZSrmNOumzJseyTcAtc5 E8+9NkJhJ8fsaUAog/mTf0OnRFSjc4x3qlI68VQtZxhxEQqWd1HqxgFmLDascnd+DJX3hijH+52S ppi3mndChYHog2ZXJ/UyeSB0FJh9+NwftzGM83JtuPJNPdwuTlqikcJby8jD4ziDW2Rc/DZRYd3l yfJDOnWHQC5DJvEKi1vxAXQ3W+H/kAOnruMzZwLP1pRfB/EagbEymZFoHrDhKciqTLNSb1/q0+Dm ka7y+LUKYgt0mJwa3LcZ3r1JBc251aubturzqvhnSBv3JvY1FiylZxt8KWaopHSwiJg9V6FNtLvN xkJN7osRnwvCZp+/J9BTEZnTBEv/0IoCO0YHtJUyOlE2s4PipC8GB0xlgalmufpLeWU/8MhoaEAO 5gJ+gbub1uvCP/jjY7VMz2GcOj8ifSz2ylI7IZAI3YmF54wUEzB4QVNvFmF5zROWXfv0ic3mtQug 8K7P1lUbT4zz0sHD+6Sfi3V1yPMD2me/sFhYQLHJ8dQweRTYWxG1XfZjBR5vW9BLI1XZxEN7Hf4/ RicTGOvbJrPPDAs0FAFEe2LlRsn0sPliIkg4E3a7Ayc55i6kd4EFdvkNqMdL5yTXtJWQPH1XEIpx pGlLwNNdOK8g8rATeNUPZVuptpIvNjze6Bs81Y/S+hVmHmiwqu3Db4p3p8BxIhGM/BOVIzPX8110 Awyq2Y2ilfbkKw/d7LDN1h0Z/SxmOdidxu/b5sVwk1J60yZq4qDuglubHDRwoXI/m6N1vqAgMIV2 NC6VE69kc5bpud+Nl1Spghr3wL8JJHq0VynOnER56EgQe57H3AZVJRvNKg4EPL14ejzqC8RPM6yD x2YJqqP7lW8n84hLiVM6/yMePFjQ/AWyT9PeJE5ux2N1o1NCNYveJt5c9biJgHCMGfE/E/jJXiiK XjLMfuAx7kECCwyLubp9ouckvsNQqacW+jmvZ3pziHv4Jfg0zivOpFW9gylatGAzmtxB8yNplfAE p/p4QCySKnb86YKZTBV94xG063AbqajdZYucFCVuN18rkVMREVZWhgX2+BNgOlDrWaRzcJEKXzl/ rYmyCN1IxaEucQLmJi3q7ZzvMa41O1e5Col7cEENngx3evA9OmtePXul8nFBziH5A/zhl2+4LN5S R1OuLsfzy45wNj40w46AaMbMQj1jYjTzmz8WdufZ3qoSr45rkpJ4pRCi3nWn7LfLfO9LMVJrRvVu mmgf3NmIoZSJWArq9DXhJyxpz+GZFIk95zFFo88d4Vg1XoIBF4sLyy5q2iqfLrMBC5AzDVBfeIRS Bxj2Lvb/7hD/a0coyVucg2SZ1f4xzXlhObNBQ4BhZ89f8K5xGllyX9VcWaOPoxxofF1bP3qX8Bct TXOgGjKyXmnipTvp8Uw0PcDG7f9z5iVXBqPIaNBjAKocOlfwll5A+JenZ34tSG1c/CCxvW5NQU4S 85DDdiqXbkqQ9RjwiE6dq13oVxeMbEgxRGwXUwg8TB89t9ACOV57Hqrzrbl65HpfylBDyVonN8Kp vAzV5b29PJaOyDUyFbR5gasLUMNmQOzkYaN7uRqgY//IUg4c78ODwi1Z/4AELuo7bQc81zszbP0v DvgkHmn5dWTCzD5rHisbutL/qkved13wb0/EiQSvrn5n+Wg+H0o8r0JmjLYDHot6cZIyot6n8vv6 EWOSMAARujhpbExHvXjbtIAGgr2CMPf0YDnw3RcaVNqMShsTHH/uDPWz695ahUcgtrEENkc9ylvG +QVGya/1MOYmVEfYcBJ/5x1XWg28aFpVp9W2jjAnMCb5Vo3jH6v5adSwPJoAuXLTkbx3qg8Ml9Mn EaWJ9r1JEjKJlvIRJ54cNnAVjgJeCFpLDemqT65hneW6CdENs0X4OVBw1qiFJ1MEO/ycp0+EGDov 3Oj9N6NEIqNxH5PuxiDyzSCIec8f8MISmcFpwvcVD1XH0u540MGCQ5VbcuF7Sf7bo16uQq9icwiE rYrsGhIRqnvJcZScpDMd69QzFFNXuCOPGOjLmOTdKXPAR5Az6WM7SA2+xC2LxEp+PrTdwDrBBbxt mK7+Tmqs5iVNfHXUt7ziPnYjzE6BuLIe4PXi6Ojoghh2mqyd4H+Jmhc1EC6Us126gSAIWzJ6dh6W mapNlEeAxZ9+2FLEPkIXuqxvD46ESoPFv9QiM1tsH9BarqMNT/ZKXu4I+HK6D3nARW9MjfQ++kWm Uzno4PZG5zOAAwc3Benbs57om9umA4TimmCH4/iw+aGEVFBqMu4Jf2wUfIMuJvS7SJ1dcAOf+j9A aubhn9K15IzF3/NLDYFfHxrpJutNdnMc1tn0zF53L1H8BSxw4z28RhJtkvBtoYn3yd1YvesKz7Uj 920ZN7V7yHqaqR9t/iCVDC13qFJGsgpOmu5OyA7ndRt0jH7MVMwuY3Vkn6zBMQnda8XpwNEuVUcx jN3ZRX0dFHhbErF6RyNpj7OjWV8ENLTdjMDt2UDbaGFFVwN1B2yKTNe7vQ2ycI7/PixpLO9v+6/I hNLW8fyzEgku5MB0xlT2VVMpe3Eja7ClViiaS9Q7PufomShBo/bUyCgtTem5JMwOIWvtwA0ILlcA SlJfnO3LTb3GXJ+usTY/1q5lDolZHvUNrVB1FUPswA1YV/wUtnNm1GDG1KciT8nDBOJVL2EfdFkM 5GicPriLdmX2Csr3jtgfd4R+tLkeSWF07fGW8qxrdlHqZE1Jl9B4koiFfBxbA8bgYEW3QjNxEP0K +sb5mw3pknZKfs1YRt5qG8k0tRwb1/oV/Gt7yfFBXr+sfTfHsrfGyE0B9vaELeOZC5zfILA5FA7n gs/NSmRuD083KSEZgKNyjiGT+S1RawpaDALnLsCSq0jn/ddHYyk0GHNUzQSm8RT68zheI8iV9Rxm SEhqm4jQuUsjXefoAIWKQ6fgpKI+P9bSVwdzVhlNaaKucGT+QSXpID+GnjO+ty4kjqA2ilsIih+v ITqqdFOgFrFkuMb9EykIobVZDxJMV1NeXQA3Fii5kUbZ1QlIAe7MTL7+0O940bfOaDbbIP3PV462 iYWWV4lsnq79HFl7Sj1oBi4Cc0QHhKLzs0t/1tEQaYtto83T0/kqiHJ54f1ZMIhMc5WwRp+mcRuQ qzOS/DOjkSEtjwgglz49pS11ylrFJo1++jbQuAGRMMD3n+JRexacQ+GMt09PTJRPw+QSVG200UVd n8G8b+e6qNJTHSOA4BoPwlaUFMWnzZs9y60D4sREOOQ2Songk1wPetK6AlPvNT/Mssf7/2iY66+l FUNnh5uUh9g3XywykD7UXRUC5L9qnJvRj5aN7ec6qIWOatoIY44oaoE7AO43COHcalv2Q96grntc xB+ZEHkGW6fEHiHVXXtxnWykcRsXXXcHv96YUVy0jGRpkn2WXpxAGDne9eYmJvNwpn0CSD2si+ID FL/XOcn0jkZabUPlolykeab+/1h3cvZL/CSUSHLSpTtcGzmB0TEl1bcpqbu//n0vVq3kb0jY6Aaj k2e8NyLFJsgFZ4YV65qfTPEnbSPEnMYDaxr6M3sY/9rAj8jIuHpmqp8SH2LkYmgftH5xSoRYacOH oorz51XaMyAE4pgk3jcdIdWonNTecW2uJ7yBlW6hdg559zx6qEo9UvbweN9ssbTjKw5TLKYip6MT P4QS66VVlu6Kx3OQsn7CrQQZKyRUI7Ro3el4GZsI3pBOMwSr+tXxeJTeKB0Jpymbkw2sSFUgE0qe SmyQTxFI4q8S8gnn4w9EubZpqI6FgpGSjlecyzXumBdG6kYMpVNK/ZmQDaY4bNDGJeC8JeO9TYW1 CUjdM6jLf8Etzq6JipFjXekPEUS6wtrsh50OwdzOAnU9UzFzYvZDxf28Ey7i/6nL66Pw/dXvr4cK sYepZvNSUuehOf0zKUkBsqw0bMOGfii9Ua+D3qFKDtCoeM9tNWVq/6T5qNkROye5R9c3r/7g33Tl f6ibu4SrJAxWmHoCvSZdHY0Xv3s2K9VG6uqBPJm5Ogv5zRjHEkho6SnARpXEeZ+5C76EZeKZgW76 boNp8BLwN/g/Yez1QCt995/eR5xsqgEhCJ721S6YDJ2waON72w/aM3pKLOaHKzlalcZpftcs6WFB XMmzeDuRHaWTeav3vYMbKjp+btv/gjC9qlfcDTM0uPWCJGBOBCQiIHfPDv/lqooKgRxEgjBlI70B Bz0Y1+mmrKFiP0mu82Usajc2GNK7ES388E7+qSCJcY6JkDRm4KFb78SWiW53cvg9Sv+SUHWLXrvB OY0pJub2IW9RO1p1pgQw65o1seCyXG/kqeRe22zMDL1fDHiJHihbzkPa3sPExwFiURBklnXtG5dD RCjdNBd9j+6rP8YpAGoUFJqc6kx+tGBGakuTIVRnpF9QBr9zeGALvGcKhoXP328dqk72mKO1blRc /FWZ15fTtDyLR4Ez2qaMA9xRYVAHitREo+yoHuiJOPisDa6NYHaT0/g68JQ8CeGbLrLCiMrMUTZW fsCNps1R6Tm7T/LZXCTeJEr4M56oA8fsPp/YqvjvUkUvGO2DyB82x5aU4sAAOMmjfuCgzUc9tbod B+GvMMLa3ks5GvgW+Kd7udxjr9H/P5vR27cw4QT8QP12bajs/LRPAKZ5T9CcD3c1emO3TS7pqrcE 2vXnQxL/SsZmBIzH1+63XeTCMmKL15KNlpNvYA0HLqUDs3tnzR5gYUw+GA8aoqFV9Deqd5Pqxmax Bkmy3vkPEse4yGDvHtesB6buMC4yAoLUJWvs1oNo0mSw3AweA+9UvWNnuklzVgG7mVTQWrGoP0Nk dyLj+BbdIIe+K/So4OwBHBoaeE+n7RARCZC9AWGDLtAnR4h1PQlwTfHRfqRTufHjK1K+Db+Y9pwl d+/h/4lXOsUlX4tl+/idoyObeOLTuVZ14fmKNp8JOcaGV16dWELBPOem92SRmcGQ4ge3q/jsuaG8 U9X4lQj4kF250L+fkCI/ksAwgph2mN2GVzm1JfrdtTiQtgYrey1jahOq/s7/ecY8ypflnZExBRWr LuhngUG++6+kwE8EXcHS/FcwNnhKqI98tcLBHx9CLBPZYn8rLaaVZS4o/YY6zWIPrTjdoMJfqqvn 6abbnEKXWxdgI5/NoTUSJdjiqt2THA5oMvod2v3zYVZCrwYkBHGPBVSIMzR9QR/MmjbHDhuV5srF KmGQwcL10rxf+LRzRyNIrHBZWLH2BE6YEqCBLdWA5sOTOyjwZ+y8LCdsYbyLGo+XSHrHabEKQcag EloHfb73VwNPnvCwXzhov+QQkGqOxUlL3532HewUUEKdX9FJ2nfSZ5iyxbK/aD8401QlM2cqpFNj 6bce0mn0079rSGc7LGpSNC/ROg/ohQViWfYIsyciSZTG6XuaKPcLOyqDrUVlSmMOpk8E9kFo+wpQ wRCvlhrIJl4+QJCbDogVQ71ue0hNp3bDpuS8Z30jxlSm+CXPbURIkBEoxb9cziSxK8GtVJkXJKnD wH2KAn3ARD9JQaU4krAHL2LQT4AtsFzoMGbBaz0msihC8sISDoPNFjg42vfECFFu1/HQABjRzr5M NPy9f/4sQ0aaCY+JaqkcpaleTnLnaCljjgqG0R4mpiLGumqu4mdjQuSGBnR4g9g48CHDjCEC9wqr G0npFaoSvyyOBqRpIkO22dxU7/MNQHBe+KevE3hrq0rYb/lO6MVABKncTA+xABCa3ta5vgDku0ih jiEo0JcSXb4sRm95FKkuur9YyW8gula0M3H/QjpROYLSyO0koIs44+l1EfHRCnfBNhTv5O8yX884 CWgyc8AtSo/JfMXr8VhUr/dzTG0vEP5sblEBt6PPMV20q6AspazcQ/26//wm6UmfHhhWq+aB19UT /Tqynp5xAG88JsBCPggw6UjGYbbxnkfCje5vv/OeEuZNdsf1643nuJtI+zFhGfEGvdseN2BxD47r BHaDZpg7WprNTOG3sTLLk+pOBF61zS/XPVjMnBqJwUU2mX+YqIi/TmdByyWRJxloWzOMOSguiKUY SZ/NhuULAFvk+rH/x5eANEijoukxRPdSLLpAArpYT32bB0Og4ohwCoen1d3syzWIQwOzo+lKxlAK evlrPoIOi9K1omlyle52n5PioXHSauUvaS1fxsjimbJRW/vVYjrc5KU+XfULakwij/9JpEdVnBbb t4GqNo7Nw+t4hVffdGgxNjcVAx+gORPgzby9cKzpmw26GJpRjTTeKnZIc5IDMS3a6dD9cYd1fY3n /+JD7YGFyYxnOMSexQPene/UXj+8I56OqFSLyDh1x/CP7N6Vl/5yYiqk5J/LEOWptGa7vwPAydWC l8AnDDVxqdSY4+qSQcV9nkAVxaUF6uEBFrDSgV9qwa6ZMqjuqD2D68/nqpJaVhZbLnrCJNLZuJ3Q KUL1LpjbF0vtUJzhBbBofQrjSNZwVZ1rvkC2kw+xgw2QXl09TQwT1n8KQQT1CDkqB6nLgIT9tlKi 3kVUErTcT/dSdSWyiI9Otiv7ENrNjQxVwXYVRJ+mNuh5ewjrRTfFIDSZAGwi/FYcP7M+WNLbKYvp sejItGI2RJeeoIhrXAYpevalIunjDGvsG4zhP52XyJ8lqTFAmx0q3N4e0YvQFZ2sKc7d+C2+UDmy fr5nGI7zt+9Vh0kQluqVfOtwIU3L7DctCb9pi7gx5ZKjbTVKdQON1SETmDytwpIgZB5fDKNNIFxo 6q+ngq6xS6FdHS56u9SoV2hAMl6v0rmWDq8gj1UmcfIf/iGnk2ed+VhLuZvJH7u4qpPUwS4Up5c5 9ZjOVMxECXEMAOGAUNdbGHk1Fd3c8vgkqg6b50nWNTb209y8mmawyayVDSILSwkf4pbGy+WUwEaf w+preKl8XCXFZTYb+LxbNebgU4VllcJ0RNJlQ9Lp3zjvrJFARFZ3xvvZdItIksxLBP8mofzUBjp5 /pAoyumeA0U4WODatGOcuX+7dkBAfjhhE5savGPyDfwsHLUuuE8IGfz2vYP7SGGNVare8yAYijOG kW7Yk4KJSjeOcGtYkSAMPk+Ttn9eh6WYzCa4djJ7xp0g9NTIceitmzDcPbAtofcM3iwg0XrAwv6a pnbY7OnHJ1NjZZqXoLLdmcaE4ng94mfQTIweipD7fjQozpqwwh/bn1imZA7FciekBEMK8LTGXIzn vrVMfw2HLdl+l28EjTmpmC5G7/3khBOoUheelNwNO2uKa1k3ZXLGpLCQWFVUJ8A/0cjbYSTiQuyx /c6A8d6JEaAheu9gewMP3lZABCsbCgBmASJBW2hRPoWwRrQiLI6O/pA0Kt/SnxsfxzueOB7uf0Rq M0eDRirF9qeo0HCpcm7ekHNJIa3HMmSdkfzYOOZcA5mmoDcdC6BMecDszfI3eBIdx7axTf3hRNlO 7Y+MYn5fbEH2GciZV4TcyB4NQY4qozKcVHxshP+hTTH8kQnRe4ikafdeIKV8xsS3K3tFJk/5LUEd dep97g7p37iBAAy2CC9EfXa0sM7XHeHeV+QzqDirN9XvbpBHd09KX8Fv3jjOeJyCCr790SfYSzXh bOtF44cnLOukd33wnIaJIRQVSg4d+3oTeCRseaoG74WOQbSvz0ixZNFolvoMN3Jt89tNi/d+WnVS peEjuOfvumyRqnc3/+m8XXXMmVhaWAolvWvK+bKyvkWSVJFloD78fruW6s5kHZOdVeZpu1A0BKoG 4qMvTpEfIS4sEY3hFOwMCPUTQaU1flnHgfTlOWDeKPZrAbo78JdkFmWQ7VviI8Eh5bPy6nLu19pU QmX3dqZrwRfBwn57QH2YpHT04VilxsOGQ7u6E7z4ax3+HgQDDjg24aZVXhGcslIIw4AhdOtxyVHS 0LY2aB9mv9x/eaPa1kWworIhbspyIIrEqrle+7g6AqOojjcYyWvLF/CxzNmFt+YIuhkahbymX5RT XxZ99DA5SdnvmYukDxAWyX1UNzcRCafSiPJQuIM4yYg2h+D2k6Ju84HE8+8wxPR29fnPidxzNgir T0xYTK6/VrqeyZJcBtw7TgONHPyjXRuDlh8wy5XfIAlaJdVvFj2+r7pCAqdmK+eCbYW6p3MgHrjw ZqXJztjndQTC8wa9dPZ2NXZWjnw92wLCizioozDjeP7IReCVj0rMIrCNverOUvDZyewDemJIEE6I 621zCCKfmpflb/66YBsZdSTrE6h8q4XmcpOF2199JbOPWrF+YYkUg6flzJHMphIJuRMRiyvZqKQP e2rPolVw6vMcNOfI/tsqr13YbYmITvAmtwCCdMRJSTLH4WcOuTNU4rDfTm0m+mQsdCvNRjpGX3Kb RxeVXJUvEVXelvdYsPyA4Czc2XmtvRZVM+ej9tkk9dt1x6rzy2L9ZRmQWtRylVKDrol0g2iiDZec aH9zss8H+kd8pkSXbPexKFvnu1VMUbn0zDcTFwqbyAq7dBXxB4NIEfWILPkelf5/wOf2J+j5ESE8 C6CmETrBpvaw6fne7Kfpl6Fyp/tuxkt5u4MqrkC0sWmHzb3eLa+ZI1o7WFKmPgeNwVyXOG1wbEjK 1in1KJKRdMbpwTINVlmj8ksL3STjvNmT/XsjzjmRnRhwkVIsJmc76GuMOHJLJcai8LSsElWujUAd ECZBA9okHmzJDtnVD+VhqvFaOU2rx99KhxDy0bM0/B3agjNFb3tBrdf9C/0VnnC4p9+xz0q7zzti VE2kNqE61C+qZvMmGwBhnQuMk40VbqCti9cHqjiGLsBMyRfUjTUXmaEMCGTrxRVEe4jv0DLiKgvF yZj2AjluA9kj7RMQCk8HR4diOi2P2mgtZC9OmrfDg7F9sxLrAoVFc5el2TpdadRYY3lkB6jPrAFP 9GvVl1cgSAlHKQHBP0xB/+8R25M+p9oiwhzHXHe5BfDbXnLoZaMqo66VxQZDbw/t2eRhumL3FJoB +3kibsGYDGiRbz6P54r+Io9iCKc6zUhcJZ6MD7S/Bh1YdfdO+o/DSW8IOeSZwXTItlhLSnwb/pLG IGprDpsZW/g/rGyVdX8I0nUpVW4m+Y5rESxpU5GVBgG7GWvjjr7UThZATQorHr2UhmlL+I3nsMHE t4hxEgucD6Vm8zoEk4sFt2LBozInRhnDoRCEA4wumNJDb5GWpCWBvRWNGw31zFDdMNaPDRI8/bcD CHK3Sd3j5OolS+7Dg6oiFw75JCcC7cBoOCGX816HLOXZS6+1BnZ4c2PnK2kbrpq9ZVIV+YsO9hw4 IYe2+pezc+acEAirgqL4UZxxb+Ns74TCU3oynvWyA/GZea6fAGEYpWqd2Ojzt7+0t2iZuXXCtoP5 23MTr32Yguzn+WS0LUcgT9yQQ7M1Upazo/vg/3iqebSQzMo6huOnegMMU3IgSVH7iqObTsLoxJOl YLS5mdOwVfne/ejFruAqC0n6+0q4CZiiIIAvsxNCwJE37Ty/MGw9murSLSgJv00kiTzVVv5EtsHL yjcqaXCSoPpN2RJ9GoECyV+2rCOPbxYkU77+4gaG5Q0YGZXf7DhqNQRLiYs2zJ1FDm9vXQYBhkxk 8vGDgxLMh9dQ08RlI+cl9DRnX44paoWsHItMLVNJ5dd8F9oSKX9oCIx5uwcOOPazhQsZkoc+BatQ tIyKiPgr8qso3e4tpaZmi1lsYQS8cD/aUVF9dXqeMR3kW7bRxuWOT0fgZidHJiS63B05j411XBGs MUvpAu38QQ0JSgCiNLGwSwsw5GwsbvBVm1iKRs6ffrVZkyMRz6maI8+rBJMCGc/AelGCZpSshzCT +04BZVVvnCtr31rzzvDqBboeLLllA5rSs+fbGA/AsdPK2BFO7xAyd0MMvGIn9ILUgy7lCmt7BHgI ySExpEXhLhCH1Z8oEGnZ/3iklWnoZNjEJg/4twxsEMs4fmnJK5BP5LQ57vwVI67Eh1Iy9Rb+9Z+v tZqRjDIZb9nZO3f6QPVFKpJ6nQ8d2cQr5D0Oj7srA8C2gxzdKVVKPgttuJwqssoMpdsElxT+wbXO qkUmO+0Sg3hEkzUUJeJGqJ/fhX9n7nPPm3X+P6PBdZwKV7h+z2o2pELhsOkpdK1OIS4Ih6UktuAD 6vo4wiLs/+CVuvPol/+PNOjEXjwCMnvlrZd1OR8Fk9L/xz2Sya76BzzBQvxlTPVu/shYchJZttNz Os+HDHUhIPsZZtMq9JKlQLy1aoWURHevnWP/hpdogShzFCt3vW/PFqGlEVE3Y2HRlD8BMmKnAlAP owWDBa3lk5nKI6sC0O7yZgdDLaGpOnPCPxIdsc391l5w4oCbh9P88ipA/OarHImamZ68hWorUrdY 5zEQ0kEPIwHot0dXaUnD7vUHy6zUT934jXDJiRhDLc8VyrvnvgABDz3q42rxCbO+Ba9GHfjD4XBs q6RKy3OJbdNofZEWLzTn+VVpmNQGNtb9ZVoHGlVvZsIS9hOq9DcuQGNyo0JGpXDFULIOoEwL1Zc4 XIRKc7Lg9my89ePl+qCGeVsea2cntPOxiEfFAmGjGdU86Dou3mmZfG6tACiCqUSAm5pucibmOe0w zdzcecNMV3c0Asi1aJg77cFNVWBJ9RcA0zTMBcbl+/TLPBxHajeVuffCbL6MUlugvNMaEXKh5Ogt K5hN5aGS1W37Xog+kXhT9VE6H+2CuFT4aXunxo+/pDTiFBTPWf9WSJtZXYKXoO6Wj5BLGhOoMtiW ujqxu+T2TZF/xdKDWflYXSB/7b4SfnCSVGZFiwmiO4Hdbtjo0k6aPTj5eJm3dfVaKfFU76o19556 B8KR7ArJzt/5V1qrEcs2KZ2OjFolljTG6cKTuSed3YfcyPyL4yc6NGUkNeu+0n9j0eV3wIGYmrRZ NMYLs+CTpwDG4YtqGGMQnBXyJq7vTBfCwuYcYwmiGb7ikI2+yagzGF3CQmKnQb2UMfxRdzdyCNKV z7u7cW4gK9nZJ2ag1gJOCoqNGribH5MjQ5BTgi3z2yRQGXjyzcHrxTOmh5a5eqf342Lzrr5y/rXn jq7TIQj0+a4FiNHbIFYyQ31BW3OPhf6+eK+yZNNe4qUSYpi59sz0DFrYcoD7go6EtmfW/dJ3u1k4 4qPpv6N39AQn9BGRfc2C7afNMv6kjHcuD6TmcbFymnMX6RDkUJZpv2mmh67B386WRWaXKje10Fqx s3a7hnxfC4wOr4wJmBpLVU4R2TPoCMi1RdncAbl+Jl+DWCgPaeQaKrnnvscdDYLlzmW7XKj0bHPT G9VCcGTby3pieDMrWwqEbJXpnsVwzMC3WyWT3QUlv+hdz76Vqc4Vh1lchts+As/fdQBUhfAQ5GDr oWDcd/wYPRbhSD4Dq3ZgPwh+g3+bGf0WU5krBaNIkVqdZT2yJjIeh679oI6hxHbkNxv0P3ZzwQ6k Fh4i2MCvwZKWjUJisSNJsZUGe15QwRhSQ/y++bEOz3bGbJ1xhdzXRhlZFDezlR7RbQUUFBBcw8bC oBZ86mtFinnH4x1SvQLbCapWNlgmBiUu6eAIm7gnn9f6hvlafXMwIDbr7bfzGhe1QT4SFv2kbsnt gOIR/eo5hUjOR2zDoW4wttLOFr2/CoxVJvBVNYhAwuEJH8uDVAu5n6vVtX+PcPMWoVWFhhZV1hFl UoZUoKpdpIqT/IU71kW44pm1guRHfWzWpyymmFChVfw+DvCvZXFd+x27bplHmG50qFv7SW8IiY5w 1yQ69AUVuRpgDxNPoethST60xlkXZCj+A4Gk/xcy9LdWJxoCsiod8TqHOzTbML25k1xNmoPSFhlk o0MYusYKv4Tj15wEbHVQwO3XG1z5GuYvB1ZYZymBQFpYzEpz77midCqBwISN6RB35n0q3wBvTHU9 cbjC9Hyv+cETK8n38UxaK0JkDCGdEgryGPrOWZUcjrHWApbK/zEVIhdWhjR/V1twEt0Nufg9THMG n/7GRDzqtCdaGy6XnjZIEuKG03PqArFv1Pktu0ITvUb2mSHvlDWcXMCJ+oWLh4E9d/25gkrU2YSP aNKtWELrkgfl6XF/11e/CK1H9oM1B/RFyDV2gyKK5O0hioViBLCtsq/HeSP9wtLshngoBfbFN39O FddRWa3oYKiXJS2CJzVfkwqLmwjd/b2l5SKPjZCAPXFJlH0aUXPQfVsJNK41NcHl4WFo/Rpuzyfy iutCkd+6vDj8EudZpfzzsfP5pPLL3lX91ieYTgDPHnNwQ5VSjaOgxqVos5wVH20mQAqh6nW/bq8N JW1X+n6WX5X7to1s3tidkXPGnRf59LxS8HOP8fXz/16Xa84zwB2fUUfQRzMxcRuZkc2F5PDVg43o s1X4qU4SH3zRlpgT8e1kruI3v3aJYcxjQpEEaHFqG2rufIElbmMMhoTfGYLdvP7uMwW0VunzrcA6 oqPOY0bp1XXk7W8egyvm46oXynMQiLGcI1sbjgmpYjblfDRZaEFAjQ+JxcQ/yA6Kt/zqnz7qy2OG WF1+o1boOj+xBW0y9hZD8UD7sVF6MMj9k3cu5Lrj8MGoqty/v41eAMcYAvh5A5eEHVh7HAXbyfST xA8ZShGE493t01OMv6yxlOn3+Fcu/FAglXh0eTjNlDhEYgKJfTRdclyvTpueWT41HyrOoeWgjrtJ aT7K75PrFwo87cJ/Ins8lui5prn2VLdD/2Nqe64Z6espSUj0+AjJMUIff5fFY8tKBqZCDjZH4Py8 GGatdmNTTs7HLxE8tz/JhJjo67iiDo2UNk/lCHNNxnI74CCMGVaZaDc29PAy7pGLwBlfKiVibdAv lcRU/Ms9dMdr9nwm4uMiio5pv7kGO5V9L6VZ/U3QwfEpaEkOVslkVArIaF0+7DXarZvYoXMse0I0 Ipas9Fb+cw3SspH0vlBfMqnebwW4+dEdcMtUnv0l8wqtycotT90DEhiYv8I4dM0/OpV1LHZ+r8Jb MwKwQRFxXOWZBREtuS3elqshXAKUn1vR90gHEWZxrrO5xLrZmKZv0ncyD60uuvVL+T04NPfAUv3G vJV9K6oSCNB1d1qekwA7c2/joSj30S2c5aShybQzDlpmhKPU9oHuurT8O8LkePHIg60TgEWxxxEW 2BW+xG1KH9Ff+eEl/OFtx8DspPYcl920d2uUinIqLm+U3IqUi8/PQB9LuNuSO7+MFFmr09pMtCYT KoX6F+xmGdzDXAsknqMUt1041Ey4UBk/TxE3jqrImUGldF6OTRl5my12lq3+jeqzPgi516JD3A+1 HxCJ/iGXwtSVq9w33JXSMbLkeCK2B8v4mGEmUMk+7TCNhsxznFN5Q3bcrTGLOsNkNPcxJKK3XfSn 9tHsCgDN/39CtkPU3c39qiEvJR7tdyowR6Cx3ZEZ/OUD1eEH784mXzqbkZMR9OJ7F/hdFAPYOT9X hNQ2WDYSzDnkci002NykbRIs4ytE+eQwmnuU+UM9p8KqlpLEncF7ssraLkbtsxdxitid3NocwFuF R94pmWHgJTnnPcTUp6TU3ToByAvaV9u0d4b2O6BXA+wMTrWp/Bk4SXomE9qhjmJ1wNCyB6NdTULY +vlL1oYQcmANQ2S7groz/Z+uEZZzE9nZleuAGSPmJI1t3tE0X5wK7TK8ob+hDysnuIK7Mq0Rhdmo PMyUkurUaKNg7uVizUpOb+qb0g7VMVgFhmmuYs3tmqQgCAMyqRIMiCw129bEfXJ3YIH/Ip8hlEke ezAhFFKvIQcXslEfxtM0GfXNeOpeG+JLkjQn+PmT7QdiaFs5iQNnC64hdBfcZlR3ZNZ8p8SZ4e3s cazya/AtsR6JpjEex9hIEb9DtSTQlz3lYqVrm5Qtqvxkf4NsspjGGqf8pyma/SoELrE+voJLzKcx xZM5C/wXiMGb4LmDODwr1gZhTyo25X7XZ4nslZ8xFPOnUHgenMC4UIG/p6/xcSSIuAwhov5xNuvR KyHcAefH3OOcWxCQWHelIjm0QlDj++eA4/fsPMOHrujjjZQASz7Qh4cIKRbBOgQYbyytIfn9Mpzf 9mPbrJvpqIN0E62Wcj8N84EOxojhmOz2W6J/tVq581fF7O2XYFzVAl/FLd6OHOFbrCDbbbV3vs77 izXDuoPrniimrOOdO8h4+5KIiKNlVgPltP2YLza/xyjT+otUuXdX6O9pcZk6fBi/iiegI2yEHRuF ZGBzRWlkjEB3qlkCJdDVL1fxBrepX1D9VzzCtgxuu35LCuIvn+rtghiLB1l0Yd81/9EhoEDy6xIE u6a8ZSwAfpnB3ULKd78F0oun0tmv/sUDTZCLz9nSV38XWa8IEawFWe2cb3hzyoxk+B1LRs95+8vM h28f8woOqau3HnySKEf7NhNoqfikXnXSCby9cWmEIynxiybCzZC6cd4CW/70mXSUwSfmwotZ9Jnm nTgiNYAGkVFhEBDgsIQwH9517NbKcBv5jmkrYCXoY6W0FXy4I0rXuoveJKbs0oY4oPC00dY8tKj9 Ue0TelGTGpaS2DwXgm9/A+w+qUmJIFUr+ej3PGdb9qP31UgQejzGHd/nzwvGoi2v7PdRZ6E3n1nL za/XLeanlRPH/YrSXcoxetEpy4hsFM0c7fQNFZ2zJX6MrwNVtDyJOximqN5lfock5vbqYoXb8R9W +fOltJh0paoZEhTXCwWcGWpj41oZWVfZRHWvYaANZhraKGrpkEjxkhcw40rXabdOjGy1OfXud/G0 q0jvoyGhM7hYKlln9TU+1hvaEALlGvq/Lov9alP1onXfdpndKKwHfQ6F8l8TowTOuVmNvln/Zure N7XxPes76TghgaI9XRXq6eAkuyWzrEBAnl/Fnf2jgi/47kXPUDW7L26AQwynFmseDaXGGZIl1lhq i3prmYOw9WNgydfOOnpaj6IhP3QB0JBPfELFiVrA0Qh8mWbT98Qyn51kEgicWkVKy773/731xIOA AB2nrucg1b5MuWLoMLNWcalAEwk70n+4DNL8NpjzvWIRoquGs+RJwXOrsx1yUAGWlkNcZ0ULt69z 2+ZkredJG31k99ZDjlV7rbuwnFTZaV1+jTNfpq/eQfkJQe1snUKmXz/caJfVs1es2k3EkHgn843q Sp1sSVmI+J9vb/fzXNt3SJLANsV2YTeZ/XlmUdPi7hCLJO5nKagM8oQVBNQ2TH6hEmkp+Txs2HB8 iHc7e+ZknpjzdlQY0KHRjUam+MNOgwBgX9V49G8lZd5u2qXsYyUd5YWbj2UUJXf5W3yUpc/vXJhN SU4JPDJoy+lNScRqzoRaN/gLFJyZuDBsmrN4L6irvxMQyu09ualqvhpFmnsxbwUL3gItpxDtOjT3 Qem+i1tKusuNrZaL/7jUHfD+hX+6A7L2pzab08mInbWB99ob1nevPtazUrHLmQoe/AQBMF6WVoWB HhpXNgmdl7qNZ+FFoVpk9OYXIR5mngrisgPWHDnRqyJKOtnJjz4eskZ2WEr4YTbYa8ANhgvAU0PJ 122ibN7XP48Y/7QPeKwkD50fWgld5L6kjsWgOEyYF1lGAAaLWImeI3cO8PZpFJb1JniQWI3w1iga 8ubpV4opvnhInaVu6BRgIo/IwyRnVcI0nVhrhtkAf47976VeQGTSzLFp9Uk/vt9QxRqx6GI3pw/+ YKT6QHkpo3kLiXV5lvpHQglcsw+G0foUY/PSI2h5oYEmlwVJGc87bNiDisUsfzTnGdbIH0vvkPWV +8mCfw4zvbb2eKKy+7lX1HARvuPVvu3/uGBmwNh/O5GGrSZvuwKzxefOkN9L5CHWYT6LdxKQi5h2 cynoLBnPGGrg6bZ+t+FYctqrrqvcRfqIM/e7KTfjI8+/97ggJ+9t8Hf937Yplx2zl5fDZKpgBEEE MsYN1fN8VKJyNjqi8B0cqI14nU2ov9WmyyWD8KS+28/pKpgOsMyLcuAC/cO3ZUe6LkMFTGvvsBCj RAwHPbJG6fBeztABNkj2fIZ3FnqnfztOlGJ5ZacyXCeXMKeXb0NyowKXzMBs5dEr6jbMJ1dDpqdV kPUdml9zB8AggoHcU6ekv1b1VPWXr2jCaorrNbEAjBRrc6qFLkojmmGB3ZHBXQftB3i4UPjStqDn JP63gVfTiexyoBaFDI2Kl3GK8xx49S6bWPuUELMIyp4KmdbHX66ycUekUkAJWY8ngAqPYcZwS3gT BIIuLG52UGYR9qZltK0Q7m0bJzNSuGvMiof6G9qzfFW0oN57gVWjUSQZ6Xt9w8JS2Vzt3Y2lXXaM U8mOeWNBc3nEbuMOhNqk8zK7btVVagzUeg0hjD1W/fMIAljxO/J4XLRidblUCahb/JkDNct49Z44 kfx8kPlDcjthF0FK+tbBqly4lFaGzB+VBlkAblb77mA4VuVj0poFveKNQXDx33+njKnmrmAHO4GT HqNZJ6B+oaiYsmaIKV3VXVoVVKscjn0x+yRCRwI4pmQSTjAyJWgp9/rI0KonZE9YJ9xVM3RTmive bzrdk4HUkvhg9pSzyMSVkM7e9U691ZzLdIKJzpuGWlBhIizNqBHWAQRzW1J76/u4FginQwPhWj/q 0khmwYJMtit0XYqT7oJmVMrDv6aSE31twO44ES8doL/3rukGq/mzcLd/3m/xwCHj+8Sr/zvigdq8 GUnZgAn/1E0Q3NLbH0D9+QYY2EVgDdX5mCy/nvJpoh7u2tf8YNm/7lyxRPDzom7yJsiqC9bzyEF/ LhlSDIWXqOsvyTevVeLjYuUWVI+xs0MzFJczzWU9bdqHpRPdflA+hoKbe4MGeyV6bEyHpCWEB9/n 5FkcbbCEPF0flGvnkWdq7HsBVU4kENdZKYJfBKIbDxIWP/KJKrRQNrRed/Spj2AVTwdYFZO38kbT UtJeQ8zUsjlniiScNA+39SivXsRVGsknGuxZWYhD7ObkyW5eYd7yoTRvK+EXz2xPQxtVjMGKK5Qt eC7xIc2rsrCS75IAyZsbR1L35cvli/tskTsCzaWUVljm+wr2jZSnUMNen7XdWK5C1jeITj1R/37b kckLYib2WWtxCIRM93N1kiNva9bTd9EZ8HFqAmhj8GV9GvD2OdAKzu3kQY8H6wiL94VH4D5WOToh VfNXqJPmAuP19kDoTcaQhF1Wr/Ma+Rt612Fq7pedFR9a40/oiJarWFRedCj1l+iFVOZu/ztR6Z9Y R+h+ZrGStMHyJuQhIp0zkzWCamVNzp/LcpVaDyZTVxvuH15XWBVlh9oHhiwnQCHt06QmsFeTcsl1 KHvKYH3HZY1gG6z81chbSZTyhVJXrPAdb7ILidfSPKgEKBMwXHRxrmrNUgYuHD04Z8Z/ZqH7+Qzc YpEvBYei3j8ds550DENGItE+QHcykq15Z/qSoKMrlsVE912zpFJkYL28z+Td9slxDGgQEZ4rYde1 kLZ55XyONYtCkzCxCbpa8umF84C1IBLTyFbCjmB+S+1DUgZ1cT+NuFIEDa3cyiYdI+1rAXPDRO4h Z3vMkYcpopWH7am40ho5E+u9GBpMnKfKdSrIpd8bnI8ywPj1JGzXWMU1f2U+P6xhgNnpJuJePMbG bEgAv7semu0snpxSIWeOWHHC7/Yosg/cC6wkPupu3Oc3QMHHt2Idp7kHQe6IiEmqKMabyYrKmOqI 3SYQawHdexO3l3Nw0qgHsFX30p8Lar/J8CT8VMbD4kSkFEBXjE2F6jqJ8crcwR8KntHGESvN5GWM Fu9R1HZm3wILcVcYT8+bMpJYmb25cZ5YzKf5C27RK6751scvmsPxrWLjrThInGdn74/Tb3Kg2XzU oz3UmYuaxL82Dp7VHePbGEf+KT67EgzkIxblUkP3RPXO9S8S5L6f9yLX5Hbdy+FXb5HzlhkbIVcW TTm3KK5bi56b0uGXV/IBzms7xW+gYCskHVgSoqY0icue5WikVXANsUgA12de+mMuNRBwmIHW5P/Z R2YXiZL919816lSQ2rQSNOPg/NEXCe7YZqZYrtiWezGKNFIncYSCXL1OC/wFlUGAem//s/EIwpeZ xTpr2Z3t5BwxyASoXSaMfmtxSa8l0RSPY9hkLmf9Rr72XofMl8HBj2TIAspVZbR59imf9JufBvw7 gmC/xxjkgNt7oRVKbTjIyjNlfPhbzugr7aYqB1b478qSDt+grK00yOhcfrgKv2mC10B47INeg18/ pIaRw1IUML+sd4hZXc8wNVX2sCgxTBFRBCFgOa1DVaMUCH2/YH5I3xkdK2sgmwYUnZVXvh1qsFYD DutmSlOXmqkuFrebj/0pUjjQ7fVgXDIF6aXcEVKyg+KoTGN94imqL93t1pefoCAx3GT6h26eIowe A5iGkoRhae5e0/o5gdzujmJCUwEDQuUWDyuWS62KHREx7Kj4ix2ikVvcLEzZ4vfBxemUENs+bCP1 etBcXal0ZhtBmISqspqKlhJv/LjAWuWDpa4FzsgtJauChsF2DRUCi5pjj8oqwJySmhR346UaX5jY Wnzxe9PbcgD2IXR183m1YbANKI+mc9wggL6exV0K8z7HR8WqVQsAinW1ApDLkOC0dj3Gy9WC+iUF O43n1o3KPkG4xAQN+YYUdpJ9j/X/KviuZe4aHlJQfnikdI6GDznloLUerGYxx/07MarCGdQbFM38 ffKkVPWOw93IQIibIhnkOCr2Ll8AZPCxOmKKyutyle4RwFicQVGuTj8Z/a31HsWy+mw0lolGJgiZ gnioS+MMlhqx5JMXIEdIjcodbbt+KDyZwsZTEIGfMtlUjucyuYnstR8QYDMEzh/6nFsxB6xHGwbi +eUr2Vrcgh88TgNLEg51Yo5zWSTi9tdKC/dEbM5h2p7nq9iDq+tgjLDMSIRcPVC3vL8tdScp18DY mxr/PtdaFud1KO/L8zaIc2Zw1cb6Oku5vD5wJqLeOjBWZbniwxFIxgyoA1eOIlYBqkozvvPd7wqI JBBcEJ/FyNHq0ss6Ralht1Z3+ou7bnuRVqzRVz7cvUB+zzv8lARYA2T6s0zwriKywgSrBB+K7+14 zhPYCBjcUWvAlGB156BCqurGi8tAY9y3lV9A82Qn6HTDhc79H8Mpb+WIKQce2BIcAPiF49eIh6sv a6kTDd4IAqc4xeKNCnc80tyivLWlGF8E4YRtEbuGzecwPMOeGrC8SFvTQYE1RzLvSsrkw7M2VdRM CTqvsSVazFewxhyOtI33/HDqG8jjDw4+mTBm0nHHiuEKsjBob/4E5kzoICJWQmNwCV6G+k/Xyybs 3UxGpFXAn7JYeoLvPxP1C1QjF1+7K4IRhKqj3TqXTYqSi21iO4dbvVbytrcSNN2WZ8O7qNnpeLMb HlE8ehmfDBypu+hVnu4sKrI9W3HuQ+ARSJIAm43aZBZFlvIV4yF0uQTckOVIldvR0MGyrr758ZE2 tVlB+JCjJ7gxuMG2j0kv9HB+u/nWRPPTeqQ7iklcKKqKYuZBpRFPuse/0CojV5RcVGmgTXa/rE3H wzl3vDSTP4e2QYgM4zHRbuOWlswtSlZ2kDbZFQ/akUGMn/1fCsQy0EmB5c+yR6Fi4IsmBhUeeKbZ hcPQY8dkF9wKZE0l8iUhSrbvuLAH0hz4FxSiwDXS00ZTPcDYL+JYOJSuAZq06l869nln9KSfNjPW EKU5eAvDtDbSQn4Jt658R5ECoUBMBoPYaQLMI33EEOU3zFPLxT6bT+2P+gpSpxybnG9E+9x7Gibk /zbF9h/BZq1GK8Zo2jtUw6atD5XAGN5av+JCUX067NcL7A1ghvM+onxrX7CSAKUvr0zoIvUTmw9/ 8Ny60V2alpFGNeBI0ThtGr9DAYCVMFj0QxcrhHOOwEutKw0S/3BPDGbBmllWRZk406kIIxCDXmcz /2i3KjF0OqR+BKEnCdPICl3eTI6MIrq4O4nCuUys1C30IUgXbpGzp8uabidT2YrBAXzbuzVAiq9V 8lDU3txYmnhEXV1roRnPp5J9yHo8hFaC+GTkNH+kHbm4LI0zxO8pz1E0xJSBUZVeKLMenQoenZV1 QRtD2QazDq8iOjVt9FoTEQE0zVSuuq6nIsnYazsPYC2ofSdpWH5aoQK8apVrfXiDvWereeBBIole xPp2Ssgzw9roHLeZ4r3lZz28Fza00GCfNKe+wC5il8jfrVV+cx794NLAKVmZyxYzRgZ6gYklz9s1 54gQB3P2++yH99VApt+1UiHuenKV0vpBIXcxApmBdRLL6tpQyG0X4fhv41TnS5xu8vfqBqupIy2e fdlOC5y17Iey3fZ8tM1LM/8BwHkZdGrAZS4omaOBilKiLDYZbPdapzwcBu3AFlqcd6NbakWmOCVi 2+lA6rToPWKGfhxhjcO/SzXa0My1lIv1lgBqV0H8182L2N5RkqUdaK6o0PJHTetCgBrhBlXrs/Xn TPJb3lclzy52JfSWcEnk4oryix4/AvPuUpFzmMIZgOysTNSKqoMcpwG7llp+1Bihy9DtiXJ4BkmH /figxiNeI/zy7FshuoGvkjETm+3///lgXNQJv3hi5pZ2uWALbvksP4NiHm/RRVO1mwtEpIh6e8/b Nki+a2e1aCEtIMI7t7u1pc7aNRswbWLxUGSbeGg+qAvLaPtc+XpZKYCC4pq3rqHPsK+VEXopz3po vMRN3okV1xD8FavT86KwUzy2JbQqeoftNixSRN8C1CE6olZgPoqUn/sXcrvEnYuaEqfT/oO2IiWF vZjQkKmEZHEwLx1J4MbWRYdz3D1WhfyDqvt2D9U46BqNH6QelkvHPDFHhSOUmkqoKAAT0uI8uwZD 5dCWT45WIV1euFYfHP9E9wh3ebiB0N+CI4iFkFvBVPjuIvxe7SGjEu4QLveBSvpLuefRSCsLbcPm AG+S9Sq5MJac9YdnDy9VAmajBvlFNtZhKeyLytL2H5F+GqKt31AwPm4e+BqEomQLoS0M25ubknqF WFCP1RWooa6Tlo/SYm03mpw+GVD2Qi4Xqy7P2Y3jEbK5MFEPp5noLTtYXS/vYGG2wJB23d1e4EHq /QaMTD+VFNoDSzG4JChWEm8+T0ilSy4Q8u+dxxlwtSyg/xq6qZdTlw1x+7enAd6mt8YPMLAQZG/p 22j9qyfL+98MwnpZbWzt7rz23AUoJ+ZG1zVY5NnHuviuLGOIdcOUDIKPF9MysJ2GeL7eCJLC+kNE rIUzxICr6T2uo6i5OZCI7aW2XQdBJ9ksYSy0zyjZA+vrF7Lbf4LRrhe3zr3UVwH8/19E/iqMDzXN QU/Fo+bFqWz50D3SB0B+qsl8+PqKjGALJljWmFekZpBDh+B6LgDbHAEmyfcuj12cMe+SRgF5gBmn 8/hqFotIrjMXbxyqVy7o1h2n6BDP1SVaILEWT1lrWsVYB6hRAMI77eQ+vd8PVdXKiT2FctYrpP/1 GnskvW8+c1aU0/HBGCUdF2wAVzWHAGEQgUheIMLUQ7YfYhAIOBgxLEG5wPJTX4U89viHo8dlfDpI 0v077hyWTyjPnejeF9qnxsPrjqiSrFf8fr/unGL5aNls420r11eDkBpNkQCSeMvGFsXYYvh1+62b Cp9hgtsUO5wifKEeI7+nbrFkBwS8OUaWp1oKfIrXJQvtB/qK1+4ZER4HxamgqOmuJ+XBPB5VCIiA EMadosfKVeqUGSjGQGxSyhfkhsX6TxuhabS9qe4dyqGts1lgytyQ0WoQ51joYbsdXhq1scdvEZea yFasnjMsCuhsfrJHtaFFkJ7QLmT67FCpL9tEsfPtJ+lO5u+YjH1J5Ptk/VRJJnn7LM4zcYoBwULJ PvWsuS6aY6LGtVPazBM7LjqkbkQQSCo7jr7F1gPcZeOhS8eQke68Rpan/lmg+Q4Njy37AX+JuLSt H5+ifMIwr30yqhbykfa1CSihAxJ9otTfpYX76ryDquSy9mUrgM+VW++FEkznQVbUqHpAoevT6GlC EsmgnJKh5csLKFW7FuS65NXhtJy9cMp635isnXYboz0cEOB4bxOe9utcgYMzvnKZ2SexNdhiODzX uEKkiyveFNSRYWUxQyjcRf456Y8VS0gEblLp3O8c4DG8YFT2NCvHEw1ukbTZenIXbGuvw1WUDlmd /0KuO42Km2eL0TxpjwclUJ9PjFD41yrelSrUQioC1KmI5TPUC02sQ71Akz0G8CDFjUzTGbMc8Qvl A/ToCOpa5lwZx3lQyjzI0YhN5qeJsfcncUrCiGESP0Pho7FRxkMqOPT/hrccV6bL72Rutq6seAt9 WdWsXUYN+AaxKU2MBXfnu7+HRfQgLthjLe6Q3G19zJYx4M33zdeNWwEqxiO+psZluEkEaZuAlSYA 7KFiL1KiF2v2a0nbagPisSzZ8YMmhXiZ90XzGIooNSRVTha2g7N8+RQYIfMlDK8amU9u4qU46KJW I6Z7rQ/eondYLNzLKpfH/kDuhru2mlpjYldhuJ6WjKumit77/WhXrJK2dgEnzshakSgqNKfIEqo2 +fpwHAI+79DOyNE4GcUylwA9FdX1qg0smJ8ld9sMZvVu2jkg1e4ZvXnI6cJ0uWIqgyAAJvgpGfNg nRBUyM5Z3n6A27ijXzVGb8BCK2+lmmiy473mqwwRDN9zQql6IOOPqK1kPAIWXts+v9DGt2ZQ9G0X oitGpaQUudzb9O2GElMo3M2nMMrsTxwVdAINVrilEQDviCe2zg7HICyuuks0hpILz/mb5wQ7zz/S QLDsPLrqf1IZtaUHb911YTMnYLpkbiW6a8kMZWv/HEIXiSAT7AEZkPlEe3G2+WFe9XErg0c53+P2 NII0cW7SVpzLWUNY7CRHw95w2Gm91QZN0rxsMrAcC68bA1/9ZuWexWFPQ2Audw62clPIPkKLc+EU XKIG5oelkjg0RurA99w3NEkRFIXlDiyCK8dhNkUMfPJPn+HeHdIQ+KrHol9n9DUo76w6d0XfklW6 Jy3rjswGwUXuknDNiYl93k7EJWdw+QIFqRrP5gfTobXVEg5zkqpsh7j7yf4MVqWopQaWkRQz5WpO 8sA5hCdzeoU4OmVJVzRGa9hoBBOmp5heaLvTh/w5TfX0EA+gAXkyC9lImMeojHCR0z6f4184eypL juozbMxTlWERN4yPelhqMrVJG7t2uUOnGdZR9rfhIYLEDdyg77t/nsfxOlDpv8KZtEChQT2BRQD5 AR7v/w32rAcpqF0uQrrq1lb4fs+Dew9iYW9PWbti/c+IwabhKCLM6DilQR2P9kEe3y2BqdZTnUqB MMPrOVW7CMzdR5X6zq/viD3JzAOFAMbkBmpeTMNSqZnPH9PeQyQBI7ZIF0wwVcyJpysviPAH3F0V 7jrtZwQBZyJkOPcyhHRJxID8+AHFQa5L1f9Bgg3T6+pmSt3j5Z39LzcrKIvPI6I9CH/z6WRgrUR0 +YcFSvTFvnR5IUOn6M8P3iKlwuDuI1zQJ3SmU0n9/LbriywADKCJaQiz5NZHHPUwR9/57p2UGKaG Vuu0Oe4GJ7oNcvKfE8kRExUViQtxBLjHY7y3SMuii0rGt0AX2CvhMfwQyp35sRUchc6Rk6XYNu1t B1HqR2MN2OXfK+B242VCt6ciEx0twRUW7IGwvUkGF9K5qQchzF4INSteszmQgPhLjC3rfgxQj3iI /4fulryRp6NEAqrenREyCKKkT+r7uajKFJf3hiu/fZVhEeT5heCsIGyg1hAU7JBOdZKXsy8RR6vg SXS0eEgrF9ZOy4Wt/6s9iZ/hIDd0nGOqpAe8G0sgbUszfGHkTngdlnLeJbIC7hitNcsstnGen7nx SBuEoRJ+paurZr2WfD8xtRvyjnPu22gvXUXUtUAf6VYbdt8RYpN7bJOAsIE0UtcYpdTTVY7hLDmY WxeJyTV8wiI8Iv+CKTO70qjOrHzYCHFDK5laSs7R2PnVC8oZ4kCoOYP11dCULoqCPFlVjCzCXpYB OD8ZGgBYfqIsTwHl8+WcAxDl8MIQ2PiT+4fagPx86dvQmHeBSCYU0ERuHAaF1Go4NOxOTac1lTI6 WOlnSXuguG0ek4cqCw24qCc2dzrM83RIPpA6mVP9j0ZxRPY9Id4ER6ZtucjyRUcImlHm5f43xX87 XiqhpNgSbKokYJ9r42PX1wHKJ+vLnRnUpNCvOL/nRkStdmLZ9HpJCpVBOsg3uDXllnl4+a8bq/JT ntdYnsnOzZbAhND2QnjAv5t9KRmPvPcXRRpg1/AxZaB1JG7V76bJmNl2zpOX29/epyAEA4L1+YLK YrtQfyCv+F3c6VeioiLa8mpDzXHtZ9UGk3TH/0GuVqp9r+oD1hB5VJKVz0UTcpZ4FIsxfHsUAoL+ wIqp2Xt3njAbvXI5IQIj2PSbdGZkSeSBMqu46inIshnYj6YcgU/oy/R9lGgpywoz/YXb509Bghyp GG1Rek1tEJguA5k2bOWRRdf3nFxpD2X7vXmQOffWYQbM1yRF9T+SpNXdvxbhRXAzz4e6Z6GkPim9 hOjnghfJjvYbEKfF4UQ2wRHGyC4dZGYlgCWBjzFK0fC6hxVxBZC766q+GdEP32/bO8Gon1ztfSe+ Vacelmn7dmFsy5E+FfZ0UxC9L/hPOaGMvekXe7zKZwvZo1/yxxxekkXPxk7HLUVIEqlrOk+YXAGD hCGavA8YTnh3bsQd/ESZ/tGgOGViRJdP1h0OtQPyC5URM2PqiQUQsTJGH38vHbP9tQLMuRpVMRAC xAuxhBfZudWo1EttC2RdK2OiZNjAQNMb89NEt0/YV9WDe1HuI8U+Q3gTg7eGEDeduuoqHGWzEafv 8qecMY2MJabeo3yAIt4MQ2Rk8Uv+Dd9OCIdh71i4feqM+hbfXoHTPsrh50sfuaZfI3LIbu+L+lUU S781CSx83ebogj7X5KF8FU215NG7EBRi5UlBjoJI+F3Czbo5xArHULNhJRkpCNrZRZDCpAPYJXuw mYNt0X7L95qd1Co7f/aUCUkJJ5ISZVGrFkG5AbKac7xLGm1NW7+tMp4+1eXpr/zXwXRCLR1O/aHy M2qjb6MCLMJRiXwkB2ighq7/ZAyYzAWY9/OGz8hUDpiZDN+ScKa9j/Aj9JbfolHcEi6012M2Leh6 VOOtXX49zr1jtWxjSWpMzUX1QU5i4utIk6QMpPgaLfaEtJMtOYndgOZOcj7YBPWUxnEdUwsME9Ow RWzzHebCfO3HBmBBes8D/fa8/ImrlJ2yRbV5sVlklzRNEWT8zqkn4XldDQUBPxKd7Y6DTAB9MrOL 4cKRy/w56MfdfHMkUYlhomJWiOnzFaD2282LvVT+BTQ+XfDGapnJhQEAKcLx/76OtqxrhbjzPkby ADIyDyTPdkzuAIp1BuYU+uC25xynTv670rou2UJc6q6svhrVomuNQmmfCRs10OlVUk9oylT2oRf7 L+IqpU19vWO8gV6ce9vzysb+OTt+YAFR9s9UnP37odHrc+IVCN261nGOo6QsHd8cvacTIOsC1/yD i12JaZAnBeljQ3eG0iAqOKIiH9BWT8ZYXa2ZDO/xTrMKKdE/6uIrZpzk9gqXC1ItnPMffOoxrDm3 ghEjtNO+Q5Vp5ZBNI8L99i7BJeoYOhN7Xh+U4oqrFO23OUA8EtdgeExg5xtgS91I8tQvuOz1rNES fBL3FE+I4qIGEwZkFH+V5zvvNjxmpzT646NHR8aQ0oDNE4s/MJ2ERj9qlHj8n8+MxoqRGUUeMHNe u+9GkNyT/RY2JwvIqRp3XbDS5swx1tQKCWMyerrB1FtQlxxvq8DvgKhnkaAnJ6l9m3Ljd7bWhUr0 peR4q/wLF6sddw49oKgCNTS14eHyV26deQ1xJkTpI0PJmj6Oy0zG7bo8K6ik1x9ZEPOULjacIpjw +MP2zINdf6AiTtcOm3Q5DLsx1b5KcQd7tdbk3Iz224m8sD6UjlY5PVFSE68Qlw9pvjFp7spWMQAt Ah2ojx0clmPytp6r4APaSqDaouhf6wxWsNoOI7AfFWmIJiKw/WjZz1nXjW1BreWA0JoSzldpCvS0 ehNbPQJHqkgowNb0Gwm+R6EHlXwdOTBTeLff/qpr4ilA1Lfi6QAH/iqlyMOhlFepWNIOAVdvPdeU /tfxL8HgpEOgkMnVqym3v4AO8hgu5D9eTiHrprWU3Ox8r69Mb/MLjSBpm3iLZ7OjNBT0G1btl+y2 X4P3KynCiOmXB1TbGg16NutjzcLoS/HV8AHGKAbM/oMWP+8QfS+vbkEu4z5Ysq9LcuD3LG6ZJ6yG 6ZTqI3chKqftmE3v9nl3V6L5/wT5YUpukesc8P3xPB1OtvohtvYmcI8Vad+uDXd5QawISwRi1cMp Lc9sfyciDUVp39JSHis2MZeKWCzRzYAynzG0NK/IPAUMaCD4Or4fFHMc4aaFML1w6THtpBhDNg8B Oa4d05N6XQHzBwmELxoIuymggkJvb3j5KjRY56uh2G5UNk6fQHYK91PgDnoc0F5KSF3ovNp1XmaS 0Be+ArJUm1Jh7qpSNVKuipvROIMWiDbpPcB17ZNUbseUiHVUNYDQTrE8p6mtWmT/5w86w8wU3vPH 5a5V+uK52GxQwJPLCSGXOFGuvXuXXiEaaO2ImW3y0zI8ZLxLLp7qp1FPFzMQjVWfJSAX3kG81dqO zoB7uNJgylaTGWRTm7sd65TyAn3p+KAYEWdPRCDM8RbBRE7E/JIa3cF021jsnwxDioR4oOJMYIdG MefGfSBAGw+WGGtSNkFwbGQTWKdcfT4+oIqnvNzxwEVYjeets2GPaBR6DXB4OewwXkrB/JCyJDds d9sebcWjh+DbS5RAyejpGjKKeV0qmadGs5ZSUPtz61Hn627AV85en3k6/IOABfxA7MThUwscs2He XMrqNsTu9farrT+udCGvdep0t+XzwapbRqYHaBy1lWkmkC/D/uKjpZjloY//dBlrTLK/6wba7RAg BrLNEaC+2OiIpGq0wdfyNpS4Ub0x94k93+80zByDP3FsNZ0rZ0cRq3oxXdM+q0FJDob082xykBDj LGFbxy08BXt1eF3G8UXb/AriIbtu8qDImStHGjoQpVqbhsfa5J+Jrml60ZyTTIQ81FWrVaVcLdPW 3grGf3JyaJ+1/Ihrn7izMUjfqdjzxe/0+YuGApdC2fSPE6VrpGvGjKIFbb8bnSOzL5HpZgdDJuIP b7gq+jEVzCgy7zIyYjTsq9+vhlye+cY32pkHWbqJnDj4jx6JbnOd2qW9GSlYkQ8n3dN+W0NDRCB5 lySEvVgG3TqSC6DlDZyyQtUScrHRW5TimIQrAjiYqTp08jLgLi4piYjKKer/8f3S87RfFep1wEcT p/TWFc7Fy33oMR5IS96f5chyqvl+F3o/37COpF9KEhEzXEReXkQk9KHmdCMeKWtzT8YHFwE50p3s VBBnMo1xXFNxHmgZeLNW2+lfRR6TXvTsQmKAfoEApOMhE1zNqP44Ialdej+2abMP8oEz8oDMVDsH 6jqeVqKQ7GIdMviHLOUlan6mnu2aCQAwjYL1V2jneYSOHbRnVKggldtv9qNqbSbKe5iIPnEZcii7 no3Irt+CmrFmI+HZ6jUIoHJj+cYN38dEZbo6nGnaZvT0fPqye3SUIMn6HaRWnFbu9vKFpX1LRVU6 U0VCF6sWlb7ZgUzPbccIU9D94YeD8q9+JhC1JRp3vuqp9ppgl2G6NvRe0lZAdDK3VXaB07YZhN4x ITOSQMe/2R7WsBFFI/tsXmbOCxm/fgcTHeDyEK8PqeS7P2wdz8OjdP+YJmdQKUMOiPQMRdfJ2SRm pad+0kALx1shDSDY0+59IWGyi59h5mgRuTn7xuexRLdSLDLEI4KX/Vna1Ok8da1kT42dp3RimbSS 1Ny1Yg2kyI+DSdEdgZ6bZcQIoXs9cYnREc2I5PN4PBk1+Zcu4H2wyGUQUiNl2Pm/+1BpTbIB7ELL WN8CJ2tjp/7w5aDl9CEGT0DEqBUFuosSDMM+EzJPuvdCX9haNjsD8vXnmjC0idVOQTVnUHPV4ejR Zi9Z0OWK8Zp6knb/55XtzlHtjTUjVAoCw4h0bSXAC5xMInOf9dbqZ/YcEq9f9pRf536/R0nYUdvK iERnKiTIrRA8gBOTaqqZyJZa+OZc9D0oPPLijmlv7ruT87syNqPamUlsQtKSHYs3isEfuuF/mXxY Hy2wsbYQFYPs37JbMnT3yty3rBg2/ltN88G2Ajg5mCPFaj9VBFYmS3Yur1ivlE7m/r2PyblUzC1W UIQ+uvO+AOaen7Uw37DeE6uwviifafF3FcncDpkY0MJHjnbcoGQ+zCLJTDuAk3mtzfdY5VsoFxGM ICYkH5cUw95xyjigWDiaJ0FK3J5TWfE9ez5Ep+UXpRjOh3VGTI7bO+TbT8RhwhpW0nyZpSzmNFxJ 1rnkLGLvIuGkVIk2lfKES7lxd47SvAI4K3PXZuDMhsTZTndoLWNvQkb+hcRXQFyfE8DJKsWDOEMB 0/dkdt0NN1b7ZFBlX5zep9bkUaXTx2kpm51F9VN03zwCnVacp7s7Kvuck0OrynbST98WW0l6MzYw oFb+im7KbSTg5QJmf2wHigsRJzvgkoXMA0Zb+APuaBf26J8JEJK7BRxMn39lpVOU6+MsH9j2Om+4 PN+L5EqYMiMXpr/sGjeia+yy2VW91H2PBMFzHWjk7z9oW8HRTWtyjdfSpPYt73Vz7GHNczauFzMf t57xghRstbe29oVsoRpjQN+spMrwbs5eySAY64UurKAiu0192475plb17luHFDp/F2ODhA5g8Tm3 DMoZZDXjb5KkRCgNhSpd+gsSxHiykWWAVYKPfapZMynsVq4x/+LSH5qJFFz0QjaFjRZJ/eOihHWy 9/qmdAvt+8l3eqqZSyZHTW0g5S5OeY2ILyvx4OZ5uFWAD6euMTmVD/GPQq3XAD9jB7XUuc8zcwDw vAI6/rEmWxSgaEJ595BkVsE2Q4O0saHKh9u9Wbg16Sr3FpI6OkAdGInEOZQw0whcPSwFvzInfeuW Z/QBmsgd2XXQog7ZYb5Qq6fFAaOElCXh+S+AQnKxi3PMTiDL3VvcQVRVHUjeh7E9bWmrniLSEONs Y7/VvIIPrOBXEfjh1VD5YKzu4hD9vCVW7d4Ekn+n/IUalVaM8kIW3w9elixb4Kez1p3RMkig0kIV W9KKfiDWe0pzTzit1YYCnyMWckH/1m8wYrjA7eZ5K567QapBACrIDIYki3GE43JZ1wTC7lJtuFvb 6P2FkTNqzmd47j0NJ+unKLebuhCFcakf6zhDOGiyKKSGZ6uRLeJMVdtviQYDFBsfnXkPZ4TY4KtG 1IfU1gKzO+GYDcqDzBHKQR027vb6mL0p66DCWD7gaFFDlkiWMsHUnDp4u9eizfpFbKMepnqPt6Xn xaWu8bIVVLHwGbJS/E+a1oF4Y/44iwUfHT+EXlHapR6Mei7Kceb6rLH2KjDJtRG14iFYu7ahdpUs BfKHAQrarQK2YTRt2be4f5OMdoHJJshN3ELUJMw/rnvhD8Fk6xIZOmVQ7AYtiEIJjctWQ6nveqfx Wu4eKAGPfozy5QD+a40sOmpUiubpyTVm/6E441+vfiKfx37THVXaYRZKnbDTrxdXWk7i4fQi0Czg fpFDgiSW+lob7N415jAOmsfA+H8hW+T5paCa6msT7N3J4wYKCeDPq8M7xxcUVRtr6+KbIxUKy9gQ yPkd61whOD+0OyzROzIzRPJHq9J25LXhMZs82o4b0ljPhSdniXZvtyXzkXeCCfKTf1xaP6V/AH6n 1Cx7FDQYN9MrpP3PNh08FLJ8fppot/c/5NQpznogz643rYzzFvsXRPeIPub0/KIzfQAR1xG3Csk5 6HQJ8yTCwXaHZ298zJZP+yBuGEsL8dmAHovSenahWxVDlD+B+y2RilLBIJrnTRBzDLy9YvmpBHN7 /3JPBedrh3AgM1Bl9NkhOHqSte6hg1m8Hkb9MDwLIZyfSkntbgtQ2OhJJ8/Ci8vlCteUuoFiPhBq XWn1A9XhF0FsrBT7hELwZ/bTz4e86z3KE73NyRf3IDjbzmUv8k5RK+L3YMNzYrcSxkRbbMy55vsK tUJGPBwgY3/u4SLMS72fNs7R7TjRjXgfXd+gXS4GGlBulPFCR8wLpqFwA23vEFMfe7GbAdnV+SBd 18mvMULKeXkCqVPn2GQTiai4/xwhg8bf6RKzAJsrJkeRc2ZN7Wmkf7KQFhVIweTdm6bzOF0QCIuW 60BWvJtCJBLjDkJfBIFZ179fN6TKZWFAvGuWTFCU/WPJIf8ua6TappalgVPy4S9WQprOsgJha4pu CO8ZI2ifHJCz+R0Oz01uad/qT+j3E1OtutCrDOQOdv7O79wUJRJeG9QJ0s2gEgSTPYUm1Nvbsli9 32Rrf7l40qBzXkwQ+gRF8PLNVT1ccfXd2owaRg5haRpJcuMDtEX0CKLVmEER2XAKOwBjIozXKhL3 /bpBw807efWE0WmHbzK9OiHql1PRD5sM9rGb3chqMznfILaAx2VE74Jq7l+V40MOa6a4/DfJoZ9w KnhZAOwLHM6jIaaDTX+vnshTnc2JDwryzMAoKZpScwRcTSN3bxXcmqUdHLZ3BJhpaouvvJl2WKVj Qc6yh01Osg2UWzfF2UDVSuEu5U8kkeScEllgPwBOxHTfc6PP1VAEqI2KbiAehWEesulFMbNn+8XC JPN+FtvD1FIF6dyNFtFI6+cuVyT1t2b/c1DbpJV61dWXmtV3X1kH2CWCKETFMTPhyRhnLCIAAjTr JG7tvPiGgnDYply6q+HOqy5vLemr3E76btwVEk26zFU6VHrl7bwocJ6f2k9tqaK8DPgMgsRvPpBW Cl6/Sv2iOGdVsVXQt921aKSKFibGgB4Ub3Vcw9btK+mRrUnz9aMp8nGJx+pGIxgvT4HVpF6BCq+V ds4i4PRUSzS8uPKR8MEUyYVE4eKmH1spzGBRIR8eQosW/DToNubnNeoTO+tEc0X1m2E4hzLODW2v aCIXwGteGsf+tmINRWTju+rBhXT9zK8lERVlo0RPZSj3cvksFUzlFvF1xjQ0Nlfmo9Ga93iAqW9r TAilTq/EMEZ+04dYAK2zI56V94FT0GEuCGcIxzQZk5n7c1lZistXmL7A/r+fBZP9Y3qLjRg6zrdH 6Ktz9LPlnOpY/Uw8bh1IcyE7OswBIx9S1NlpaNZM+vezLRe/Gia4KttWZ1WYfQHNaPqnSzic35bm ZnbOprlY1Xnmm2+0sjdANvhwXJeLuEb3abSHJHkb7GewVKKJ/IhNYF5Q626sWgtKUWt+PEP+kg5q qeIyWtWHPQ191cVKOp7C7PF9CDX6BPDLmHNl4jNt64E6AuSfeJARJA28aF2xGvMA+5SipbPeLcY8 KCUWFNR64KNoBjWFQQJgEr1l/74RH4EIZ/7HVa4JkGTmDu5ba6slm+JzvdO6MfDRz2vPC9Vkv+n6 Pz98pV0glE2VOY6HSyzbl8cUuPWtv7jOojmm4JKPrnJeDx/XfIL5vEo91I4lr7Qvog16y8eC2Iir 3Hmi4mVqjiw+vSu+BqLw77iy3yQyIH1AGvPJnWkmGK5Nr3osgK3PrUOIcOnnDf28u2UcRnzcvFiy rgZghnuFGS0IT/vo0tO/N55K6I8iRgMbsL/np85qXQL4NqreSNMm1EvPkoMEd23JLWvH6HiEVMrV L3LfzkI7Ju5Tv8VbCqoECx3AJXNR3a016JD7bLtpuma9xU0WgopkxFO+N/JOXkx+PCFTSXYD5OzL KpLgpNdC9CWwzBHoFeAKF96ZRxILZBOpatq1l4GFAPUU4E4xgNSq53wd5mMmVDBxQzdrxdPqwfsh RDfavmM8GUqbMGryj7xzeOOP+6Pk7GKDIALPfzGLED98zBZ6lN+LER8Xgw2KKBNTFWqdG+al+73k UxqkpVfutnvjRXZby4qgr+9bLJkqJzSKKYXmLR8nEtrWk98cqAl1Fp5phPl4T4/6Vk2m9BC3S98z QDyEmkMf5DEcBpzHbDIMMUmlCD/32o0IIyrc/ydBJAzQwVSM9N3Buxc+PUDO9V/phcKMjTzleZb0 q2e90rU0qN9XWHVHYpnZHZI3CYUs6u1Ah/2DDeir+yiTsyC/ty05oS/cEW+5fuC3s6V9vMmil/// fgBmtn4DLZvctsbGX19j1ozXXQsWsQrit9VZ2b2jVamWWOpWD2TMkY9cDVypS2GJul+BCa0EvrwE U9PG7nUaPBM7bW8ANjqfKljmEpj2uxnkmxoQQH27eZsgLPb/gdlJjlA7jFYnNtXBhKAI6jCJu6uh VJ8LPbWZoAGFSxzWZL+N/vPW94QsWVK9oVKpL2S6BjvhIJZKzcbi7FcPDKcbAbWuWTQwr4O6Mp9B s4pOWqYB1KrdBkJbVaxWWxUjEq4uodKi9AGh4ZGvrJElxq8iWv/3UTRPsHDggJSQp6+pG/5VkzaW /SA3wxKKM9QDpUBNZ4qj/DUcWRbcJ2DQhttBJdTaIRhteCCeSP29lnIZVHyrBG1a7htroDvDRAEN /AFwyTW9+3OWdPD8mJNrMSPN8TGsmIx6qAGS3cIrZOybuQDbpwB6V4+Hf/kwLwA5mDZVtEqyMtvZ B3uly1bTqze4eniGlFN5553HtQgGpd6E7/+Vh1TepJi98ozr1dNTCq5tg7DvbuielRWIecbr1/Zj ctV3L7dyl1Kbzl1v9B4U8aAoNnJk6uNT1TuPU8lZaWg2iAcQx64tivFJ1xtvsMuHRmxo9MW0dA24 hUVGqfaWbPDcqVn+TAMNcl5KNEO8PbabA76JC7sjh7cbWfN/xHGaBXgIcSNPwCJrKubPumHjTOJw D2lDVRKrbaWxB3Qe8nBA3f9lno/R5q+w3h2WksilaAZdO4jZ5Mk2jV2rVjnQ1F4yxRN2C9wCb8Hg 4jUabjzbxWa8Eppy5Ca/6lmXmYUZrktcUKvH33qsMuZuAfr5awcGeby2qKPb/svNdyopgkzJCCF6 +1RNwMpGXLfpfJYMY/m1QppR/afH9IRau93b3JND/cQx6Bm1edFvgkSK+OYLiAOm/upRRHEvJokY qUYqXSle1LvrD1WJBddZPIWmZqMr5I4JjFg/nbiJ0t3OLVlPmi98f/CTHJhf+52cGSLHZLekfTXG iA6lmm+UXoo/p8OtDC6W7lMk78Vc7T5J1ypNKJxrA5txHHinhX56ai3SZPaGQ4fb9pisIrAIOIxH drv1qPotIsi93Jf1ywTcbPbLNBqtc1W5cTqgOiNOlNn30WbyPYsFvq/pOZrITZbvxTl/QEBnSGad XCPQByT+dNDgOeMDHmnjp1pMUTj5nTE/7K4aTV/0kPErXCsXxuAxmPsyGL115iBmbK9H/+J6gAl3 XvncWQYDS96MXDVzhFXgsGW3jhN+jj3Ftq9KZoou8mqFfRnpgDyOIEKP3tX9ffm4RQsz42zSTO21 J0dN6B2lsLSKjHL5S2lEWztUxK7H3OHtVTwx57fvHot8MssYbHB2Yot2e4A90hvk8i6b3cjBP1gP /T8dpXyaP4EjrayUU1avAvWN6Rb3ryLvg46V9ZQgAPX0ecsqcmBc6/vcZ74/LZWIAG0KiEwGe6tN RIo9lhtC5z6B0+ajpoh1Tzvc5UTzZVOgXJd5U4gob+I+jVyDfO9qfDJJ8XjgT6+2ASP8Fra2td+C LhzYPLztWt7dre8pOChD7plTrOKqenAqtsCyroDXVDPChn//IV8JFuACNJRKvOwpHUMUiPQdpiGd WBjQfjQGFIW7k8NIeDa2YIrCA++zaFkQpzlFmYN9G51khvhIQVLbRWjLvoakJyzoDJJ1f7VnRrQo ytUaTrFsRaKHEOTFVKlYnuGXkpJf8B0o81BtdS2199byZ0aYXug7oB+UStm/UxJWuU+WrR8D2Oux JMXtxymfS31g749D7WobSGg10POvYjRU/jQf5Y93i13t2Wzy949GGNIPMRT4zWYaiVfXIBAWkFWP DQPesjQHbvLBnj/JqIX5O1q/oS3HbO+FG5VPQYp8to8xfkNTBBGju/+fFlUkTrCH4+GJmneBAf2O MqCy7iezB1Uo9l7b1AhotSJV84CpQkD7KsGDQf8EQ/9JaxPknt+NPZudnRfrTLegAAT3PC2dOcXh cLWRjGSk+PgAh6d/mneKgjbkFvX7Wv17ehxDiZsqA78IjfOe6IpD6GdNmLf/W+Fvm7FJtI7wK4yM vd3v+tZGBTUC92lIdolMTnyroayBI7eEvNrx8eQxmvKWthGkpd6EjEDxdOrjWwVw4LUIJufZSDHz NLBOMSRoS8RztFqSJzl1qefXIJQuEF20YfF3e+tgn8aODwNMAw2gu2m+AeOdwWvwmG4/cmdbH56X xkEt7fFq/N2cOEFp67EVCWzlV4UDj6AvQFnb/0V5Ls6Cl1exyMgnJ77gIGfVkZa/kASiHYc+kihs A5/jAWtR0xgch0fdwQIJahxPLg6mdGYXIFnxdGb2BoiBBDgk7WAL4JbA2mA59g1XGpPdHhIyi2Ry WU0X4o488oVT3M9DeslK3zr0NP77941FOw5KOsx0tUs3oj0KhiKofG4fTLwfBFT7+uYezpHUYXMG qVADIc/EMyaGUIFMso63pUcfk2rU+JGlZUiwEMVcinyOQKef9Rf7yBKpPDLX8uhpViAYwfelGAw8 d/drmxQL/9+lSKSgDaHJhDEmXY80F62l1S7H8TX/4YZRO4q896rcLioR7d9a5Hs0KnPiVqdWrxZR /BhkMyqt6jOspY5bX0fxMnViUFq6GAl/sHZAtVmTKnhy9eITDCPbM9UDQ9jBtttUFw7o6FeMb9Mn Klb/O/auCMoYSbAE11yjUOtN9h0r/aaJmJVQe9EuHuEt+U78V/2cWerAELBUXu7I7RF8bgj8Scba BtOcXIb9V7B5l6i91rVE52JRVriZiMT+Ank5SudC9cEU4Kd/qw94s465br1FOS6DiWZKdMaZDx1M cC3q3vtngoX2p7Ku2tDhsEhVZYcIbMzlRXf/uCO2vuxdQyoVHpCoOE5hlbAe8gpZGS8CG7yRyqVj gfYWC4p9vuoZ+WB4YOQ35707Vwc6vmLFEByNfeJnKtqYdF4MS+BfM2rljfm5YqVuwqdvtXOigob3 OGl2m55dVhv8E296ULHkR+w6lf6WKepr+8caQ5DymrIlshThYVTFZKHKyKvFv8xigsF6SnYdlers EEv1nX7sLvHfg25Kr2DJVU2lP3s/vb1sm1dZY8CoWUe2GNumQ5ix/dCIH5DY5e9kqWqHq+Y7+xBP Ff+kWoHNG0GO1fG7AcRBP0tAP4n0kHZY7YRg9+5sjdD1JdzlbVPKlBLJgueDG7G36S/5uujVwczI NDN5sGcSgvr6A6CXrNEA3MjyFVdZzEJ6oF5arddStF6ESBUAeKGtfu0PU3ycUDZrRN95gqqDWPl+ eHGXNFXGAtxzgtcTc/uiARNW4a+BoqARCTK4pQS7LmcEjJnNgxZAVpo0LaKumJeI9HlVfszMOWn6 /YHssvt+Ge9blyWTugnlyOWldcRabYuV3VvXlsZQbxBeaHEZQrt/Dk4q+pf/jhs04kqigDWSPt9U 9wMDlohtV2rfouEYr8aTnNaIzA1Lk3yr7ukmZW92AOPaiA2WJjE9AGru8f+4LHoGNDwRGfh45HtM QxDOkvLU81e8c6dUQFN9lINZDLnYP7FYhok2Hx43nPFJOaHu1PTgdxI64je66Mf2qDbJt5Tg6ysl vocdOFnDTMo5bV8YX3aMK1q+gOVsZisgFEu9SPZ6s0OWYay+Z/xav7JxHSocEtEQGnK2HEzRSITV x/hIQwwZtjqaxClRg1VOIk3/WMoszoB0Zd0ywAjEfeLIDpoOXY1PbYLwxllkxLkiAJxXKPdu/zUc pidVFP9lhztRf2v9tmf1VQJsYA3pUuw4VpRJinETsQcbHE2BkWpVnKi+JPHxMZOWmcCxlSJUg54n XxtpaZC+GrqducWZ2qiK6MY7SLgurQo+3jz4LTIm4LcoenU3uMHC/Aspcu8qkn9gcVNixVXCg5W3 m9wujyniDmLCQUaNYx+tjTYQunbjkoRshViy5dcofZAswEU//Vnn63ji9dFOQJObQykL+/g7mxMr EPallep3FhLHEv1L3tZ/LxCQEZFc0LSsGiEehCTGT81paNDljGmSIbzGGfsoUlF5dnoSa/lGT7MS 2paeWIazHWSWhmOC1nW6Y+3uh/s4d9qE757Fbh65q8P/UYbVqIzlQ+8Y7dYezEBeRG5yjbqDQbqi Kb1xEqv3OKvLaww6T8Kif8AEucCD49may4u/AEJcU/jCpfSe2NUwbnZW5pc8XACK2GaSIlbx+h2v 4D7PRUR9pCEv1pgOSCnDhRWExXYiLYFBzuQV8GEbu+NACuAQ5DyaFYZkrJsmNj5x2c4PHzNMOFa+ 7awqpJYq6V1fRaj7qv+9AbU3bHWuXzogGsnsUD8T9PyjgSYfrBLfmUA2CZ7MwilJVRnrmNJ1GucE b9OodbMR5VRDCGX8p6gBTuS7ERPMnMphFf5YrZHVMciITretGLPHthcI4DIzRMQmTcWkc1F21R7S 1oOGSI92GR7v+GcWA/bsrZXnWPvVTiCKN6ImqL3dnr9+nQDNhYoGr7bizuQNLpW4A91AYP8G2Wq6 d69j6mZ4dJ9IHTD1k43zHyUE53vSUS8YOF4WwEU9tid3IxVHA0UhoS4V8eSiBdXmpVw310Ume7mw lIhYMFlpsLaSiwmqZ9NNgkaxETNY2j4STIwVq2e7Cbq42X7B8wpj4flVxkHi2JPwajdbOocFQNrW mNf8FvPHdzDsUQlOw9Zkq6CchS2Eql/lls8s0EOivy7CXtqYlVbs9PWrlIgsnIIkZG5xhIRP9V2/ 1ViNfTE50BhceVTepD7Qt3zmnyVac7Xe1jn4xVuMk9UY/zfS2XywnbKQw+OW4xUksnoyL3Ywe0X2 +8cvNagzJep5V+LC9vQZkJOv+Iv1BglxFko2oweKsM+PU7fmpE/Ww3wnwFrEVQHF5AYKEGbanqJD sbDX2XrNpz5eA0B/Gnf9AhAO7iYgvwyMH70/xRS8EDFajuIpPyTHpNa84iQZjOw5jo6F3Do07bc8 nk8/+0Gd+Lu1avOeFISZx1ob8I7W8FnGIBEuZrx05LDlvozil9boMmKrDaEZdy0o0VvjaOjC1zRe T7RhKNr9OL/D0igVLJtxlUsAUceQKCsyK5zfqPdfQRuXCNb6lGlu0YWVBsKQggCn1WTf23ciNHC3 3ofwgt0XzZyMxoKCPdF84P062uLQWqF3P5LmgAWHVdqfAdyeVpF0p27T3HRwIk/Cz1lNpLx0sYdN tjO7w4Um6qcUiGbDlx2SZVgesFPUiUHtvmfuTFJZ7si+VBPO1fv8mwo7KRiNhoz2k3NRwTA53Ae1 aw5EPlMF+i2qdiM3t0AhUGcmNUU8XjAXF4zBTNDzsLYnj/AuSKwxRWstpR7A2nXJ+2b6UO7rqANf D3VFuF9LNosYtRIqxycDHXVUCOcDt5HOew8W88WKfL+Sc8Jd5pezyr0qhwvVMHK7/1lx6HO5X5v0 jDYIGVwRU2EBKEmpa1aCueZpeh8KjC2/w+p2TKmfVk6qNea7cS8LXtILG++rc0d0k9/+tNNOdsyW eKrFUu4djRWCqPadfJqqGwhmS7uNolkdzw4xzZG6eFNzdvmGNSAuTC+cIZ3E8eJAUBS5e5PqweHN t11DYjusrXZKd1zbhzCWE+vo+bBknN51B1W6n1l18u4ZnxcRSx/boAAKnmMuwOtmKMBzZeoKdL0e ei5fPrfncrnWla48XhTKix5eiISpHyy5GIl+VMM5Fm5W8x3vgo//1pfzq9cof9I9/RNyAezuYlWQ AbnjfYUXuCpoTw+IRTMW7VvPACJBdLeoDjZo2kIks4F0jUMiO9nT7nhHraiFaWyILBXi4SEhE76W 2C59xxoft/VG8b1zVvnu7txdWkY14HWbI1EYB84XuxlCT4a4GHUfEcWFmsXXqnY1Oxy6xmu5+tMR 8wiO5GxNpGr3jAoDC4NuNKwDuqxYLcUhlH5d3cyridIqzszqjGLCMXk4cZb39J/9zlv0Kf8BJHdY ena1yHlbLmiKCBDmCgbvD8LEhhGuLc2dhxFfTcsOAh3e4ntN1lVCwzvkPV7xySrgNyc6VsAbP+79 5qC73BF4mp9tua0hmyxE5XJo538Iy/61+VqTNWMAu/O36ZpfI4IWQoO21wOFYm5LPSLSn8bxVoJI DKNjRRlcTG4oZkIUbvfvC6yJ8yUoA+Q/3bXv6M2ZBfaiWYlKUX1tD1HcsIYPFeffC7AbProsPYws doZX/AMCtCgH3/2ghyNjf+TLwxVk6Dnei4Qlze6o+sPO6MNDIgB849s+d2t1NwMUZfqZ5zKrZHO2 xUsKXBhOolqzVd20CqHzKzkSwCfQ5bQ397IK8tKPXbDa4rod1402yrvA5cmXLMoOcvQYVdP80Lcb Uo5QyoeW/JWZZAbeKtLMIUnkbSL2EotvQWDJZHXC/FeZ/T6Z+mGgjFxv2YLotymK+SY7/m2hoVQd jHa5MoCmDN86LUHS7vVADz1t42gwZCG95XjH/vtOcHZVvpK++dg0wpT1KkCZ4V7zDj3XgPKk96kH 6cillg9HDbnrB9aHaVN/BjhnuSTI9lWmdI94Vs9p1gYZ0kB20eO4+ANGW/s4NbnpoAerZ3saqdhD W7f5XbVf9ltzihCPtaWxtQOQOKiJtiRePZPJk2+8J6kP9ilN9/CuorHnnys1hFLd24eGP4Qn8GNd MUpw1P4xNUIAJp17iImM2OGAHxUBZlLNY3Sw5cBwF+wC+jvzOCu2hMG1EurPnhYjdLTsRvBRAlQ5 I/Uef9XDGsqQlr34VfsRrd8CUhC/1yt8Uv6pQnQ/1lIc9MAcR+TFLvI72oYBHKGqyviBF2Nh2HBS Agx9Aram3+Dj1EC/siOFge4zqEohzz1gYtP8pLe1bH1wd30MQiGdEqG3hDv8e0K+mUYsD09tIFAJ vs+poEc1TBYjRn4GIW//jNrUd+GZXk+e3o/n2OavIVQpg8+aGBr/WtNFR90bjVPZDdU/zu2E+jHO RApHXiph406mutQasPC5ijEPG/vnjrND/FgZTU9aWFlSGXIimkprQ78+B3EpjkY7y/jyLi3u6Pij 69D2WjrvNww7ZMrZPvI9fg6UcdvSs/Hm/x0cGf/K0Y8nLoLCd5HAtqmIY5ezBYyUDttzVQQLn0Sv 77l5/GOY003aaFHst0Oe6bQDVnraU/pQZiCXadCbFhjklp44dHtwIw+nugBpO9hycZ/D1f56T1HK heySqAIV5UGbaN56euH8UYjZEAiRdFkXhL5EPfKasOeRSJQtBT2wuAFhuHKrENuErd5jAWOhNG61 ZRKLfCI2cgsOgbNw0JtbrDwpXpxmGYtZ97gwm1lz0ohuTAFMOe5Jbb89VaREpWSCM1eW5qT/TRBW cN3efZeXbKsQK5R0Pehk6yWu196w93EkT/pX4n+qlxr49DN5Q7iSi9Kk0WKAOCOdMUgmCFbpaNAQ 1M5WnhsRApFuBcriy0c4O3n1LxF6emrFWj07e5qg4bK5ZQ0l1IL5eKNowrcdusiV07SveJ3+5Y4U BYB6CB2SN40lu5tO/NW8cXiR7VihnlhVF8bVWptXF0C8Sjc9RYLftZ6vjJmtfrHHxtoQrosTpXPt 5TEQL0ilg43yg9eoD2yeVK+qTzkC+t1WG76kp0n6jDk57l93hEWrg1BVIFJo1xPckzqf3ERVTq0E 71fqZ4+IfFLTfJZV2NU5njDPRW20clFeDop86OIME5iW88NMJ5IoEls1y1KTp9uIIhwBg2n/pYxa gQuWATIsDae/XMW9yZezS7uhNtibtY5SS6juGmj/uKZr4s7DsgIUHmbtondOLLaF+2x+IwfM7kqW RJeHuMgbfS/1boTU7byvZI5GXpSJM+chF1bvoJH6lUP/kvj9amI0zZdUvr0IUJcegNRU3dpWjb/v /BKg2SEgMEMLf/fYESVGFtD0zAXfK8vgVSxCKgCeZcGk2KaILmdbMZY+wIuj03mVPAVLbhWFeFK5 AOzSZrCO3u5EsznYaQp9RlhlPtsUuf8u9SEM2mLAH6ZOWkmQUWJsV4dwdghznCB6/y5DfJin41wy K7ksrictLcCLNKniXIlz3P89v+1uk8VLSJE8zGkjNvc8YpBtwgipcTnN3Yyn0fsS1XE5RuhVch4h 4I8FECrhUz8NvR7LDzChgQ5Fl6K0nu5PcoLN+oEMTExucY3m5pXO7h7Uol5EI3UozvMDm3/39BYi SLovsy2e2n8M14JDWO7HY3FufoB2OyB81IC6XyNGRqhlf2xnzqDLDpcrf8j1jaF8fSVtco4wMed/ z+CsbOQS9uzz81oI+kIPsW7kpoRDx4RqqeusqYDFcKyvBV7qHY2mefM4b4HW7Cem9afveV9lDi93 wLhaJ1+cNg16sUVkIyjL3aN4IHrwACOCfoyYnpZ7KH28EM76ktzsK0HH7cHN7QHcE9c7oBT7XtFG LacQgEnPs3JJzRquyRV4mOMCU2oUoJS9jzUsdGBNnzOvoHg3rJhy9Vd8KtuQiFft35jdo8wYaJWO Kb6RaDBmCmKmttiVynVhFg/MlvBjoZKzQKl7eag/XcyZuXpsBFnAyj3HABXxLk3qj2l6YTKU2vfQ Kc6ME4k1/PH60Qfr7i+d7Ab12sdsF3vXtOZ8mDfaeX6Oejb1moAsDXat0MSHQJvB46PnvcTqcMOC 5WzTMxlRfgokMm5TCW5MMq6n736rqxreG6pC+AUNBJXkxxQORPNcDNChZ8AHTIyJBCGTjS7ngS+E HVKhM8kVC9uHMr5d1XIbRvSMjVE0QlpQfzXWoTwBJiFfU0u+AVkC6ou6+nB1wlfqFUnrlvhj0GSG 8jfgsL3xYXkN2SyVepTrHhebjQQBcof9/MQQVkgXC5bWePFajt4JyZlEv0B5ADMudad/pC7wF7F5 uh64Z/sGGzFidhjZzS6UxrBQjYuw8LeJjehKdBHVJixGBoTCf8WiJczLB3iamL+POIuFq9DRbl6j 76WNRsDlmxG3IWJn52xCJ1BiVa272gy9eUkFGPSIeoK14Jfb+Z+o8fiS3CzWvT5NDl9qnj+wbxnB LwVzSIZ5KbkJSL3ecHtA8Y/LGZDuU+xAqsWoEEt/jL4zrZRgMOQLYAgc1hJ7DJVVNAI4sghuAehQ ApdMDjYF5omx+GHTZlSpTUavwQUXuVvsQqL2o03ttVitw4X93UvJnVl1h82nnhgWDB8To9/h1QTk vcpdIpNjWmmy4fQXYk5NESQatnv87KWjh/YrKYhaPlCoPWMFuRmY3hGK02MJ9J/h3BwAN4XfOnhx NWROZmVsecPJqlwI8uQBnecvWHYaLDSMxjNw1OGKSAWiH62zA8Pr0WYeizi454lV7XxduKio9hIY RU84r7ifrUmzOIlw4UINSC22e2Nsrx07LzfU5FPDcK3nQVEj+P3mJ21AgV07S8ubn996BNPf9Lh5 X1dvKIN5+dSJueI6QYEZc1lSwJ4Z43VRqKg+4fZ0i1qrQVZDiQ00AePEoKkwwhDDoIXoOwuHSI8y XQF2dTm8H1vlWurECU476t8tLf4dQ66ZsVFJmyDrJi99JL1nThAkmlS5jjVhUZL02qbn/2wdoYUG 5QpwaqVytNBeoqpE1jfp3k4d0w0sAsRoYShSvXZKsO0Szk/m5xBdgbsJkKTJ3LLpGXREBMbXIEH1 C0V9FXRST/Z9UY+HYmloI49nLR5n6SP7jyjNvTTTGaJq+x2+HpaHYdf41scdcoEqcCEdBIceTSWD AEhFIJDfE5bCmo8bsec5pkkcMoi726RsWFY4PV748TeFbzS1VXMf+zOqUZ1F8j0HhT+ZqKlBRM5A gMHcB/i5/krtNS5N3Y7WrotN6NWlV1Ru4HSd/+Nzx8Zi6cg57YNId2DQUQFgT0MKzTvpcjaZGlwQ 2xSVdPM6oTyFL3yFmakRSkuX63R7apBf1iC58n4yBGHMABFcqagzRcsbi3stwjm0Sgv5iMJC/2x/ /aMCAu7o1x8WyzFDuLOlZrNfyrGYXmx83yj7UEekEOXYY6zKZjFR9M9LK2uBvgoBih0cyGhNPWP3 jQTBRP6i+SOGUbjAXgzM+sa+GhjL6qK78S8+q+GXHp5JJu65CBNVcIG/Tlh5RCSe02mDrh5mi5WK O/GQouc0sOT++VOSN4mPcc6CkuQnH4AH9Tuo1LlYUi7VNg6ZKvakwSIYPXeWFHB66oK0AhGvp3Xa MC0w370UxX9Bb/Ub+Tq1x5YX1jWsZXRKnAsu0akTbA7hsDRSy5MG+Gvn+Qn6NbTft264kD8AqVUP pbdH/WbZAvkvdfimv584pJefYd/qLHqhW2epovlcxoTalpc8SZ1VU2q61LMZDxL2X1riStFkgzXx b3+gakHnPWCOnv1jgZyCl86von6gRh8pRR48tx4yMl8TDXbNcJW91owC6HBKHgEP0+eD6mFl6s19 y/3OAsLti7p5MDBQzMAXg6EnUcATlcft8KtDU5eB0krEDxclf3gsfapXrjhEiXB0kn8DQlhq2Iqe YAA5WPcgFDWWacB6/Q0EhGM905iurI6Vdw01kGnfclwMh65m2YCeWfFj9bDN5+8iYdHIkFd7ZOWC g3ncb3KaQn76QSEAaVY3efdTR0MXXHuxmCcOpxsYk1Xpx1VX6Pn6ulY5c1bXn6By5UJqQoDATJ/0 y4y2H3+CenRgAhoQbsQg1M/XY6DINr5SyuFUjPcoiN3iheDMUMWpDUNoh59cddGcK2NQA15mSvnl Tcgoc0zS/xioIszWXCCkHJQPd21vsfXDGDpD0Z40ToPSHrfB5LCjHWmmdX/L23scbMKTsCfSWQzw ZEtJMy+BhUXFWu8MQmDCtuCGvP69dtZDi2HcTfWDUMTw3Sp2yDlSwH5wojXY+vB4m53cLK+wVMVH NszkT57eddJqkjKsBmwBd457s77PJHYxwDPpTIKbuata5Bh300QBwb5JvpVMSvYaqodzouuv/ip/ WiHsJmpapxEEMpFm9AIDX0/VTDt2oSXS0EjKuDFDjm2m7i4OBEbAmnJX7OjC5T1+2gdnrvJqg08l ucItqAsLmsgFSuCkumILDVMcfdy/i93v5R8DlyriUNiAQcF2WMpGlUYRUSO12nC3Qr5w6tyPWGFQ BHyXkIh0JB538tdygx+P617ZE7nyD3M3QZwGYyunudG0P/L49ILhDVqClzo4X0Kag0YYVCEGYXjt bpbeUbqDYXZmzR54sh9WqeEQLUOuuf9VxRRw2FQydLkHwJoncASZeqHUNX5xGzswupimkZPLb7v1 ksNEaqTgTh3JUMfxgFv3kYNTKAYSNkS14Aqsjj4/mu76ISVX5A8YEP05elPYbx2aqICB10q0doFk Rea0EWFSjwPm5Z7Aj/k4P70uxsE2QzU2zZycSNylqEYodl8aHT+/Qzm6eD/w4mpk/hlLK+HBKoTf wVkHXKhLC55QuplW9ymr35URK/PkEWfFHNHkBIua/EOIFHs901uWkoQUhTgUTLHEbm/KpwIsL81G iJTmKCL/5id9BTTWY7B4HGfHHHXyE7rlCQurUSxURlvY1sIHAhl/OYeEUHYBrx8wVCQi2ANMnepH pwugBYQper1RT+kcwsuDuk+WqF3zkLwCEUV1ngW3Flgs36HVCYWlr9bDDE/s8KDLEkA31Ti7aHOw xm0Nu6e1G64FTRv9zQddxhbh2okLyMHHF6rZFAq2GSx+7bsuK/RTsuwkPjtz0xqucuEacqdI8rWh 3+i08qQzx9bmnQr7RK+DE3L+WNgeobfalR1MkSOfKJvS7P4Ih4qcXXxbO02Oi0qXrBjvyBfMQDHZ qEvEncoHTR3f689jgdu2qSTp91jKvMOlTVLgm5oqYAWZQ0hM6v1+uJv7+4+E3ZSumxXLnMTWXwsO 84GCLWXX6DrprWG59zlfBczoHBAVNi0Wo0JCpbRJCdzEB79etl8Ek0KT0HZk59tdHfamYo4LNIzr e2n1PMfedKJU9NRpbYNRSbvemOUe05alQnwH2HvV7MTbRYUqFwUYsBGhmYLE8hVA2KhJF3gZrw6q xlfYEa+RbWyl4NLC5EiEcRD9ed57ZpACVoauzhgKPwjgtMwfzYU6avrFXtyLrMzcaNSUdMBMC1Wm 5h7m5WrAl+r577g7xfiFgFzDQcq8tHl/UhEdYZFj9ZFr1lUVTG+PDg1C3KCQeD1BusU9ebTwYm8S LPQP3OoAfhdCp+eVcCJvqYxJGYbc3WEXZL2oisL77NLYbLRVslPSmvKOgIZ8i7iRlE8CeFlkd9iF VzWD3F/1f+nDHCASjAEpckSV7snh5pMHAmca7ipTZdzpf+lD/j68HLdCKB3W1ZD8aM9Ofeg6Mmc1 hBJ/DW0cfqh2+MZPw4cnBf3Pk7OiXEckkAbq8fXCODDWTOZDNo3IWXelU6WuyFBE/PGGtI4RHg2+ s60kU/lPjR5VXE+WmzQvCo1W6/1Xfi+pg7rBBogay7xsD2QYkViwJnlvno6pkW68LlorUamW41vC kloFzrvF9px5C+G22lEPWKpHeuEzNfmNqDQqgdeQrQ4B0zpU4KIFtssDSLxJ7LVeHXoKyNYLcGwC anfHhhpXiHaTfZq8CLAlfM+TTwwYYRfpcrxVoZNvICMQTmnbAxWCxZCuxJTZDgdHyN+sM2sj/XEW Ov2bnCJJap/1M0uY+jRAt/U1PofmcFlTeR1bxEv0scH5AwS6+TA5TYx1/VkRPY266UvjWQErYVnf GSw/08cRBcdFm+FOFwZZ76JsTyh43ui/eE+e4x+NB6RL3R1Xjk72YxgBoH6mwwywy7C/jXZQJUh0 W+ztmuFvV11puvlrKcZuP/9FmBLZ20YCPzBhP64xhpzeN+x4mNHkGfdIEZolV2amQbevbs0wjYCC cObkaIhXXZ+ArsNOeWwbc+E41Pq7ECXKq8qKHNFa6wS8PFICDGRTELvB0GDKGamliTBA2m2TXYQ9 zzcWNtsPjYhi/jDhbReioD6I+UmvCJ7e8DmlrdBmll1OvGBysgnV/n5CsxpMoQVERx7u/UYoI4Fd mYaP8f0AYxap7zW6i/XgIAMtkp1t0dKhe8rGG3QDaFCLIY6pSYs9donGhpsW3cEE8v1NCUOOmA+O otDbgkEIprMYbBZQMKc4OWkOU/UUmkTvIIxsMPHAoW/Lu1ENYzlH21DRAi5z6x2Pkhp5Sc04pd5+ stafVM3Yke1PGnPaWMNeC7K0GEI063mJ54nm+n7mtS0zPAMGM6IxXrXWGhSvhAFUxZwTUt1JHGGE h/Ejrq+2HbXGkDSpprSCewnpOLxw3TylcrMU+FITAcz6CUoVQ8bFV1wGXi6rCiiEiJ1Io7UoexJC E47yzJTOrl2k3X6n+BiYcgbsHa4X86Hzrz6rr3vlhUT7qwNVo7Su/1AFjrJXR9189q73fKSaGUb2 EBwUM7PX1nurJ3NHiW8RI4XcypfgVyOYGX/ZwfJtMIDshlJMcSt9ijXy+2m6qBnUmxhXKlV0eOgG JOoDr3/d6PdnijPvrmcLzN9hC0v4iDXt6ISt6vxQi5PfA0ZdgCdn7gChsKQePTT6ZCAGiJps6xP/ ezgp4M5oCtNlV8ciLfVBnwFKy4clZh0zNAePiFNABb3R7/qm5Fl0QdtOqgSxmhrfa+pveDZjUre2 LNv6jTSz1UME5pCMYWYLVOvrNzmTOtiQSH7A7QVsC7fk8Fy54szSUCTCx084NUanpwU5PNfir+FD Ok6u14cbQ5Tw6CWfcTanhzXI92yFhuOR/6BZJOGB5lJw+3nQTjghkWp91/bx6w6QaZDptVZ7rF+B 8UsYFmN2koNgdTeLo3i7v+A4RdGhlSsSaphknxNEHtSZTykeEK29NdfXLhgmorMMpr52fS9jWw1P WMPN4oG1/29qJTm6tElJMfMSsT3JUqbiyB94NUj0QiANFD5J4vgD1WAkyQPlIgkU7LIJi8DwgWzK zFggpHeXMg4fzmseYqfinx4C/dM9yLB8oJO3qQBQngY9weWrH3o3jSCLL8O5SUjKHzioJlcM6oxU LjNWvKfJoJMmFPC2MneqbwOUAY4EPVP1ev5OVR+4pJ0b4A1piyHQYH5E3ukXZZYrCH9ryqWvdJG6 d9MXqnu/uuAQOTlUqfaBzoMG+Y+sC3JkNGvfho2/ZesstPiC8CnXnsKbD5GvnItnJ3QHlqd704J2 4w4PwPdT8h/wkKpYmVk4XaDY9aJvauBNvblcAUVQz6GO5bikKl3uktoR4d259TSYH0zGLZumBfYS tEVdsWc+N52GrQMEFj/r00UsFKfxBxoPbRboe11OJ9MOfSX9+ty0SCnWvKxQR1Bga9Mbr/YGCbrr SMcH/GQI5ckrDkqBU6Z5haiXppqoOb/p5vCTTH8Jfl/w1He4IHTGeOnqFAt+nd1eqlmYFtKs8sop M0xs3j/fwnq2z+wPT2N1bPdxcN0mO5kbp0rBLE0Y72bkXr9egw20sm49eT+3VP4VAp/yHBjEtXv9 mKzCJjxiRCVvHHx5UzmRC7ZMWQjQCrSEZPpgurZrzXsMSeAgyjXqczoqUOGHvLdD4FO5ElvxwXLf 8pkgRZ2uz958+PjegB4s7H7oSSS2hY13DFOnwYRTL19oGCx+qdIRW6m0piOdIkACwcpBr8xBrXYJ 9h7cSFfao58ToFryoV5zfommFA0D0TkGV2pjEZnxDLHtuh8bzb/p6X5kDzOJ4McezQhp4TVDzJkz S4EwIa4lNTUm7mJehxtESRZPGm3vGDN/7MXnCohbMoW0RQT+LUHA6+pVLhbtigFpiWOridpdZoz9 VvEk1J5aT9az0SrhSQK5KXQd6OUxb7d1t2HyDP31Vk2iXukbg00pLJeDEYNc88+NPH5Z9MODxu4B 3sLtWgdUMhIoPdFNUiYRLuqpEBgqP0lx9mcsb0QidoKAS4t6Z78Toh3fLg99Z9JeV6Ha2R2pnwfG X6umxxI9YVjNOk/9kjKRPZqsOudqCTPJbC4r1juBAy4Ls72pAaLJnrbdt2YHhA0kBFZSaFKhRRbZ HlxFPTXpYklbo8iCxASnZWVjx/MnJ5eLmOYL61ffj59I//AvqpeM7WDsIqywKF9x7zyojssfg8JE +bCBAFv+IvjOBCANgYUvV/SyFNNoPw9H4GWbkvOzkQ+QnW7NSezrL/ioepUOGjxdxR1vvJMvRTYq XWwzDkrCvB9oo9cQehBTLc9TSs3C9EhYwPciWE2YF8mkQGtnLcpt88jr62djgrbMwR+qMhg0JZnl UKhRcg0L0FfiS5k1FttwVqMsPVOWA92TfVCVcO0dJ44v7Ndogz+tMLSRkxyRbrtFMkd5bLRVrw8Z 386qK+mlZhKAPVpzJ/uX80p5kRYzl5uXt4Y+XhV1Oq7Pfu4XpAewJfy5RLeiB1Zu3QDaMshDmK83 DxVmpaoFXgp2JRdl1SeTUbkhci8/QKVgngJViA7/ki5N12vNFpBs+sRUs37w6+D4FDNJMd+GNvBC oR3dHjKNb+kDMfu8JXEHf0XHiIc8Smpjy8pLXxol090+znXwTMfTLtKqAdNEX3g/VTgZOW/rkP2z PI1frCOOsuvUYRe9aH8rIJimA2tt8rOZ/HOZMOVBu8qggiYDnEFjz/hcRlf7DJ/ro8WzY0NVslUW gt8D7mz6Eb94yjFx9OelkFejF/hRUpvXN6Tgi7QH6qwSw70h8ciprCcX/c5CKSNa5szvOuCdyquO APnCZkPYoO+d+/pEDB9jcM0TidmSCW89Tj1n/1HBgaHHF54ErjIr2AUHMStiSjhAtnjTymTkKmIy WpbuvNar+A5w5CO4ATj2kMhkrvbgqhBRH4MrIOiiT+J0jhCbhLm5945sULqH8VZxA4g7wLmKHzWl nauRGvvEkD+BQVD5ZL1GfGn90LEHzl/88SDZVS8quhCb6gP3W5oE1uajG/gYLNs83yzenfjsJD5T Mo6TVRK3gSjoFUG7MoKbbaNMPCU9uWXhuzSXEQkdWAV7GNuBOlZ6J3DcNWSrXmcBxwQhlYG7EwJi SD2LsY0CDjLWSK2V1gkVndw3Y3P3hNT0SsGjvpboKYytEu9wOIAzVAnzISJPwrgKcPImY2t7cHRR 7t29W8TSUurCUz3JL+qQXpUCKd9+q+kRQgHefzAzIFIQg9tU+amSenW3w19pfFvhh+9tDKubDmUo mkBbMS8Vp4IzzQvXcguA5mAouT1DrJqvuI8oBwnBerxyhRpek/UxbWGuoyRzAX+mz5/Oc9M8AosE TM6kETNW/bz9fFV6NJtOi65xy66aBjAfVw/1lLwRuM+19VuwxgZglRnzGtWrpXav6poFULA6YXCV RxpEanYZOB7MHR8vFT6bumOQtAk+Ox20Hg2C64nuzs1bU9TuUAleD0KXwUVfcK1r4ow3XIUZyTp5 EsVr5PqSrOmR2t82piStOM2z3P3QERRfviY9WjSwPQLLXMbQDFJZJCZ8fZ2xN0k+RDIiF34Cxazu SkvvxPgmfNeTdsbDJLAawkHI+Ht0oocFPW6KR/qE3IIg7GF3YDXKwA1PNQqTE+vVSIGsVRuLFnhp iMIvM4HAiFP3nXZNMXPbFJW8qiItnSZ/TzBi8EPCiszShNc0C518EXn1WRqSVAuYtOntmQWJ9KAe D8EzbRlwHrjD4pqqeVimEAMFWDBkXJvpITRM9KYkE2DSyH7bOBGZAX+n5W2aHLuGOnMGkRHVgj7m EQpTs+/a8VqziS35YKIP9FIt1ERPPoPjRE14i5dHbEXf+T3N8f8YSuLVG8NlXWSbsWFOPddyRpEE wEbnmcyJOX8lvH9cWcKtfQHCHCK3YMqIiAjtH5eMCTtmhCQJHOmBe79MJ5xxx5iXl1RIlGzIKh4B VtzW9H6EJBUqwa/FmlH/YF8ZfA079l6JgZY7X7SDkm8ujjGqtUhMw3eucONfI3gESDWMuDi4CyT1 u4kGjOzPedo9ecXhHekqdJ9vmMFVAHejnX3288HjsKZzfRZ310R00S8qbICBYtEiycpZLonQyvuv SN1jLeL3qPE+QTl1T+E5d0Pm86Ah1AdkhDKFRMJ0ewaEbV7HVS/2P0FKuX7yFA1V97FSZZCDC0CR 8+10jXdIAnDdb6gn65lhUnEUllyqzgQPPvfmM5DUTDPxq0XF36g8ons90b5a+yswuLDwmvsI/aJK 7tfW2IgYBR2oOd7gb+pGzXtfx/eL/WXPbmpXaEn+ogOaF0DJMv+612HZ+wPAjRWRlo4/R+DZr/wH wniciiNc5dYkyBU6FxO6ER0ncQhc756gdn5rKNJYra3Fu0ZzQUCppPJFRcWyAz8GQoe8OUgIP0cT 6PHwCktRco3hWYXT99KUkK55peBSWU2nJyl73WkD2q7MHR7mhmkpP/fu8PqWQn0JMp4GWlvgL1B/ ALOoGf6jGiQHSfGbhqg5kuopA7R/pt6j4/0e8I9HLD7Mx3n1OtEqnzVK8cCmvPFfUfVga+CwDRxH 4X01utBqLoIxsSvAj2yKZFkAbpaFn4psWRntbASOe0m1rgpVJc5E3gFSB7RHkx3p97QX3wOSD7ED QIs5ckPP8aq9Ytm4TlvZtzB3VS4/raUPEPiTUUDX8V9TOpvc1hApJEbZODBxLk3ScIRhe/mrM66i v12SNrhD2MG48bo1BA5QnxGajsyZM1nlzNBje5/H1UAqw83u5SXmwFvqF3qjk5Uf7YogbLnKeJ9z 5Ga1OCWIbv1mL7ktFBm6d6t+Jge+h+NUmkpdadK8rhll38Ed4aK+P3Sf0eVxXCJ5ZVjT0yd7Pkhh Bnoc+KvV95OX6q/2KtntC575D0ez/VoX3TtwttWNIKjzykdg1DNSMolTKr7fOD3aRxe1OaJoNg/h lCygLlyObi1gfFEywUeacIeXGErukDdEIHC2dyPSXI47ZwHSkB1+3pv5bmXSyKzaEF59+UAEogQd 69pNhrumvqo6AOvmx1v3GJU+jIkzvuPS505rvn2mZ8K+7x6yOh4zNQFBDslpCKGCHrnUuImsvuCz YzqJ///VrV7eyo7cjMphLrdG4km1YiFUuE4lV3e8/uLK9yCNCvD4o5RNX8e9pHlYUGMM+c7fIs9d irQl+Qb84L1av+5nglNeoVMRIdLPcE36xtL3VJvNeeNf4vHMHiasKFiMRTllW/pFpdSjcqGzzyT8 abQYrusXKJCTuyfWScSApcghoshwp8di7hu6CDMoXaddFRrziR2eo1GHrAmXAsUDu+PXaBC6Uaal 1jpyRStcVSLeISuu7bxRUjQoVGBLT9jZrWVbCmvNW3lZvk85XN2/XGNDhamM+RLdKQOOhLHLY6ZA gVAsO+yRQqfdvD6s0x9s3l8wtLKmhVkc+DChMeevuTcXAhCk/U10Y4VqTMB091gYOBcTx5PXRRtD OooL+f2DFdPcOvOknH/9JHfF2oAK6zQw+g+/AC3sY1PboQOXza5Pphr/wHbGpOqRrt1NnMJtVd3Z nhoLt9Fv7xRvCqaLZRG8myuU9MD6iQta711ouBQgGB3ASaYm9VtePIJoiQIuq9Rg1QOZpCGtLXZk 81H1sF0LxVocaM5swlH4Wb6XXRFLHcx8IAmS+hcMzByeyHrllPVNOwOC+i0ephm07Jq6oW0gHBw3 DhzVPNkEsaqdRzYUo5/No8qIYA+y46y055bDkgDMZgtV6qc16RnMIVibOkM6cjUaFqX+6DYzV3/d E/FzsCApDNtiqAQLZYjjk1kLSCOky4rgmzX04YvyilB7CvmqxcK1Zfipth5Rcyi99vPOxAqNAM+B EwRuRRavPKWi1rP5XpWOwS4v4SEpwOr9sRSiPpkEGprQ2/vYobn+ogVMn/QmOP52nQdUByiF+oT4 WQctQN4olFwbtKpBkLM9oo+ZzpsVdhlpti+ucy0ejveDQtXB3ZCVziUWDiFuZdLd+4pGJbwfjuOJ s/4hbmVjQW9IL0OEQ53XDOBTtq1zepLz+ihYMiOwkozyH9NZgmq1QYeolF19jpIyeAJxecGt1yAp FsgBvSvhyjZ+Q39+Lcspg0C3l10K0UAVY6oxhCoFArFlqUz7dSW4spviNOQWf6pOemJr9Eqi8TFc YJ7KcCgHwvrMjQvelHiC33xth0wq1SNZoICyEpc8mPpUfTvtZCVe4oZ9qN4x8s5T6j+LQfMheQhq /HmGdStdhh9sICSFAPhDwvwsihaaRVXdPMSY+3gRimNYh+s5BXnPMChXMKP5s8uty4cWu5jE0JVY XogxpMqGWZpg5bcBSDc1dWzyu0g7/sAcyVyLJdArZ1xKjpbapagYj5W67HebTehUNxYlNRAyhjKp Omn/FLbyPOavG8oRMfD0eaGbL30ZIsf3Hi5SjCsN2Ga6kwUoQOu1JAb+aVSV+fZ2OrUy+n7fP9y7 fjxAuex8Pwe4DWYdOSryhcdJaK5evU/nsTuC66mCFrwTJFZ3BINJ8JsRaH4JxFdt/YK0OWUJ2mmm ohUoSScKZD5dYfBqkXqwzRi0llMccK1QDNRajPy/G1R3aeIg528YY00bvrNPMPrjIgSks8u2xmaA KvbozAA1ssbIqvlksjH3HRiQ5Dx3E47TqLDvD7TSYTyAuvxx1PZpoVOAAUyf+SCf/2d7aqOFBRAq 540MAvZHstTzbiLOvrCzxfDxanTRG8+b7WK6TsxdZ9l6gmw9NJjqDFY/7K0++V39QJKjLZhfS/47 ksArzv7Tb0bJh7TuDRvpFrl46ESqwGDLz3kzQCbU2vs4+Qrx9yS0gCEEhDcw+Wrj6Wo7/PLBSpAG UGDsxuX29U6Lhg/gnSz+n7R+CW4WiYz1VHp/aV0fM+kQ7lbnV9HT/u8oQJD7kl0E+0933JbuoT6n gUTL+f5HAYsebgLaXPdXD9woLWq2dVyZmMzyXihmS8h7MZzcOQdokytucdUhHz3EVwBYWQBfhuPW wmugAnBXaXe5l16Orav+vVSZYIwuONTAl3LkQmEEEpGjOhLCFbDJL7dOzzHZ/NDXaX8yM+MZ5lrM yA9n44S5cmm33KxjADbD8gp8aeD6PEyLH1nYnAAka3Q/fsxFrQQxPjF0CNePZdfJQN2X5LHcwe01 xXJAW/R+F8u00WaxGC8Jx/rTRcy+u0pnQP0tUp9k/z53naO2Ej98ZzZHJLoW9vQVvi/wPSHd7IUS UDa5snuItwUGYox5mqYv7fs7gU5ta25TBPtkhgIK6X30Q2iQ2MlLl63SMN9ZQsf908le5QVMktiu 2SMUkMHupFw6v2gjd73JGIvX0vS0asu/ijwAvN6PVXUP2/pvTIQEQ7EHmvdelESmJF3LirbxezYD UAqF2cnMKxR9ZpH3uamahiaR2T0OS1frwE99FMj65bdSbf39mdbTK0cbHLIu0X0nm6G+26yiWaol c4UPp3udVdidxHglo1CLnIsIeEl+tp5VguydzaeG1+hz1tw1lLtLkEQNADmORdGNqOB+S7w/SI/z 3xxPyoQBqPW60/gFcqnnhfVp/qR2CmPLSUiFOUaRDAppPmav4iffTy+zu4x1HStMRR/V2pm8tRbw G515X2n8XlNtujQP7FC7DxR9//CZdh29SUEXOtpW2MU2n20y4np/iW4mEaa92RMH039ZFBiPIDQu HEU+V0J82CHCex7u5gv6OrzKUJlcjre9ETQYSdBP5EuQ/W5TH/nvfKpnS/rv+wT26BhLpTJHMPfs 5LZKQuf8PQfBgY986RrCLmVxOZs1P91Kd0I2nD2t3xNisc3kunQFKl4K/ZnbYGcX+7qrxWYrumjd 9ymC8AX5TbExj9rObyvdSNNf0U6lbbI5+U+ztwMW845N1tIYHOvf7Vng+K0zW2J1+xqPPtKCNt/M gZiZgmuJM3B3uMRvBGCNyx/MOD1I6VRsD7gbsetAlJiu8lZKzB5aJVyF5qRKa5hZMQeDo94g7hjw tohVBRHVx/pL0myIiw4j7yQf3yqKypiB6NMqgVaObE6e7cLPIZ5fjIV1ElzxMhgvk/Dw3p3tt7T8 mTWREynE1heTFh+eKSWm4youbVCOuaTzNJAACiRvyjJl56QlXW+v6w7S9eZutgVPafXDge1/3QK7 rFSm5+41kldzEniUEK8rXVBOH06Mo6a451rPWk7ukvj7aQ2s8Tahpub8p47xSuBeKDRvrd6ijhRq llRx/2kxr4pMGGgSGF42Ogb7zpoUomRtJDCnHctVbpShACEOrLqFtvTHddOnqleSZHNbLmCwiJCh ys2Y+7SZtq6GF5lCgJOZgS8Ty3Py/kQPRFW6VFv4obCRThuw2h9G8gacf5Dbxl979OkpAF+T8Lpq 2Ahh8DAiyEI83EqvkKKrT9ZLflxuxcR63X3F5UqJTXaCnmOPdZt/d1w55iKEukOaPgpcg5CeXOYK GkL5YdxFQP2eomBiiXsH5jG/lmklf/jCLiM+ykS3IWD0SrtxcSRMYS68AP9dnrXOUiioEaVPZm2e h5eAr5ZATzaAMejTMHMvFrKg41zomL8wQTT3MA0x1OPJ0Tv/klvjjScWiWdAOC5GbVbwOXhwS3QB 90kxDjSj6QEtevI6RpMZ5OW7VJEYZLQru8NkL87O4A+gvsJSC2FGgzfbaU/WHgt6guiwKUvha0aS glmpYrXmpdxQHpve0BOnXyT/v+YUFQA1A2mIWPGNTwfrOCfELjxyxUI87Obh2fnU6I6eXc0Vx1Ze bgAXKY0X9Dw9ncXxzjkLxeNeJPOmGsMZas68P6MWRp28nxVCO28E5XsBiHKsTpP16qa+OI4pXj5M qUFJ5b8o8Q9Tlk0OyAMlh+RxpY8G3vOou3J1ROGloQpZamkH/Ox8YcinT2o6iYtNwEvGHQ8hX1J1 byg9zudkrRmryhLrSUwyUVXU4nema80iyximf5IlMJzfaxdv7FdzNY+rs4U3clTdiWRTyODE3egl Tchj3VtGlNoBGc3FB1d+yPnIhliN6P0iO2FiRj398HgEDZh94+3sfyG7w4gw9Luw6LTkiMFfGItX Jv05JNX1zdHF48yIEWVEARs51uc4mmGBwwMiUy3eDPraYVYAY3UjO1T7guUR7a4DoOjupTIk3yyz kYyhcPXLtwZovnvQ8KHXLQpKd79+XZy9ZwUsxua2zaaVXBW7pDrq7zj+SA9/W0B1EjwvxZYeMubi QTjxEceBfmXAVsI/E5b1RUW391HNd3beeJhvefBK7pySwmhfzHAtMPpXR/l/+cOiVFI1Z/2UD0dq hQQknYwYgrjyO+ZBcLO5GlrbeCA/3HBOIzyKe8TnGpK5ckoL8jEcsN9ADZObfFsgC8jIbYjumycD +GSnwkL8dcUK/rWIMeMkNOjk/PDE5vOU4Ufqa1Au5daHavo/+fwA7r479lV8w1OoB9HFXO3sAKNA /bAbHJCzAD8SilhayHprS3tveingWkL8k3TFQFPdEu9qpjMAILBBG+dW76QkS+ygMZaceRdNbc3z j0yKFmWRreY2LnzWyiEags3Hnkc9+bJNznEGJN61hvPIWcsEpTXfkl/6WkNZrBaGIl3f1fxrCoFa blT9A2jgrZB+BzyD17lZBh05A9qinVEEzkokC7cI8DvChdnVr5P1ZlavXT9dkM1/bRmUdc6N9wKG rjEkY6KZujDCo8olYZ88QSFXhETLDeGQC+9Nb+OkYVyy5HNmCD5+bRBc6Y4tDYyGOY5Hy4OT1ihn /r0crM8HmVwxlVSKib0YtS+w8zzNdFEh/qIQUH2klm+AKIVNk4LUbiPFNRtEq5pFMZRObIGnLaQm pfXR+JWX0P9w3fdxvTQhnvJkRP0eCuSaux7yDPvktxnW76ory+Ooh64uXvPJ6r46dwg1R7VqHGQx DnL5/273Q+WvDj3XPFR2+O6Tt3G/0AD226IglolXT7lPNHnDhD6Hae9gRyEqQQb5vQobxkWCCqRY TpyTErSOuTkkgsfAZ9bsSDLh4ETKbb6/SRh9s80h8BhockJGE4obPW5hmEbuNPMaO5LnTlPz57Qa x9pigSbV1eS/azSvH/a2OG0u2L12PTQMZdka4oNN8IXmA8vA/njUhdmxMCtQ8xle/9qDazCr2e0A BxznQcfrl+Ek5ARjzmQs2JYQTR4XvO9ZAjcA2+ExZ7KVbHOt/18fwH2LglXfJOFbLfdBymUZ/97k AedSIIAQTlDTFPE1PJa2UuD55USmihR2arwG1fH9XHgQjQppw1dKdtiXLj2+48U3bBUvhBLcJUoY +clPzwO4pKonRXIKfU5P1b1RLrRcQnuVc8BOgrDnMQFLXWCyG1nmAFZsguhkkGbtnzoT1IBpUP2t iJr+zqvV3rE5obj++iamVNRHJNQdRHe/OuIIjsh4+f5M+KiKJcJcC43YQ6HIAVNov/jGXaV4KmeE o7BvLZXx8UDdTYL7yD1dR5HtwzJaYaqap5Lx/NIfAY1jzY6v5IYxGhjXlSkAy+ci/S+qyyLcU4uw uC0TD2ve/UfLGZ6q27iFwKTgG33CVXrb+vh+VRGqjO3HBoVolp+3zu7ZMlWSwR73gJmagKXcgLTO kfDLLqxyGNOu12l5yJN0b8vzB9+mq4Y5kz5LMd1MPLGdj72AEgawABpd9gA4UYTQ5diNu1ZQ3IFm TwTLRPTogzc2soilBIBzpS11xfErmUI9RTMqIje4wmyVqelFzX65k5WEmdOFQO23cRAzLpwSuFxw ccLtvvImatsjJ19I5CFKFe2YrFQhcFp2bbIwM1czk8Eo5ZQ9O03ULEBeOaK56IbZ6gzduChBCk7w ppaqej9OAA3gr8Qnid5QzTkMDLMohK8U44qvTCgUkQhkiitNrMp/QoT5TNrcEOExTACOzh941bmw rxviw/RaqCvIZaAFeem0fgxk/ZoFZ1+PFYbVwqwb1xzju4sSLWsZqHneFerOPImU9N0yQWCJXhkP MZi6ZIdtZOHlHkGnL0fdCdbcnL3RcqFAYs44zVXlg2IvsFmtmE3WlMzKkR2boqdQP3UZvTFPn7wT 6FJDrHWtddccLS4J2bTdSjWLRPQCM2GDyRVfDWOXEVFeS4L3NDxljMELstaF3AKOyxX7la+Yyiu7 dS0NESg0EmuhQfh8zFVXsKg083iodII3AUZA3jfrDXKDa9fafaNy8z/6fXzg0PVLKe7cJup510uZ 8wc4Y2hEuqTzrQ0rQdafUmOqVfDnzQVZg2rcYjMvollM/ijwFOkQgphSWncypj2y6ogP9K1CkBgi 4cDXR7y3V8EmHcYOP/TZosnu1PqR/MCKr2qkS7DFktgOnozwNPxL5tNgpQz8rXZf+yECEndqMRI1 XZuSNpAnOfkiTSfef7ejkGlSRbC/ew7Ip5HgAFOyBGIhgG66yXpKS4alDd6SJ8fGI4mG2UgZxys+ 2bYweQ0Sf7o8vCj6bKV8EnCEs18q3WylKEOMtn/mNnfn9WwTPuRw5jUb9kWc8dsYxHdjwVUdQ7Lj n57hPljfRXAMRUg+m0rpqAGz/lRz/AKNkjtIc6oL5PDst8f1xYTT5AbrmEZisTqR8iZfHZys1Nqv 2JHxvaI+x64LRmGyOr324vCgKboxmz5BYj5/vgiQjrQQb/WMQGhqFKBfCQZe33zaVG0ezsqbUl0q TSp7my1yK7vxFExEH/2FMIBT1QL1lCgHo9Qn2Ima+eCZZq5ekuHX8XneUsVMq4XbKXbBooH1pszv dTi92pjcXnZQEncQ8hCPCm33A57dfdf6YR30mEVIUxiiBYQiGIVyb2TyZ4VRf2G94k3qnPPjBeYv 1rFP9TbqO6bjdWtfyTYJXYV6t1YaF852sAP/WWPWnSbtAeKPpvi6k6Pd2s5qfYXo+9w2cC8WhP+P mZLGc1yOy0SErLSsVEyQy0+AcA+GQJJr3/0PR+2mxDYRnjeUn+BZpED5Q8z9p9vQ0H8sjiWC7L1W O9pWA63iikJiSgL/xVPe6h9P+Z54o/64Fi+7SbQPfLH1lzehC/uX5A7on3bhi+luC+zmsqd64V6n htL0SQG8vrjYN+6ZtBpviujxwckmMeaf6RWnGKGYttAUZlqNxkKf7ViJy3q1A67IZ9qCnhr96V7d J+mZABOgtiMMTuOuAIkJfrdycOog2f+bOlSpP1AKf2oiE0attIUwNq/3+neceuhY1u4vxVsFh2UG QMEJFSaerq5qZQgeL8J3KHH4Gpc8vGR3Ugn8W5mmu1lOFoEjWX+5dp73kJ0/j1bxJnO4egmrpBDj IWwl5cxFJDjza2q8UUUIXOHal6xuGg8vDSGRxsb+rHXxJ8NBbrwc8wRiHQtZ2Ueg6KsQ8HQOsS0V vXq73Oa86ZuS0mAYdRwpJiOTDsPO1+FGQiKqJFi2f5la5Y9TR4eYh87YEyXaf4bOk8RMcXp9kegC edQBPaC08KeB/pPxwz5NB+JMQsYREU62lZUu+iEdxUtyGRCB4dDjZjZPH+vZqKMr+ibvkFing6bA X37bukYzZnpEimw7nI8MBhbk27oSeN46poTsH7sLS0JIVHxNy7NOkPOvbTxJ7lHf1NwB+YDrBYsp KioI8mAqKJKOwF/zrTU9tgcMda9qeOaJMWqqAoXHg9zMyjrPF2hRsN4UeRSoA/PoonI+ChK4YZud 1CyEZkEY+hbBkqxKUk6gh+HdpMn8ACj29xmezyeNDMLOn17WkR2lFdt0YHvAwdMMT9drlqZRDuHt UmAhUcilRVLn/v6QCARhQK4wW/GlLTrYkSuaH57Ubhd+laHKZuMgArw9Itxztu1hm5A4UjUjs2P4 cD9BUl2TVXUshLJWyVvzwq/3PrA3BVy4W/VLr1AIRuZiPWOP4JY5NcetMf8Y7tDoEnJmHuiYHXFf 8pv/i2dmR3QylSEuKQ0/EQo2O7iK8usV1Jq2mL920dpzc6I4onGnxpc4B417j1yEjWR2Z3ETrTyW bgdKtwJeHuWtlBcpH1Ft405NV6PsdVTW5rwM2PTElFg6pL0SrA2HNg7GYDd7kmCnTpCsiRwogx+R +4Bj6U2jUJUCtEcg0mpEhY8ZffE2tad5vsJ2Fgnr1YOb5bq+21KRkAyTlq+RYwl7HJRS0igIAwQD twil7OVyNXTaY0moqDWWfcnX42QXw76k9jAt06sWcilKGQ+K/wM/aQMFR5/FS2zE4wcmrt9K4a36 HUQlQNrOrOYJMneEizyr0RzT3wPxIsDsdFyRCuwCt8YXSCaer8eB6ZSp8u5Tzo2cvsYzH8+uo1fZ YqrCWbvaRLYLr6oRG0af5f1Ju9rsDOO0OOtsKvHQzdnYijfoZJ/KAAQT4TwFqzoQy1cFxGlxWTif rY9wB+/3devmEgIjDp7zHxkkFlWs2LPmVWQCSy29Vf98CBees0Dz7ZCDt2x9EB8/nWzAdkPM8EJ6 /5F0i1TYNsAJn8NFP0IXsM+esKJb/4CQzhv577jKHOD16aA5HoEsgYgrrm+7S7eVtwX//p4b4hd+ 9m3X8Xxf1TbtN/V5MvryfwLn7RmVIrZWtCdVDtoyabLoX764XO8CRgJ0eYkTPZ6X6nfrtZYd7PEH tHwhganmm7lGiKbF2UhxLZcwCupBzbGtAg8AdGRnzidcNO0cN2sptSht0K87WoMuseY1LRbHMHxD zkBo1l3qT8Hs7etJTq+UInJM/YKqp8Li5yAFdLtYDaT/+RMYopj5RccMNUxNw+D6n32MW5juQ+5v e9xkuH8PmIXT8rLCRJtviae+4hZ8xQFiXnh60SM5rKzTHSX5nl3fh1XjdQzJ+e8PDZVYGYO2oCeA lbG8h/dkUFSDjWsur3LgRgS8GDMfEZfnpsGC1PBOOtNy9uo88XCbZLBE1j98REedpQZiu6p6hut0 B+Bq1WeepOrLsRomNtDDWh/7RydajWCnPW53PUj9Fek1ZZEU0k5jUvD5yFyRpe5XLE/5pK4zAyvC NGhAde9uG4lp/sgWH+WyVTHl05PKAJ1b/b158D6YNYnmD3V8T3qbn71LkPbxlB39IhxKj8WQtZgi xSt6P6YRibpXjlAJcEfs+R7A6Ij+nCUIxgjfll4Zqzz4KaIptqcXdpE6VFWgZKKkx9MjOgCIoMQj 8yp5WxCbxcjOQjntgiUVeDxDQdwHV9ogE6n2+KDo/AQjzxWL3NdmN4f1d4R7Xe+VejZJEPh2XKpm 0/Q5OL+hb+kYaAQU8bYIQRMvsh32vupvdytUhldy7ZMatWHh0tm1TR00fGt8dFZXWzIaxb6Ay1j1 KE8HFgi2rgliFSFDI506NWx9ldkOqtjb1fu2B7C8v9VAt9FIPgksPPZVU7WE2Dm1alAF9xm3eVmV J5jbW5LkmhgnVmBvbN0eZTD+MBazmyySRO6psP1Wbx+Un4O2bchP69UzIUjFtR3jQ/NRKqaEIfid jct1KzF4M1tt4RiBTeSoWJxsNKNXNLO2aDUr5qT2hcBjr04MMNJKf/pq3TRV8Fs0PyrrzicLLEiV orLN89fJq7NGihdYzIFTVtvJb/jNfYm8yEHDE6Ef53dLcIW5EfjcEDPPfnHkuwlnbwXM09m6AtV6 fGisWShWesTa5H04UUa1raaPwb/QBq5wkiJMffrTJ6rh6wJgi8V8BL3QTwmjAYhh/OlNPF00kXB3 CvE6e9onwduD+5j01GmRS+it7gd+IvTB8G5pkD8mQLbWz9JyrTxvIAVHf6bxv7Kuf4Im/agwhvJG H9oAP748TqHuWRHMpR3N/wlXySTVMktAfbb0Q5aESiyW5XqSGP7Rc3H13Zm7hK6MrFdfilZhIMJ1 NraKQxWiEj+EM7IVO7YHROOGpPUwaXi2Qhd81D6bSNlQ4gOVo9yMA4y9lJMwFfNeh+4HscSgDsqO NdjI/Hx17mw+zHtfJryDSXJpRWv+1vSet0Xtw5pfXsoVI8/fDjjQmLzJjfDPaiDcbN6YGsV6oVyl lCozNXUHexZfCsJdERDoxEv7wmX8Y/OLKyg7cAmu2bgvR7ofUmNf6FSs2Bc+wGaKCWEVX/6ny5vG ZIezja4Bx4NBK3xXYqXz422i58EtiVxnDeC2aAW6+hiyS2hWjmc9uGnDlTK3EEvsBP/Y7vqFupg0 EY1hoXeB3H6CWQx0OjTEPVbO8/vvfGO+OA8A2yiHj7Ay1mYUQK1RaKF4L7O4R1p3st6CcC7EKhAF 3yxKqHxv4/uvL2Sf75PafVDWrQzexzRgLm3UG7QhupmE3CY07TiwhHWp8swboYzH+ddqUs/QIwKc V2glHcimfORnCG4q6pw2MDMJQ8E6whkCOMa658Md3NLY0/90lRY98nXn+PaotNBWiHoPvSJvgIPb yTrVlTu2Ma7cy3jRlseHVW1/4X0u1jgxu+OvQ37CFua+MiGufej00mLxDqH4QHwvaD/dsNRIqO8X WnLBUZMMPzFwlHPiQQmO2e85lgSm+Acar9PFhgVRprc8ydu45KevwSme9PCWvaeueK35kQeS/5vM U3X2eppTpKLoktTsSJ43ZhPSCA17gKWjmX9gaveQUWpALZ8FCbx0zpMYDO5egx8ZlhCABPLyO4es F181B+3iy0mO7DIm/QdxJabK7UdqwUh7REFUAsCXN1+ibr6dfNqpedDjMlDlOCllORjeOoI15mtt 3Q9yDgIRRnlQvGzT71EjbYkEU1+fGFKR+h041T5vHt/MjNdUXHoPO7mE1fsZX4+0bRtaJRQErki1 oQDD4S1oFZqVZKmRNtHGfwCySmTcm4cVc7hcqAQN/a6q0IME9/irwhuVg2WBz2ulTHm0W5rPJQW0 F/IytUbdsCtK+NQlLPpIDW5b88jjw5LyfYEG1e0doIyx04nBKndNX1xoOw23e8hiGxThzccwIGB3 KnNDORZPujKqHVxHlWTuV8nPxyuXg8XbPEAQ9iZMD2CfX2/MeVkF4whjl2Fa25tctusoejvP6Kfq bgvu9vWYYWvIfs87EidDq0OphLJBBpl07a2cWCzQRgwAKSHgJ50RG5u7iDD1NJ6uuy8tG4GsrMT+ WoBcoPhUXrViiX3Eiwbs2nArRE9wlyProbn6y1R2Uy42hw+u4/t6CuLPfhhseZHlrDgEU2Idqhtw 6cVIALG7kGvY4UU8demgcgCX7+O9piQWKBdq1yoNrAQkdYmdL4CF6+xpyE8ORj/6kyPBMMn7O2j1 3jGFmCiDjpaXoqcBmTRKgItE/yGCFrKf/kJkFdAiKxjck1ITocQFwXVSAG81sd3ySwg4YE5WTrdV 9hvtvsEJY+vOAZ1ucUrarjxkZuh+vfl4qUob+L02mdGFKdFfYZDslbWhHl5BM8zuFFCqHW8aT7Gj 2aphOzb8avbTG5e35waqgv6EsyM2LGPWC6Q/nHRq+29n7b8U+WWrQPRZDrdUMvd4kYYbl1M+beK4 qOroh7tUhEPldnlNP3iciXneYETDlFyHTI8rLyFgFhu7d/KYWB+Ja9jBBggi3ORUnyEkgxLAqCm/ +YG4GjH1O9dpvLdRLXr9jFf2mk5tStOlOpQhCVYjL2+tU1U0polyHTxAbay91GaAlGDHGhCSLUKf jMEW4ahGXFewKx8hjbhTeGx+JCggBdfHf5pu0Vs/KjRKBYHSKqnFkAF/vKC/CF1oc8tQPbd5GQVH ssPw9IoM4CTu8cKXhgRhDT0HvPADDfMZ4kZxNN1cO5ZGKYEugpLoK7MD27IiwuzibugvTvsQc0PA eOLsOkLPDvdoXBEVeo+k16ckOf/No7zj+j2QXHJlCOiYG/WrpO6IubKblr5k4bS2piBTbDbzg+Su 8tblDDvBvjqQp4c0DuzOI8wi0F0HuSElVGFfFE2iQ6q/4vuUpMNyzJjtjf/pkgtCgcmTxNrryxQM DW/VsCVj5fW80S74YUaUbrxa889HhMTe2ZOcXCjhMnAQRmHAjCLgtf6gxN18O2gE+6HYILFP9BfG 3fc/2Wg4WUZCNhLXVSVf6ETU4XBMGrBrRgQ2wjyR7HVkawPGdpcjGb9FL4NfMN9OaQOQSDRf5lVn tJ3NwRN1rz6YsYkVzZa1CAFoc+0ESZ8E5aBkiSj6rNiBWutNixzpw4D3KGNf3wqFcmmjlRsRPQuv CU+32u6fQv/qvv1eaYdVp/2U/1Tx1qh9re9TyR3/u17/B46zXU0irn894TF/pNeyyfdOfpvbLV2q mxbup5dVzZ+/BXWpHHDtJ8HmatR1j5KUPA/sB1xOxVWNhoJut3wmysryLHVnTOlsZIf3P24LJF0I meUD9Z8g/nzs9vSKT337pbUjHJtg3Mur+SbKQHEqDXO+OtNYWqVK8VFaefL0RDCB+iL3yTfwn7jq 359MKRZ3DfxGAkQJAXCM3dF57p7XsWZ1jqrdf896FbjgIrFIlzuJdcdjB3u4EGsrTmyOTml8tV0y dbDk2KfmHbas9ojrKuNKPGpK4hraMWzCQRmRKgg+Tghq+ICrDmTeIVZTabmoU0zdJrzpF3iLIkAN ISnxJa4tFyfcf82fYrPpTIIIIOER1mOWoCpVt6MatAb2NpL9YoYkQIqO7eKy73c5vE862ymZM4A5 aYlXOMJHfdJA3ccCpy1pMBwsN7gHDQ8pTLkSa7BliiY8+Lz9e1bsZz0Sw/g2NSfJPa3sLcaxKgLL hHCjNwjsRFeeZfXOvHkt+t3xpw95ZVw5jR4lQbxIowPdl8zAMM+tKhcH7ivvmQvvh0595iC5Vs7C 5WaeYhBllKinCw6w01LhT0KQaIHmZbucDlEgLT8Hc1A7IiRSQY4Vj5uSfvab4fA5js1TXX8uwSO2 kWPAOew/bwbBNCHQ75/1DoXfuR+7//DhUaWXY6XcBKprnz03V0YzMrea5JAkVrPiX+R1kD1fDCyZ hxIR4iYXQfz2wxTN2ipZPnrRo0bscTiOAw5bkvcH22G4ls7vUQwGl4vmyGaAqe084EQ26ESpmUBB e9SlV6M3YYsZSwPAFQad4fQheNwT1gkedxTPZD8FVRGyM5M87pcozQ+agK46vtTJroQXvENWRCP+ lVc9/F9mfFiSBNZb389GYbDi4CKL/UUPTYbWYTJ2xNqcXLNpUhNP3WtyFSS6thsWMwc/Tc9lVP6D e4KJN6hGINgNU0pYVf1sKi9Ml+xBAjlRAqlU03CrVGeokch3a4WDLyvzJGpt/ZgW8Ul4ier7nmh6 hRdS4S70ufWDO4agXH9D8PjPFfLj3LXgJsmifQ+u7pt3ILhP7rFAHfuGvc6aGhmRlHE/49Tr7v6b ZiwQXmg/OxSvoYg7Wgudrz60awjR0o8DZ/l50HVa7918su/yU+criXi9yHVhJ0nDmU/iL4SZW2hx nDhWo3ckxLxWkUEh1we8G2lWq6gjjWv5RewVi5JJNlOoIwNlhWUaWEvJH6A7ySlKEZ7iXKqZOAMS afnN10EbOBQAoj6F3VWJZNzEb7Q69ww7tQuVKS2PdI8brqfqIAruDd2Vbpv+NKyVCug4wRIeBJ9c 6Yyg84rhAxqSx3t1IODrlYUfKGB42gLUGST51Ji3pGLz53pOlpNViaAKOC9/R77d+cEC//mAtUIf AsIk1njww9kx7jmbYnQGjTWC9xk3RoajOJMxyYXRCSMMu3W/v91buDyEymNSUetDot1a3q2OfrNR yHauKkJYsmALR7QcSP1fhAYuYgtaFdlsh8j6qf+uhSoYhKfXGNj1IsOp0IGMrFQfGAZWoo8W+MYk UMPgtVZacp6JzWtF6W5qE1kOPj1UUbfsLc7OOls75f+KSDXMujwaP5lhyutQCiSrw8AM52qp+7Ul QRMUSJEOQoE9huKtloAMhXRzPirqu00GxCqVS7JJsrEs6ZvElqxYlh2+7WgV1yTSFaiWFEyd492f iLjYnBpnEs3VV3xEZYwWg2Zhs3d+pDkbYoHCNpZWdVPSmxg0OlQ9HyaoR0+CfozVStAK3jvwPPSW IVPe/Q7t1yBjEe6vGf+Z3wQj1Js5Jztyv6qlx9scAOfZNai346K8SY6o+l0bkmtJkVrfgU45uXHF FaNlyCuFyglWPzjP3KaaN2+BlAbdT6dKwyzOhrs8YGWFmvYl06Ni+WtDD/qyC9YSBHhwqPz0NOqi VxuQ7VH1vZNlitZ8/C6U5FHS5XMOc8G36wq1kwB4wLskfKiMUncJOeeWDjPjbNXpFU0FL4aeCRg3 mu6m5J50nVXyL0yp7fM0nWxwyiYgiEA2UcYmJIjB2dPxhphcuTAkH0sCc06GPy7wDVNy9PntekPA IYMeGmx4M/ewoC86hmgv9eUvLD+sQvMBVw2JBD5ThJS03LIiE5pZn4HWMLa1GhJnat6J5Z4W69rF pd0RZex9JgYi/9pdb7Kc1R7BpMxJgoLe/2yt0+7CrYwx+lqNXyula4KgagRmiGgX/Kkn7fsfOeVa CPp/M2f1n2y/ntT4uTXF7nlC2jD1JQvl0HL1WANnn7InWxQ+ArnEuayEYoOSdhPWv9MIiMicRpOl KpMIK6R4LE++7e5HZPOdh9K/mKFyorrYukmshbMINF3bajXziM+DdS8PJsAK+UCl5uMpgzT29nSH KJddUUp31KwBKtKm8Iq4XwLa7tKQF87S0njbo3J9aubmuViENrdOZly9FPDc2oNHDHmHX8Y5wKWo jRl3QEmPmMpKYC1kzjJFB5yf7SfsXFx9vCfMo19qLnH1pu7m6QITjoBYO7qmCFp4LpkwA8QrYlKb eOTcRT63Q2dP18uXWpioBnusWaG+az7MTxwtLE60QG1o+vL10Abm4X967EImv/TmA/3lwjSmsUeT WeAw9+Lm2vu3z4tSUSpctaSVmSHjq1AoKNdZ/OhwcYCmWrKPkLLgwIW1NX8iWTSPy5v5sQyOwgBV RcU4n2wBYc5256lAO16jvQ2Y2ivo8o0JB6O276EoDCwhy8yXeOUMsTBaJwTvpGL0q98hrXamD/y2 hsx4eP7YQJwt3igDVXc1sDaLLFHnZ69Lmp40an34nhh2gNzAJqCPl6y00gCXWmPFGQuu7SFNJg37 iXoidDxSx2/86qU8zWeYU6SQ/unX8NXUCAeR0vfB5G5Xg411hDy81KiOy5Tc9DI5lGlUAFHUMJNy cxRfcb/1rVE2j9yiS36Wz6Di32GA7IkmEWZVwU+IElwHioVjNRdmbUoQ2U5KyHrtHnerMWtLxmt3 PikwIGvp/PhXMUbnW2ZqvOTBmBy1uxk2AbiXITURrN/Lg1CKiskwaxKLPU6F7wRFlmh2LZfde6jp AeAGBGonGRlwTZVZOsuKoDaYt53nX4kDoZXKInQw4Vl7cPIL/BLBeDQJZzcsqx3V0pdafI+D8nun eXe3p7ozjxzV6Sw4DqY1gUlijp2FjLebiKnE3l+jxi7MGFllFmNwbhqT3+oIPl+esGuDysrl6nhi n+CkUvRuahrjSX6oqs01oa7pjCQCg22nJI1eHiVKY9WkOcdXgRnxDIYmyMXjGNA4T4jeXN/yF17n BNlGbhE9m+8nAuWE2YfibQCn7sUh6SF1flkzYtPb6BBRPgpeHHqrn8VD60ujfylSFxedOHZXktwp 19zmqwgTrVn3mLSZfZ4BJpTrSOj3VEfxA5LjJMAhawQ5mb13qOvRMY6axzM54KRUccduFLurgB2r FbuyBRL7rJIfmBHVxOK4frNiHRp0DQR85OJx4R8EXTj9kl3ayFDWlXmfCLvyfwsckmniobagd0ED cPxAT7QrbM4mOl4DVRFeJDy6Ze4u/m6dBLWG6HxUzxnIY1ZXLwPHzbmhxxvbAkU9mxLdGGya3oQg eFZc8p3tCXz3JVXT4VYlwRpiwxlAitS6NeygIA1I3g9RldQGWxEckENOOc21XPuuBDLGJ9qfgiM2 JQ8d2f4gAa4LA6UdQL+cjIv3hayrTrJxxCxXkq/COUE12plSeep3wDacgbzIRJfpuELmJApL9Zve 1XEXq05n21oYJg8MuQSAVx96MQieYc59W0EjXt4NP88dQkTdBfJLFom2UUtjdugMbnbM//b6gUnv dcl6Ovr9h5MbXYkv2Ks5R7/CBbHZxczVI9FD4MDwSHXFys544vMxcn9FTJjEcJiFm0ROdSU0HUEX gp0gOoIQPnpn+yU6sF6rbIoLiPEgfhA4u/1OKXtWKStkIsCPePlykXxvN5o5A4twSLZA3RiaPXwD ibK4cVo4D05RYlceqzRlfPyT9T/57Lt3k3vTt9tNkxUfjyg6Gfl/sc3MqF51Nx2LxTYVFyYBnjAM FIejXuxFZ6sHZ7rs32Ss6Bgx/jLvIlas/dfwGHs9enXXHcKHGSUvVgRWw6R5hHfd/drT9PHdkKph F8VIOroLRgbMgMh9mdvSdVXLyZxObH/RnDkwid87ZSLWhwUZ+u8r1xbTpGYvdw1f2xa6uEMHP8Lw 5glIKC4lwYa9Lm6x0/N7zzjBgAX7+EF438VagCGj6qPw5pxwbnQ6ZTpFWrPA9MbxmqLo9xwJ49On 9SobStjt2kZ/buZ0ciGWpyhCANfekkewtK56t+dpfQFHOfhyDaz2ihW9JbKMlZW57MQjQRqU6kAe CVB0BLL8dLTgyGj+uqqzElBaioYgFRyJHS+LGME2C0LnjLHr6UKXC+goF2f4rDNb4PKrLIw4g+ad 1hdztF1Jn0QFuk0cin4ecJcUOBVhT2TMEFlYiFoWznKZMoBCdRT600acMa4cYMxJP1uDVbs0Nhcx DAU2FWbafZzd3jrq81+kbjogM0oDAPERAwOtEhw2ri9WqQF1m0ek613s2rus1aERFEbGs0YgR3Ek ipNoHXkDOq1W0tmJETlqvtJ44rmvKOl4ogLZokKzyTPrWeYTFjNZbGLUbW7QRee8d0SSnlUoZMb7 VYgJyfjxCFz2DEwbAt7yac1QS+p9Y1wsCj68+mrtag8LIvwLqDWMcA9HBGI2iWLtrjfRzllYkIrZ rqjS71Sc1hS8HOQfK1/F2zDhfXmfyIEtDi8H/4vJYMcmhL1olgfw+W23WkZKG6q1im6lwM79DiC5 Le/dFn/k58eygTg1Np44ns32JnKaCjS/2kFJzlnAJ3w6iUKrrRdu1QqS53HGK4m/ZEqB/Uw6Aipd 4ArbEq74zl7dQoLX9V/H5CoUPDOHISKIoZBhCuwX5uGz+bk016dtbtX87n+fve3NYZG0GuaHs5NT PaWREpWnbIb8HQUMU6zf8ZVpThz+A9OZlnimMQ34DLznoirymKWuxZIiYxFBgVHDuw068FfOJfZ3 qbR2sKIz9pKetaePVryozgPGiJ5tICjMahHwzKrX5mmJ+wH381qfshhdg2fYmG3cSxoVb63qtfsh nT0tmSvn8ZZDf0fmCdv/nZrvoD0Y+Ww3k3U2mzrjPU5Ax8xr7tcJMCvGRZ/npK1xtZp7qkc84Aja 2rjkVJPwc6SrOFiMCM9YR70TakQCfp8JzQA48JwUftEUziI/9NNpExab4KPRCayT7O8s9+NOSMaW G3aro2Qr1GAJyCexZJSJTVq5wuoxF8QsrnmvOUDuMwd2AA18K0DFUuJsQHOBSqIvSamXwH40CmQ0 gLvJRYcl7c2O3ej+/zJQzBj3tuGNsg7Y/oifV+LE/W+kr1vmecPYgPCYrkaAXvcnMhqONBZsZABA EGXGinSKsYJZNSwtqLYnRN8Q6NEzW/balD+Dg7uKGkj2sAcjc8e2Ldw4gEONy97dqGA8JVf2TqeZ B9Ve8MeUY9k/y/HVUlIJS/lkBTLjvwrjH8cOfcLAd9bmovn9QHR1+NGbIvS8MtycpWcpVHxDYGOf CcqF6qCfbabL2pNWR8IAWb+cLXeCaYKMYPh0z+7DhF/cAxG95pOg8/A8UtA9Jxd7EgxcPVSXhwnO ZGRnsKub7p3RKsnGpFTpYkRMT9e4eE/CR7vKa8EFEauITpuue+fSThQxAdv9vav/SCuT8ONaypzb jevXLgbLXTXpQUPdGPx9AR2n/ceomF+Fx4fD4Z3XgmanSPFcN29gTnIx2I0KgzohWv4Fd50Ai3wA lNzWtS7YQ+8KjqJ9R++8qur7dHN/lJqm4LbfwRvgLd+FXTcU3YotmHiIswP7D97oJdzpb9Fyh4VE 6A8QyZH6eFypkdC8z8IlRXp5ZN/vdi0Q9+L2sHXi84ddvFsA4UMr3ulriRPnx9rJSNOz8ATI4Ihx rY7aNRPaJKbLu3hcczpVPop+8g53Teagnl6iTu2q+RP/er5oRHaygVr1U0gqfJsFAJGDH5jzLbqc XkGAf7QmSCJl4Y8D6Y1hRDCFWc9m48JwiWemMUXzZiKEpR7LzhtFVFI9exe5rTlo2aObpV8Kqb3O Y/BkGCujAKzhwyvF0qWkdj7NNPw850mjbxDPUIGTQVY+itpuXEJy0S6TaxBkfhSk4Si+Ud99Sc1L Z9GrbpIxlh8vRsu0XOF7I7dw3vzX8NaVmeHa09Zb7f9x4VFWM/VbWaWMfK3gruX2PVPOKI2sA5LG 9nESRs4uGnN+o1zTZhOew6+mFelg92qulkkEV5Nb7t9vh/4hCZM5bMgFn5qkwBjtx18O78FMb/F6 1/jnitTu+5ADA1yYdzIMb76cc81POLHafpX4tSr8cVFMofSGbPI3NUhYFXX+RaflOXiXlmpj3eiI yay+kzzmDQZMape6yyi3S0zHrmXKpBaJ1huK96GWM5cehBm/WZdZbZFn9nbnkUX0ooQOhoJut6Zu U6r5AEDLuq4VK6ExiB7tHoZLUL7hbWhaw2hXvZIVWZORGF+LoxP4cyBE0FgtRR8c9f3Z7OiCE5rW 8p1s+mbv+idy8DORkV/mtlXr3MVGc1c68eewLDKIJkGhJc6kf6/DIJSzT1Lc/7SDtXzEKIXkaj5p xTPS7Z2s9IuE7RbyB0ilFjwKx1VaHjuoclEvt3vKr9+8CDv7W9dwv30gb844bt3P+lgCBB+kad6L 6umPBOgiiI4yVUDHX5GoHq6WdU7Rf0JzdALjDIOPiTzMVoFZ+YCCr4ZyJ+1wbynrfnm6SC/tLtNn PwXVSX98R3OuBVgGrmAo7bzugFMtpsQnnhSoor8ZO1IY/87DBQMpUYbXHV9aMra+ZW7lJ/MAP3bc HJnmD7msIeZTUNL6rsAaRlWLXmPC2KFBlpWCt1u9H/AkCzD9hT1M/ML1LoKcwiMnOD9NkadKWz4I oNcaTpnIX3UJi7QjOpCPZgjDJRRD56xjNThE0ycl6HRCGZDDo/BJazKEHBglzphtAKG7g8wMVFa4 y3gSRqVzM0gQzr2kBaFtITJ9bL3TZdPsGdG3Wpr6HwwIaHEk1yQ4F2y9VQLnrzmTKsem4FBvjIet PBRN1tDDxhxA7Ph7eY76S/uy7hE3HIB9/T/0K4xsk6AQ5hoy6OyjFbNb3g6rITXeZkqch/g8eLUu KGuP+JX3Vuk3B0r5cZfbA+Le19hxIFykjY3CppgGxvRcCiDBMsEIgQp17W2Y53YjquhH9R0xBBX6 rAd/Iz6nSRPgJHBVVElvvTJuXXeb4M+tF4hIf48n4CyVs3dgvXgatzPHQ23M/bDWgTczNoFeAGpi W7o/Rt6WpCLyFooNbeMSxkBnvgi4gOrfSC9BHhP4s1RvfFB88aXy28mpo1efReeiFbPOL+Honot+ Jb1yYCwaHGERr0PxVrxla4GdKDJ2rf1mbnqmKY45FQ5H1vCm3P9Q7Hrv7qzbwAl7FRiDp8ZdH8On 7TdzUacFeVrds0iIz/rKr1UpaahecBB57wkEjZAyi2/TTY/vEpT/KBCcwUmGu9j8OWBo82uOocbw KCZOKz6TaC7uURiD6TB+koqbZX7Ub9JFjgOxoiWG7b41AXt1228P8UOnKYPGUv+kh6AbITaocLU6 rA1oIVxD47m1+nDvXud1CaLDWFSMyrDuzjx30Z4IuowfIAmU1UhOENqS2NZKJoTcToKChEOepeeC iuXZ6/RiH5yzcwVBH38JKF14H0ufZhBOxs3yXiyMUExSTIXSWhPU6aSM4FYvW2e2daGjcclsbTzB I6QKDiT6DWFwN8vIewT/PF91mUFYmq9+nvzsQH5FelC2770oocbFVCsGmwrQQNYN55tJPo8Upndg euNXn3fsUICFEGfKhOTuC/OiXZY3naM07LlAWhLyfdho3nTdrcBdAXcRGfu1TUQHAFZl9Ag2wGKI oZ1WUXL7egTKR/JZEq8BN2RdKqwFKjHWoIMbbcS2CAXurcFAuwkw96apOB2+e9dxpROXiRHa91Qi Bs7wSheJV0h8gg+gqNt/jKBUU/e7mLDHkB7Mjim6UtaTxshWQ/amjqeOEGbBiftRRNBC+D8Ezy22 Tz474sS5qeIyFk6AIB10A/X4CncjTrTS4xKmldkhrzxzauxOo8tuO7ysjuqRhqQ4hyISEgri26be C1e4zEozboLTweD0HTTG6VCLwoGEEmZ//UGbjk6cuo/96f5G0hNU4lzpfw1mnyQkAkb0+9BxOyXD 8xVUNSs9Wfo8YVH0rTv+guYpF2RhP/g0yEKDeYHK7CtPZ2yDzY4UfU/Bgx0BJTsN8raw6MXKFyqZ gOwP5byX/j0PfWeX9vrC4GrdHTB9Thn9slb0QpOGdkjBWdy/gIhBBB+ZLN+qYkGthBQRC8z+YSf9 F3PY5vgTgTt+RdoduwrS4QYmwONJL66FI1WckBxb0Vfvf25n0yKs03K64dHpCu+2Oc7AE8DKMyPm sR+QSb7tDENog/k4v/duiqb1AF3gJh+3GnM0GRrQ7kWq7oHQPge8UB2o8vRIPZrO1pZoggg2780U ZPxCU04XQlNp7R3VMZbCMldHyuA9LsKeVzAai96HkC3KHjLlLhkIqLbRDu3pLbMp72HmRvJs9Jmn BNOZ78BMwzVac4Ga+ioy1rahAlvUjE60V+46UquVxS5lfVNQdWOT/4zE6dVfiOHO2x5wnTja6Aa3 axsgFeuSCq2+rghmLVdsDDem+WM2i62YBfzBmlkHJDFVVMsQouce1/YMCzzsb3y+1OUh1czGNRhq WBJuq7/cOGsy/+AynLYwTgoJz4whYz7aKGSdBV2LKKsuBZO4LBIyfsOX5fasFczUl9qkiKHwO8yJ Cq5ZTEjTbqv05LX1AFdpTGGEAW+GjVrMMaBXFF4huii5CyrmwAtorGzi4vRIYIl19yPNa4ztOXgY Is3a4+edBvKoUTlY8hXpE6j9yYUE8VhgBWUR0RUJfBjp7N7tzyx8YvXykY0/UIW/n3nOur61d+C3 ctGwFxAXbCvMvGD0rpUCV1nqTg7D0aw0szLNAn11CnfslG732DQPeNv9/CuJ8A5xpkMfrpTg6b0N u6uMy3cg5xVrvv2ljZa49Uu1p1RWrLMSJsB3ytESmw64RxrKMZG6ytfkmEyKfY/LCpKXopC5TKDY oxZuirTS4qz1sq8YXKFroGlMZpet2r93Te/uF//JgXqDr+7zblCGjrjm3LYrnIoqVjpZOLkdgc8r xPJi5bXvKYELfFzakcqxxZLSZ4SQQeKHvKWcZLgRaI0ftfs9IKYEaLssT/ST+aVYePwA5CrqAQjR R9FvI1J0h09i5Sn499ehLf8qnqPAMw1EDSIXxZUonJwI0KGfWfa8VgiHhIXLgL66SoZbpFXVjklj jfiYDxIfJdslk0VnbaMvLCDh+vViQLuaJXqnSSkGQ4U1qUHtErY6Rf4JqFj2Dkjv5PjiKqncIBFA iSRpy4Eoztcfp7G44VvFaX0AzdkJKggl4qz0N78ncFB1C0bSeinjE92klvXDmc4pLuRJUv9fZdti r99GfdOijIlV/A96ImlTRdsPqEY7aKr6ZhbkWczz6qOiommAVCWRd06WRnIjV8xj0/zIXU5cqvVO cW6h1tizEzT/9GIUgdJHZwC/46X2DQRy/mjX6faxglpPd0o6iytZWulaenpVad04kU/+kopZym8e bmVDvUWK7Ucc23ZKOxa+ve0ZdHX5IUtr3ZeIf6PtjEK5XV+LBXHZFqR0nmk8kRGm0nykxdVmKbKM spz951eqp/YMKOLjyr2V80ZqCLjKdCopfVowKDESVTv42t5m6c1QpR/1+xuPJBwEkOBGFK49FA90 5FVpzprhqgSKq4Qi1McQF42JP0qUonoWFB/ipeni2W5ssmzaU1t6BhnJR6mq0J2MrKVkYbIaPolQ 2IoFmitHzHocCLMUjEqjy4WnOS0L7UX3Zh8DEzW1C+C8cyR7qBOBNyUz1c0+Jp0Ei7G5cESLl4kY Hi878We7Ibg7ab4/Vh0fKLnuNAerSjWGQvKf3M14g588qF/pjdViJzWw0JKqqWxTiu3hkARyXCkR OxQREg0Lp+docAeTfy4PDvTXM/El/E+TnW6DZYbjEWKDMihLra8y4R2GbdmdvSjfP+QGDu6G7f4D FFrs93YE3y9LgIIht1puh1O0kzjyh+30rURyiWsPiT5Pcq5yeksmyFZWsklWoksw2kFlQVyEeRiS XVF5z9UGiH4wQQ4wkj5fng8WY1HWujzqw1rxdRuhp4KmkDmpyTIEwf7NRfr9mSiCVg3dVtnyFjcx z2eJTQqQ3ZKC6ffzYquts/vwIltAL9ZSah4IKcS/0OMwajnA8v/QFS1Vh7cmujp8hmlbaJuLvoIf 1+FavhLmeADJuUIBR6StjcYQn9/XnsF+20WnSd67yjL804oE+bED5byo6AMzR5pD/p1+ZjohzbtL HO+BsATTYxikG/X0n03pI52DzhfsMc4n2SFyzvdsGi4sgDL1VMnITfliOIlLk8Mw44zMkhMgZsqk I4ol8hceH44zuB1bE1t0LsW7qW5Vw8XdgXD+qWSn5I88D/4pbJJLB2/MvdOb/SH2aPwXtuFkaP8f eD5Btxlv91uSM60qYsCXSh/0/Ifk5FmxxyWgXHntHVItwPhrGss+ku9l0/lFgK2Zzr8WPwp5AyGv 2tYCQK/E1EMZOLUonMT+3kPcIDuynw8ehC0HoS0WE84Pi0YpTe7qxvs3/6bj4YYJKsCoMfaZVHFV T6zOgJSbv/RIPxLwiIpmCSVAGei9C2jsdNf4m2QdIxByr0irgHjlR2VaplKbpbll2zPR9RcRu7XG nhBO7FMwa3cA8U1/fiyESCmFJqAPqWcf4QnOp6NKkXR7GZM8p5OHCbDp1rZuDPGqty7mc6AwYcAM mEgF8JM3C68hKQpO28VzpKldrX2qnnrAJlayrA+WfMHUC1Kyfpl9gYpZzqJUek3a49kHoIT3oFWc 4/jMF1CZ67Bxqrm6X07/lqR7uitqyaag0dqy61UU1+QCGyRzEmJ1hfY7O/QcFuu0as9otgZj+usd KPrPRyoBsBQXosI9ithaLQVO4/9onES3vIY4q3HIfzPlLZAblSsTxmqliCNsRvz2ZlZ6Av7LFn+Q yLzUXRdJrPDKiaicT0CuFOvuVVjKeI/19AhOdUnBP92iXLAeiv+IQa6QxB3tJQBIZ/rerWQtocSE h7vCchPtRD2OfJ6ne92IhRydwCGbmtmIS5aPCmexzfjDMtd2s0nxZOOxVSDs4w1Uy+AZOofDADTe PZtqwpAGr80MgSKZOJbZpHMEuJ3uyRho3OFmL4icoYkxDRfDIqsfVZvnfGem4EawRtc3o6UWoT+h tLRq0ASiA+HyoLkvnaX1U5XQ5sIgfCV0YumNsJ6Bvf48BCEHbp54rq0rYIKcLJI/B/VesUIhczGk PNrlMQ15L+7LMb0hUqD9rqZznD5JRZxpcBfdRL580Abmm7snLcIhnG91FsPAJivY4Jva3xvrQnKb h8zCGlLnuHElBM1BbrBg8AofvbjSgsQj0pphOrIQMIYJBki+BG3yvSRxdxfXvXoTVsr86/XXxW/2 WzkWBey6W8abAi/2vNcYgIPB/2i9MQKXU3e1UazMePN8aKx8zADuCe2qfA5OLhfIdNcrynQ7ZOsj zKaRH2Y/yh/8m7tXl8E9muuMH/Tbh2/dBm5FvN3fhE5wTjW3NoI++jrc8qeO+kiVyxNmLGYcdqOe dw9WI1D//yFZLNnovskD941H8Aclpl0acyUJPkdGb80qLayyJ9N1smBAhJhGC6kEqQiFiHiboKhr m0qNP97g1twFwW2MyNGY5zbFWKlGubhdCzyFyVj+k9tj3ZtkH9M1npvHpc3gmMtHI3i8ZcsmKOVZ 3E5g5tYOQnv54lZB1Nr2EABAFflEhk1wp5hJeVEQwHBDX1fzT3zpkyT6SlTwMO8UI8cyfd6xh5qH Oj1em/UltxUmTJbPXFXFwaqW0+u+6pnr5mvLQm+dw2BoGMsGeTPppwztxu+3cuNWfI490AUOF8Ko DIZGxBC0E8dxNoD/iBjZibyD50RQil541eftNWIPun4mAeEa48WXjaI82dG+pk+Au5mq/NiSvBfr XKCBznR0NuhuFgzyLkZ6zlg5lLRmLbsAXXEh2JXofQa4Qz90XtNJLDPfOJlS0RjegnCTE5tFIzU3 21do5SNQIA+X63Hg8PJgkMfvOqZ4JmMXWfiLoRva0eTRudHl6P92JTR0F0BsDT5n2z5V+bs2+XXj jV1zfzjyTpn/u6Pv6MaPCl0bx1JOawmkQLSjpt4b+hEvXHtjErv3vos3kTwSRxh1zpq/U5DEyTGw +zCpDGkOwIug7XHANN9UmYQro/VAE8PcsYlntzJ7oltGCupkQTbFss4MY3XXqFaLcsVLMz/IS224 13g/xRnioYzOpDHTZ0cGM/HP1qyTFogLXO/hrh/xL7B6VNKw08QlkuGrPHU+3n8BahdE0Wc+YI8b T7SEzr1hPR+lD7yhuQM7O5+QDFkUH1I2XQpzcaIFbM44AZ3i5hQGSo0Q/uegJ2VYpeoXNd3VduxM m6SSXelrrpLVBdhJJcQcKutGOo29T1MMWJ2CkeAKDvDwPemW89hjsdVxdqnAi+olWeDBrI+WSmM5 fXQk/YnaGzaCQlg00XFQ9DRkyWyoFicAkCPI9V21LBjwA6jeeabXye/+H2NPomkiOexykgDzs5Fv CVRy2b9RFSjz23wzj12AaQUyh34fr4rPtHHT3gp/ikN1NPt2moa7jOVLNylR7nyMQdjGnKV6gJH0 zlf4nzeiF4Dc+AgVQX6MGQxJBIllPnbfnZmhgSOUv9tH1zl8BL2YFqsi0M8Pp7KwakY/z7KevV3/ oQgDf9mvu7XUdZFg88b31IFUpRrevXXCVluAekyucR9h9kAKLUJl7GvzMVpP2eljsnyStUPyCdn9 0xV1S80Ck+y/boXz6oLI0SuVFWuG2OfKkgy5pYMnZ+7WRisvjLNAITiSGEcN1I2ndtNYDxKhe7XX vtejU2tkPCBmvDzMoMiAJqh0o426qbFSrQBFOkkI2rjyAnkA8u4x8ZIrbCqIZCqVshgKwsDk/K3/ oPC5QDrkYX/LcQ1/HSY7AhcNnkOIJQkwUs7Dax9JZPg7EkBp/TbdSmnSZrFm2p3NYsRZ0biBn+1m Xfzt4OjKgapbwiURgcb0kFfaq+iiHk2mhwTn/lNHBLSRX0w85tfr6KqAp8xP7qtAsXhZ2n9vxvVY aHf5vIRc7uTOu132+1XG+3Lt4a08Ip02/Ux0lRLC9fDAYm9zFy/rtFZS2Ucd5rSVJ/kLQJqS77xn PhSDd3yLfLofc5rEGDWuoCvdH58umaVBpdOoOPXW5KZAMlgqhYQmaPoqoYwPpwlIlbSzAckQOwVa OXaC8wJjESbWmI6m8em706SfBdEE961kUQqWyYnypMPCvel51YGGsOKnQ0+yQlZf+9l6TM/8eAmf 4ZpPBxNtHIHfkFvopOOBDfqnmLl1tX/LqKjlbeGOk4tPW+VRpdtoheaGRsAPISqNmo8OVOSlPn2a AnYlsyv+meaj9SSsObCEmKSYxl25aPmQzp8U2kqdElBGFzpHi8awv1hauYrt7EU0NtHPPC1544Hk beYwp7lPiMoYK6eAsOMCJKjJaEI2XSpXH0SD9i83BElDczlQ7ZQGlaJx2zP+6QbShPlobxArAdFF ahrCn9q1eZ5lu/kHSFqliLeQnggvLP4Yp+t9Zd9kgurRNWlJloYfXABMp3r8plQ0LP1zqb88j/Yi BWQsXuZ+aMymLmVqcDUNHRwtrOMLyBc0APykHXriLbMjJTSyDrEF2tq81SqVv177pvyOvNJtKr2z lbYYZ94Sqd/Fa7ThPRROo5wJF6Loa/nsMrGorNIM1uk1vU1xCM/nIkyUW2ao5QchAZm+Aj/pdYA5 UWyVaKff6SQp+VG0o83l2wNe3c5O1F6lFe7/UX+r0RyJHmV7qJrkd7j8J1BQp1Omy6/sLTCPqHJr 6Ie5rqbrf1UeXLSQfgR7LEUSTJ9FfePZJmbC88DKnRV4Fq6Xo8l1F1b6DizanRHxW7xajRenTA2k L/rgpplfWs+4NthFbY9pxrMbCoGy6o1CBDQYZKJXm/c4Mn2YxhM1MiyzErnMyymP+H6SrEYneWep RkOK+wOdBvSzIBooL6cdSAyVQkTuaLsoN0eC2CFIitIAWsARnRUw/DaExBNYbjxUpGbXXBpPfrTM EXNgDE7tn9LkKgB5qFwIgHdJSvfkGoVoq0TMEALyIYzYUur9zvy5xZuTu9dLacJjvnXK3A7mxlqG DN0ERS9pzYRK9qvzcUANECLkA7I6cdi+6XqFJ39fizUFWCnvNTNpBEkU6VT0yshwmol6+c8irj3X UaRf2QyILCVuDtE4JcPHi5urV0H/2K+/yWWIkKkYYKE29ubYI/o/GoWHdqPHyDYXGIKfAHs3wxEq DPowOwIGCBFHad79BL0ZdzHyNOXDeCGIByTkMl1D5loEYjXbY84cn7eCgT5gM5GXeildxVncAOZ0 3qFFi6zgIDHUFLDIxLkObeNahS5vzMC2XhSO3LmRhpu4FzcCymPaC8QKR6des0uHptAWYwe0wiS5 8WR2hptTaVl7F/qlxCWqShKYbiuMuLR5PIqC0EfCMEK33AyIfkEfyrnPwENV0i3cvIqotoAprx6B Z/I07juVVM0U1kB4opkzYyDjOlxhxzC2DRDzq93bti+gqaszT9CFHzP4vZY68ugJbe1rOk/7eF78 4brI1h2KiRIrGnzn8TflgfFohMM9L/62JcKKfFnjVTv6WFwg3qB4QGUPgqqT4Vw4OdkBwjhgl39z g6Kco0MCx8QG5tNGhXXfgSND2IMpgkMQNr+7VpGEP60IZgLXigkh7hKoJ3RaOc8w75nb2tX+icrD EwR7k1lQzhZDy57xfgkG4aa6yBGKhVzkPv7sUMRXBzQOMBjymiEM/a26JpcHPRH5gim7Dm/l0AFF CjG0SFjtce5xFixYvq9GCXXW4MIKoW3U+QqtbGWbneKSfVnY90xOnuE9KAKGfJDN8qNrkH3ZTUz8 QDMjyVRI+cNkBzJUK49FFaUpK3iZv9OPHopFfhztXyrZi1Nj4QA3G9pLiWNKuhOmgHVWXOpR6QUN b0eCedDYqOG4pxHWLrM+OCg20fXNt1tX5DuqDLJCIQcBoNKoKEiiSmLT9sv6AaW4Ibs8yWmAMbEx DOk46nuTIL8J6lSSOwDnybISQ2foh+e4SQFQnncQXwwGPLnbrxb6mDiCVhni6/q8ip2OGjqrjYT+ CUwWDQHe7hVubF3q910HHonNJnTRfdAPphjwl8gnhfk/fEWp6i54HcZTwtyaldhVGncYebbEPX9z mGdJqYFhs/MQCnxZ5LS86+9qdwRtGkTBWcc6mFcXqhbjzd71OKvKazMtZRvT5yH1IJgyrU2XlkKN djDZr/oSkH6googENfZRD8n/qqfWu/eTU75zikN6UFa0npMSe0sXES4ykCPxGAdvrhIXsvxboF/y /YuYWd8pYVbZ1mXD8CxWZV6DMtPKuqFgL4p1JKlzvG0hVQ3izYEziPrCsrfpCbkx/zJ+Lom1vbJ6 b3WrrivIZrUs+fkXp6D1I8vDFuKOBiB3kL2dohTHVqeKngRBaXmeTqO9/8iv5RjX0NVcn/KHzc6w S46RzMXuDn0B1UB81hGe2RyyvTpnOu0VQrDC+2bhxY6bJn43q/bm6i47drGYdfPaCXSbUO/Catok myvbjzSGmxzhZrf2OqDoe2uOP5JIPo6U73ufLi83YQZ+w0WrttRp32bVo/NyTisWM3DwLhQ6x06n ohbkWrHWPzt8gRcuAV857lLDNFk2YATzpyZjn2Un+NZhUYu+KdYS4Y+/Tcz5F/L3CGccnwdkNkB8 ttMFFJ5ikYrN4TODhtuRJpv1rcmbFQzIBysY0Ur+xsV5qaCYVZCuk3MMabtbM5Ni/sd4wyIikXG1 E+Y0sBwt9dmvTImDhmPyIVK6qxALAuKPFF35+ONhmorZ45ZFnFSgJ/PXaeZzaY+B6Nfo5AcabiTI F0qO1el7hMZ5gxPiNJZRsHyl+zgFVhyx/vc03TeKVioR2UESTr/hURsYX1CjYHKddICFHoVB8OXp MyTRYZRjTWEY0sEfQXB5G+RgP8sdCxMlbO2SLHSjhCZRFAtfE+yBCjhcUJoi1p3feRrDcAbioUOa cqzamQRdwQRUmMP7m7ARr4SGEK8Z2W9ijNudVZ77h1XSUwSUlaRvfX01BU0D96q8u/3YmQcI7z9g z6RChMNv25xoeucmIxvBb0g1Fet0ts7lUbna1JDgyV3M+0vmF1GI8orENm5O6eBicF0vXD01J3H/ 5XIgfFH3d+UasHylKObPayr+L/NUvd77KqFOM5OLlVJG70w8PyVZDkq7Hwih1k+dsGrFqBRPu6sz xfRuaz7Wgq6bm82SkVbJThVJz5s2ws/A1ude6OaiZVC8qYjPHR2qZa9/ff6coFOf5JeSMm26V5PT IRKXTXHrx9FxG+zgWKzxdysc76meyE1vKIn/WnSKbtdooZDi68Kx4EdV6sbLNMrx+xe4cbWesxEe hxpeurs3ViYDAIJJmMEsj5qn2DLViKqJ61q6RvcCkPucWBPtulTYZEas6U+2aog8/WtaXOGsHAcJ /uFtPCw5jsk9jEVbv5cHN+7CijIHpYR6UJpMLskqJFz/cJyAt5g+JSDQ8Dtq4voyJ3mvm0vL5w9+ hhtl1imSeW8yP6Z3/vxcrkUt3ElYyAg/ZU+JgK5YV9SFWwJDN6kIXIFGHpOpBYwvtkcziMvt5V1T 2WNdjYa/7Y5fPSEY1uh66YVBLO9N7MftILK1xi6A68OOm5JVuIoI1f9XiiWZZkHN3LoTMk3u+X1d nOwDq8u/f7NR+DzP1T9F19X8TpAIQzxcS+BwqRLrNfE5YotTdOmPSiXJPE48OW+BGUqAgUMXlViB L4ul+vOGu/K7eIVNM3IOvKWWBJKBeWL1CG8+z9KVjgo41CluQppV3/z0LOZFfQInrluxVauIJ/63 SirTAaW6cAfCyI117ZDiIWHhnLWdVtdUrsJr+zZNKwzqLfHNps7uhF+l/x1BJWfMbVvpemkxXtOe aRHm/LLHcvYHd1jLr4eLq7ly2CLrUc7jNcRUL0GSJYffqojN+AfdKlSlJ/mU8b+opbEejaKefYuv FeshD+mdNvaHKZFQCpHxi7FxUqdegEPpHJ0sfKbDYn/HcYtzJRAnvVMQ8aPw8eJyYivsmYMmLVcl zAMQvsAY/lNuXuuc1GljTtazNZWEwukQtWaAWBh9GAGiTYA8M6xFVbuLZp4FCVOBBcLaSYyHNoPB 5jj7M+lM+ngJZWGMGSyzLR2HToJriJqIT9AufR0fhcGek8vPuDvB6tJi/UcX0np5sZlINOT7U8/t JmrqqTRXfAi2Boe6VC3oYnqCSutvDvqtpPYs1oPptQR3TRYWVP145Nn4I7BjUd8UYfV387jr+9up hMFEmtEiw8I9sMM+NirerfcA0mWg60YZuXBMnqxy6Z0N8xFfEvfWE8an2x0fy6PZxM99As2jTAMv m/iGmQ2QgXZ119YkQIq5kv+dN+gU4qZ92CbwbNjYqdZIVjYKdySCV3TRI+qUYu8fkib9GvoLZUcx lIOMIZPmViBbOrmNeMHfUfmIdTkNjFEleoln9rxL90PfI50LuQ/69vzvcW5fV5DsSXUbs1slGkgM HQJECBPRrDdAmZUA2IM4lFef8s/45D7Np7Qp609I82mKkFdfevQTwla/D5TBYijsxioJHknoUaRa AYn7u+Zm5/UcTaXwz7YcOvfUe9NOUbasyq8RIVKHSWqi8yIaIcKcEAyeehIuT7cPCosu+o8rjKRk Eohxsd1aa+vk4XjK91dPyHL7BM0UX6ismysCjuu1yCTbHjeuqh/oa96Jkl1T1jeyZiJELx47iomH uVoRc9j7PenWpd0RQGzjmK9QqaFg8QToiVcOIXHnn0tzCmdU8s6yfUdgnUEHJd1IyoIVtRDprh6r G+jF9RnMZ9/mbZzRsVRvUbmJ/DtnPY6xqsrr9MLqWqK3NYGMtJDbnx104/Orjg2y0kbfvCyel/E3 WOXzUj/7b9tEohC3oijtw3Rm/ph/Gv2uVl9yTf+QdsSCy+AtZIS+1qm9LS/EU/T6BZreBMFQJ/KX qfipj4xg0CCMrzuFx6idgxSme1RziPZafKh9HcguLMswh+aQDg/7wEfkotIHRm/b1xNXk2vFioqd 1MqvioeZ3CkGaJEU0xXRUsjBsugzvFgei/9xqtaB6E4zE2Cn4EUC/QVRQNqGk9wcR+cC9Ixbb9sJ M6tI5XURiInzyPZfEO2ULBmTphQTaybsS2MEb2Y7AN855AhynvjXDVmOxhepudc313Blb1FcjiK+ Gj6YOjQdeaKxy+O+J/GijTz6PahRBm8tdYvA2a/VWy9T8eGc4MQ7mErXsQsyRDfqaHHp81YzfEd3 38tegV7M41Wc4iXK/KNnfS5P5BafwDi3rtPg9WafWqvPXIqBIb3k7Z/DbgpN5puRAFa92AC3gUnL LJzz+V91dGft6ZUqD04ecn/LdTqhcBpwXB+lpLIbE6F7zUcZJO/rbCPeIy/39glXwU6kKERfaSed tpGduRdNzfWz1gjPrN7OCu54eFrmMqj1pzGMqlyOF91mXlyfCxac3r5Rauu/uA+br+lUpFYY+xVb R+fukAnaeQOt19KPQpxEVm1WnNG78ATiLaJbSx+19K1x1M0ozRDbOUar0AvTcY3CKvi3hReGTzun VbJWPinrqWrSrSThIQcLb9hmZriTMRqdGt6MkCtAn4EcZv07GCoA2J2TMbcmzF+XBg6W8U7QRyLg YqQ9UVO8aka5RkcI/yAfdaeNrkIuGLcymVv7zZvIaE8SddInJ/uQP75ooPOS5jFwJMPTiczKhuyd mwq9yq67TOhU1b7EQ3Ci0YsnQbwFdHVo0SP7+RvgV+uCFsElhMIBZvDjTHryW8p81X6gNGjWU96X EnK+VgGHBzlSBrRtVfMkY6xpuD1Xpa7iXdjmqwSySGCcUjXwB+dWhD7xf28THwtb4igsBVEphNi/ uaGiGeKOcmHtDVokPu88xED3Ob1E9ZH6dm1ONVslru/y7Y7yJu80AjFLsNAvbLrDMgnsvcaJJRWM 3QLmbPnVn1dvTfFZnqnWRXk2A9qRHJis8faSqjvWpWgABHk6zm3CuhSivLs5fg5ZqcgIOIXf7NWZ eDHKIpD5+8txmDX+ym6lhdraQsMnWhkascKDbZe+d4jLZHYUbJ9vmCgz+I7iBouOugyqmqmwlZqf O2t5amVBw3XWvMugbsBA2NOfjlJCp+j8bNUv5+OUCHXd9y2Y7eldZClmeWJc0biytG6qyGGibHKv bF735fAxMAe6xXDUrEfNBgWZ1OVh3mkkx2s1ZnbdmsV/WHfVHn7x/dzsl/kjSShwwDmgd7KFj1AU /XNfrjeCOEsqrD/GVBSwa8MUbVvu3F8zZbcBup2bAG3BRCMsZPdGPIn40gVdkifRl2lBL7ZSDeKr poaRlshtxyCnH/oWq4G0ftEvTyTzKbsnvgmPt2zHAKJ1t+pGrnkleBapLm4Raitbkdo7K8I/R0nj NQ65CQcqAT+jtbk0L/sFe7PZ0Ahq02xAMSaAHbvMAYvCN+iZQ4UK1E3Mp2S7Zvhxckn+mX3RXMfG zYoIL/EFq7r2PcU6YDNavg4NGIYk8iHdxomh1lQZ6/Y4fMvPMIA4SuzIm8UVyQW3rI5bGX2r1rwl F7Ryx7OOnnN3x27qoFi9MVKjhkyyQteGBmcYRjBCRxGhTR0M+WFEcLt/uSDoCnoaimfK/cIhPChw tueSGOQZ5mOnO35in6p2PTL8TSojoOWBQfyq+2pr+thx0i8aigrW8Pk5Vxu/LFfr+/ulMZza6xTz slYZr1iLarxD53EkbVPeYHaUbK+ZGRa6nYgvALTdhmT2c8g1zcgq2iZPly7uFn6AnlwOl9TgA4bp +PdXHM4bnFKbjwnF5Q26zOMaRyBcyY1wb0JznBgMwTEe3z3XvQo/VT9rwDvU6DEme5ksCUjv0xRy SnbE8P6ZyHnG2Ll201kq3VDAfYH54rBg/dL5qpn1lmMb6PBXugt1xlnbKpo5TWXnFYAdaNrYZ3qn 827qNITzT3N9U4Cpd8q2r5p2f0lrn3kMbTtDEVRladajO9MyL7cIhLprYeJZHYBqcw1oyvBpXoBc Zfno9ObxjHv7+/OiClulN57ZWnOst7cyrqggZ99YcVosMN5lkFQFPN/xy8x5ihB/ESNy7R4tdSLa X3Yqyv5ChlCesFI+TNQcyRA5a80t/SEAspwGxD+Tpx+R21lx8LzQsqIIsBphC4Ohy0SMf8gegPyr E1ffjZVAthXE6aA/kESIFAmJFA+WHhowkj4L0UAKcaVdh1aGPL+zFcsxHkMoocb2qhQYvtoQr9Wf g5OpQOjxSesB7lfjBC+NgvVusOjq2oxPco+myO1eWr6fl+W7faMoiXKvlhtjIjzu9YaqjP4VaxY8 jZH1PNznp3im4nHPbvy0Xt4bWFjC5VF28r5dgYCpJX4ipSLAl146YhvxVrl8BDF6u+1d9lkl6U4O 0Jx5D6bnP0csObWLb73G1UW/105x2FLD0q0+ZU0qvdGgdasr2RY5TQk/GoN+Vlxds1TTZBSeo5Ke 74qD5vT8ddPHHczZyhcZn7TQEnpRBL8XSHOydhzfmq51PgX1kNExGUe6F/sTOLsYqZ0ElME0kzp3 WhTJyi4tv9/8s9FO3HD08zX2G2lmpqjOyeDMW9oo+QULlqS5kJ+rsT0e0tvSknOrWhXXQ/nRh3HB 4DM9tUqg2yAUGHSNHvLV8wVQGmTVNUzUX+Bhl9h1cHbTTz63PVJ5U410I+wT6xBGEOdu49J3aZ3v 5DtPOq9chHhiDq444T8j/Q+70cjIXahFRYKV1Ga0cQfXtAZ224cfvKgXKPpCdzCYHcn26U6iHqoe HII/yg5ZRpdtUcx7qlvZ0YkW6zGhhXdONzj2CAOR06SBhIVrdNVd7IgpCZhUNXMmzcD23SupUtqW d0c4r1wCuvf5tO5JJ+z7moxA6G6vyYKCTtA/kKuce6nco5zIfYQBHrEYmfPb/iIQOfSb/iCsvIhg IbMviuOD8iqpKFkmflg/IzRRyLdc7MKXsmhSlp8BMs5iQ7+LvuQtE3K9GcNgE18brLado2QpBFN6 Xx4lqRXXFmF+lJd2oFbcEJBaR6t5lVul3q4a2I6XfyjoA3a+Szso9T9cxD3bR0gt+TXqX5t+Wvwk 5sLCNUWE3yv2C0Gtki99f2cfGs+iPZ2FImUw6Y33VgXF0QPqtuXRJ9sZnqUEk9cPStqJunYuNeKe 4UeIZIftajHSFKHHACWcwLwNoP7P6EBrOWa70hWccQ31QgihZVirXFme7moFo+R3oa7Pf713LTA5 8RmGIhai4mO2glAIQ6qnNxKWIM0Gl550GdNIWf+oxbyAeoK15fC+/xrN2m659CK/EAfoiZiVz+ME SLfMT0y82S7cBtExF7lyfLHJmcnKLHTKvbLR94ttpiXoCSJgaONBzv5G8FmSD0mUYl3I7f8Dbyv0 /z+T9zEN3zdqZ3kR9W4MZVti2UDx3Wkx70qrWonFHb8v3JF2uUkwaX1cUCYI/iXlk+NFMZ1J5rQO c/LscOypeXqzMGjZGIH/j3XrobKoJtEh4hW8ibZqi6mXi9jHW5wEw3T0uJfJP7v+gJZ7f3PkACD5 lnhO0vNyzqtO6ZrTpsMNCjHUkQNIdl9N6ISA4Tm22R1X+mkFaWJDtKDj32ZJQAPMKlWS3H6shlME /gtPyo7veFoFd7lfFwXibat45EXc2SFzm91zG6ekDjA27CIyfDuyqzT9ku/NSa1L0QG7Gb6tWOq4 tuV/lY3uS28PsKv8PAko5t3EJpIp2rxXHEuk4W+6Mo8boz2yYv/1VHmHWAEgk2RIKm1aF25X21Tk Az9/WQtq7vj2h4ZfW6g2ayw4JUSJz9g81EqvZDkvO/v+rtuanu8vLoxcEJ+6wzg7yTnNBT1O1RSE +oWzGOBc8zRpAbH8E2ft27tOOeSmA0rCLkNEvs6Lj1GT1QPDa1w0hC9jgQ3ldR/50VKhR8m5oVu+ o2P0NHrb/wUMkR6Khllqyitj+GjVJ1NCrrfkA26Hdm554qv7HlnSFohg9zbdn9SIVo8EUTAQeUB0 FFFZV7VB3RigPvhsEzLL0tzpq+Ycp8QWTw90imw35Wn4rtFjvWVygBlzflxEvmQgFlEn98onPi+L 2k+CeYd/K4GjWjSohs27QDppbS50wEhc2g5usWL8BwwoxrO9/2KLdGiZQaSym+A7pdvfTt6TaTXY sCXkYK4VlxSXf0KnjQZeg4OY5FbXpVgIQSmwmfVRBBFOeoLqjgLkhdkMPvMeN/H5uhD9QFVKsjMT R7+sa09TpRxFbWIhFEUm+/bi8Ff0kRVkG2fFapvTiODd80/d2j1zm00Pp2OmB4xJBhgYzJkT4hE2 u0JdMFpfEbnY9QtAcDfHq2aXsLsvh//v4pBhli964nd/5s/SWMKWgc1qBiaYDo4Gyh1BV8L9OSzy eafwNWxE7RSCCEztpSDEsRBE1+56IkoGJy7vIs59r7siKb5oTt/zo1ssVFNU8B+yFzA/dhiBBJW6 rvZ0rcqe1amoicbF7jwGE5+6ADaOqLqePxXuafhFMy5uoguv8nYM2j8Lv24VsfkavyR7PZ5pVKwY EC9FLPbJ5aKIcBZu3Ynn2g5Lkgi5Sq2B8kEhI7E0uAalSuS54z8eYUhX7jpWf80g7jCzookAhzF+ NhCWbuffPzTrmR1R5+xN+qufsApOQM3abof0iux5CsmQo6SNwsgkVwiECMaQFzMOxOWL2A171+KT H1Vr1UXw3TXy5VQGWlfu7+lR1zN06dkA8mGAcS9qPO/M5BlTlzE4/XAOxJZhCkfXz8DyPOU9tyAn VLs8SmkqoCbG8ElIbsQpkhuct1QiLGdcHgUIKn5SK0+av+8hq5WpKSmLO4776UD0ZRncvp/1weox AdEx9YbKwkBoNQ62vNx6DBF/p+wHiCVTZbeIcrNYB1NdFuM9DrR6JSjun2G31vO/q3kCA6NbayQg ayBEM8YttWyLqZBXkH2bOY+tknNQNaEoLlhdnChEZJg9IWTSzgBPk0Bd4PVSp8Jh66Zsz3VUh9LU 4WBm8184HGC8J2Nv14MbognekrzwMFzgQmQ7bW9NnDq6vKnX5WtZpag6iFOkgNWihMCPw1fD/Vnk 8ZVFvwwt3OtilzWkHkYEVY1KCPN8QZxSn4EXSUHWjxtaRotdi8wCSAIoawIaQzuReZRAq+vpx+0l D2bLW0ijgCg8zT30dimnY03CRK92NLGPkuYcStztdgbAcNtoDUjkm77kY2z4cCGOo6C4d5oIY8zV 5eo9T0x0J8SSHAdr0+ubtlUS8tcT7swh4IJqEqaHX58khjDvpm8dVIM3YPUASpaLn2sT8Hmq21UF dLIqPnoCDpo7vezz/ZoTqD7nvUXjs1z9Ixg1opcqbfCOLPV3DQkAxLjweWjTsAXCg88Wefj6T5jK yR8vRuO/2wTgmK7f7FxnIOCyjowCI0/d1pSTHX0E42bn0A24PEUkMVdV9ZC2DFGW4AT3exLNXaOn TPjPo2sT1Gf+JS7jRWLSekzwsctVn/uGkEnJrQSpGEYGwRjhsaLxl9XekopFvnojDNeX6CTJQF98 JEOYoP12qmqY1MB9sdllcyp677d/b06tHME1eEmI0XnXj2kDnRo9pC05LWHp/6JsCnmud4TtbBUa PBosWcOH5Wr7kzcHipMcV8tboR5ZiULVj8fG0Xi6Z9vO4T8qW28huXJGiU7S92mtOBYXe450eTX8 hm+6d6zoSOdRd2q4/1xoXYU5XGUB6SZasMrSWakrSgIJAZpIYYH27Rex/1RMTM3IN1s+ylCvVJnC oUCmyNGabOvyvBryMm8/XlJ9yQ8eB1VxBCS6jDCQKfwhGsSok33JiKPgrOatY0oh1y0ef3N0mTTU SrY6TOTLuQJf8MdW7CjRnPQdP19Ti5p4vHrCwlSHjEG1nTdf+17NxwtCuA3AZeiSOeGXW1zx0GKs pTBLCwIhT2ScphuRVtqGNDhBuDA+6d1B3tVznsfyKv1SIDJVaJ6SoF6+3e//X8eI+ElHeMdBiYGb kEUFrzVJZrMUWQRTji7BLWHbz05Q+ABW28iHfM9CcYql4W0u0MqW1QeFfcQF2I7zaQX0WFARn8XC xPQRwlVIz3u6uJnjsr5gCZIh2AY8I/ouOVhPmcoS+5mLwQzUTM11dk8FtdNiYEcu5VJC/n9QZ3uD vWVgqhmj4SNfVr5A3HqMv8kq80MC2b9DtFi+8a6G9pEPUPyZDokVZyCofKvE6w4lagnSTwI/kCYt 9XW05AcUzOih9rVkp/PI0IHhqsE/cz2K3qz3umg7WdQDqXKd4YFrcgf72mcl+w4PI7p7sxCoKtp1 ODbE/uV+olmEHOdBcK6VkfPnKHKeCV+Vf7MdDh6bDOmFV/OZbY6eF4v+GiCr1sLRhzl/TxYaO+GH M5lOg9N36wJihFoC9yVDqZHUsPMwD2BaVA52kVkkYdL2C3ogux75eKFj6b6J6qzOPFJBDxHuGN6L qU/wTEYq4+OsAc5mxr4ggWNx492tAKnGpjMMG0UUHwpJddYk3n2Ti0Pd/s+JBLLOKBT3PW2G4RKt ico+CwNa0jjYTndlD2cxA2qgxVPDd5F+7u2LIv8hIi2AGugaJ/AE5r7TOt6LPrsNqBHHpgmw6Rca eR9tGXWCOsjy2qdOZTBuu9MwraHUlrYP+B8S+GqXzUz681fkF0OmfUoWeRtqtcWFvUbszgOp5H9G xaMUix+VLCB/Lk9xbgA3zj30ofpy2ihymjVVnywcick7A3B0CBkNFO9SN7BNUC4YmXhc9ZL0t0eQ M0S1ZpAimUqqQ4kuVesDppTeL9vj1Fc2q156tj181gZZH/qi5uPRn7JIdjvazoCAAPiDLQnkjPsx 83EHJ5QsbMZNhj6Z+j/PG6E/GsFUoDf/k2RvZoA//4M0XC+7ua7UQ6KzbPX4Z8p29Oi5KUe6cVFd D4VaJhuOjKIySxuFn3uvqTZUuVb6Xnn6US7ZDwa8fJnfWd9vgHdrAdDiSW2nkCEdNMShhgXFZMZ5 P+lYCqbeBVYLdVhF4sfIuPKQE5r1LzNOxU8a5KvUsd2FFJH1/l+NPuJwWe7I2qMBFmnx5KF9i+46 j2dLfsix6u64YsIcOq+3StIyk+oyho4XMBJRcpd+qpyyxL1aa4JEYtY1X7K2z/GmPx6W2f/SI7NW FjT32X+Zxz1uxJ71gJckdJn+bgwgj7R/kSEowORZwhzE8kWZwFamhxcdyT/WBqNdbITPqRBMgH8+ 6hRWMb9PxFu3UsDnrIfZHjEctr0waarEDnKxzLzn/JkFDD8YnJOD7f9ALQqg3euSbu1EU3cbt2ME efLXvPHS/UI6jVfYRgpVP7PGaUN2akJsXgWr4W+qy9IQbx4wsTXr5PP8xAoyWF6COr2LvR+L+Cnu urga1vTBcI0O/mFniqLrqwkaFyBSAkyJLOq5POFMRUPANGixrPcKe65l2EBFZlyuhuPzdBEFodG6 P4FAK8u8EDMPaOEMnHqpsRBuMRBFQ+3Em0Ad6OmwcIe9EYrpuBU278fRZt45GXqdme3Hi42Ucwv8 NEbuemq1Prh4O5+20+cHeF13cnZNxSqpSsHfDDq+8nRNsHPdpS9z7Pt7Vw/RYhL94+VvpQEJJG8f 8G70FaMJ4Zfmkd+Mvcuo5YVzYbBF+B8dAUChqfTOUSeHxzq6bm0ZTvWz8QyhLXs/9+DjoAlRP8pk VeTYORIRx3ARN76GyxBUaVNv41zZD1uuQ7esNNlRCfabSFoMguw+jIoA64fMnqEj3/K5E4m6cbQp poofFGUNm8JpMJh3kO8WBClhUzFw+bbJduR95b0qPDnQbj+RfGgvOXCsUyPFsgPs2inGF3psc+Fu uKtg9JRgDSyeOR35fI3wiQYhi+FfWhqPk48Qdu0IwVDerxjG74b/kxJd1pbpMTkodJad5d+Fy1Gi 9dHExnOncjTC8EbCRkysSWZwcErPmKAAwzZlXV2PEvIBKaIJ/HfS5G8Z/TqEtMBGv9NYb1RBVJox Dkj3BJf9cTZN1/ckfDThludDdygNrAHXIkvH0yPwKq+sPsbna8utL/XH7u5v18yCMmhRm6cYJH7C dew6fr3ZEWaVKeVmAXBbRG0nS8ftFkL5/foLNMdYo4O8X7h0UqQBvi0YRtC1dgX2fGAqOQTDwgWq M3bR9pZMOfN1NrYiVNgrwQKdTlMFvjM2G8nuFrYB0/skIWrE1gHztomGxLMJvV4hPZH/nwzUJFyj lB5g49ql65A2SzsTKeU4T0mrFidqQHQR011vgRIBUDoxEVkSvzURDRtOmdRHUXCA/Z+w8vbm6Y3X IEX+k9CYk7LyzpyePHbpL4661bK4h9+M8oB7dmnfadLsAGRcoqXbIVeb7U4KFD/hw2buc5nIzqW7 t/Snqo7hGYdSvbANPfYaHWbe6yfo7V3zSUOG1WrGuIpc2E67rwsJVA6pNKW0h3riakz2i/7dwiNu S4Ffx8BtHossslt954BwrYIkDkJNRnS9/Ga0IQXuYiKCnfZ3axwJG7Lrj+1EDJ1yPEHny4tJ2bBJ DfhEAlCd4x8LvNsVbpG229qBpHBP17MRttzuh/ocmaesuq/3i2x0Ao1BMOl6mNuS2qk8DptJSint F2gESk42FvqWcdPmtsiptzNxJsnIJMdRRppXLiZ2KU9MpCOiF2OWFmv6dNrAPBo9fGjNswPRxSUu 2ljbRobn9MU6d2qk1dc3S0ZbEyyeU+eYF0aaFAfZc+spkFy9kygyUvrKRl52Hz9qkhQEGmi9Qz7g tVXzbJf+xl/0uRlWDsR1h773bbzgw0mGD8cX4/O+avvcQv8h7+xMVGpTLYDIClZtsSS8096yWBr/ DwDTi12QmF95G1abIYWBh5JI+eFK47V27NHQA5NBb6el1A+FvF3gxR7kzUEE4UPUucKg89geuSud YZ2n3+HW5uay84A3FXTu96U273vRSAvw0kizsiWiDTd6QJK9WsYGAA7LM8Abr0hU/gL577KhwT+l PfaHVBGN6O1EvU/FTE0kT1iwd17JCLN2qGnA8AGF4QAdH/5lMnb/ZtBkO3lamauTtoCj+7u5hCvi CXYMskNVvsC/XSJxHJ4ljpyfH3smvhv/AsiufF04DLTit6VLVvqdAzIzr220d8Sc2TM0PUH05bAn mzTnLkPuZLHLkgms9cufQtP09MWux0U4maUjCwXTzc2Hz2FOzLUeMBNqsvqd00IetbK2xghRFfop YAooLY4whLW8i4gYPixNSZ/hdL8S+/vfI6Le42p1oYj1huZPLMEJECxzIhydNCEQxfaU7MbY134J GYPG2T0OCa4GFwxppE2yINvAQnibGpNAcWfaBKpsm3aWBXSNNFHEFWNgjTofAgMkyjk/xXoMxCMT 7VbAa2KeC6yvkizztTG4NyBjQJN1iswIHJDEhwogd5W5yS5Ss7T1P5filPsXUE7EImSnUe2TD7i9 JbhqYJEzycQn+megVZ1H1IV6Sgb/BRwT8C5U9LyxBqmSW8LnuTFzZ0qiQcPUtf68a4DQa6Pyi2JB Rpmo10P4SYq/v06gPD0S4jaf0JzAkPhBqjb1pSvcP9qHcrLQtjpTyUpEFqGp6HMi5hmTlO5ANq0A NTQ48P8rQzNmkS2iT9DiXFspb/9Tp75nX2U559kh3ubGNimb1IoyTMvXKxZJgMlMZ6Nf+2wzQntR wuPGfuzPbxQWPrKey/RGTh1SDn1h33RghENtSLITNVOCIV2v3SIdhtwdoBKM/2/7FHHBy4u6j0op JS+tz9MrESwzQw/3pxgGKUsECGaf1/Wxii1I942gQgDSy7frDFKt2TlXgwwhQEoIhGbHbyAlk6bE jJ8U5UjCeaV3ydpm1SJH+Ozm6KAwACNkvhcu6zJe3uZu25kg7j4jnVit+e1Z9HjAiRWrS5UOSSEC 0cLfNC9qPRfcCd0wPzBfzuCaiUxL8bGgSAuR0Nq2jytfC+WAwSgr90Q07Y1++4elKUBUGhSsPAFB p2eNzfx7GyLWck+5XN9ozKK+CY6nShEQbXQzYgKWgK1RWiy7GSAnb9Y1Pqnw3jeNjfRvPESLyoTV iPD3r5NVvmXJigMUQPjRWH+fe3xRJCH2lmqZ/d26iWfZFL/TZTUc8YJ8qUjHtc74Ljpd3Cs/6z+Y bMuXs8ucBktVQsjWlwImCmwPePTvzoFOR/8DMiswoihqP6qgbjVwB2ar3eNp+eL24lLaoYFrhhNC UmsjtNeS/4+lC4lDb0NZgzqscmDFLsBKgFOJCWKdfhBQX1vFxGaxyqi5RRrUm56tpLEgtgORWA1J lZfSmkJ/IvUQaYxaKO3C7VHcH8wdprIfrHs4yNRDxSfHSOw9M/ApOnthp92/IHJJN1DcPBF0zTwI 68obQZn6iU23gnIqzZtLKOwtgvVIwt0Gj0P/hM72hLN3Diolpg0USkE0R4vxHtCFK0rar9ujpo7W WFPqnvhNOt3Hn3RN78HevjfOiZLu/TEhIG7HtBxyMJIJaMuVMdDLjaCZnfuUBJM+js1NfQfFzIGS qWdMV3jXaOhO4JQAfY6DBDDLoQ+BO6Ifir29ZXB1ABFtoM2k4/lImVaND0B0nEYHcWRGgGiE9PG4 WIiOI40Nj0kpvTQA3Epu7IuAelv1lNw5PQu0ZfkdHwzlir0zSDnBjDHjagjRT2+65i7dsF76VXW8 yEQ4lkwq2ogtfjXBQh6Rn2Zn+RD7ghizqZYCaGIKz2Jcg+VVNz843kSu//0r+Hv0zIaHKerK9TB+ 6leXL74glLQcqQ6XwWmqghAOQcrPQXLUAa0Vmj+L4lfKbqOpF0KAjju6euXxMPmWWG+FFE+relVH 3H/MeJeCG925xPHRWN4X7sKjMSD0++BZpASnjEF9/JSWETFpkFmJF/JyUQ7SBgkKj4vwHA7Dna4C l1I5SbPNOND79d5mRBwD+fG3BEmG5ZtNiFnoYciug6eV7XRZ5hTmhWt7nGmPYvBV4NSFcEYz2772 rRP2alb7hIl7+L94NEiujdvIvZHhQusrWDzRBlYDGseWd20dU7DAUnlIa2kY7zxcdq3qD2Bl6CMF 6XnPNWT8cETTyQZx7F6CGv7JzB41cp1XnlgVD1QeOgJsUEeLCv5K24WFjbfqh2PS3RL2xghZpdm8 e2WO66ZhmgCD7YBP8LxOfr1b1sdk48/gim4j5SBwot06I5QIStlXEkXsFoZeEngWDL/XTEhbZapy 2xg+2y9PsTdQhDcTghCFkVR/lr6yhvzl8mfhm6GX9KR/bQzCAxkoPe8FXWkEeVuF/vMEGUIyE7Mq 210LYi9BQA1HwocpoRYGndhTx+2sQwJl45Hpmpm6Z2HR+iLXIhGRNgqTGRog66HEW1vlRlVom8U7 tb2NEt8jQnJ6/iChbsqVi4h37PeoNNslGr1TJrVCzCK2yexUfBOkg3RQgbJrw8B4fIta5Kgz96me iZPV9/Rwhd0hP2YFgf/Qqf5lsvwi2URNvijO/+oKe0l8P1hqoTNESoeUuzYLQsFhcGxQqWUWfmwQ Z+QvBlZdA+GR30vz+aDiam/qgKvoSNQ2kPiQgCj6cl97ncdMwNH3Ms0EUmEfhFfg7D3faU7bT36p E5WgcE3FmlXqUsMFzowq2wUWJw1g5GyIjM7WANWQyYIySoy64QhMSboXjb2iJLP5bUK0sCE7Jjp7 vs7aO9OY8nc386DqLtofZnYzOKZplb+vqvWMma7LU/7U/ofygSrn+ha7G3tDS/MOaQcgc55QqAbz sHUN3TCNT0jwX/qelATEwpREmiYl6Y0XPDF5+nYtZGNHLxx8lau0YpvNhsqEJlr5B+AS4BgKmBAR A5TKwx45F0i8OCe6tRjcSYLWS3GCW1+vQ3s9Gjh9rkiScYy/I6qVmBjaiSU2EeLcASSKxgQSjlGQ LixLUfTp+ZBytfsLT3OrJ5e8iiGmZgn5cQV3ohEf5cvhWIoC+rAwIoOAMDSJ1FOTYbs2xCvdz9zh VLlTDVpx8+zHSsul9ofhwisIfjlekUiTn7wfUcuFcenIB7D9wQrtqf4WifqGjnfMNpGSEt1WO8v5 zSgXtDjx+S8Xnd+nSbL7yhR7aD79tmjRm+dkPmTW4AdJtprWFYLvL8wnrxWuSjOKCuECroEmdYUb oj0riAF2FXARNbLUiBIngcQkpRnSP0SaG4D55zTZ49/uoQSZXj1aef5H0ZjPMdcKC4eWNqUt2zxY 9TjxTogQZIH5Wv2Uj8lK/aFgItFTyt+HtcOmi1YaB+Qiuk/JMzHGFYOZXIVvb1Mn/SQk+5U7MbBT q2kaaBPq7ZjQxqYGmPD2Zu8A3ez50ayT3pKgRm8Lm7o30n+qyUyZILre0rZ2/Y8YG0kkUIkNEIkw 57+FLz4/inPZaUf/gScTelOpjQjb5EipMUvIybJWMZ5/CXMOflEoppax5vW1PD36q9ICmRgLdGHS TtwNgjR3WOAe7BTIYSMId6pxW898/SoKcAgKKuWjaw9Xb5FiFA4v8c0TSYFWLEu5pKCtt2wbpoit 6B09pP41yy5PAwIKt2mu+iRYuhU1K/4wdeHeFA3g2ZzBVMl0OMTfrb/Y581PRXQ2w9YlXrFAWKRv IMa0WVv+ICV+rCOPjqxzEY9b4JvPAhR+R4J6zKHjye6noxKzguLfe2DrMLbkoqPXUYuqodYHXx8k zd7DXsAMwtBX+FBCvOMaabfMJTVcUBtOl936HtsXgXhuMzl+mUQN58xHtMhlrSiKarOmKCDCbJvq 9z/mr9N6eSaQI0vaRU0uEP7limNy/iwrLtyrgMEiXNRk+L2b9WKpOxbJiTn69XspxYTD0hlXdZMd oPVHIpfG+yTWxCSWzY9o84jTRpsv/SHGgcLlUCOQxEK/bWov7EGVo5QNJ36BwlQbEN8kIC9WEf7n 48JKzJEEQHeygU2nAafqWxLdK7mz44LGDK5rj7M4vYKzZSVGYf958ZS+Da/4vgmhvKPH58znRA2h sP13x/w8QZYHwphDLYfctYA2uPXMyp+Yu6aXqoP5vRxHzbQJnjh0nXZ26y0F+uZwFkCVHmXmO/L1 jdDop/qwXN2pACj7b+gYitO/pGT0v9jCWFdZVzuIUge61prnpJxopH7gpT64RMh4sZweLiuh0h19 spMeQVsbAWECflZ13He8un3ef45pmcuJcm7S65JNgBxaF4UsAvHNc0flUT/oGmZYB2NOI4jdfkjA fW02t9wT3wLSr2lzZDAKaXTfHDCjMW9wrSYjYeO/PqI/SOnQGYIEG3FESR5s2t99f3pM0GEQd06Q l1q6+0zAfYnzcO59a1z0nyEzHIvpWVLk68fcT70UFUOLyx/C2IWyjnH/EsyxfrYkLPQiB2HOCa00 fJT/XhFjnL9TmJUdUxX9gACG5yqDnRl2WZFqh48x3JWqDYXTf4/tvgiJjuGpJCrUdGRBTI5ZQRcD XBAh7GM+OM9h3IJjpHlDcAJ7+zzNSSnNe8fJN/vIzA13DGPrr8LHbB7Ip+sKd0HwdX+gHph7iYy8 1kwLirfJ0qbDmpekRS7G/3kL4VPbynqZXckCzXnm/udysqOEidtLQEjeMm/uidEsDYm4Y/yWnbG8 nv4a5ZP8x/dvKTj/bf8KMRdnZAjdWDMXQ9PX2Qizgj9wF4SmkAEIuuZGQciE0jnpHwPv/PSVM6ql FWjsoJcJJpIVwYtqptThxqlGIscSiWYVTSquEQehfCyGmw/Qg/vhIgSblOLEi2/KTY0fOCZ1vAdi ZUFCHwrDkLUdJjFoaede01IuY9QNaiPP1NdsUG32y0xwSRYDaOg9Sm54wq3eaZzRWKmfxnVuMWNb rRAInVAiFS177zX2GwFvibKGq4wSU+6t9b2oIy0XE6Rhnw33r0PHj+XXI/s1EaNN3DNM2odmskQh befC4ArooIIWxssqxZofe3LSe8uGVlVWwyHU9uREQMXIwSGDeEN00ZkxxZctuIKfRB+26eMYccRH Qj8nbQTUdSJDs0ts4WXF0SP8HHpD6BsVN/4/Ilhl0szX2RbMeb0QTJBYrNa58PgOqKLvmxLPP7GM JzhFB/kvcRUMJsbF/Sc1DG0Kt/qTKzWB5jRaMlCM0yx9kyO2QxAzG3cUUw06T3iGDm0XeoM2aggU ctEG0lqQlpMHFKmZZVBsalGHZtM1X2RoysmM9pju3+jujVF8xCZk+C9Kf0a5aHTf8CmMuOEsozOZ 9YPGfLVwDs4nnKpaEKl3fqHm3OMx9FxE+1S0GrGoi8yHTeuby4BU5BOarqTAPDQuJoCM8jg+e+Gt ukmqhSvwlwEnlUf5GI/lwp7/qxRivx6x2h7TQeAr9EuKQojVFVN9ThsaJfBOPluJNQT3IJ+Swmy+ TmkY1SYomz8xuajJdPhZ7sGR8xfwoUl3WtRcXqi0SVq90vd3DVg0fwCkX1dAgX+B3bfPEuNS02QK +1psUmYjwx4V2EQDIGU8wuqaRTWuVjspQwIElay0W0mdit+r+yZ4TNiO9LDvINr/64q430beM//C 3WgVdlJww9+ea5rp0tLthUPxjAOsbabtZ6otgoQH95a7WCGjRhbyMWD5Odu5Ly9ytdy5AHPun/zK 0YZlu8zFP2yBgoiXlyTpcGqTJ4W603Z9S8+nTfncTFGWwMN4R2pG1hFx9TJ9iIDW3wbmrYNiNBIk Edkdkrqghc/+IqLM7nwPU4L3G15oG8j+hnrcLM23Oa/76i3NpPktWAkzFA19niFiPW6Qnl2zJL5H 3rj0P4vNFfZb9wAM0b56IMsO2eaTy/rGXvap92AKyWq4ihCDl+6jfnyJaOMoRiYxe69xuwjFmRvt VZJPmtstXSjFavrLXNWdBTVX3Pg2qVqwQZf722sVjJzFLV/hNt3O95x972mEMiBQPtehakJLTf6Y uUU/wdg1eanmaM/K3HserleCFsr5kKS6XFg45LGe+6ztGO8et06IQObF4yr32v9771ViH+A9t3J2 gern0R6kH3om/kQEfMGaalVwOdeWYZL4rymNtZHL2JegxbrwxdN7VLXCZZEOmqamjUchHFTMBB/O oEwAKoZCKnDLDZYn4mdrzoEpTYasGQrdIAXsCRbJB03QNz6GiOzcpXEsPmnAoWVYDo94anwhT81u lDTKK88rQQ87bd8MtLHPgOEk1XvRihJqAH0D55UVXgQsRrpysW8L0DPM5HlFbTSLcoNhnwR8qb7S HZtnGxdS4+/7Jfs3CE2AX+MlPgRfKXZ0sSpliGf62vHCE3FJanhDSrbapuXTWhcCN6n4rxGYhHD4 ZqkP5NDfDFsSK58/H57tdRBNpMVqVlFLsKBmIb5vmI8rmpsJZw1OYUY1/VtAr+dK3VNJIzOz+ZRZ HCsLMbnnPcRe24wGY3aEUkwomDLAxY57Xss/6M+CcBjuYNMoeMtSfscpchXVhZhEUrlrvVlkenY4 8UVFmWOun9YIMmzatOVHOoAJSRSmcZtDpiizQL2uR4B8jPHI/h768UNjMkZlyT72bZr0h+cDM6K/ VUEM8zx76zQJPwkv/1EMBClnnuNl7mtUsY3lBX6wCy2rGkV1bpnrM5HqdjwRJRJxlU12Bag0KbH2 atRV9PmcKkcGdVkpiSKeM+HAs//dm5BYkMnHz62/byB06gQyc1IsZxfL4yZyx8NMVfvoogN9GG5M 0VMAiyKVY/jvn3MXUSJLFZNeQmFQEnqD8o4tY8XDHnlCZSnj+vEbVEQNdN2AO7lTSR8mFQXDzSce icFFxwJFJoFjoouvMLmaUc4y4T7V9PiRzTN94Y5S4badLm15yq1PHWi9IiGkQq0/OAmjAQcBCvRB sI8RccV1uy52EfIH37x5IqLjkkTj2z2dNIGzSREohJpCRQzl+tGJtbh0T/E26KN76KSev8QqOjCb yrVMA89QAONs3P8zz0Iep8IIxW2lWt9swaDJgfx4PyYJtLeOzjA7D0WmhNooZ3F/fX/8FPkOQYwq bqVhNkCDbp6YdhnwjdmGH+F388kzlZBuAmsDkptErv3pZLvlo+9w1XnmPnE3pZQQqdV9A0MIaymL 5WqWd7+wVtV9nTEGL0f7TTCtt72UMSSr0yxKeqJCXjFgeEyFx7K4o3AlIkB2GTTLgtdrk4dg1ocF gd8nZgz/RVQTTO0xgctUxKLexoixJQvY5Jx/DYcNsZ4IPWSOPvAFtj2Dex1qv6ZxAdytaBaXkZVj 1O8fxCNIq0R8UF1VExlU2bFnVuGbVMOt7A45Z/Jk8wrI7SH1vFKNvgbpAe5/KZrt/ooHokb0E8Ty 6qthXxGAy2TkUFmXyqX77qJEE65U/NcNO9cDKpx0H0zVbTB/0EPo8CfmZdoD7ZdGTGnpqQENvU39 KSs3Tp0ZIgUXHXJDLuCe4r7MqtGRFkQLgqndy/lymGte0ioQxzXYTPaRbigXQB/ytfuIaJNc98xk cZAmxBwgo1Rd+W6OyWGS0wGmtJVdPFRtKRJ2N/iNllbzXBsMVbIgGS5tDcHlrcoGoWJuznhBaIe2 gifbWfCB6J8rRRYVbX4Cxp7AzrO313vpwSRlLIRLeXxCmdrwkmwb0bayHfKDeMQnfdUCNecl7rsn 3QYlHshzPglqY9kyLFO4vXLXV2oNPyeh4iICvCSur97jGRFqoLa8S5M7sQLIyCITTIFS19PZT+eQ N4iZFJuFXfxEj864ONGr/8mbp5I2DkakqDhLAHzpayAi3xyCkDEzq9Ybv12UOtA9YD5F0y9mMSpP MSxnSFzo2qE1RXjn2gpKYJz/6ZSDWP841bQMuobKfxB55Lx6WsjPSgjDbrrT8qm+ydnfnlDxpvtl XPsNPwk/GDu/8ctZVdGGiXj+LaGcwkLjP/fSzeLxLJCKWg/PVh4ULPY9t98boXbd1lAlkcfzWvTu OjaOHMXdMtFuCBP/G3TVCsB+w7n100q4ShBC6nJYaUq8Po8m2mmnOKWG0ChcfYV58OYjYEZbr7t9 HLRRe9Gz5xN2vBrF/evrziWbXu1FDw8DmYkRGBOGRmWuItxYUVxtjblPC04ScYCzA2zqjAqp/7Dz SPJoWdgViVEwx4ksc+zPDJ/3buwyKzU+b1HFL4s7qiOrKPzvPRUBU7cdCvUcO5VSFwZ8aSizIcrk 02IWMv8r7zet5lAGmuZHLWwBZtzZuZwhisBjLyaZGpEjuNWM1r6PhiYxWnvYueErAWu523peRA7d qiZXBLlc5uuMgObBzAoRZh+L8a0BLm6jhAzFYAjRKEBjUXQOv5KmhASTlHaRXKJpzfdvA2ZY9eqh CDoUuH8OMte/eI949ibhz5ocSElUgkIHpPKTSlSJ7RYC704khxFSE650HXSN5nJdQI8GXQbku/W/ V8q3787aMR+gWrNJkPzo1nwFnC92bD3NsU9X6hnspI5IUWd539ig5v++Hb2P3eHktTcgbyL5Vx1L wW/yvyCohPRstGucvaqzGTlDzDrffPjWWhDVM73T4oENvvjxjORt1xtGIqso6PZQmN8/nMgaOE8q /JvhLaRKOj9qQfSY4fmVp/EgXXPfZSpA1h0KfhivDrrnjTGkm5WrpsvS4/vvkWk4oIOhZtMEaPWP 1ifw5QUS6sIKfXfHtP9knU8rftTo8FxDmbC+wwXbPrI+ACA4tVrT5H+fbgxbXv05vs8N8A7psLzn +gplGhZO5I08TUqhZFY6x9PUMS2k5p0UXcbL0knKtVj46MJmuIoEZd4CyBh8aMje+eGUVO9bQemJ 6EZT1PJWy9gt8/mf2arNdV6mSzcARLg+u272Er95NU+tHN3M9Klh+228llPkB3HVlvQ83zDrtZ6o 0KFCkpJGJ3jc58a/Q/QL39ALYqv4QS4X0LRQCoQUld8DaW+8F1BPJovnH84E1dLQUhHXvOWvFHbN +cih+JxQA9lqCSUnWX9k6KXv/kvypcEUp8iJ+MFYLyMaegtz5ZY4L6wZ9PSCqO5xGpEXsUXo7HL9 OLJzeXHM4JuyD0z4OpYSeOt5naGlvRAzY8AR7gP3WXesdVHe0Xf9eUYAej0MC3zBcyFk7OfaSIt7 ELbV017ysvOUPH4UpoNgbJsqbTJ853HKDXyn8u1O3S44NJsNqTdUh8Kiq/Y15E8meaydjZVf0hD4 gj+BOfjnfiWgvOfEpBrnpZRiUf+I1Cugs8HbOJrLZ5HNJT0Egdq583PIVdQoG6B6Fx+L8Gqz/Ncx 3DIMANHplC+ny4Z3CtByr8T9SXhDacAVNa/buU5Iqa4RS4HyInOu2AdrDZWHiWqklx9FxaVNFGd7 fBAFDnj+/mzilXRiwXSr4uRLN2kExoGVBbA1AjvtW8VaQuz4e08pYuVsksZYYnmD68lb0fLivxWL tt1l+OhbTWmN+eaa36bMru0hojXeFLvYkiyo2vl68cvL35D1BlpBAFHFfI+pzm7fJ8b8DovBHEfD rLp+ZSBpUxYaKHDqx5qgceZ/lkTzUA0vIA8ypXnoqfyVy9yZdFZfpcADyy51cjFgqzqnUC1PzCHC xlOnxRQF3ozjqVSCzj89oluV6vh//vsoEMcqYmLnluF+waSBs9S8wyUt38ptHo1tNdaqYji4Bh6D PZg2Q/lCSPfvBBy7Eo5PoRmMxtqy/519k9pLne3jILIWDwyTEuPMiAzJrt47//9WK9UjZ8/i1RvM FKXmCwPqc1PAcgtSDOeRIt4ej24j0txDKgA6ZlX+5ln5DJw6ktbu/YfrCeEOw71cU16xMwY/RqU8 hRsSA/Lt1GYHVww0A3PoI7trNAk3CTuqtGk2i9OzjPznSbNJeUFhZPX4OOIiD/F8aYk1opPCCjJZ Cgm+qFKaxtIS9PzKqt56/8t07JYOJj50quvyrD9RM4rWQC/DOJPvOQVO5woR4ZFBQGcRMexu/r4E XiR+xbX2difpwWja0rz9xCC+sQOKCqdS0q8GE5Qab7YILmB7QdSg4wod5lnRA+jX7tON4u4zkJSu /UU4/3Rp1wZwIPBdJDlUQXMqlHXXHUdtVqnmQifnE/9EzwE5R+d4Dcg25pWhioqqX/LRVxnr6vv5 e1noScprm1Mxl/lkzT/7zSYFA5QCpzL1rrPSxmCfNWacFEfy1Jl/dZJoTtI/4EtsQkfRZfgorWTz 0bYkxXbxkaDaGzaVZAWjqEYlkMZJshfjbChDIz/SxKKoMFHlqy1ZSEbqzxfND+iyainDe1bUokIx 8SXZx5R5aWV5VGXEDklx8z2LyoXTyUFNtHMC/ebKbo8JWDrFbffCFzFSLJq3lMOcrK2AESi0L5bK KBjYVAL3s6OJH6gAuV2S+Q6EmyIZNM3T08lh6z2r8oGkrO6i/VuUoy66jot/w0R8KpxLPm2+rKww JOCEbYIrhIGv5A0DCTegRFZWjEvNBVp0Ku54xN4WicSPsjfI6AdeUIdZTKXpSwCdI4fjsGWsUAdO A4FgmNYaarSSXKUniaF+YKmdzDkxnbj9FauzGEJWcyZ4eagY0uR9EX/ajMo7qVb7fOJMxBNr9JvR mHyWTlBjtCpk9EwzBdCxkSCP5fhOUXlJW2vAn6HwYxYvw2/QSfavR3Gqfl/+PqZWq3HDEPiHN4W7 KX/DFPHLN5orRLIWoZEx+qLtp5hLRPnBn0FCsdunP89EctQS9BA/yTEszg6dIyUuWV3W3q2sqrwC zQXLqNoVlj52WuiN/nbBop3/XWvUNv3apNtLRbPY/ml515HSHfvRuEjp9BhdREjd2yNcM3JcqQP0 aIsEOqQqMSGlmPrJBFHUfvrwNXq9RHLywZsTEpqDA+8dNnY9/gB0YuX+sN7fqqthGG/AE/ODzxp/ DeEl5YqrmxZ4VklKbrClZdAK0Tq/VJg0ebs9Va/nLp5kg9uVgrNRwxqtLN8H9XvyCBIpJ8XKRNTR lZIIiX1pnvnEBOROHpyCxgCE7H+IZ/9GJ8zsy8qnShO/POorX0vRnsSeTm7isI8vSHlmsk57c3X6 q3024CrzjxnwuBeXjCvarnuzYz0ZgI42Nz+PSdibu7BFd6hyv65mbzh8UELd55cYHabgS88Dw6mA JlQtwbymaX5VQt6hIwuvI5cgkDSywK8FlJrK2OtRAFe0+k/lY5FQf6cBmSK27Zt6JXMAYcpBRrSM Jszby8MtkcU4JiT8qnNqBUk1holQ7WcTpy1Kcn2UNxtnXakWWOM7eNZevXmoUTiSLYn0uW/MfYMM 1NEs1CClStHquS8A/5PN3xdWkF53ZumpYzhzZwHicxqJ8tUVFyOumvFLVDd4LP2+IFQj9qvgDEJ4 /e9ZXmHN23PupWM+cI2+75J7GwM/o02Uea6x6yflIjLhXXjfq9hBsII7jM/mdx0l+Zv9KiGmJKgG PhaM9rfdBjteOXfNgiIq/BN2//+oWJXe7rWMHMwCJNRVSDCKSFkOK+IDKmFnMPQMi4oqOYvpQEDi dsheWT6hdBe+1hVr/wXRDpa5CIG/zowvmefYTDWw7pxsPGRiYez1XJAgnn8dBa53B9mjIwscLgae /5uUqFXCyBjcXh2ZWu9Po0Rtszwml/ss0hHIu2yM4a+XgpfogG4iCr25PH80niCsvuX3noCGs9GM hfTEhMru2Lv1QQdjwRZLOSxAIw26cObi/6/kPLrUVKFtobPSCeqA5ZFqjf5NnCHa9KofF6qVdNs0 kdtYWICDvh3Jrx/ac4SocxacT/9ME3/EKgy5OHBC6UevCRfcmDxxvp2Wx2Ic5BDB7csAnWyWV5iy Xfwuprt2zHg1cq51A2FCxkJRc8RYMs97JjISTeAiQ8Gac4CAdpBarz2iEa9D7CuAZtYTXr9eEbeF xsuo1GFqCsu3KeL62Ad1SWe1IowyM4KNtURP2LZWRwccx8IydMOEP5GrwXQK7kN2mOsx/dxMPC5D jMurKhgeR5LUm4UvtgvGFYY7Ad56KdyUmSHlwBknZtoChvPva030AGpIG/TQ/o66NXnfOhqKEFKU o7O54y6/T5vCTOYu5sPFM3CalukmbJKIXdtPqtXSU17MVWqOuK5vk6ewst5b0hHl1/Kjl5zqvxK3 aM917CqO79RySFGLsiQVypwiQHNZSKYiRlzDrD8d5iY7p7+pgUMuEFuX/N81ppFbWSpSXVtxw9Gt mzGV3IgtY7nRYTUWEc5rIg0VRPnCjQRVJooLSL63wpa/Zu7nmZhmWlGUCEINFPceLP2hGZ+dTC8a kUeytxw+wBODabGUR5O3+L0AkOZY5QM2XwAAA7BLmjubYpnmpDSraOvL/uqaXwJGQ1DgDxwfP1hl ocjCP+VVRXp+zNBlyumNuKHj89lslbAx35fdOmhA1uxN/di31XgCoXSeTW631OqT+rnsCUOcdQ0S JDcL+iu8c9NHv0PKUjmxOjP95xcpFWjQyMOs/FCdn0WR69g7MI/4r3oqG+C9xfCKmgAU/AewZjxe s4TfCQgZeZJVtPtOQaqdXStm7pfqvXcaVen/kCYpJOO+zkaUpke58zmtS+/eCbfTrsvyALQl866h HqwzM74VRYM8BCLi6amVeCfTNSXF5N662tQOLIOi7idkZUKlD0WK8GI/WcDdu5TRVUEvejZndue/ KE00TJjXeVNyUYyl3MSvXrGCjTIGReNWe3HxomAp+229t/bs2hiSRlfQDCj1LuSHe4McMIm+9q1R di9gLizFB1fqWE+kZPZLG2uUwmDWzzxqDE3HnpTpNVXvqfFEG8qcqqvgIzZqFaKfYDPj2QK97klv 0sNlyXSANDhRay4HV8HBxAPi2efP70gDh0OmoWRlvAC+aNPFSmP4pcZdOrSQB6EIf66h/lfiFb8P fkYbeXjMOkt1Igh/AMznJ/OTViY18Y9q8rejqfmOemFPs5+1NkXa6iYAMN88MO/YircYXFNEYa+F L8D8TbVBgr3eTRrmWX6gjPuRfCl6tiYWP30Rlxg90O+epzT2oMsDshchEKKjRER8uOeQXhpa5klI WeWXNzCM9mPOK+laIKtBfXcEom8gH0K8TZrsFbo/F/YuCHHje5vOpQF72zylx4e+uVLEoMJ+t07l JeqZunzTrK3QOqHcyZ0aaPMbaVJQU/qoUX7dbSGKyziOBwgw33DWjGpYcNKjhK+TKonp7nuFV3Nv rHlCOq1Wz5nsxp046PSuD8guH/5v2lSYTb8a7RoDbV6GzJ0vxgPHrHio6nDc3/m97CxD8dw8qf3a zWAe8JrzP9077NtmOEQRrTWdjI57MNibAws7G08kDIQ2ctKR6RPcWboZwSqPn+7nxTMYr0Y9Xvli 5nT/nKMAucFfcMNeQJxG3C4jSGfESVQCNEzSBcN/kIl5LINJ06AKNe1TYzXdQQxug/sNlLJofXJu lqUPvijSnh3aLavNMPn7u6hLmJeou2mIYI1Gy4Qm+CAaQ8WkOoh0S0LPpfZG5zNfiKHIfOWg3adb kUylO6mwi4/2McMBuD6ju2GFhQHYHoJ5tcIm1DiUsqp288aaLGHEn+hzRMNGLK2K3Edmrpd+fzus PpkavyHbqJxP2orIPePKDUBtFI7Lv68oUt+Z5vj7somCXsS3p4IuT0duj5RMRYcIWusVsxRhuQKB GZg0WijWvJAn6S75hkYRNCp2uBS9KUZcxPszNwK56IZENhD2hzAPCXgDRiOJGaXxSxxQF5R3jbxE MBVkJgZJkJX9QxqSNN8tengRAP1kIB5WKl5QktXIRJK0C04TiPXNNQ7ftqSGf+SH+NhC/65d9lZr 5xHmtm+fDXrVA4cZSruyjERGgvDs0SsN0ZDVFJTsZ6JYxfynNuOaphinrL7Z/qj3Ne8m2u+VcGMs JJOzUF6BACWWGRduSI3YHufRe384MvbW1Ankdswg40vIhCrsDxzsf7Zxphzd0APsV9B64VWMsRhy hmaE1gwWiAPbQu/ZfOPrTroVnC2aEXHVTWO5icehDNF3Lsp7zR2K+a3LyAsfAwvzTXlU0xKMVFif jaKKAyYMJUZ6wBvz4ttMrPmfaoKp9lVZGe4OOVtvtC2XoIGXZVq9GYdeI3KQe3ll7LxcJuTZvcK9 mXVuQOvnXl5IMMeaQPhRcqWPAQNeKkjsZ7r8U9WwRXImM/yyFmFtX/Q+HAsvaxHB4VIXVsmZzyql ayotDNH9mk3WUzsIzMJmaDVxTQUSkgiDT7D3KKjlF+SVku2rrnvS7IoL90xd6GQ6wM+/lQWvqlDq Oe+FT0AloFt88HfxTdJ7IE9RTYgksnZ0MK54mxU9kkxnE25jrsttk6kD142C8l5POQl0P/5PwAY1 h1Kl4hKe47bew2pMBdUx0qBoCxizOaYULLwoQvGVtgZ2gV+1cHF0gQm9HpKTl26oMvRYBUcepBjX wA8OvHIFar3idzq4rTkdMfAvXIxBXOw2cIWA2vJ6uo4Iwqix3X69W61p4Pz2J2ZX1fq4QXa7j8QY Yg+EEonSZGDsQd1hB4OxGB+k9k86aDyP5mBR4/YWcOqiM6uAMZxQSXewQ2BaLQo8Y0Q6gwrasKa4 jNeAiyI2Nn5o9GZzpv3q3rt0zVg8sCj2QtCo/wHuRuGPkxezFp1He/fgpCW6Qz+NcNJ8c7yb2GC5 KcMri6/a+lUqXR3aUJH3jeSWY7IVahkmoBl/TaFNsQo+Y/8CuvMVVs+nU8FHx+aBl73vIczuS0Nl 6sbOVeiVFiRZa04JgWuQ7oQOlIV/tme9hfXsKJletlU8DqcDUCMUnHU5p5pzhPoc6WQ8ljCIysJc TmIzYkbAQgz4e90CRYtPN563IDw4Uel4upl4SRiFLlo0Adps6YDYGp7uKT6kjKmgAyTTaqJDUjj5 jWDi5qXxr/+UzocP4jHRvq+fQNm3zopTkvUYI6vaOmGlZspqiPtEiRKR+H0PDXDrPBVfEbg+GnlC gxUPOlRDRYNKLAZDKytSx92/R8sVNxlri8pO8pPksf6aeTPZx+AepRQcfPCtS0W5ZxrjNKF1Po0S vh/zXmPjgS0wP7L+pRMSDzxIyArUUnJEodBBqKW3fVZlu6oJzHcedUxvDkcrlm02Yc9D5HbDGVs8 K8TZMp1byi2HSTahafHuYn9mi5eWfzFDVBAxPxC4JiqZVc28JBK941In5nWae2Rb0u4bMnS5dmO6 GBz3oGVj0cJzhCx2UGAXUpcxFovsdQ6W0RgFCU9UyllR0teKOwuWtMvctrxBGYmlGWGXwCzM2bzw hfkkSdO91TYOKienI3NSNGuCTi/1gWZxOyCMNDaqDarKw+nH5IQPYimX/pR8sgsaFnMq00F2Qx9F LAn2Ir3Cu5aWmtKadPss9c5WVWD8jSzClesWiNqustYu8ZzWgVOgGtpYZYltWZPC+tl4d4waLFYS Pa3xB0jGzSajPJ5AwKYLfDfsv76gvEZOarMOGLoujXydXUct/vNZapu+mvXpu3Zd4I3Qq8RwCfmI 9dl4GXiow+fLNll2arXxJepBGToJaKWp3fuC3xMQgNRYuRMd3Jc9K+MoYIUqvq5a9HnTK28bpshz LtTt5xb2jkJFjb/J3vgNXEZ7on3hgvAzVtjAh+qcGX1BSd7W95MesENc1c5Fa6jPJUv7QWAYXEt8 bVwHnj91PIERC1s36+jSuLBu3zs8PbK3mh1d9PBjmgyvQMKUoY4B0T9THCEU12Z6UN2NkLYAv3/I C4FFrpQV140BWuKXSC4a18QHV2NhRyfon6rdUCWn7ncy+zB4rLGHYul2vFMfh8o2HXkY9GSfeAId 9U1tJrL6PI/7c6sJjtea1lMxzCGhTt9bfBFKPZ/UDhO7BZgwGWq2mFFZeK5iezdHgBlAWpqSoxtX Lqastww7E0OHTgraRJ75zriPGhZBuOuD25eW0Yd3icd6Vznk1pvbtahWMPpuaSCMh/7nm+oK6UuH SKY4pkGtr/cmtKoyxik61RsktYby5GXRGAnVQJXEgJtg1RC/hR8YdkBL49UHM5PR7u4wRaczjvvv WUeC1sDnhDD3nE+4Ho/8o2bIMTCr1I1dn4HrxK0k0CW2GXwVUOXeFN1LQlSKOZNjaylKDvZ3zvCb d5yAf6+AwDFGxEYloS3E0TUkO0/RN3PM6G30yQYb9M0vs8sU21G0u7bNGUtiUILwxMi2hawMc2r/ HB+rmPCXV+j6rxCh/DpMwtQsZLJ0QiTRfZbtYnbDzLHTx4eDSmsmjyd1D2Tz+/uqbkLW6JQqXizh GycRtuxfxHj8NaW7td5ZExlAPu1pBWOILvIFQY4tjLmSw+nNVhsludWqwp7qLMFipCKTxPm3H2xW OPiracmaJkjPIlTnzNHfwVyKJaNvn4drfq5hzSEdANDS4TpegHHAOvmCIIcFM+bj0YMX6QQkuHk5 Ef5IF++R9pE6Muiz4tZ1MuvusTEmLZsEyeB/K7r4ynYggNnbr4KBk76NGFokvx/azFrHzuq8EcCt rFkxtvzcPlFYMLtvi1yNsFriiwjGNIfIz019/jnDWZXjd6XAQdIt88tDmN6c3Mgo6jBqafia93vz bgwo/yC0N4Vgq3iuv0afhuwzD7HwTfCNnFHp7JSJ88RGR7QKvYM8Y4aQd6asl0OwZR4OhOlNMMY3 hyvNnmxD0x6tQU6zhgPige97crHXXmXM7ruKA4+dk6WP/bzUEXav2rN/7MNWR2xahLVQURYAQgUf 51DmQTANkqQ4xRgyennPKH/8iCgkFcEsu5dowwvqIBrZ2NAv97bz+QrY7AKoh5BEu54KgQcLXJoj 4cY1XWPnlhjwNIl+vZWSDlYfsFmlEEIiqYfyEyvy7pRN4MJr7ve9QA0IihFeMv6r9rkI6+bbIEWy Za5XVGo0rt0qK7XXzbxNpeqSZ+oo3QVVg1fKd5L9pGQiv3XWhydnsSNcqAKy0qNTWDB4Pg5tiVqM hIZK7TIiyVTdoU/Bw6b9uibubF7Nur0Lwn2koyJxtE0Qgv5fMPGVjypH8QIqFXpvvflG5grHjsok s9wEC7M8Ghp3RMhHwumsKzK1aTyytskxhy1qrXFrQvymb0JWOejDd+SfI++WVZ245x/JNlAVK9wq Vr8Tu8SreLgoT+BEDPFO337gEED95hK0W1rrMuodR0jMNhIdvERAnJnyieNGGtjVBCuOv2pHMNgA GldY48RPWLYPBb05il/LLBxVVeBiio1wbcr0AInJjm1I/porXWm+PeY/+203YiSOq2C/IOJjLltV Zihyae6fn3n6QF3ALBsXl5NPwXHQag22LR1a+PzRr6BH6E4+yQRUavlzYi3DNzVJs1Ynd8Y/55Xb 9L5RuXylhIEKMo1jEp+zwodY/8UIyJOOVHHl52Ne7L22uJwsQc8DIoWWfoa25kv4YmiTXuawt8fS waGRxLxjiYbtf8sbYCI25J2vrTcT8q+cncBPFi2DCUSZXNUk2CXF2papqnFyFUmIwRv4avnM3KWO vsN1chcKaIop+SzRe7FvS9lS2shboalp6Z0B5Miz1o1e77egD1NNQT3phbVnz8wAVPejIGNjq8jv j9rhlY6au6fUYp7pU0fJxVskOrv8nm5GDDENZyxrzalL9lQ7gJ5/FJifWJPotxI6HG3yUZnwAJI/ WRr6J1Dp04OLVaJndI0GYgBgsSMR2ObV8Btf2uIGJImO1K8jBkPdU02ZrXRbJoLVZYoo5xQxa2+O wa/emFQU5I6wndoLv2IQqgz/HM7EDRTReZ3Aw8ZyI/WsqAJqUzIXT9iKWffjOTqJlJWf8w0LsbHs VjPNAFlK2OsWopbIGoPCjCzc/fG79GdisDer4Ybm+VyV1PX5ub9RRUUfqtMsvb/1n+E4VNJqbs4P 9+d0iQIqQbFT4taB4yN8AqizMOvHDPv9PDJ9QCTDRfDtft5Y/fhiASAN+lPQL8u7jVxxoeqi5E36 s/mVaCPLvlk0mjxHjanTcXTmBdvBVXcTl+lJlsKlxmnUjkyzeAINZoSoab7uRh4qL2S/HRzThlre gaTLvudnlKzCO9LyI6Sgy820jNTIY2z+2UWmBC0ZKbSzVXcmSEfcqwNOxWmvavPjAmJh73rKwoBc /NQpFHjWx9Zi1rGWu/gbXVpEHghL4CPVoETZhMT+zV91yDUeAjmH5T4+ilm/jS0f7a4k8DU6av8Z xLsMDr2GNgXKJB5o4OrQzczRxO6jV2fn4x/q5dJOUFM+j3aN17uSzuaqswEkqHXcn+/ggJST0frh CKh7k4cc/JFhrvD9IrD1mR6E7hJPzt0lSmffw3XwgLNqs4qpLJ5ycCOXBH85jy7RSjiWou7g6mue i0lYahjbZmYyhmf5U02MYhCOiVUhn2pYtlZ85M2d10SmQRtca+O7nRPvCVQ/Y/mDlMI+0FfW9rCh ylEKuX1Rloj1eliJhewflTnDwPAou/k62LJml77VfWpJc91hU0vu5xiH4xaQhVaWyqbEcfyxfK+N hjIipZwHhHnrRphVCS/QtiXzERhbxShVwF6bC7batCWHHslO5JcDM3uOa2xz7xO1R50IcmHl1hZV TQQOnnJ4WDMX9NqL7m2D+M+hE5OjhLNLnPgjPgz+/mqmxuSBziqHHEemaQOFp7RviFuRZgnz11nL WFxVrl7URMUIgfSpvD45u4EelNUxj1BHARU3g93A4FLDeM1xkNwp75sjc5EGCAhArkyTbITPfJj6 s8mAI4zE4BK12U1j0qCssfrVkHqWYLZ2G+AVkbKs7qDcvq7Aq9nKBFt1uCMslViJ01O4qEQ6z3YE I66uGQiNgN8w/Xz+3+ZyUSP06lJh73g4pxe9rfhHORcw3wMIIuYcJMtMP5l5500+C6BcCWIJ7HZG dt9U3yiGuM6++d+nHAOGCHm76ZX8WlFO7wruDvLR4C5MXBqAhJx/3HVsXYv/hUXUh6nrxIEwygff 2UuZrfGVEHn2iyXusgH+Hq2gRPjxuXL0sPceqpWE/E5BLmUQbuLUYQ+1qoVSDn+24pOX5qldwCPv stXMLyAj2DhJIBkzf7RA4Q5WxlSb3fJeH5hiEc7JgT+yYO7xh95pBnKpc6Gwgk0rzoTIw0fbhgvw SAI8Y90Pmb8YKQ03stqgILwakGNaqgw8+gxsuYxgzHApnH3byWUy8Nu2SHPGjgnKDlG0uHRL1SHu BAr+K2z2TvR3fZOdwtNM8A3i/G89gsbm45CilIvjj9BiWzOPtOwoGWx5QcKBWXaYNhE1t95tcBfV dHpRZWG82xk+t0m8ZhwbfCYAwfx0AYgHjIApH/3h55DYlp6yf8rwthuFn3Q2s6VZ4DSQw2B3qNt9 mJ4CHn75qgiCc0mpHpa0dj8iLiu/o2tbY9EzdiqcBiNmS/H3WgzZGIU6O61MUhwo9P8p0IQdZjZb kLOnCgHQxDh04xvX6nNEwzz3176vpkmfSnAg/5Jd18wrJEaPEVbdqhxXHG9Vvhmrvn72D7pGH2Xw /eJ++NdeubDlaZUMWsca2WePjaf+qNFGFmvTu4QhHmWtWY6xVwVhSVssYzJB3p2aW29JHwKP0HOV UMUFcphrKSlbjmMT0lSiNt+3HVSNygc0uTziPNpS9p8h+L3+4Z9IZ0Sj1h+0vzfbkVhbDDFR/hok arwus9HE+QFaOozpwK3ovIpWsHDTm2XP2/r2z3aj9CbeJSONI/8wt/GJ3jKxH8kD74sZAXxv3BHH AIAFSZ2ePKMAjKAplLrbTZDU1uszIfqQcqlVkj4PxkkOQsygTkPEbtMIJXmLPOSHpUKb+IB4aln4 svx2JtdRiLt1UGTgE2wNBlSb/ElEm5YWP7PsEus25hHU/VZhX5+Bix9n2CaaaXZ1jxtFzvzozqBa zo0lz+2gqLHjluPITQDECDOEkwxDQxu4q+UL2wOGhZvazlcipMXB1rFmsihzrXC/qST6lwLGi2UR pTsYuQ0YkF1gG2SgVEIe/fyymCktoetArKVBVvBLfWRU+YyMrbQXVmjDig7QReYv3j13Y3iHKIIl GW8+4uj6cF/Uz4MZ2KAY5gYlrpdEOBCbKj939dWMANVwyLrxJ2pX7X4xUFBf0PIazvk4jyx0nqFk 1O/k9Yg7qdB1G9XV8m0oT5FEJCGC94ptUSXd7igrrD3H2cwIYmDIM8h62WkYG/qlMFS27MdrG0d2 Hxyq75Kwid4KeLvK16cxNg39O4aeMR3m5yciVttLmxCCO6witgXCu9h7K0JUtKeDCzmbK5efTJfL mgE0/votYoGzzbyl2iYmn+5EJ3ucNpEEEZevf7FpHrWq3195RFOEPv6rKo8cTcWBOXzKKNCp3o4I kvwccklAesMPAjZ09s1i4G/v7CniOEVActIgdtNJzw2W6o51BF4qXX+051F1AncaHbwGNGRdwOL2 2/hi7+jK0DQhowwsW7C1NaZWJwyETkJghNpbOmSexytO7zzND1iw6gTfkJFMsJzoKDy6YOQfzQd4 90eXqtMjMcoFHJY7bYiMBGvB7/7oX0c2u8hvWkDGJboPHHuGV44q5hmuhSY4ZQrGzx/wRE9EhCzQ HWGzL3k8g85B/P3er8NafSz9fbdAdY0cAoJKEs0gayCJzDal7A5HuTRGTgGKwWQFd4NQkuG0GiNE iH17IDM+/0pRPEZr3/0gIvbYWAu4ueEivg+vtFJq816foKipWwm6AP+Dmkz4TMczw3M/0RZPjJe3 OUKuKPG61nkjYKfhzuo4xBXBxo/ch2trVm9Z6cDKjrm0/L2WvmTn3Qjyt99CBmA8SNmRKYmG2DMI Beok2ADBRMrs2EbzL0Wszw7f2u9DjzDnWFYy0XZv6Vpejusc7Hy726ro9pw+D0tPkhPFZlP6k0fW oqKkwGZbo/LkQqniIE6GQglbQdgBzwcqGh4xyJpLQpVsEIRdahPAKlcCxwM2lsQHA4RvGJOqfEd5 dis1PYwyk9zFEG0WQyA2dwcjxa5wRS435lteuoebgw1xp6XXpYglwLEbrst22ojFoYppt8U/pBHg tn7bP8rzz/wixNnpUaLx/uwt8v+kkwcmwhlVZjb8/dwppWh9i0ZC9ebWZOVQDKpBDydI49jWedTj rU00a9629bteSqAval2HPMWI9/fm6NrXZH5l7ZLtwySV0qRQH6EOUA52Somv4J7H/davaVXC+Ldy JFd3cGNpe2L0Wkv+YBUNBpAkot0RX9kFUFJ7TCWYFxgwZTQbGV/MSIo3w6YIhnLF7Scc0jMKgcKq 7zxz1yOIu/ex2xUOpo+ZxqVeGiMdRlaFIuWUApW8gtWrszduYlRgk1iC1mQtYJ7b1aj5CtejpigX V14t+58IkXJwhRNI7EEp+qrtnTj0uAeI/Bi4IwiU+UAJjfTE5sUeu8xgqNfZhDzwzVbYeSUeKRZz 3ko1tJYbKpHnGHYANVHTapgy5g/a3BkqDLI6h8wWK9xvpMwZjSmHZviJvjvyaI6KZDQer8HT77Dt Ymtb6hwTEytwVV6jiS+2/gWwk3T42VJUzKFSeEzhfCYmmK+Z+th2cMgbuJ0YRQ4ozUGREaRso+yi omiai4GcXCKmW4SsDPgoKWzGIqd/nfpigZwzQdv8lUe3HuMJyDo+j4iLA2+ulIhj4XUNo1pIG+Ue ta346w8D0PLfuEhDPT87D6u/7iWiQ5LKFzaqRSv1vzv4Iv6XteZwlHfklyHKbRo8yUu6+nLeVTvn fChYnmLvhRbtQkY6dJ2ZRoLWMs9nX0D6bQ/HjPqS3APIBpmuviG4lwj8jpNMPbtd9oZWmduq0Acn EHTevXSxFZLpHiyCQximXnosIaPt1EeCD1UtmafZd9FrF13uvyUMjptaBX6WnH/9M7ADHL5Fw4Ix zq1d9PLM/5Z2hwNSjzXClqYJDrFNlSxa4ZXCOwUQFp2/oRDPABIZJBRQlXbdGPbUiDuLtbo9h3Om ctaHgjddOOSbhmECdviO80VRCgkyVlNvmLhTAcDM5c3Hbh4N966dEbSPiAb+MMGw7sssvKOg1H3b x41hIxOl3B+yXvrabacmKv3lKBCOqzWby2/PWLoBt/O44O++h0IopMzySuoYT/H+L88kRPsMDhaz +d/2b2gREwaH3+TdkEmhxtE/VHJAjwYSORvnK4UxHRGBrggz6K2rXStIgL0ydC/Ax6lH6Wdkj2Nz t9EtL6UhW7XHuM0DVlsI17rvoJFoxIjLUcoHe9Grt69MEUQ167Km/aGWDqp1PsT1nFoInlBEplyV WQMl6eSeBCvhwAKEVwEqn8crqDurqG2Bgz54YGkSi3j4jGxILDPFI/GmQbteobx+fX0P8s6gjsKW wtAlriBM++9e/5FqW+3zJDX+j6Dr9PUGn0W9eUeLMnQB8RHG3ghAJzNwrQybtsZaEqvEZumVfofb st6euto4R9ytXTPCBGxhSuczVPfo6L654k4bNr1MtE/oYa9RjgZdyPE4agVLgZZq42LVFQ/96gla prAFCRuJseFO2IH31Ev237jHwiTzjmmLlmj9FtZkuCUwxhSdvMOeRRsR1q6tUFi6GJm7lfdgvbge 5kGozIyjjjk0VsSkAUP/2zPQU0K5jV1qVAlydd1XlfSPojCvy3LgdqA6L+MNBFbTw0kfIdEDvrJi NnzDbmzmQy+XS99C3UQvIn/pFEGAea6zaQOWkT97LvVT8MHyZTJawT7Bl1G85fTOiVoh7bO1PSpL MnLL0xeEv4CuXxN5LOg+sSEY2O6NLbUveJsDTdNMhLRNx0HBnWNE1hak1M7Yda673YukImKEuuXf u9OWqQ1GbQTJsuQL2mKRCL4qkvMOEsRlQEuG5ogALI8KLGilmLxGMv45V2nO5rpp/sY+UpsAgQz3 6AZ1BixLBnqBldJEm0wvPngjq5+eIzQUW+jL84E24kNsRf8GdO1oBQFTpJCJ7M/ftbVpGQP3Q+fP BEhdc2a9zoVFFDDUjLuosYO70l4c033vTlWdxUtUfiKVcXBv+9f/9SCBCtbtrU99xv0s6DrZZEe/ B7piybfs4pm+zwsGfgdmtXzSm2Mjc/Fcm06RwcN0Ug7L/088S6Ch2KV+0nwgvHWpxpTzhL1gbm71 wTy2Wd8fJZt7Gxy3BP+KimID9w7bSW82uNnuIRQwLvLG57mu5/kiHP9jwpjX40e3P2uVm7Pme45Q WWjrL1hfZ7SOYQGH2IvGqqysjuclWw2BHXUiDSU8ZLxT176P6Sg5hnJPBb/IE65OmKqKeg/uj3K+ GElb5h76rdUSA1YLE1cBAUtYxQibMGn+gBSIHCIruASuGLqoTzR8CweItOowMpRI0qfPdxQFk8Tb tSb0cJhAKpapPWldY1xlQkXBiDwvTmttK9lGJcPQB2bUPkijvmOxyh93c0OpWt1U1axwoFEzzEUP pRwffFbIhtW1i9rfsh+D2QnU6/w19skKEJ2VZGVZgrCim5BFlVfj9n8G/LQN2QagzcJW09bW6wF5 fGoDlMJweG+uU0Lx/9BOaBUZtcFIZSuQNzQxnqoSCef7w5IdfV1DK/lMlTR/IaQfj6Zzoz1xAffH 58S5L0C2Q7ZvV1dHpH3hytOVHeiAn24lWefl65i8hEjHWoyO+3/kP4vQ90QSvbXfXrD6s0EyYXmy oFwbgOhJoKNuQLHFn6lenZLPpeQfWahUjG20/KAUDDiECzhwicwZYENOu189aBVWkgaJv4jJqS97 ena6TIEgBRpULuznilueK2UoaaAvRZUaWMb1gQCwml4fdNTks45tSivAVJsNp/55pq0hVEaeX9eq DgfNSM5ecspkWmfWXgVEOla2Ql+RDja0gFcWWezd2FdmXFB7S9jZXdUKz1xO8amYjAbNaavoxa54 Kvr+zsNlRo5SUNOQGCnV8XQSYRN9sQNkMYx4i4z+3HcbgLBHPy3idcXyq9/Jn30JKzBTNYhYGBWx sQHXF5zEcmOmOcLVtOzDgKsQNg28iMQ5mDYOMils3K+JypyWTEf/lBkLbLG9lJT09v0iBIGShMEY 4hUtasKtI/ivdfFSpKHMCA28e1hLpvTDcdJ6Bq6BFvx4UrC12n2AFoDbgfZy21d5Gcw+pXow5I6m numJ2+SDAhfStDYNptninOgzoFdssxT1oNo7FcqRGUTyoMyTOpzkocSbFdLBZpTzpz3fES4kAOIL VdTVcDjmVtHAnCYAUWhkyuYUiPqSmsnN5rj6Ibr3O4UpCTlKJl/5LvzDFSUUy3zhUMvYFvx2mcTG nfGJR9xOYEw67DuyDIunja8e8WHdlm+6MOe/XcFSaRhvP9OELCGxcf8F6SHqrUyFpq7GFLTk7GyI yU8VvUyeI2t+y0/QQAY5HYXzzpctSyfZcCY0XQ5QMbqV0PlIdMNC22ZiOFYAvQE4X0uaytRGtsIN h94dudnnYxp+OClKLLRNgFDA+7uZeebbB4nay5KYLlgTHXi7ByZVypHeQJX2Q8P07m3tM3P7FCDu cUURvBiLucxH6h+FH0uarWBUhiYmY7p/6kFDQQyncwWetzmdM1qfVYUpRqcNQq+ukEWL8rKL33iu GbXXZXWwvVlmFwd8RQzka/ueaAEcfE3UUZ/mEcaLk2tqOPvctYUlcH1eiMaRLlQAWDFA8BI6HgIu 2QhByPhuXmWYhD5Z1SEYwX9sY6jTShNeIzkBgA0WflxuIMXcE0AEy7cZkE2XN/zY6h/9jb+HYF3d 6+RSdsxI4SNz/Pi7IcZ+2ZkwitjBwrWbyV0CR91NspAV9JrYMDarJvRWz+4H6l68PfcD+NYtfVhy +V3fRyx3+NsAJLwvXX8tUrHF2TZZO3oUjARqcxN2SKlJyr4gZycyaryIjTBgMhGZyJB3cY16styK qiISLRyjTICOxU/CLTZdqrVrLEFPQ6NTbMmkgqA3dAvmIt7YogyhUV1/M4SOLeLX52q4ZNCIKksv +TKMHc4iM3qbOSATd59Xyp1hqPFHC2Uu35HrorlpoRc/dwyAHaKWGwMsjGNW2PW/xr7QWNzw2Epm Q5UPul0tAufdh3cdRSMLdvRF/RxEndwyf8qnFAJuivUNE+4MO/Su1dpnglcrD/bPkBzf1LumQK2f YztAn9U/q364pAw8Ve1nq96bFEbP6W4h+uC/FfdkgT+jTBV8vJSbxXCoBaDWMWGj4j2FE6C32KzB EgLfYjJeu3jb2ScnN9ckR98HUM6EEe7HfseVlP2qY1+biIDkxauXkWVcwEnnhoz0l2hivrx5sb3b Du87Cdobanb9jXzONBLLkUdhbjYuJ/djzYDqZmIPyvoHfw86i50ERLomTfNuy36gE1Hrow6j4owh /V8LGl9CddS2PCLb+Oe1Kko3DG0o43MabQwJY+C2t0lPJRdg4ldLwb/HFQLufBHDNpPYx47Khmyr +i9KYNwbgZP2mkrYTM8Aix6IWHRM1hI/dsHlbGNCZR/VeXgJ2Z0KBWWEYCn2HPnxIyCs6sfs5Mzf KUL1RVE2gHuvIEJlI2QrdQsG5x0ahAre/HT+htS3r82xtjDSIbAZhBjvVnWzEasJuFlBxSRzl7Q/ OYff909PeoedY0+7f4DSkgRkHw2oU7adowLqcs09/7XS1iOoJ67jwv8TJfWT2C/585Dz7BIV/zJS sHn03US2Yb6Rw7ODfGbwKWwF7J1ao9kJBXW7jnB3QOf54y9zSSxpTjurxvNBk/oj/8Usij3G5MAL AWSbx/R1cNKmf2Kml9nk40dd358g3i7xdOR69Xxojsk14e/swPxL7XL9BaFBGxMyxWkge4gZckZV 8vxS8h3+B5AhBkPSYDAQbwydaJZxXy2tL+Fs5EZW+zUids2VjbvZ4PdBZrz4N/cG3Z7DC867mkko xqS2wyfc30ebXFe7nLE2P+ytuf0pgXLQQTkMErnbKkDqPtvZ5+ygAuNwH1fYJ4Mv8oUF/NWXw/Ji AfecG6nAYzKrkTcASXIJVBVUdnZcID/6CS6DougCH7vM0G79jos8k4urOr8ttV7I44OuUMRGtowd HXpNkwUcBST9Yf7GiIcW/kLVtjXDXr/c8Fq/bFWWYbAlLNqVaw4yEJJrq61vqGrUDMLl2800o6sL 88i2uVLSewdDlyL6K5FXrcwXHIng6pIOEgq8d+pI9TexQtlbxUUPkLA34JbDke7NnlwLCPfFFWk6 0DD/HZw5PQtxx/+51PhP9ZQLxSpSiijBubmKppQxBZ9MKIcFRTFeCMnKH8ua7dNpXQyl8pAKFqby dKpDR4vjerZZrT8sDTP0gNCBYLl5EhMFI9/nhck6cgTWWqvw0kXxgOqe2XHqSWaBjz+/3POjzLaD XvG9XF8qHkRpE7lSu2sF0qPESRKBbBi+4/VjiKYuAsnbcH05Zc0ho9H9r3Tdt7vJprj++wDIyc+E a7GNLRGm7RN2cjoELAN4B0fB1+LpToqj1BaChn+Mef538xq7r/VnWA+RHQd9pJ5WVPt1m7cBoMuU gvnCQpWg0fpqoaHTIfFeg0ZcEjPelPlyJQA+FyYxU6yIO1v3tdjXibstch6ti6cLy0JzcgIfY1+b A08SQpC7G684Jif6lVkqI+wQLHPva+V1m9GUXhIi+8peVfgYCgM0RRHC3DzREvyj2Rz8+3cSwDWS 9UFMGvN9U8I1E+25xH6KPdXF9IlR0OUZDZlC8sDrx3Bl8mMe82xPEvkL4FNBgDzAy8PYhuVje7nQ 4EEnOO15g+5awYScjeip2X/BGoTD++zPcZla9yG3fhJEo3kzKxjbGbJpkpOxNlIA5t5YGjM6aZ1l fY3JShPXlGLeMT2BJCKl00IPumWCiE9zlbre8Ym9jzSHqHEqWVOudNSppcOQG9tPl7rIZdIV+RG1 EZkFNlXd/yiljhpeCQIjbBl4KtNP5Ss35iHgbYonDh+IHqnBneCiLuAmGmn5YRN5jNBYwKF/Pat+ t87j//v24B/u5wHRoVfrjllsXO4PkgjhwrgAaeXyHN821TQuF+UU47bVUH5NbtT7x/5ievBVjwUU 6p/fFbz8VdRkPNXI3ZmVb3V05o+V9B01MEnuWeOvFiDX8Eoj6jsi1gg9FyYlMUPJeBc9Kfl1Xv8T zGQa1T077qLgqbaSHCd3mLb5TlnKScrC0OpqWlAKOuxD0j8PKewMyXENnKHgoss/POvxVsTy00Zs GYfgGCjEHhRrljypVbPL7FV+HA08AiZetFtU2dnxwpOJuBBefa7oECNuP6cPP+SJU2qiF+w8xbD4 NXh7A6kmnhN2zFc8Yu9SB7X7WZNNwGIFcum+xIBHFX/DoNP2CS2fQQerC0RTTYZZqnkyCgYLGTeP RVeXKiRHLXEf+lyXm2XlqpDAQzFiTGmPRSoVVPEEXmhHIO/Wf+Lo5xyqiH4lxkHD5DHLZFG3gvrU Z5w/u7W6sjjQYSik0MId0PZhm/rS9L87Qc3bmbgKD5Urqtt3mQrauiV4u4vJ0NtS5+BVWKut2+nx x7VPkxEPVHqJaxandeQOCz5XK4f/NU5gdvSsAwj5vMn2/PD9mllmQkUAX5jEu4RoZ3t10IUFcFfx M9EEiXsoL4sP6UIEE8TUzL9qX3+6nQdH7x8OH8B1nzVwQbxaOiLWPIotHTO0iC9yxdISJnuDNFzv eCM93NyZH5RWZNBvNXbpKSl/KhxZaExrcQg46rNUOo1BMHVSYH7C8VDaErN9zqLGw2sCXA4oKYSP jIfw2FGWm4JrD3DhP32gLW2eTOAwyUkbdslZjy6JxlXRlbxVRhhmX9hbuVE2vkJZabvHg17Lc0CQ qXfbZ6PeMGgIuWreyzfpADbcgnKvm12IQc9m8+TwAW7bSrG/roTI0nbrwBBm7w10h463fdigH7YO 46rBhs4ynEOqIIXo7wlwykZTvEX9QaiEGWozvd9woFeH76rSytq/l+hpcf/Qm4ZyFsY7oVP6uy/C 4nmKSi9dBT2KiB3pZgC4KT/l7ZzLo7G9m27AauGCOl4EbzXlOyl1qP2bFNGQWEM+f6/Uga5HlDqa Uu7jhU8GDP6kKTik0AdbW2VkXDeJH033QQN24pKK8oUv4Ys2vZVgaru5GL660x5CXKGRK9xohaU6 DAAdeuTOsuIlnNyoGCRrI0euH0Ut7rBOsJj90PHjsIjaqEjJOQkMOEWDbNAm2Mx1DvcG3YLxhqqf 3VdAowYVBrPH3C+v/DuHtkPn1OrSdC/pNOJDmkGQjuKM1YdXZN0h81rL3rPM2knnQZUsfoWw7LBP f2xa0gUJ7RbZc9ck9B2Bbs5UZcKHmyJtVntc9ot5F31gqZhWhsPs7qfKdw== `protect end_protected
gpl-2.0
dd94e70a009b34af657eb578722da382
0.954044
1.808889
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/c_reg_fd_v12_0/hdl/c_reg_fd_v12_0_viv_comp.vhd
2
7,535
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kzWoMphwV45eJSxoQ3axxB3sbr8te++rka0rbwWXsBd5Ly7juzC9L6DCdQUg5ILqS/M4nVN/0MuZ iWQL6LNEHw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block e+K7osoC5H+Fp1HkXHqFd5Aw8x6HdCXYjY/TlGcwOAbmpm4NfSdvLpHWsMjHZp8PLK9lunVPviwC OGLmvuW67Qvr/ISZ4kI6c7ykXGSwM2NMyMQxvX1JgJ4s2oittVcX3FPPR8pq5pcYugb/iA0XvsLA 4wZ1rPVM/tubJVqmUsY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NWLVw5096wGzFkOaz7LkTrwhPfIQpOaWXUViERv27buV7QP3Y6Ury1Y9OeKLvyG7MG5AwppXWS50 Kfuv1hXxh/yo9xt4W3pfPh+/KLykL0eLx11oQUXfJGjWh+a2aqPXIdEX2jQQi10wracxGLa7CguG v9HxNp9tVGlxwWYmgZlK0c3mSzgIS2Fk4BL8klDYfNWFpv178r1uiukuqMakB2h12yT1Rn2Zaa18 FarBj1HaS4l1qx90drn66If0RlV247e1XXYGi8evsrPEdFl8Y1TjCG382XGzt778kXhkQELFnc1w kwyNS/DBJG61C/aY2MynozcS90KhVLlSWtkFrQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block azgslggjsWDW1r1usvj7BkDYp3QP9+fRdnereTWb0rU99irG9m1w0B6apqjx9FXvA4MeLgCYmYH0 mHRzeLxF5cj8jB8bGRdxg+yF8g/GEAGWUrcpM3v3VsAHef2y7Bvdjn8srBPQIfQgYu50YuKxhXs1 TngPnmTBFRBIjBCg1js= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Z1jaD0DcsRh328x81GAPvHcjm+WRw/0kNeVDm+hNtCIOaMoSwyd/ETma1HUPx2OfWHsfwOtbn4gN TzgmXJ1Kdtu6BBPeglxHAf1cXSbbWR23GLakIkIHoqoS+PGVMdd8Z9/62qEB1EPiTxtH2eZKCuaF m9XqiZdxipg/U2ErC3D+7oRVqME1DUIAWYIjcJnU+XU/2eObq2gSI4fX6n4jCCtKv16UYjhQumcK StLnO4upBJ4FvULWOZDfBAKJlb87HK3Y2K82xd4dX9/rJvnMeusnzEjmGTbusnZBluASGyOTCJ3I Vty7M8ORU9V1rRTon+TzB8J7dkZGqBWCVU6etw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3840) `protect data_block cV8KSVVHj/vzzUgX0mVk9jrJgAiIV4XjmO9WgdFX3JdTn/kH02FDm3uaMO596kYMoZCI2Ylq7gWd hWdMsy+WbOYPnUGnSmJqtz8/qT4jJwua+aWCSFstZHMN5M4AEeHAnZnRJibxIyJWD2K0wlYP4uFu dfplsK+nQ6kQIwuqKs0NxcqjTXuPywvEfbm4R5INpfp4NrLVK0w0HmSqWBRaRj1UwVRcYPx/iwCN XdhrhMbl2GEBz/aNXrJC1qBdkDzKx2JVkUWDnjFmXLdALuGe2OC2AxrpR2R0dKzlvxcT5Kb+n/zs UtwGbdsNPaLtrnNDekliuIKN4vc5/Zzr9V2N1zoDHednLT3ULBJ1vub9v3vV7tKL787v3tHLzftp iJoXBB1a+Mh49e+wxtu6k9Svk/pVJp81sc4WD/ia/U9Rxy3Uoyy8jFinK7cKULkkgv9gm2QD9ROo 3RWcJhoMSQ8degZJ33PdMRM3oBC3FP0dPuNQezbOIpv29XORnDvoSmmfUJFmGZUp+gXnMlo/LrlM bdyK8kHXaQTTYkO8ocRbqNGSwWjMQY/SlCl9Ku4mbBehQ18/y2reUH9gjC7A+2APot4SaZeYMTc4 y8CpqZ9Xop+00RDiGDFYEc/83GyWraT+/QuplthZX15xoA4lotSmvl6E0Ko8dFWs5clQyorlphVV 92sYiGiM7Cp6IGgkXWOVXg2cHcGOc4rPuo0a7UYdBJU5iA3Eezv1RILND+MVIuvEOywyjZWPYFxg MpK5L5ZmZEPOoIYLMr2TQeE5Z8GoOl76NNDDCGK/uStIoHVxM5QF1WBJ5pobGapwpPQqNSUXZPHu rA9LY3zzKmMN7v3NQMNgv2QVmSsqGco2zfxe2eE5nJlDk7kUOLoY0AlSZT5gvb/43VdJ7lFLfavE gV1GWq9zdjJ30kEfATXiWDeqglY0czNYpIG2jcWjCmjz46+QA8/kLL0fYit6VGOzZAg6tCEiLSku ZL9Jbz5Igom0JjVuLzZoH6e42mMsDs5BGBzQdi9Vj7cGzIRdLf0KdPofcxQEAyq0ph9KMpwSjw8n BVmn0OYgSFWvncZNuaI96s9CdzKwFVpuTM0FXtL4daDs2Lo/JUK1h/ZMMdl0QfFG2RhEqBMROxjf eN0HMmnRZqEXlKd5lh0BeaRHxQ7O6EsTb07bzINhm0VtpwKSIHXXctCpBfabyLrGfkH6VdnYjHrt Cprk7R/14dBrZTuRl34lBW4Hern494ZmTJ4/PDukiMg8QYj1Scp69hoBCOLRy6o2bSKNSA2M69J/ nbILd+JVSZR2rPWqLUxmvItoQeFOQAtfYr7QidC4aWH44bHupUn35x5mxQWMCM+anvxdAPPC0lQT HOteGrdBs9Yfj1h2Jep6PnYJnpsyi5piBWAvGSh8G9qU8uporg/LtbNzoZhimy8WFU5NjmjL6Fdn dmzlswZyOrOVll6b8V58GIOlghUK1ZcfXNXggS5SHxQXL7XYDgzHZMCN18HLu2zAh+wGveczF7bS 2fUweEDqzvjcsn++uQCKBp5WmHMGbs6u+AXBR86Khd7JJ1vZ+yYfxqPU7gleWHiJXzJ4X+Fgm39B xKefGZ2agPMXVpYPY5L/3+5TxQPIVNbSsB8yuN2lt4icqzUjxEQ4pzIVhPbaE+Pa+TohXzQYmOf2 IA7gXEdsBvFaPZF+Cc2Hu4QsA+vdNRL5y5ii++oFfHcTNTS91BJeg8/Fg6rKpWPjCf12mnEQlSbY dPwD3dUQFu2dWfrld6tQ4W/l36T+SLfG4cqSZQqM0+5jrXvij+2i+INlUcXm7DnKYWvZY5ndyCUJ Ky2VLgHhU3IEEVyfOpe1sZHIZSKCjor/JWJDArKJzLueFOqfd7QColHs2vNmqcjXdX/uV85ua2qA MTgGrizZO4+Z6QBvQep8Yh/TY6lndTqnbkk4yW4trN9pyfz6Ykni8sabgZwhF1iNYA2DTY/Zhu94 hR4NvNEvtaJCvO2PyZCuq+ijxN44yu7UZbTdluIo6ugRlYW257JzynMzxYR5wTgyokV09WOTWgK0 t+YIahMO+p2E67opFKZioTFc/fU3h5Qxgo+qotcqmCioe0EDJIhjw0+QHbtNvb8H35Yb3aRPs3Ah eztqfzyrs9+dmoZwYMtXdg6RMmuVDfPWkRT1LZI3rzWbS5ycQ7vsN4Sotf2TyeZi0a8c8uBaOwR2 UL5Lfa4IZ5l2JLKSzBqV8nCor0xfriNsTM7+kROEi+68UckH40m9IJa4i9W3P3s7aPisOH9V3Zy6 7jWjwncuz/lfXUbHXTOl0eqgoU0I/WDlSov22h661uG8nJlCRiXi8Bhl3yXmjviAn/u/Z+dxCOJl /SuwFe1eJTpUQjbQh0YFYWc6wg1f276KaLd4lxSkIIXvjhdkrVczBJPoquotn2EG56r3ISvJm5ai YkaW/b5Pbz+nyacHSOrumaJWmQ0wpl+jbDEyO0/sg34cOjBfDYgod1Po6IduJ1ixHTgLnS1oo6cu YKFC/h6t3gKc9JUzYZ52GW0wQeYFjXzXVAIm1ICidSVSAU7ZvQGdJW5OaClo4AloGqZhhT19pn3V NyM8Wb6PbP+23oUkFdZ3krniUdB+fCk5CIsA3ZWQVSszhcYEBbkEOVXBDAmAfcf+L7ERNem5pDKV bHxFacf9ecbrn0VvgE5oUdEgvF6i1pTXg4+qQtvilJaclmXY2vQca1JpA1jNnIg+eFY4omnNSbmp sm5qAlSlqBkTUC0mqoShsBzlmsKRKvb41dHwT7lBxbO5ptsTNuODh4xauf7pmV2Y/s2Zz7JaIo91 eRkbJMAmwRLkpWxumT55wGplZFhNSqCxMGYAw6nYRW6psvRebqSNPEOoCIXuyJpMsgghBK8T+FPv 2FIjEG0CYzTlFB1gmakR4GXzCml9SoobTOGJDmFP0Ek8O7R9kINKqFVGgEZ3c/otxdfgmFKqpaYF hsUAHVaNANJsh3QH5sYma6dOz9zZt9F/vMdxJz+bs2QjpDTqqTQ44SziK/ML53uyNSXXfypnT/Tp Cba4YDqkgdQ4osV08L/1wg6LW91/yK1ZR0l2/8qaL7/v3u7Y27bNeG1W2LZNfDgHd+y8utoA0zXb XVGE8tFhs6Z85wgny2TogaSjjPlQDZJBVT1WuEy63efit3PW+oDZ8wapPzJKa7J7numOIV1WH95I Z1FdErUSvJMmoP+pNzJeJeoPc2yu8AWlrCGkKJ08SFB++iiavsihmHd4TuS2yQaCtEyaHEH6DFcX uQY8gBi2B5ccAm7qZrZMrPI06bRcI4jttHBNHGF7iGjJg0KMnT4ielZdiuwKxwZAYfdXUKhBcyWO ZWbpRJTy/zYEqLczNdkXE/TDh+3sgCwIIarbFza4tXMOOt61df847TPi+FqvbJnMG8tHpQTLAyvf EQunJYXrUEfBV8Lv9AkIISVzzjAZH8bXK/DGKsOwNMmEpRPb/m5TWZPe2i29PeinAUZpVrx6SemY d1BJ4o8qXEL+KCIj1MvLQhlCKeoEP9y1O52s8Ax/A4pn0fPemeK2OkU/xfiTiaqbx77RDOlcdAPk rxhI1E5hLcaM0DqcNP/gqUtjOJnkfE0FKiWUEVFYi+pULp3L1Eebd2dzY2hsTEBsxTDRGmL38lb1 YwCOPCgsv4wwyPrfeATVI24wQHRd5XogwQ5K2I79AzClxxUknrufWHjfJZ1UfhMQudvoLcxD65sL gnEzJw6hBk84og6TnPJ18idHHFCj2cTAngL15gXm7fESaWwMMxAxA+BuyikTekHCqpTsukYf+Xiw L5J7Ay3duS8cvcHB1Fcc9i7Zl/Uk1DpsK2hQq5TuYGOX5AOUn+i9tq6gyCWoivDV0uAvE7e1Klnu afoAV6IdLedLql7q492AQqhKg4oUHbxSXWckVErsT7+6KzogfsEj6mI/D5IY8etktQaGz5FVW1Sv DjZ4YAnwjwvUflwxLfdU63/z+gzS49Z4mv0L0+vSqvLlL4e/dfMlLPnmI3EIr9x4JEsDA+ppM34R mZ6vTcIU/F0xAD2VqE+WPzpOeH6ck/ueayzrUpCUdgoxAQXKgI4itcn0ELu4ftbRmMxq3jYivdgc N7kvSmklCZcSAWOnLCqW9+KxawpbtNeH5Qq72uKfi24B72k/znPTDbHZa83SE4HCPIThlQaBGNs5 9MuxJZGQmjgJrqcPuU/s0pNdQq2QTZ2lAF+V4dBv8ZzEIwDq+C0ZbIGsTeDn1JKd4fJPZAkSjE4Z hmssM3pUsHH3m40ZsYyH1t4/JEYfh1tDxXCzsUB2krMhI0vPQiCIi6U8cIjMRIwRxXcMfIRN+qpr MrPyxGDjwfbcvuWuMhS6M2tLN/lO8bjXnih/Bh43eOF1Vgcr6TKYyP9j69MkL6A3QjPdMuXZ6XXV fB8EWfTI6I4Nzfd0/9E5rRheC7QHg4LzGtpNylvK/EZM35gtm+Ts++vpk0jTB3dhMlRwNXcaU5cB 6tu0h7X25OZ6PZFIP6c1ChoGR3PHewTzmMUe7dY66mRrIaTdsNpSldEdm9QGcpbdTcMMnjAIYALN GnoFyOjMBm+9bESd0TwJ79v6AVHO4kIpFpsovY/dWyb44nKZIInW8IdW7o8bP+i5x9bedR/nibyZ Qf36kTS6nyTALcH3/mEGVUtz6bHe4+ibqE/5hAaKGP+vbfuZdpf/yR5PGCwem50l2CkTccziXQIw y/VF6tK2wZi7K6dstBcB1azGR+6NGTmufjlC0/WwdmydNAWIk9WXeD16/d617jM7z/FFUqwgVyYe fzVZNsN4UvWk6is2Xew11Y5L0TdjwHtsGcT8wbgpq8j23w+tWsYfeSgaH2OmKNl69EN4AXz/gNCG kjpm/FIsQ3eGtCrb3Ol3xyBsVv6X6Aywsi835haW4+TygH0mK+KxYKR8UBZuU2Jw6upHfhzvP7eT Z8FNfaOurEi9bPz8SQyIAuH8M3wjm56jkbFaMIczRSAEdLteneB4BwigZ8uM4wNJuKA5/0e2m4ps VA03+Y8pkTN3DPFzLiHdRHmdI7ddOGX4gpDsIUBBxhybUH1pr+6euB6eezCd8YRdEDe7hodPEO0G s9wyaqA9h+IcvcWuS2mbrwvXt6gg `protect end_protected
gpl-2.0
30728c95f97cbfac40517df56512f240
0.914134
1.926125
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/mult_gen_v12_0/hdl/ccm_scaled_adder.vhd
12
30,664
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block F6k3P9aUcR+POD1v0vugqSgOHOxcTtH3i/73hzjm3G/Y7WtFeMPGlBw9mRYqkFMs7Byf5SinYMXs f+qxOktcJw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gAXNQlJR8pfCN5KdBW++vcbpZhfcpgqUr0wiZZCUh6xICdJqGKC+nmcv3VhfGoI3NeMjttcKfKBc 5fUY9i29HuOA9z877uNFhF25esc2XKNsicJn1alndds2J7CXz7n0RyVz9/PYU+B6XwsHGjq4M7n/ 8hXshGNN3NwZDtURyc4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block slk/0eyRMmi3RJM3I0xwfAYw3vuOWOx8pMhqatWvD5n+4nTkNmDs0ucxSi18aMep/r88gqh9DmmF MSbKb9Joi4NZzW9MSviq4nB+r/cqOr/G+6kYphaXUhE40cXwhTQZKd7ljLhD/3pJd8ADjABy1+Yb IdYzmA1OOhbl+X0dmHU+c+ENp7vUk2ssu4OEgiDHglsCB4E1C+mGX1bwFvEZ8T5PzfJnTIloA32Y XUeWFkdrXpuzz5U4SDK5nKS3DG9Iun1L+hbs420cYgr5nX7aWz6Sy489Xh3XX/lYKLTNp6eCLsjK mh7/jOmnSp5xR3exATW+mFof1qyKy1dSiwutkw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SqApNXbpr2t7CC7d8fZtb/xfvJmD05W1Z/f4uy/y5KP9KgyfkoacwJsu7Z96Kxnh28hTVbUr+XCw DO4TkKolZH+V0q8mx4RozLHwDdxV51gziP5JyL95YBlj+cgGTtW8Pp1+IESS6yN8I9SYCcCM7+5s 3ZXm7KCHYFL8vQZS7AI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block V+dXXGh8I0uauCzKNCpPJ8GCO+C5LCBRq6KgtN6MzYYF6bEUw/apajafXOWljGHKm7jCKmjn+5M+ NNKXVrVygNHMLjHpm8oKF1RbXBR8feDq89OnxkWRacklRkf2oM77jMJsLKx3zlx41FTEYDx6yQMR 95ZnhrFZ1TzkZx8Omgii6kpyCzK5uVq04RJnBWNpTy7LCQJWV/g20TeaWZusSbByV89fjKbZQcNn FxDuOF5B99SmmbtLmcEW63PpZwd4ZehH7S5S+iSxshNAZppS7YcXwttXLfweRYVaQJXBHP3TI37O w53geuCPoq+cQXG6qZ1Tl335vLK7ogAkSQuBfQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20960) `protect data_block yZM5kDrLXzgw6TJa+TaKcMJTOvrAhNKU1QOQKnokhvS/xhG872vUZ1s0MXVHF4v5hADcPoKOCHLj IeQtsfmOL4BowVg2WJxqhy0ndTcnzb9HXstCw8HN1PqQktL6T+sXvDauXQbpWxXveHX6ubzEQ+wZ Ela8/wBEDpmFmsdN1UBfl5Ws9JmBrLOI2Rv5egTi8OtmH/PZlpF3zKdzjFBth+nu92h87XAti2MH M3ev3py+wMinsuUnl5XrA7hS4ZE09fK40vbNAkYK7vo7JeFQj4vOOUQCl/JaVn88MM5d0eK9Ane0 vi6/kZzHAtDbA3t8G3jtqYjmZfUdLgbHHmTHFktWXR7UCHgdz32t2XZ9QRAvcFVUW0cjTVWru8Vg vblWOPAbcpGQe36Kuj1pqQeAuntC4AoX3aHhnm3Gn8Ca8fELN5yzQNiFZhJZXRoKdokf/94D1YAe BsYAtw2J61ZuGYbgvUM5maM67a9M9JPHbil14r1mfWF/LecqnjGfFZQdSB0Gb7XQ8QStwUVAM4fJ WW7lCYHjdKIKIDLI7Q8SjPNQaoIc5jIaMcsV+AD15TcPKUJbqHxfeQ/q6jgA5meXjES7xIC9LjiA DZxsTjowmF0cSJBvXM5OxmipOqk/IoqjXvQy2eHmAkPbNSEoMOThmK3aYEq+YuzBXZx3Nbwm50sB 5SqBehEvQ8I8hSkFcIHS24T6BzCr1TvCOwlansuh2wV3nFEo0BBGvdukEixOmcCUO6h/NlAQVjvS hql+ouAEe7ZCcIJHIo9OaX3g+TkWogocwRdgn0S59QcgzST1eQLegnwxWTWTnCDeSlsZreKDPbvl ezGjuTPZJOSswZpjwDCu1ham3bs3B2t4R93LpxYTF74/CY7OGWYt3bVOWWpXC7ybfyMJqzfGhh7m 30tZiOkGPNB+F5sN2fmul+5z+2EN2sZ13dfLKGa2nAzsXasmvch7XGLuD/h/yqXJwqoKei71bYqs lFGW8EJwkz6HzaVzN8yejTIGpetxlS7ub3wHljwXXiXzhTmmJ32DanzyKdlFRT+r9wsW+1mG0i0u 6IgVzX1476ItN3WXABXNc7GaOxRqUp2Dbbrxw8JX68qh42ImVYZ756XebD7rF2tJBXBJT7pXcWoM mBtLDj3HGl5oUgBrcTajUf05tD1eGusGcYeetg833l84S+VEXZa3/LKzYsrPpU1Ikh2GPWxFiFWY agSpxrn1Z0Bt8V34YnGuJRLb7rg9PN9buOAffzgOOpKNYZ3PW8U4padOhqkSuAspA6YALXSvf6RF qUGMMYyzjzPtgQWGnzkS8KUBr2WrcvCUG8+yWNJ0k9of3Sb96H05CykMSEgavVKKTZInsiRujjoJ TV6NAJ4pKOr6bWji01K760ARhFzuG1jJL3Dwafw5hvpZ06+cVHA2aB0mnMiLKLX4hnUwsRjn/d7u Ko0aZRLLBfW5zV6hk0lOrpw8ICJS9Ze868jRi6pCU4uso+KXshvdV0BJ848fDYaLhjbADVplWJrD 5zw2V0EkswNdpYkdGVXvqRMt5rR3HzV916KMukJecO71a62QxWZai+D+kCF20tXAHrfwNXQMW+Ki GBlSz/n09X3wSfvxTgCI1v2o1q/JIgKQl/IS70Jw4azBEgZQ2QwFaQ8XQES0G0BMi30ZhiqTPuu2 O/R7DRRzPoCY7bleXXTDxkg3ub6PBygTs6Xk1x7zr0wYgaCt74QAWOeqzb71+Gfau/XFNsYoPag1 /x1teFvHCYoh+I1jKtNlvJQLrABZJPXb7E5O8Aj1i4Z88KCB9wNnly4MBC3DJkfy8LRTGBErbP/I 6KfaupmVhfTsgD3BaUjnU1EpvqXfW4iHN5XQ5bMsz4V0c3CTfVDZqdJ4SSBDBUz08TvBV/rVBriZ gA1tYQh3g7vvg6ryivQs0Q1Q0z9anGLfvN9G19lW37+5TnR8JiEVyET2EIc1H/0vuhYz8O13zXpg 61mr/yOdaHbFlzDDo8y0rK6TnvewsMoWa6paaMrQsi1L1GxVi+xRVl53O6k2HFds2xu6AwVJu2kb Yp4AMBjLILZJUWUozEF3+uWtJvRzZxd1L5Zi/kth9kdG+bJrmhDr+z9j2ScQ/TNXB0x4YwImCDh4 /YICddl61nGs3R2v/HFPwxoPDyJ4st6Bn/S5szNDWtEpWVfhXsikzbKZz6od4n9drk5ayFk20PdF 1bNoG8KQWcOI335aHL/iicSb8+K8JECvrGE2mh7ZbyjEOGqim7OpG5r+ghZ+BQEjPi4CrtdoChm+ kd0y0aOf6viOlNd4a3qE6km46zDHQs+QcBS8K4mtq6Fr00KixUIVxm0MMmWXIE04Ze1j9MRi10ME xlDJJc2QbkZRaNYfJHA+B6IP1Ul3ejgR5PV17aiM6pwv3l1eMfAHprp6VGaAhj6tr+NQK81x0cZN 4BgrYq///KCpQvA/LNhdg8WhZRj7BWblqZej+pobcXy7sSJE6+ZXZokJn/MwgHBCQQlHSw+pI6Ke C8aWkSPd3xwFRv34GIXBL+ANf/0OHx0u1won4Mi4aVGP2BhUt0K+S0AGmsN7eNTNRWR7cdmyL/1+ sQfbBBXx5v+zkF3gUHAhz+UXYXm5rhAp3Xowpk6ewJORp9fgvYJAp2AivDvqd7+Jgkv7f/aA3QXt 2opX4jEbnk/x2jhbas8GlsXExOeD4h2XFI37bJ0OOUbWrs06vbCNJCCr3Xd7a9r9KTfe/VxntfYn Em6VWPn9xV6hkxCiMqYmQGipLqvx9qe9xCLfZwqZHX4Q+rJJLmNFRV8V5tyATDQ8D6tgns+9O6S9 DwpOyaPkI0ZSm2Q0pcI8OR1OYnttFhIASHdxNCg3RzZovKag8/gdOs5jPBOfAhgRPLrvhD2V3+WF Uv6OKvOjw1wfWHgWofEDPvg8PWWOSMEC08lpTtMeY16Vghke9MFUSTGhQPtVSomvYRErYl+6/RLh egwQARIJ9IXfczqytgokxb3+ji4tcVScG+6uhWbU5iHQQU5Td3jDdQdkAto3+tr6bTyPGcRuq0fZ SYfA8CwxCJnqjUz3aEwnkbk1iSmkQJmG73ToSG3ksDVzN7VfIaRMZzH6mPMMExAYJdk7t5kqKk2D YKnGWSmG6/bOZFOQaGiP35P/5G8ZEmJT1xgKzQlsZ4/Wr3Kn2diRv4eS23IG1YZCik+aS66cu48s CN0MxKd+C8pun9TCT6tJDXfmscETAeA0wp312bVyNjxeEiBK3nMb/rdadtIjDSXBgm0lEsOGBpon 9fIR8PumyMgCIaoPyaVYUizGJI2B/HrFIuGqK6t0R7rU6/uafJMeL97CjKdhtlvVroZlLZBUOseO 4ozXpvysIWlx84V3izbGsOAxnffwx7iDeP6wNqTYc9F/Eu9/uJC07U/0FmP8Wm8VU/hY7t7wSkwr SqoXsXi6xsUv2J3exeujmfn+HecrbjqQy4zbK2rPgNjvyDQ4y7oiSXWAaTYCxFn8mmBSAMI0ceP/ XtQs7xYT2kMKCTslks8fA6BknZgiOmao8/jpU0Ty3ZWlopVibLUCnlr97shY/9qBFiMglNcqk6Zy TWzAL8702VUXFFyw3GwLM3WDXXYSoRo4nViVMDgCZPJtN7RUrd3z6vrxKIlkf0sXEPa+95X4Rpqw /Me57mHP1t/RGfqQxJ3OYb9dd4u136eflEIzAlZgFgYlOWn6yR6zkNqmBKJaMuY37Q9Od+Z5hHSx NdHR7fsL+qnAgmhYy7ZcumJx+EaB8PdtrsFqSBF2y2nW1lx7/ue4UBgjtQSAc6s5ELwQISAuSdfA O+a+AWq5o1kscN/lJW0UYA3eJ3OlTcIcLuv4dL9sDAgWVT9J8KnzRKVOIGVM8x2mA4PZOKKOoyzJ dXdAb/LQDdcQZW2Z3T/yEYDdklW6hJt6iafWjIej6yFjzrgHEwApAQbiHcnyXdRWS3zicEXhsXJ/ IrkzHeL39MdFGYUxUVEkHGE8Pg8BmFWMc7OZEgQjjI+69wQCmBZfIaiJRO9XUmsEpCV+YhMu6D0+ iGr3CtVFSEyz81GLXeERR69NMGqHWvUA5oXCe9+RxsRvhhU+gnbWqdTAfrcMG+xEAVhfQEV0Lbsc Wu+wPnp58JThVrY7gZrn8kWSn+qisIPxjSqBeOXpTN/rhNS+KzdkkiKTMzMyvbi9nuSdKK3z8aY4 qzz4SZOp7wJaKwtG4AMDZmmTg2U9j0D0U4nSmZXHzWSqcYEGFXR1/u/XyI3WA/hEtbj32pYLYq2J 2JwdkZx4EhdZgLXE2ksjQQ49etFfMM8roFJwPHQM68tGSrHuSDyT3pzL69k7UvIkgbsEvf1YmbtI Ick6hGeXHaZCoqSDsPSxwahub5bPhxT0SUfTOEatZtf4JGni6ytjAUBME12Siis4SbpdBOysY74T i8sii6ue4oM+BUuppwR/Kr0S9A8eRtVr4Vg/+HE1gfJABMyCFP7lCLSnggiEWowdaTJn+YGp8n8A JcIcrGF05FDQUZ43aQz7g25quKB7C0g6CwO0T4gJX0fcMKL9GgBq3Jb+WVKlTkGdgfIG/QPpPaKQ k1/wMJUSmHeOOLXIHZ6a79cCD5Ets1vPYQbifs8hzXzWEm7BZY/6VLOPBUH6GPg2tyW0xgXqCJVY 7BQMlaL+yBsy3IHLot4SMOuPTFPDVpRVDkHHXh8Xz726avRv971PXPcWo/0DH6yLghxcsgVfi48Z zVN5k4Tupp3LdG+Gh4hXGRfxKgE+GX9ODgmCtvh3VTD7Ifap43RuUfPVMB3uPBDWrlVxAgv2oJdl F+G6+nKlsoB9L7mDqrze1B9paBwx5z9pZMxSyhvIE2AcraQfvwd3wJ+bmllBze3kzK1zep5niDy7 fTLzj4n0zwbACm9Il6By9z06Z2Z7KnUuJ13Qgm7kIuf23lrT9g4nzSDL1YKsX9LxWujSSTMUVEIl nPLB3e0XqcNRunkDiLK8oqrXERJEX1L5Z6HaEjiyfZDlBbXpA3Zly/8pcJPrUl0mzy9Xb9T+JgS8 3ym1kNoBa9khzBAJckxoigkjtMKF6DoddOVNEb5upLJuyVei5wIyB+VX1IPUkWgTtL7E8wpVj0+a OWr5+yBf937HO3SgqMRIhWSesGuJfbzr+NGmCL3WTu3FhyTJbPrDx4v6vcfaAUMe0A9Y+gNt6JfL LTkO1Xocn52lJ5YiWg/o+yPloyXMJ0lmg9ylIGK6jfmR4rjQIWr4WSUz+C3WM5wDFUOfHE9odSsO SzmWzbIQ0cBW4PxmjwX987z5qtRGCuzGh2sdJN7h4pFbu4RXvwUhkO3fNW2qyIilfi7HyY8t3aXe M4pFhLpMj4gQOjoWhqbvQVRqBV4mWshYJduPQZuMBwJpIgUiuGwEQR12u+f8aCfO7hlUmLLe6hSh 3wCHJ5EwDs1KBgsvkqBbFNFt+u704tLMXqwM4D/92n1MfLrd+HP5cRK1AXwVAy11Ng4hcqkSTpjr +KqC/vLvt+8e9JER344l+cNbrjeT4hb7UwmmNu7Dz0UC0MnR9GQPjX4b/1BepGWiuAYbYufJvsnO U4LVF+6rY26Q8C94NYV1DMuW/jwzowzUISmTdZx4lF8DnnxAhNFbelvtC+M16syz01N0GfPwAZKZ wCJRVL2gSxUc5p5LSU/lcZTM/hg/ZXWSMx3zEUapPUuSBK0a0LMg0IZ1knkiOCZakS8UtzM6I0Th D6/bHBNCZRBSq5qynNfHQuw3s2/RAfXyoQUN59yE17aFasl2ouEjh2GRtZOGfTyUXbrTuvlfKD40 HVN7z2kw9861gGK3Pt6XmR3ZkOAEFbSKs6rT1k5++7vTgRdsoBvLk8lLVWxLdPnatXHOvJ4SQgva fBfOKu8gXOODsfrxnELnqU4mKeQvg3gHSYLCraIiGzOlct0KtG4Pvt14qif7CtVn7wT0whbMITna UEIP4EzKd8SUk3iGpRSSak+WoHiLry+S95eRvqsspbdyN38PSoCDUR2vCNTwRhHrjSSN4tyxU4fP nNZ4ABxoukbpSrZ6RG0G/SXpt7G1xBPYGaKxqRZFGWy5XKJdFzEUqzmKj5Cbxm//t2a7xZ9b7UAp 89vthJFgmwTPxBsITliCe2n7Sy7hZU4Lp5VbNg2Xn/6wIyIehXYbNDDjTX9Kh9IcbdGHkf3KOfxB aOqdj54N9/enMU69uHs/Rc4wGfvwXm3SinwfvnoT4TooRDhphFt+i+kkAq/vArRPx84frRt3JwOD I+PdyhyvH+RPNDvhQ8h3xxm1Du9UTJjOKhvDtCAkHZwZPcGXPVh9W95jDWsscWFp6j7HqRwGY5AZ JHqMnY8XwfLO2gddWSiMBkLKHaxRGOB6LVkRW1FznqzjLspY7Qzu6tzLOgxrWkHZL7EosIbojVwg sps8tSTGr6qBTPlTUvj2JVyGTEtecLRBgFkdSfrof3fEB/aJF9LZ6FlFfVoVJ+l8aqFMKrS3uI1u ezcXO+mUd++Ud7Q/5VU71NGEv9przV401GIDoGtuDiS0cEodLbyQHWUGPP8m8rhNW19z7RWA7Cwc RGRqeKX6vTbGday9ZjdBYodAAqVvynGqK2bqOUPay6MXHoFdHW8MC7VJm3RdUT99gawB/z+1Yn/1 hk69MH/3EiayPE5aAnBS0+SbSrfEWAw+3nTn2tFT8pNdMGjpEblY+bgqI1qKlHKvrkwmVZ4R3UXv ZrO/6ajRHOh4UPy2s7sxjwIZ9pvEuqkQBCmgMVFxfG5SlmRtjVJEmNwCKJFBHe5pQP/Rh+LX2sMv tUb7qVceJARUs1xLBsibasObtlZqj3ec76a0wfFan9Xt3tQzssPZ9SxHrj7a/W0mW+3MCfw/WaM8 q+c66aArKBsg8OI5PWTXBK6oGrU7jr5urjMqbRd+PhXDC6e4yG+yS1wvHJpwKp62u8WP5Z/ep8B4 BD8ke1HzQ7nNaPoYgcfuS5gOpKj4Ew2ZwfjPmSexx5/SGDIX29o9fV3A/JUT1itGe/OfssXb4IR9 yCi9Cb+5stuek7XIlNPF8grPJj7jP+Ta4+OP++zuqNxyunn80/H9cYkSfLsoK/JBr5OBLJWmxzFy iPaFy3zSrSI2ORVh9LFp1KcFDB1WHdP9YeE8pRSjTC21+inz2vSZeuCYsb5GY/PBE0ybv0lUDxsv 8EdvNGSgqIGGihFmXDyD8Nvo+sGj5aXTe4V+gPBW5DIAqJ7ZjDv6j3eQIKU7DM7XPwcF68hNsGLd flau8W3tXYEhwGkNyugX42qCzPU1tGPVREfyoqPTL6Fw2lZ6Wn/Ir/rO11Jq0twHPG7NW9u0Hawu VagaMXobA50mZ2r2nuaZymbvbIdH4vjLjSI/aRe0MNNHtIIqiz1sfdHtSqzjOLYMr+2P3JY7Za/c 1dVW8qcq8/aeRMZFMGABVy2jK+JWdL8umVIccv53zEHp3nMS7UwSUzvFnVV9/8hpufcqItDe81vT YhOJzkzex51O7rAOjCAN0Hed1ZE0mIHUZVIApyaaSLJDduOQTyk0ENSGuPDudn75F8+4fC/ZfmFK cacJmc3ay7yBKMgKY99LlbQOW/j4eoYoo3GCfrKww7dLBXQg4XmXHMpfQQGdtNE/lnld3axlxoic gcJrvoVYneip7OmJimKpPM8tVpVbQtI9+nmGdl1YdSx0kZfeftIYlsDQESgyE36Skk3IZpMFh8ZT v2KWjBF8QgeIUT0qXXnAUPgrc0fuSsJnBLoIQr4jzmMML02gquOxjivh8XOnhe2Focr6auFNxrOT CtKUOMz/XmaDeQfc3YM7EmfLVlCWYf5EWGaJiWqe2qs308y2pd42vgHmIjlXNAY7WlV3pR2unxC5 orCHKHLLuMVVVEVoc0xzBaMGxZj4/hT062r2LDa3x1BBCzVtpsh13Re3XQShqYABvpAzeBsy1H2H B+T+f0lk2l4EG8XsIy1v3T51yEfxOpVHNcJH3o5TCAi8LHIsQKEStQrnuNvHNQi4QmhbcPjA/7QW 797R3k9t4Sm0imJCHPMncoGaTGLSGN+2drxwPYeebP+GsmXqBAsxwgGxZQuSusn31V7rbum0J2ZI GQdfmNgx3UqQXVtXfLwcRar/Q53q0AvGoeYu8u0hvge6uDP9sm/fiuNhrVHYs8WC8NqRxFYfEwiB MRYsMDHVvhdzLymOC0asjaCzkkyq4HfstTbcslMyLKpU3KFNj7lQPXSh6HZV24f4010mZu627bxf 3lfhJOHghMp7szma5ZrFkXY4VJLV09RVetG/eXAec32QIfzKk21yAj9MQiktj4uvT/tsCInHrd4p UtQfXbXbYTWjhZPK3U/5nbRSOnqorwpnQcoxjhj3BFhNDr/kODX7W5PWOa7kn7ifmPM9iSOkhKcm AJC6fwtk+YxAYYXmLW7BCv9BEoUA3TDG+xnD/qoKFZPafH22kfQvfwHyCJmuTK4qB6tuoS+8QFzD IfT0WOKA5MlcBp3jfCQNF7Xqa4uTNzgcZDsC7fp6KMFB6duDREC9wXOCAXX7c9ERiDi5+Np0jBsC rxwyU7Civ4V6LFXeSQ9ixsollai8yg3hgh3z5Oqmlt0qCHYKsOgk+jpK8/yFqfKd4Mbg+HtZjwm9 J34+cFbUMAnAY44Xe+4NC7k7PRFSmdYmgOffKfKlpPZ/AqhFe4ogxUTFvdxI+/22Lph0lLB7xjC9 oPSLXeqGolDhk5Jn60Z/xcU7qvvUeH8cMqduoQ8PS5KWfkdfki7YcfqjIgNEuRMPlWMePOJj4KPk /YhRi4p1GayoQbMPfD7EQUWYUzCor6nWn//VnTawPDVkR1aTcuA/YWZAqAm7+EIvCy1LsGABmqUi 03oZAnala+IoRc+KfU2WNz1njC05tVISYsUVqdwobBNpdadS8ay4+N/Shy3Jy2ntATNFOvGWXnk9 7tEHEdPCfIhY8zrHbbic+ydt2vQM7zphsltxYLZMSey7JcdMl1/+McFEO2lNubebBHWdtLkACvva BS1ZSAN186MLUPFxNWYHN8F9o4qTD81cmfnhkyL2R5GH7RrgU+wCxpoCr3g4vIH50pUm/G/0bV7B W8Va4x+qlxrFNe6md7qO8Qvf7dzEdD9X2LrcKuRnDYikRfYZ67yU6ba8BcwV1qDhB3B8bzUJQSlR f06/oA7kJ1LSqt3q0RnQIF7alP/ef7LCi9nKodvaNCjXhShF42Io6FndYSRHZ9fkOJplz/f2WR6O gNIpHrKnoAjmKXOdxI9AfYXnYEWTRSU3sAWlT1WsgEB4aejXxyWlzF+1JWn26PsAU9i2C0oPDJXH +flmhmQevGJRbjCXO4bLt5P0v5wMbemGOGsw+mBocNy1pdo+OXiVAbAEo+OO1wcm4Kl14dHLtVbS P+zm5UTVje2+JMoVVeo1QwHo9a61DCuV+fT494aB1s0nRYqBz5egaZep/IjUhF22bAXWasZVU5vA NO/GJ2nsLXnFEDqzzLhqAOPiT412PAzqK3iumpxrxZH6p7LE/D883OJl3+0b/817FwDZPpWauX4l vh214usHuscxDGh/pUcFg06IiJ/z8fcyTik/nRq/BYENmO1expnPFHZ7VApswiMEcb+L0Gov4OtG pgm3FBakgD+6EnbxK/xM2K46cSJrlHJWTw9OclHVw/DUSeWqCHFJWj2PoAHVkJgNbeF+eWK2vQV3 VDhenaNy0u5H2hauGm1zQQWxMTEO890i1AxoOmVaERawv/WKKUcYZEarV6pG1e4hWRudxxYkfP5J yFGDx8LEDQpj5Ru413UegUjsm34d9zxub+Wfg/saNnzb28EHXMj02BOPW3SyE1MJbIVtI0etM+0Q z8xL/yV0zZHiNh19hmTrRv7dUpVJpsQz7466ww8lzIG5jE/cc2KHZzGFrhDicEmDm2lsnmoJJ2DU I/uFAHaRNpwD5gxuXR/+dNbVgTiDjcLc2Q1PNXMmjxISRfhk/7AZ+lum5b2D/fxgH4E+BMNelCXH UEa7WFmMtONLnDKNBOWwrR7R8EJm/cDEkCCZc8drZAJRFpv7+jd5VK3QDo57gdWlm6/C4QZU36KN wIUsVBDLdrB1S7U1QlsuE4uZOxoSPdIjSmraMoQzSo1AffRHLVxkCP+UNJXa9zxZyOUS1s+d6gH1 OMcXSyc81bB1UdclxLa2heC+99qyh18/VbupnQ1kFah6YQZX4Sk6VrAvj/eDUU9o7vbSjaCJHcyB lIuxAOU3bAfsw0DrbPnFfOAP9Oh37ztjESLMvIrcXMaJCL+kdzWTPnIsGwKz/k1izf7Iu6NRbccA gq5ey9KSmGz3FRxtt0MmyK9TDyw6hFAepolooiUDC+5RM+P8pZQLq/2cK0wN8O8FGRILhJz7Zdvh Xmie9MFTswmOVk9hjXObl/OjKe3NaN5XVJF6N0OU4dyNBxQnu+BewIvfCfPFAdULiQIEi0XjQCtO xfU9ZOWV1Prcvz6vxkrGa1owqz0EQ8OK1zYWPpShhsC0UKmhDEKcccrKm3DgICjyG/ByGYS6Oq61 n6W1N/efC4251W7ykDfo3M2E/P32CZ51CPcEZpof4zKj9x966JGOX93iKoZH6L/GU1nfgVwI20uB VFI5/pEL/7UK5cdR8Aubz9Yk97TXx75iIiqdbjWSc5BL9YlTtPBNHbxjgdgtXx8yi0sRtv2r3iZ2 5G9l5AL/K0KuSW+6RcgUgKIcIU2w6CkFOJM4AIH7hnESwLcc0JUBoZlbK379ozrJVhePuR7FigHR o7ZGUuMHgGe9duk2cbwqOAhjJ+5oxs9KoNqxgkyw8mN/QzvecwkZwpl8mw9HzJ3f3biLFOyFTgVZ heUXiY8qj35dlqYoX5Jl7xCZ059xbG6rpAbf36YLUpjj97Dh8DGpuiVM60x2XSm3ANOfaLvsaHJV IoHtAaHICxNgHGqSzgMFjHpEXJ9PvD+dOXTttwX7dKV4HWj2RQsrXaZ7GAQgN/jT3dAkS37gxVpY UOOUcsYTPCa/7WTOtDx+LUFogqCm3bZ97H41FdUkSVcG22XwOsP++yru3eZP7LZBQzaX/GsnKNVu gB+99/THSpQTXBNdydKVoicEcXmEwaQjadhvBi+3SxD18dAXhsQty3mqGgRUTg2NyLJqwSBrkK4T ZPEv90ElhqW9zcacOafFcITWcUCzVspD/isdr1Y13wbSCpuBLtBm6PdvsekHgZlwPGNkZKZ6HHgS 2oh3iRrZ8lMb9mJZmjl4TPSJcjHrPZs51xnsohc6LjsXbJ7Zx0Vm4C4YhH5r9XyoWu7t4Iu8vmsI x9A5oAhq5f/SXblAjUyh0bIsZvi7QSgqOzxohQL3K3XPlMFXmDY1so7/OC+MWtDR48qAKBOg+EWj wZjifPactDK2aOEvwY1URd7xXjWit8KLShtn6SuWuyc5VhnyFX61FyJOcB3tYSbueZ6rNWePw2WL ryPcjItIqyFaNDLF2vvbC3gH5UrNDbW/ysmi77gdZMb+gnN9TTeGJJ0EyWdRoj/bmZpvtIeLfjwq ISZgc7rZ59TCaOkMSwm6ESMsP/N1rtI2LG8Hu6G0vykBI7e2Pqc81tMWWTod8i3xfSaAqq2zlTEf 5SYExGMbf3iM7hsjDFEnNy4/mlYzlPVmBZFyUc6DE3g97q+8cKW6fo+jtmq7wrP+eTtPPjkl440A T8h0/NmcoF0L+eG8ZW9q1QeYapPxuUrGZk7d45l7AhiRN2N65z7EftM7S14igobapz4ZfAqebHzV zNcTM7mqZG4BWMt1jGHW9cQM+lYadePCz8WQGBqcqyqmvrDSqz0+Hn9f65XJpTbLpK7zoYCkDCZn vXi2hgFptxs05GW0pPSf9Jp7+nybHAtt6VoHxe4H3O/5ciNUsBR1bdGTB4lSg7RFgo6qGxZPQutC afhlDacu4w1vgBUhgtsWpJhmMES4xXnUFLB+qkRe3Cq2FOcDKuWLexl1PLhHV1oChEq4toIxyxUa RCaSkj45B6gRmdnVBJEwlkIg3G+eoIW+S+DVOJ03SfTJsIdMkc29nMXV9wQqpgR5/91Pa9hcdaxL z1UCm/aB4scLKe0pmkGr4cnGBaz7fJZ0zG3QNvtUcsTAZyMzw3PbGyOGBjeIwWktvYoQ7zwxyLEY IV7ARYSjhKyELXhlUGc5Vk/zWcR8q1Mxb/yL6/7dF43OykIBuaeeHiKJF0FjVOYCEWv0YYYpRwBX 8UhIf2tP4Igxkp1XxZjubemaO2ZJaCCUu50Z/tJkTyNd+aQUnRAtfYvizQouo+OARSSJInceoEIt n52UGrGajlNRu8cM9joWu/XbwCbHxpjbW4IVUo5Z91oxLmJE2gyOyi0+Qq9Mvn0822GIqFtsiAq8 O3dEE9pWO76V3svp+KPK03yAR7qRzAHrROEIRbX14NStC+2Yv+CxBu72C0wFnt85TZAqPFWvo+mG nJZmp/dYikWeq4sYpKCYMbGugydBg+htfLNHU3kBgB0FLjsTK6ldYJ9LOr66Rbes4wSWKOJa8hhg xCw/4n29w+p5Czjenuzua3Pe7CKygUnAFXlXMiGxIFKGHuMqNg5LwqWYexQlzGhCAWVAKySMvL9t 6CQT9/ZmhWXdFc15JI26bWXHUGrL2BEcsxw/jWiFZwQAYSNoXqm6/prdRIFJiTsksEOj/QxQXsqU pWoVZMliK6qevp7DqLQ/k6gZ75rP1wjzMFhFGx3OkNt1xtP8QlOHBhzD8HNuTCYSro1sn/BiGz+X KDd/Hfj/eH3fZ9WZj4XyWX7kD4hyVXMHPH0R47MEazP27pnc83LKSow4cJgU1CX5Ds99g8rANWqx KBZOxM+85+3AUZa7D/iSFLHwHyLB0C/NxBAO8SZXBrjwo7K0MXMflWRTG+ldrnu2fWslNIhjwV59 xPqpWLpNZHS6OyxQqUCuFmFvR6ZO8c6Ikb4V0y5KSaMsqI5tjEt/u5oZYmUXh/RV8bRKAfam8yAE Z5dEmisjaJEDwFwqkXYTJyKenxKoH1PWf1oxCCb2RjkDHS0YSoJ2xkACh3+Nq4+gvF3pSQPhxyFx 8bw0jcUJ7nLHUcwyi6yLgz6ZAlQSBnysmOw0JXHQqgCZ8xdCBqk5j5hYPV/ANKZBwupGbQr/QAaD S8lKx74AZo+8qrswiD+8Vc/vzSt2Me5nfacI8nAH83xewimBxFDW48yLb2qc+CfypNWe6Tky+ovx ExUjvNcwQWjtYO/OTBwxE5SH19jBSHFA1dzPE4lLpggLmtHfSodn88HHIC/BBaTeh/d0X0e6XEig biOsOXkuiVDIMHMsfAiAizlDXqxgd6VETEeqykJFrGY02rtmp4UbJqXFaDIAMsw1SaIvLLgFMN9n AmLwi10l+m9/tEJwIklkkALCjNUjUZ3c2vtmGfHBSWz+hiCzG7ymPRg/r5EAV6nTZJ/a8VaFOcDq M1Q0wg/I5eJuyhVomR8V/FWfXoeviAkKlhrGISu3g6YKvog8jdOGYH8tY6GoBSFCSY5pnQ0W+yQa ihYQckicpE/b//1auNgghtIB1u7afzppbNMEh31OggNKQiLcClOzFO0GNZhtCwJtkpf/BAf6e5VX y5NW5JlRoqPrbf0m621u+BBeV6Qu38CAFFFeQieC/rvzCDdKzE+cLPpXkTpYWr9BBkx78JJNaNrX hGG+7CxQfP2I5SlD6WyaJVoO6jqmGQE4Ed/LYsBaWgkAAjjEKwcf9Prg4hWqF8Hi/xSme0thdwOS W5GceZzokiLkw10nFMNtnYV6GTwUPr7OlhiDnjvg/EnAcfYkDwknjwYoSXRmb19F5+p9nylHTn7c ktyz+KMZ+r7cM7WoO15y0oC1gm0z39pKyWapM/jV8SMmyUGtZyQ+DIv8VcJNsDt6rWku+QKJUCUB PJnM59RcEVzfe763JT33fNPukTKkbpLcY9yDkV+VoMyIRqj5AB6Yk0QgC/IcI5DkKuhrgzTB+YxN y7U0Xz9KafddrSASHHPvarjIB7ecGLdtsmDriAcgeg4IN/dD7G2jYst1GUm7kjEmmXRGNRu95tVY XZTfbWyZTRcl59IAuQ0zpH0+MpYyadzP4w7y+hIQgJekvRLecsBl4ZGgK5PHuCSCKqO0vByWVaCW rx5VWWHDfa6KKfcZMAThJk45KnT4A2QlJJNgXGcTYrIMD9JzoMD7yFqAHmjONSLMGMmkDNdpFI2t sMOWeQg8FXJR0MBkRHaw/9AksFk/Mo8gqGF1PWY+zP0+QKsTyBNjcZ/6PMBDs7ZT8G1YpEEI+4YC 5Yk65yNKThS3DDebXWh2dLI65UmcpcmMb9VI0MXaRThv9JFHbKz/yxertphH0DvBsSx7KOldtoJC 9/s0jdmimtHLwV/lgm/qDvHhDsl0+VYyeX4zyKVLY1FOvJlSaXd+HTKIxdugk4hi5hQ1eFHSBIx3 pEGmnce52oblOlNVKtTuiNBCyh4dArlEARhcaSkgp5gTAG9BMpebwIYrjNLkPV0iSWOjmZJ+vYCh TObNZeNRXYUwInFJhXHdnhRuq/xsC6WDIRRTgxszck50x7ZSfPXIGQ3gJTXkmOO0c1ST1kQAsPlK waU4RjyI99NYiXaWpxB/TdjsUHGAsfeP4SSI/jInF85nwVd54OKQ5vWWKg0ykVDtlgCNciwgFKOF LjhaukWOhont3t7NSK6NvqLCILYFZQlK/CmqQ1GgoLEFSu/cSL2+NxUF0Sp6BbjYoeg0/Gu7GKoz Rv1+TMYcPJMTN1OEszNZZY46/xvNR9ufruWqZfK8nY9ryF9zM5+OEmZdbrW2vcn7HnNc+HOTbLWg 10o0aGxaFzpNWluX2O3HwAR5v+CVx/ZNsFOXqWhyaEWdba5cTbgX/hzDzyaroF9jlfDLTWorKCao t/FZni8fQrMq2TnEN67RjggUnPlQJYkvjAzVwTEUrsylDtknTjiR3X5NPHvyduuydTMej5AebPft 5YzkuFldV+G8rrMjl9XVAARwDci7a1N3a81RIEau/YMUp6VxoHdri1QApEuzziUyb29Mai2RCsKG Dj5CH/wMFFag/lGzld6clyca9ru5uig/YfRefYRwrjXRVpTw5qkcD/rrjP75Rt0VeKiS42W9kXUR 0gZSrCJKZcOqIZoTnlzH5beb8YMgvGW6UdRYc8x6y+afF0SN4Pe7t4qCl7xA/Dy/TsrAkNqo990q L7ahRNvvyrE1ri3L2+/y4inFCH3I0WD8TNgQ/DV4/u5EqGcrzEkdX2qjJ46XsjsWXKJWiq95DyU/ jIU+KRn8Tp02SmYD3C3+O9CVkQeMOaZvx6bNVEjz2xaEefHCb/lhAlGvUZJohD5J64MOttJTmXry aHp3PKhshFoFgZ1ZZVgp9VH3BDYkh9Ed0R5Cp8PJsYP0bfhFegDCn1zWeDWbnUIT7+UT1gAIobFl buCWRJchtDRKHqNXZigEyOGHNUoBvpWxw+GdDoNkQXEBXAfdinlsBF1GeQ7NTgAjGM1q8avDk2H3 tOgEOqGkrgWJy+8RjzB1lkVnrDdPfyepe3QDbxk+XCRDqTjpACmn93N01nSNwb1jfsR5ApTMwDhv ilJlqZ/M+frBEtSoCYrXI3+MAWfX1nefcZnPbt8KHcW6z8CXejauXd2nmzLGG8+31g6L8X/qmuz5 U+D9VGYguU93W6TyTyLUNjQ8nUEKASG664MmsIhSlmMWig+HcgNB6qyMGdCYHM0vnErBJW9BHb2L xYaBlkLTSrzdTyozmsRfuO/24CIFUF6ctHhOZ2pyO9LhJWPnSTKQv+d5cyI9CfoFH+NvuuUfs/Mg HExGtj0CbFI7XpkXyiykrGhtrJyG9d+aMLyZpAgNhT9nuQJWlmpKhGhAy2Ro1dIWPX9RJ0/fmO4n a51dRtXoCJ2A0x/8HSJojzBH+lLtmzW7YmoGjcsZMA6p3CBnCVtB048RsixmejxBYOwqE7rMzzke 8DnYK7dSgwLM6LMYxwHUeh/OmtZ7fbcPSM2BhoJ0FFxYarrWDurvKkY0pBGIq33AUt8XKFRe/k5w fHObtwdtOQj2inY7xwYMwm2ngw2oUNv98bnCJuMLeMITZrbJ93aRsz7q0bLnT6M31qLB6hEw3QA+ ZyKTJ1sTJfdd7yf7o1Px7aY9WRtkbUeGj3fTnKyuwKZYQpekNtvPiY6bYU3UnrXuD5HmkSu2Ts0O IIEp91aetc1QPIeFMgGZQFAJ7QJrDtwjQokaQY3praf2NwisPV9C7msmo/GW4jH6OHzMNepSLUHI tVLkgkOOahpzMSjW2BQWA5Bh/SvY3ug4+eq3Q4a9KFQ8tRI9xZFVbWOyMXhwxRjlbL6Zf+ZW3pnP JHSA6MyT60dqbxGlowQnoyYP0ZlSQ7zBazk76lUz0bGeQIyg56HIsZHo033TYKPj72VpLfiT0dt1 05YehHgI3JxCQlKnMeUx3PcAMk73AUFpMSXuFmZCrsYu/ODHltmujlIWaNCjTdd1k/vIuBSb2yHL uaTqSrrd4w28+9ddNSLEgM/L7AskN8Tft17j+tB1axwKt7ht/B4b6VFDa0NfxVGLi+TX2Q1by6E3 5Pv9aoYBUERc/D8fq+NHCwcIL6aBmCyeF89wcBmzntZeHJ4IcSDOmr/fTgNjcpU2tQ6VAXUe3w7d K8CRAW6JAOh1IVo+Q72JSxNSi1OUwXuiYaqPjd7Rbe50CEqQsx5IBC9/Shn9KFlyxl2SzT+c4L9o htvW2bCTfM5eJJ4OIeSng7SrW3oUmikhz1J2xMyMkoH1ZT14Mq3Zpk8RJZaI/NrCbKu2CD7ctrOr LZ/nsRnH9PQkpsUbpIJ6m7/GgpH51I9XF3PqLOt/5eReTOnNBSmsvCuWBuytY2YIUot+uGr5RZX4 cWraKrS3BWZqSDUoiWP8Kg1TtP6sgVz2Xuk1oyQDgaof2u9prq2QB/LtUEbOJ5SlGDg9XuXxRuM9 fL+4GZRWowECIr+ynJE8taHimrlEvWzp9ncMOeIZv4NYUh0MWr0FYMT1iKw05+uZSFiflZDLQpzI Rvy+n66G//TXgJbmCGOmZLcIzI7w++CZcaFieZS9JaHQUmx+7DnDU+l78pNvFzYesCVtPe3k2gsO 0YtJC2z9n3F1sxenY6qpRaDhwYrBHysr5UlLbak+p03dNPrtURuwfy+O32QbyeK7qMqf+F5e2iEH tLuKf9jS8X8tV2JShqsdYiKRi0XyRlaebx6RNZh4dLKkjQqz6CW/qawLfJBbfxH8xMWf8w+zWz9f UtCsIP3JLxQ0oLdxGfRQGcSazujvGIpLcar4G4zOfVH3F3++d4d/wRuo0X7NgDCkuRwwoJ8IOJ03 6EtmcmqTD9lN1GxevLQmlCtO8s62Et/9FTUGyN6LJnawuFHTdWBoFWzHy6x0OWB5+7u9VWoMgFiP I3RAIglUg757Gqq5OQiWUwhaACKMGdme1TS76ufDuOnqiKAlhF6xvj1KLfb2cYKat3KVrcg/xET7 AGAAUkQtXIB/srAr4nc06ZaLACRrfsOhINMJjItazFFMZPVPCFfX/mJdrrsCRFOLvSVBzH5cLZXW +1nU1mX09hQJvGFt7If9l+ag3BlHKMv86y3bqLOwOAZ+hzgFCo5FaCYNeJNi/XLaP5A/AgVPzjDF WujkEw/CtO/Pb9/urYgwukqbo07CP5NZh5BmvEwQY2vOZGw88Mn9hJArEDZrU3iYEDpauzREyNIQ 7olucAForj7nL3HZW4a3JzO7DujMIQiP9HuTn19gwDrHPpBUZdj5oDazxPQrLuSgxeT0GTp18N1a xcjMJeqzKe9UcdImjSwJZYsg5A+BZZtwlXUjes//FpFgz2S+e1jLxhn6anHkKNG5UvsjSMElfHne +4+0tMXQ+JwP8uXFKbDuVmSg8AnbCgNxrKg8g8xgNbK/fdpPlTjN/iVpIQfnXOrZtNB0/VhbKW8T pFCV3CInJVaymnROKOW1MyswhQgb4KkxBp5s+DClC4MjgUgm1eSn5c2JBOW9Oy7VKZhpu3XOmLYr 5cF1eqc+XinU9BPXRDv7fPoSuUyPHWGezcZO2m5ZZWtNV4jlyk7Uvf0dDHGnIZar6FqyADK3yso6 IYtjFEInw0awMBiADycuiBhtiEfCVfyZpXWquTqlYis9VoW/C953RdOU6tdW4lLzK1TuIKOPM1od NdmoJYbSSdBHlYaJqufus6aiRpKYJ1tEmrDmoa0UNRYcu3SJbV4j9gOEZVDBR3Bf5tkg1mb4Wouk 04DulwVSk3CurFroTrjIYrB1kbuO5skiJz6bgYRygOmGco7s6yn48OYeM8QYsi9M8YeUOQR36aNy 5muq2O4HW12zKt1+bNw8xK1DlbDwKgjzYm4lqMoT/9tBzWwJvs5L7jMkKBt8HwCkWTHny9tggAwR 6nFKGqtXgzdJkJPipKOY6NmTU95foP/9ta5BvrQSnQGXBc/XLZob6mPciXwmcqESORXaPtcAAtOi gGfWOFci6M5Gjiq9N4aCKbXqiJtEnAtPozYbn/r5HGZcChPZyw7NDmF6BbTFFChb+peBXRR1L10e obbd5K07PO2YjbvdKlNWCyH4vy7hGzGBsW7i9Uo81Zvr1jx+kJHaRua0uJd1uLUGYhHvKljFMRED aOgTma9dnG8jaEnAzt6tTROQbyixTsYEpWIqyj2yoIyhyd8b0Cbnarsekwpc6/cIjm2WlFRTQMSc p0IPgNyaokgt1oFNp2vRVsS7g79/Yzx97+rDvyUISlPcitXcXejrVIMdPbw1a5Xdhh8yDWNjnsAf 8hSzOQzXPv+ZpuxJnTl+VDludwR4ZF4iJM2mSn7XqVEIu64HyacyhYmzbGNu9o90/d4zY7DwYUK2 AfRAfPd6HUDXAtQ9684hxYRQZKijo5QrSa5GVdK3tb14KV4zhl3N/ddmRvdt1z1KhXiCnxlxVm8c GSV+kT3IIzkNDCJeMrlGL60UJo37VHoYqBiEQs75dKFdB1IXbA5GmPmkb7DjkfRLzpf3r+1rdH9f ntXPnqjc64Wkx5Phbaef1+qzPHm6XjdFkB+ubaV6ugoUYZ5oQQwekaSwa9LzxsjDQrqXMtZnwtZR uQ47Zh4IcCE9tYciL4e5ZFLNuHuafC965d5nt8lgBg6HXY2motL+UPXM54onyGeiASkgY345FKr0 uFqwP2AwO2VnM8VCxMeWhbAhuElmviBF8aeUeUwEVLj8lOfjo6UolsQglzWutVuphCGnmczk3dek nQ9IQq8QaClLqE6EQ8NlmxLqXXO5GM3AN6bDtatDs+ja8pomqxojYwSpYLeDNinjCXvwlArOnyB9 3zejyFuLq94sial2PxiUmaAAYIV37HtF6v1x/QiDV11NQeR9/N+usjSZnhi98N2g6Dxfq81YbOZm geKEnmnmYZ5ahSwg87jamrxW7v8XMXSxfAQiZkD1uyk/jWCBsxFgl/uH/1RQV8DwvfxBaBoYdUaT FUCd6GwKYK+H0en81gfWi3E21EPOteUiHIe4sVcPS00mon75JkmaBxtw1/Tjr6mjy6bbAHV4RoMB gdAtNoHmHH+WYs7pN4XYeiBBVTLHwc5CjFkl4eQsB5YxmbY2Z52TZ8e7aXpHQWjg8oPFZimOIFfD 2Mx6m/IGz4nIAtFbG3hxIDR8XjmM/3Bp7vSjXayO3UgCtGcVW9+mq8foIzB/4Tl1wO2cPe7RBHP8 Zi+s1MjsbCy7q73LgZv2TZNe85lQ/HfuFCEckBcVWyn/SJbN/qTxa1b8yOnlwLL0Fd5mOrsJki3E LpKXD1sJyO8T0tY9lg/B7ZZ8z0W4sx+u5uKaBeYy15wVRMKQfrhVyWTwz9gBomJ9r5KvLKlUdyNz HmzIQDrJnqjaEiyuetMYPy9kJ3Ndt7faFxR9OOTrBnZ2+dYeYJg+s51Q+aZZiBAlBjbpLJvzP4ys 4pg/+bRGLKVGeZq55wc+DwTVUCXUJ/5SIvYr0AhMmZXEWEhIYI6/Uw+bEGTQLx/OQaP8XC3d+YvK 6y8MuyklP2GclH3aZh5IYC1xJTBL6ap5Dirgj6Zj79uiHEGEWqphU+Z7Luj5MYpn4ZzDORS/GPMR aX8POFl+04b2PhduvgOfeBt0Oc2anIqmdEAeT1hsf1lz30Gar/z4OmW00EghiwDnPnWgGEKezHE2 1IBQmkpxVfyvEXJmUj71VFeYakNUPuC+03atDvmF8u2l15JT5P0oMDHejB9ezMJz7u6K2NLsrif2 gjOrWH181p/KohRMje4U1hywbQVx6FOO53KcY2t6LnMA+2uvp7kDPrnPCyyUbcy/dTYepjT5AZ+p q2G4GtDCuAPzeCtoX1X2y0fGra6Wa8qlrfw2b3L07fa2zDsj0BanAnNCQcRV8MLKzfM4kKRsDpn0 ZzZ31PCWiL1Y1Sb1cFvRX7yJ3dPhbL2VF0gvz6/djonBdFpxOquO3j5aFaq62mvtAXuesAAtpJE+ Ao0Z1LOKnyZrCOeifrLuEmUlM5r1+FBsnp+sxOvLbelJKMyShx+lCqfZEmcLKiTH+dHWu63t8fKQ TNe/+56Yb9V+AGTtFIQmshh45kHGKvtC2K5f5RLpMrzBtdMC3+0wzVoemm5LMa6zf8Q12lOXF9V+ gVnaAC+yrgm32ju2LBYq+CcKI5Hljpki7do/DjxWB4AoVzI9WxXl8lI97auTvPrvRZmtPT5zlxfE CVdV9Ox8rdFkXxTXIEMggjrNa/B2+QPbjqeMcUX+VmO2jIX+F74JDQEjlo3eMDAsjwSVuxSynjYu HUFl4bZRACm6sYEirDlCwqERdVOFbqmxTK8rnuUFVhOv7IOtS849tvuf8XesTxzUEeZL44FQajGT XZIjgXiXS+3FXxzDN0U0Z9rii6bXUfydp4oNUGfipBKP/Eq/CqI8wWKl5DDnJt2rvOWYIM8Tcx7W xCN8SaIJAmCw2pOalLj6nTBriifT7fbh+HZI8MPnLlDZ7BKI+AxIgz+v3l/O0GpVqzkBGj3p5tsg 0idcjC+th4D8uwX1z+BW1+dqzUZG6nh8pDbaUr97HYvTngO35kemw+X2VDFBiZjtF+BMEJMLYNmK El1Xu9H8KkO/JwriAzQno4b3dW7DA/Ha/6/d66QPcUHSELv6UcdQ+0sbs/EQtkTvLAB2LmEqJV5t aOVFw0Z71ed0lXjHq2DG5L3sWTQIxSKwTq6urBoohDdFbqrQzZ6+DI/Wx1EWF5+Np1OxX7YjOPXB nNtVmRyGdWY9Z/CVD50F3dGVbLpvDBVrxQKabtxhv6q6XSK9UqWcFV+hqKi5SYKSEkJHvkka+rM5 uwPBH5JSdIx/m/6rlFwjDfITGys6rNSZOVayDDetHjvK0PjHOSmJr+t1A7jAOS62tsWoENDxF+0B +rSv0t8fCyGwZKm2h0wY1Y5JLthYTvuhXxM1qsb4M2ukB/4ueY1jcL2FO96BcH1PArn8hZ5IwSM4 p14gBviVjci5HtxQmRW/BXg9nxsa1YfB2JUTQ4J3/6uPo4iC8DPK3M5PQTz7M/6QIDL4/5XfgGw0 JVADp4R0xQFuZR6dzwAz5k9ol/5LDKhC7zNVlo1WlOV4kzAeNM7ag1mjRj4yChCdxDuREUtSd+LO T/pnUnN+8DaEZgV0YGrDXt+jmSkrQs77hKVrGBEvL7B7PJyL14gphtApDNcXuEWy5Jbc2YcIvRB0 G28mlRNTbL6kL3BBLIV/TI/7rgGMDG52k3jNKpsX085GLr+03EkqPORUePf+3jt/NFkkfgXguHYQ D2seKtE9uORdjXxDfT3RcsMjTC3H24nqVh8X0NLnOjWaAf8dz1uviiK3wzziuBq9qGt55OJZFfNO m2bSDj8Z5D6wFyYasFVj1TG68Yw5ILsPmvTctgxGlT8Z9J94byIAGtx5B5zkkwIfSSC2tdqhMHfv CdEn0YgFomDyIuCIr2Pt/KF0r01iSHVyOGKfqJbExW062gmybUwaPWngIePbNzv6FKZ0Q+djtUS6 0PFXSvzF722T8tK+5p6Ne4q8WN8Fc/uG/a5ouzxIdQK8QfW+FJ+ZLL5b6tH9P/Zdxq2YSLpiyVKb P9P36MUSaxTgqhQ3jAYRE2Y/OkzDdopzkKFX1KOiJvgecWqdODhR9DkumiL8WHHaRDattUIStSDW beLsxspuGlmoADL2cfklyjSmtbWXHh3dhLE9TmcBcKKLGQUka2Rs1gGT1Xk0e5kpqMgzl22ZOyXi HZDveOUZYIJ+7+XYu/qaFMiMCGCFeIP8+UW3BA5yqIDSY4VdhxUhiY1ewJavSNQacntHNp+6Qb4z FIkPQm028L/QEcqt2xG1AGrJVGjpL2S6mUoXoegTVUsxnzKDOj52D1IVH1VktzhdXznk81wtRfef r+WZzDpT01z9MGGHld0ZSzvdOmzhq7Phk9D9NMGD4bor+AuHSN6qu4H/ZnZHMApb7UW4bF02iRUY dAAKCV3yp1/T+ct+nI3l0A7juDVoHuRtdvY9nxK6AmAUwon/pGeJYKmov/PktPUOBM+G4jrxpe0N 2dVrIJ8JYt5G1Qx+Xi0XRgptOYqmBN+ofLVNy7TsqX06ZKA2OP5Dqr33bmoeRHi2oIZXCLKV6FCi H+/9F/b5BBCL+UtinLi0QqgLgV5DZlnwSc2lQ6uB70TFDZuRz6MXsVvtmBsKxNSWaJwXLWkN9bAU nKAR7lYLD6tcGYdxYJ4i3+jZHruOgEz9NvI6sOCKncvpWyOlaR6GgPZfPybY2LOvjSTk+qvy4fDJ GH+FnMVwejFz/4AgaCkfPYW1tZ9YrTePzN7QGuR7oJ49JP3mF0+qlHQISEfSjd3rfZaiU3wBmM2a KXQ6nKPMTj9ddHT75MokCur4BMrOwZzdU9Fk0B8lr/+gQtqW5JyVuBMPEykkxJ4lzg2t5T3d8Qfu B3RCWV9ba21OJBtbT/DYx05y7OcCSs9PluEeZ4mdSY7veQnYZi5AAuNQ5KcS2gB3/x4cLsDQxFBF sVccEgkTu052ZVDjYVs8lHXevrSjAZN9Ri+fbpmvnvOV18l4znNKaq2yVsLMCJPwsslLbNrQlqK6 5CpXmfBfBNGyD3lMWUE1nIbueGjwCLfw5qWb3xsAlQSPGlriqfgJiZRaZ7L4HFvkewhNwoD0Mgy1 /NKzDfGpnnbhqynuC8PO05LqdjaxmCUKrzsn6zvqQAO8NOjBsVOw0S5E1CplNOVS0Hjlgdvjui/4 aLECNvqRtwCtUJ5U+WTYeOSA9THa/vJ3cuQALvBU9swJ8faZjSKUf2rfCPH/M5zfeJBGwZipq/Jx F9davQ95tfBNEInDZJCKZIGKh9dxYofVnqR/FE28vmwqQHMb9+LRquzdN9Wb7WYrxxp4IHhlumgI ZpiBPS9Zp1ANQsLEzdakQZEiRGgRb6KLS0Y7x3pIL/PLDn7cB3QQsLF3kqzhwO5QAolYNXkVPHXB r8YRwpL5O/X4BgYLmH6vyBGf4AWTcqYm9ee4M8zDvRE96y0s2HTKoZOo6zFkVHJublFTivYTZ/Is 0OXudAqEn1dGmUm9ilqIs1ENi0al/qpSPSDrh5d2pYUSeUQlNkbGZ3TKxWNEO5/zEZVJJkCbrN+l D2v8L7wFe6aEGnJN9hQap136O+8aDQmTIYq5DzF+v93NRyjo6Q4ld2X87tQd1qivdW/i3Xmb3KIr qYrc0KZw5NCzTynoCQJEMsqTW5WdsPJC3Dso5Yb40LZ94yrHeodFS5H8F95aj7/59mijQCXbVzds bOCuGuSPX7RGLoq/6MqgP46sAY39fLA7qL0RA6VApudDxRT+n2dP96W35go9ytIGMGBRUSKk71k/ Nhd0DVuytK+QNpJTcTCM4ajpQFyBIPDWXywqMyham9XoFU42qlaF9rSX76ybVlI/UPVjuFK13dAs xj/ftelRKF4yWW2lsh7rXgRjc3Y/Z8q+YqYO4z9m+QYXy7H4Ba/kSQsflE5BeN4B0xGYw4YlN7s0 htcsVHkGy2ajqk2nxP1OsyIpiV0dv6OQwgvxsjE/y7jKucsL8x7t55+eTK/zl1PaGQ8BE2oJ/Q1O v+tQhK7bEB7JfbQ0sIf254W1ONQpnZtWn1XOah1TSyPFv3p7hAiuHzelBFtr1Cfc64uoIEruNzYj SoYqbzkR3bj6Tm+w4vTKrwgTNUqinGltulrb6aTpNR9fJOi0oZSF54PmMAduhQqS6Kf5+WX0rU9M ogUPFO7zhYCKqvfXLSpkwts3QEO4Uf9Uk+gLiX5uS+gy9HNjVs0/NaqTk6oRHiTVw3PORXVsw/1G 4k5ga/pyPQXW1fl9hP2hWTqFRDTErREquqqXGB4RxKxhFi4BMQa43+jxCQqEVQsBfy7FdztjFFsl nXsux38WshPSpWIyhOu16u1LZ9B+ecy6mhNKdIUuciBTQqmgt3pmpbJs6ZZXnbJqfcQdMK7VMBNI axUEf2RrEiQ9pFr4JIEe/W8de8FGeLSeHNSfDR9Bw6R2J6l+Ppc/VnWLLDstE6YUAzvlQ3oIMK4Q lqtiHSpyQOtpbbes9MTsMDXnru3SZ3cICnnsdmINaU8yMPKBWzhuh8/4HcZ9Dw+7jtfU6bWtbJ2p fzrEUubE5wD+8krw86zZQUkMX7Q/+/1sFyONLupSOLZJ99y3mlb/DP/JXDaPR87L8XV73+xFZ10w phLW+cIZ6fUAFcydmTZOEIxjzw9Bi/HaApI6J3pm9duOKicLs6MN1/V2anz4clC7AXm8hJyXmkCn KSGEN4xVJM4HECOk6/JlLq5/CaDkNAvTX+b27BcMHpyfWIQRDDWKXPMZ/5evrENRdTToiELf5d/0 08NAACiVNtPmk0kBaZh/peF1TYgGGNytnUmhQdqfHX7uoN9lxm2gfdJqx8jSy71ztY6y1ZDSClr7 2vhEy9sirluaXKzvbqIUWfBL2QIan0LJExZOTzMKVSU7ztMbPafDk6YFCTcKfZgwI9JoRMVzl8EZ nnrJBRfOtmtD7QfBdsW1KsxqmSKbMBvG0ClDT2LdthJUEbO0icB0C4JuSqG2XLhrfQmtoWBjJ8Yo 0+3lLJ3H2LJyHAgKr6TurBV8wogm/gTbDQrDNtUk8/qFt0rSSunofRCO2X41+FwKeCKx+yerF0P6 2Tfca/6G8jitDdb7U7XqwQuvAlutKjc9UEEz1RIHS7lO2VPFZNnn2lKTvwZSu8K2isxA/fG0X6tK XsJMwEPz0yk2WzsBvlm+lELI1WWlwB5bT2CwI4NYaKk5NAjqXV3BeW9SOPWTHwhZHKatvMXNmDME MxDCVt6qI0oQK91IaFIXC0miHoUZcjj+K3nWdbTYQH+nPz6BoQq0FcPn5ze6KFJPPWWLCGRbcv3g RUFi0eYBEnFXnOXjBchj4Y88h7BpUZMs2STMWcy7Y+MWUyKaxSXjQ1I1Pe3bCYESrbwVEtzUSnu8 bo+4J5ytki2/UvRvuGFaWVfgKrSfe5Ce0Vc2djJOwV4M5fKFg9hrsPwdMr3tHyAWQGgyqLUN9red TtuxnOKCvz+T8jdA9yhbi7hVl4x4l9mHhtMfgOgzkvY3ZV2A1/tTF7rKDVzqgcra2bV9KrUK/AQ+ noDozO8ncRRHg4MGREmqHjo8omjVHuvtge4/XYFqM1xCYomNu1liJXq0PR8RyLR0Tmr15O8tMmD4 qrPdltPR5CS4KX2W9HGX7vDiKBzWY1DoHcR9TEUOvrES5VROGKOqoEIIdW8fCPwq4vnb2L7hetMx tZTv9P7GN4Lf7vw5fRqjS0+vjKAAza6rfzrdEg8YASXO3NZUdVwyBXXxj9TjczAp524NqPbSuO5m lHdpKMjfBP7z2BaRxuBCB+T4EKSsrB1W75DOmEXsIVuDarJJ0/DFWr0Gem4PCJrzeJ6U8QeZY/iG N6ypdyW1AwGhU5B4Y8kSbCxH+s8TZnTO/RQyxm0tdBdHcA9TmW8Do0GyG/A0UVdqEq5x1q7UHpVz E6IJsT3p5l9aG+Z7ldz7/Ym5Jzy2neQD64UXRNmEHF94unrUPTcN+DZD8qx3MF6Y0Uo5PVH6fQKX S8/Y+e5jjA5bLwCc5jRNXRFBiCXmYo95/sLnaN62y31YWr7POWE3Vn4bltgWn0P5LR9YAJutYRWy xZvCrbuX0EADD4MUcB18zknSdp8meXI7flpnKymKmL24r5C7Z4zBT9IOazUbLD0mcfbzWd4Bz/yA 91is9KmFkbkNu/9/1aGqT0sT+Q7Fr1MQedcU/N3QOTZ3fAPHvPWruvT/eh1NtepGz0B6ndHJ/Ar1 LKuxRtdWLRoG4fvzCLmvo00Sd1e7/f3vAlCUiabq3oTWQVwaDqwpLaJz1cPZBXDPJG/Qqn113W6q p5ZhTpcbbrf+4YbFHijXaPxngVKo3Efx14AqbgyamgRPphx0jxoy0sOW2oUzYJcjluH6HgZcTEht xDHkdJvzeQgIq0B25clqiBDu9/5U9cYhsA+NUi7ivulSrGYgFQH+o1loVaTiCkP/YjLM3dTh7udU ea1Bdxt/5G6QLGozoqmzwV7TTeFOkUCLvef+WGgo5QvwzHfwLGPMHLTLHJEu5AV3Z4h59kyt6Zip 1UkseVu4XaVCSKGWsjzFqWRhDUDlQMuJX64nyqOwqIwDSGjhtuGqvu+rZNpJJ/7oQmXFuEsF/Ixm Z42qllwPMC96qklioSqMG8PxUd+BV7a4C93BTHXwyVk30QAKmAWzckk7nf85qId8bXVyWxB2R9cS 194LfrMnUX59iJa2ccxFPacDMO8PCwJQGELdIsOjUXprjAH2rdxVllPRmAoiJ1Jenvw+E2erdZg1 dcU8ubHDw95FVQOG6MH0iS6s7oql2C8DNTDCAUaohKzj/3hhJUtKXkrJUyd/oAFllXmFAcUA0qd/ 5q4T7n5hmNL1JEhEPixnf4DWWJitHhl//mUUeejq04bxkcpcL2PQTGXNXb5CK5GW4fOGlRhcUA3x XSM1Ld9xQgkgvjV4HXcmvZGjlA57LR0uNEAB8QxDK00vng085Qr+S2iMCnuhTwwuf9Ouf/LAjT4h ZzVWyhAFbthY0Z1cpdtfmdufkAetcr6lDWYJCVH5rEXjW3Js3kXbFuUOS1OaMMjEaO8Fud+/uTE/ znHJaQIRRVTHk5fKqrvp/Zq9xhKiiULNOnTxKnfaFxpZ/2Ig5z1InXzNcZb3Q7p4BxkxRGK8C4C6 IGbAzj6P2cW4bkDAFGYJn/bhRf5bRdOlNMBy49xzrxE8uJzJ6gZ3klYcClD7v68k8CYgYNs5VaCb UsJEfYBgVqhhmZ2L5r8c1TdRg/gCnruroz6GarhCKdEaIzx0tjzLsP0U0BhkDF/vnAZfmcl8sQcS sIwyIa0+0VmoBPSPwewGhp5QdXzjiEmvf3Id2ThWKmnq7EJlysMNCgfYJixhXdKfCKv2Qc56E1+e G98v10Snrvg6dWRhos3Qwh/+zQLvW4h/uARpskN/KZTa0MsS5UaPUwVDSaJ3uuzdAASDUhhNXPVS tmpW8w0dfyLdvqLOxqFuFhqVMfl789CH4DB7tJH3nejkj65/tz7r++lrWTUXkGhZs9ANkHFHOWyM yCIMvhZ5Y3TNhHUP3gB4icKK2JkycA/65wVASmaWFZSgAOsfH4YRXPCq1iCEgY//IwDGahN1gSZo eB0LXeWsdG4EZoEE4sOph02U4G1KzVhgt93yHdZACnyli2Hbmywpzqr/kKFymbB5g9xcuBmSrGfJ EegSxcPOptzfSfA9VhMwPdvk9TNR/+SgWD7ja8+YZ6hzy4+9CtkMTCGfMhuazrmxfRi1cNZnNqax J5dF6ROW3+PMo1yNuJJ6cqp9HImYrm8z4/gsC5qfnybrMlKtw24zGRtBU8ROVEWXIXAdQd0Gg7f4 3gBmSjbOGFNq5+k7MJz0ZFlhNVPORjuTARo+t7xsZmEzRhSu/9StJhrvQAT98nHhHUQV2rsSo6Ov V7iPOCidrQFFbn2s9INE+MXy6NGAanP0obkRM5jfFcNUjEMviU0U4p2cVT+6HkUBdy3Mk8t0XskI 4JB8/8TruxNgJE4mWlLUKeu67m94H21osugNSkGd/2XDzxy9TpA0qnA= `protect end_protected
gpl-2.0
796c47ed4667ca34de6d4a4933ec7427
0.944397
1.827196
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/xbip_utils_v3_0/hdl/xcc_utils_v3_0.vhd
14
7,255
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NqcfqrCPxDxtqyqqf/QHxGnHhIUhihWFuY0kmWS9IPfBZdzXhtS9uZPGm+TxEbwpE8udxIw/bdzl G1esVjxAgw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block R10MBYKxuoiYqsWoBycNwnxpi2/F3QzEnsu09toaVoJ3D857m+RmX3rDJkr3ew68p8BOLjXDxD9s EShErHKWRNWRlb074lSKFmAVVCLYXErPI2MUPV1NDcnWHVtNL9/QrawjAKrVx9bn7++a6tkYcX4b m14SmwGqm65B2+O+Wgk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0jdFYLy2Gpa8kRAt6/Uk3URZQ8d6THO4nWvvx2tS4yO2aUWFCUPcemPDP5ITevq27mscdxdHnZ1x c+xMJCY5JZ8MAW/dkGlaiEghsnCQkR5dzJIrytoNC7YEi1RJgEQlB38eoAQtpNchwK9k5Ll93oSD WO8niXDrt5/bqs2qWXvseUpF8G6kMYIyzEdI3VEVe2oF+UmRGB4tPqhpbGazBZi/JZuW5kA3sYt2 BGZh4+UZ5+plnVak7VQlDX4rBX0W+BtQhjZqyI2o/JjubxX5fhAz6VYOacwKTulzxUHmfCfXa/lD KZJjFbFBLW3kHJKaf8Hay6+2j4jIx1+6E/j/3Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BC09+VWe39OHYM6AXzLVauRINp+xDuHHWG9XTFn4YdP/4pwWrMqMTjg4RZzOr1uWMt1lou184kh7 KSjQFzTzWzcbu0w/AJLXnsZOt90S+A0+htqNBXb1XaJAHcm6lUgLS/YzmpEQaN02uCH0H+QnDB1o 1L+KWQmmi8TEy2ZtXiE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QYtt6SX/XX1ZrSr9b1xC48SVo2QXqOh4Mr/sxLvUM+YipwT8kDJeSzSOAIYJqJMnhv57bocfB4lX WXiNcXreudr0v1boAvr49k+rurzjOz+yijzrxWCfjEXExHboi6HKXcD6YsbM7IcpoE7uZafSO/fc JBvSQKLmlSMAYvgdZ6ZjK+kVt1/KbK7r6kUzOGP4tliCxCUnPft4zCFbUITqjGIC9ubteMGuhQJZ oAQJMxO87Lz7BTqaOhg20QI+T2MhFhf3T918+9jJ6Odu901Z+pd9rfy5P8C3ZoO7pNhMYb/gCj6z y6m6UQDeae6SUu9JTuA3dynOYWXiyyI5zL9cvg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3632) `protect data_block dAIy3scFUG/RhpICVl4pyFqVpKGxwhyDAmwktXdWud/aNQ5JCB03bm0/BaM6m0DsO6fjHInY+d+R e50Trv49gbvs2Fzt85ffItSL4+ha3+ZJsYauXQ44vmN2fYXg55LjyHJAQ0GmyAYj696esGcjOOA3 taPbBFh4mDKAfL9bHL8ssEB/NnWVCivPFkYmiLbZlBWdXk3tA/UlbkfeIlDpXueceI8dgAB4/2zH p7DMmKeTz/d7Db/HkF9qktgZWswl8cUJwmB7D7zmWW7Q37v0PsC/OgLf4zNCJERunuTRw8T54mxO K7kmfuRrSt4dOnxUVHGcG0gkrhbUAEePJ0PuQYJg7Te9knmNxo+hW6Kdg0lvsfCUA608DTSk4Cwg iPLX7K1WkckXspYnO28Kn4Ppl4HgQY6GpluINZtaYE1PPA+4NVORbD+ovBFvzrPhZW4cMUpzvTZR RGjUI82YI/o8Gb+WSNY9sSD47mGWneXtAvQElpz0MilAlNdajAHB3knVDON/T3N+oY7KIcGIt2yl jKeSOD4IYm7jtZN1QRwrmErASATZmTBm+p2s1XEM9jQuUwuLV0uobuPnz6d7Wgl+YiVjylhFGrLN lb1XgpKQhXvkrwK/x3kE2cSLRt28I1NibN2XwT/LUwLmlyVbWZRsi49+JIRVeekK9zINgVEAegtb 0rY4EeMs0yLNqkAtZbCf94YbKstd2ICI+B6FwiM3vUqstkmtrRUFv3WKR1LYPPKHiTavkstvG3RX uvXecG07I3H6ydbDKSxMV9+AzcGe53LPLa/ZVwdQAjBjEHhmINcOt8aJ/O0wrlwVNBKZWVaDQOk2 pz7A59sY18zMcES/g7Jz9zFaTTHICK8WVj1kYjXxZDB6NyaD7/hzhTRE//pvrD5/QhgUm+jQTi0O pSRtvz8xFQofFl+FKQ/1XT3jlXAYcUnRMnnDy01sl/Bze0sSBuqH33kKJg43hUCZZE3JgKyAb5b+ licSovMp50G0IQVbFbNubYxyNcO27ZFl3TYGmiJNu0VF1jet5iBdcvzK0PWs1stjkLSkXIqjP2fo 68gzXvalo1Bzsbm02XdOHdsaHlPJL0aWO4lUJyT8Z2kxBUGj+ny97kKrdXczKkj1Sc7Wjp5m27Aj BGLMXYS78OSPP7jjF2VXBaw8oF4Xtefqqn7HeihnLRzRSJiqPf/NX/vYhFjyXlCudmgG0XK+fGRj kanlf+7LudYAtwdVQx4TMCBbw1hveCUzPeQywBAMKZwV37YIVj7LSfpdqSpTfM14shrABYHaHL/B O2N3FkFYv1uMO+SR6Qn37wmg5RDEelYToa1sw6Osftg9Lfn6T+SmrJcNj8hUnirgmNv5GAWWvxp7 UCAffWHGOJJTovTOKQg+aUPrk/zICem6rTP8IYTfDf7w/7OczU2KNMd4FGlBRxetnuvkn9U6udsL jde1p6K/TM2snBGQw5NhHfChoQTkKDUEKJT1lz84pjVr/KJGSajnNQSRTocs8Jfo55++v5LHp8ai q8PQCYAilWV2rK3rj4MV2PhF5ijj7Mk726/4K+5ap/DnGcQe1mwtmRCSgKa2CWdWhi88302uMGW8 B7URtw8+mDHWiXML2Z/b0FMd2/5MKtWzwjbj5JFbmknmlfF9CaBLABw9QPD1aUzWQpbFIqRv7wH0 PR7RhDTNylXYmVivFV/CR+SRiyb0Et9cJNzMMTrPTnDjW6UFaVzP8RIaUgEoYT4s1yuGL6jZu8XK gT8zlJIYv3GfbJuJOuotbiowXKRHqXPNuAWeYLxF56wkmtjRB17MYiSIcEFLLDoQGsqPDrJXwvXS OZBUTM3axbrt8TwNxTm6N7i5X+CbfvgApaTH8sZkxr0cnhBy7HDOJVuxSIkqvwconmbxJr/Lv84h ZxYqeiMesLABPboRBsjav6V2gDat5fMqNdCVW2/jZMLagmQAFUMD0Y0KI1SxQaXLitwXSGmr0ZAw MTYU4PwEtMbHaRUr95UwfIfPnE1EUZmV9mrX6B673Ma6dswV7iHOySSQcrZPWgADC9SRMn/vO+Vu oVVdKcOkKXh1qzjpnqj4FKhKrWjN0ukOuFhOmkRcLRbxKvjjC81nxbAiYcb0bhKUb09ajb4Wlnoq GNZvrBV5BXrh9VEI73E/+dz+NT2AZdrBs2t2zCuj5s0ewVABi5pcU3f+B8qaH0uypsMeNXTpon0/ seq+Cb/GI5aXQiHWjPkr+q2DdnGmcPciXRtAdjBFWB/+AWWnmPSGpXKwjMY9/yc80YPbbGBJPimj /VaIG/kROVaeTC2d2hFJ/4rJohlRVXiXJ4WMNcYm2MZ5XUsOp7Rq+ZQGOstg4mYGjypW9tlImuSC T0LDKUo5sIRp+QhI+NjZw/z65RTde7Tjp/I/c6XHaBGjbaXGp9AqCu5BC9ylAJHHH646vdRbH+un Eoei2nNc4Lj3fxnRoq7rga8LbCVV3rpStJoJZQrO15t1ZwTlgp70rxbqIaV9H/q8r7lybXdj6o46 aXS36LHzruApILPdoN55A/2L10DQPzD0KOzAxTjS+4Vdjyo9bV2ERKb2Sbr+MQtM8mE64bFUteuR MVsAgS9Bko4XdNEyagxNbK1NX3ofgwbu9n4MDDLobJzJehJVqQYWhwKQBaxDzvSNM7Sxm9eUZbsz Too1L0PEOSwenHNhQ6f/gfIMFytd7q4wMhNx7E0ROqpONL+twGrnmpN1ShRFETTYDVA4N4HiD2Nw IDTy8XIvihZkRP8V6Mpv07YO14eKmaLyJaK39eJlsbj70+po4XGF6I9oLyfzYN0ODJ32SOugH/f+ 0N2NWxUtbKLNpuNDUMVBYQ/bglgPKa1WaMm5pVJsrIPuLsE3bf9uUNwwtd/uSG4o+b/69EXGX0E+ NRv1jl6a6x1a9xaj+40VAO7XA4MUQSwRk7c+oldYiQIoPwbdl7ZRSJWRv9cW8g113gWlMlcQQpKI WA6+72Cvt6J+cpCHg8sYgaabMcyONwXDxY63/szk6u640JMaY3Nf+/YsWfouEUhUzlGMmgPSdmMZ DuaFsl0iH1mw8FAmXie+xsG3OtfEvpWcuvnduns0rUgMLTIzNXrrtxILkLbX579tc7niyaBpXg/q uLyliuVXmfz0+IJElfIioWxJAhznuVRH2P4JEE9WQZDVzyHxgGjO7pooHM0IPI0u8yn3yELlNIY9 zG9OhFyl5j+sXvJDYjMy7+I2F0xKh3vrDnOmnYWCJTddpDWFUODTuoHmL3RwzReZTdeZe9CjzMWc Jzhw0Vi+npdDvEtq9UpaAFfLvpXJZXABFIhUWCbthFAzQmoFZEekIN1vLucKOK/TLV/ab720723f W5kDJ0WkrjUSE8UIIFGddWQWpxFSxbpY6QvAh2ITGzejeDNEs613p2IsNDzwrDqrX/Nk7x9vpywM ygTahx0CgsHAjQq/prSf+6XA79Aqly6yjLH/W0ie2Knxm8Hg2Q427o3LRffy0Z87TBSjhbCFWkHg R/+FzxoxAGVr1U/xANGfy5QwefUkfM5pGMctOvuMw0YGCBWvII0ftcWYCickg7oz0m0JstKP/GaA eO5V8PJujvUao13j3XisqM13FNyZDWfakFo4cSFDjDCkUmJOMJC/qgqURFN98aLmVUWtuJ/BP0HX TezdUblwVo3OJVF5WkzB4VGkjLPuREi9mgijt0Oh384iwNZ/rYuz9JA8Yq0w/HjzpK5ufnA+wp7E kLvHCQjErZI00je2B+7DF+hJxWFMy0OzdSzVKc2v5FXXVqRfXWDEBkp9hY5ypwHZTq9drNLUrV8B DaLVw3XYAUVpFHHdp5Xhk4KwiaK7S8H8XkTN7dXVQi7LCUV4UdMq/h4iJ0gm5PV2hf6bXkLqq6bq /TgrVKV2me21yURBcMhDo4YXHSbomGyOJF0WPQnNClyKvmx60vaw6KkfHYbl+IjM/hLmWZou4azI 8JDbU0pLZfGTYjTARoIPMesGV720NrpajjT8Vps3KuVHnjyJps373IkA4tDO/XXCbp1hfdkLBAgs wWc++lhHJdm94WRq2BLn7oRTQBlK4M76mRRBgik2c8oo1wly0NvWEV5VQpsfacHyG7XDHHavwt77 OK7MOc6JICebaXXU5XrtfrngvinqQ9RGXPtveHUgcbPHu4xzxmpIvsZhXHNvWekPnX9ujODDH9vS 4HWxlW4FuguExizTwS3WYWAGnQUVeATGFgYjQmFYEVKyyksZSoc5a9e2rljqp4fG8SMnhhXcaJKz Vzey0JY9rPcr+WFTaxG2i++LeQ3helBt6jjptmzXLwdE4cw//gqOnf+QLnKRj4CFJqzjZ3LsTg02 D1D74tBnCE7H0G2of4gKWHoyq+4x6qD43Q+iv0U/GwDXo7hhRcVKb+7xabAIqsQB3g4tl++m+k7C Cjh2PKlu2AFfxObtjSxUY+M2DJ0hN9T2p6+LjlFtEDHSc8sywixmHA1lGMVzeVUAqgdnnAZkboNb g+SSi2mRbhUVNQO4PLqFPlWKtU0wu6pghLgoCVMJuz/BNfMO1uukvzxPLXo7Y8LfkpDp7ZHiSWSR ydxLt6JhiP4XWV8vmn9uJ9XFGXs8YT4cMwYC/Hm4GLQ2nkH1FtMI8y8ff5xgOUNmoRK+VoH6HmfE oT13ocGWkwwrUSE3EAq8qH/5MJdGMp0x3R5q4jxLAqdDTygGwzNTWeDke+qHXgEZBIxh4O4Uboi3 iMZ6q/JgxLu9iepwLWTsW61n5ZwVLDrf35npHnLcGRDgRiAKLTBn4P+2egnZPW7Nu3IKe6tI9PVS 4aKBcI0PU/Mbl+oIN+HvjNCeXJEm/6XMeTqKFZwm3j05A3/9qkwEitI= `protect end_protected
gpl-2.0
3263d645570b36e65fb6e843a7389426
0.909028
1.947651
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/c_shift_ram_v12_0/hdl/c_shift_ram_v12_0_comp.vhd
2
8,941
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WJQdB4c+ksdaz4RQwah3J56FF8K8DBqxBtYjOTmumOoi8NuzqPThoKBEgCJU5l4BoxIrawwBf8OD BqUlg47Jmg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bQxVgfrk11+WDOPVd87lmi0mXcvQTbh8o81VI7zl/QG/4wETtP5a6HLpduRh//wJ9E3ZjJBr6Vgo yIWNAH5om09+2qC8XMYNL1L9q5rcmDhp6kJNlxDCnF7fCZIABzD46ykURpAFi6TslPVmTwGS/482 Ku0baWY3R5UPKEyADiI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block R+sNQWZQEuyhRwMWShGGN3tlbLw4kSH4Qb9hfmsjDFAs4msOHYL5IYxh3C/UvX6pknEia3C+e7jJ jDBMYkqkdZPzALn5YO4BCJJry5UVvFJSty91TQuh0SaUxsXKJY2my5TPfnvX/hxxsIr8/mABVAQ9 hEVhtkWY3T24P5ee9sJfnadqoWh6y9mEy6RLJVf2Cl4+ALoy5FnApFOyfa5Q7jn6EeOkcMkoemzR vpWvmE6WHb22XTgxIkN8rNmFcj4Jw/nkhK5jCIrZSUz+Xp4dnpES0wtAUAiMHIgvfXWcL66j5pGW m6sHO6yuGil+4xpeHDwi/dOtfL14JvWswWGmgA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jvJH00XkErf1a2r5hj66iYKG6EkTxigRfawc1tg7y4v3zVAuP1dJFbXITDVVZnsi/YUkQZ0keyO/ ps7OZ/hJqRXE0mtpvUnwiURQSsRTIS+Xvokyxl58dfaAKE1bDfi/0LWPfiyh76YpbP+2W7fOLExt LLoY+xvRdtVJ0kGij6c= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cG9026fSch/iOVvr8diEJ8wAxEd8huUkalPHQ4sAofntk0JBEVKfrHbkNCXHX3klkIc5z1tId/nE aNLkV83FnE5dBUr+b1L+nLxPLtvi/f1oktojLu0mnj7flE9M08SFKVTTniEtU5MaajX+j5j6RKkF VzoiesN6jaYLuqdGKDzdoyQr5f2cR03vDGUWRw9/6vJjW9d1kbMML4MAZNp+QhqZYm0pwLzfamXk ijENQw1V6jlM1M9teM77Hm41N6DR3ZWlW3CSqqsxq1G6okzg7b8vQUfGHT1znmk49Lrqmo51fyhJ UxGwadi4eORGfsYw90OJ31dSB7NDGOjhcR17Tg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4880) `protect data_block jMLbCRxyS2ii9+K2BVumfkTmAM9Qp4j1uYEY1aeUDb5jDj43NB8o+sjG+C3ieGE7Jlp6Q/cdeqp8 9RTXtORYs+GrlH35UmJgC5AyVSYdQ86ItkHdM2qgwRnfoiFMQeHnNnxf4IItL+orA//uNwckLK0W Yrixvc7muAH8EtHcKrD1BRVZbOxna7GVYyrLLzbx6ghIGsY/ZbH6JaSROicCbkeawS2NsLXBJkri CUNpjpVmK0lXFLfoLY1E6oL2fvXVxTuiq2xn7sd4JTemBVyqI9IXD7Bx1Qoxt2GJ5CgHTBRT8gr4 IkTARURo7HAhWzfsHAU8ZUhfibGhtp8KCHVNbbOHBgM0Wa19CaC4iXeNP2a9PQjFl0HhZ1o2anmI LHDgHaQ7KRjjFeHHAAUwefgYVDOHm1wO9+9+zep+xmRfI2u0Ncxw7z8Ap43MsMMbrH1UFtvdtb0H xcLSRfMzShWg8wIJEuPvS6ftxyk2kG9feJTBYrHBaKjCOnbIF019c+qK8sH5CQL5mF4OMiX04ita Ah6fgz967dDlYylOlJzkvTMbHbLvZsDPsXIDRHgIIksQo8Z9ApFVfnUya3qUS+NSv2NvQUZd0CX0 crFcLSrKlPBJEhpMtq+XuSWETt2WUOLfnOhyCYqN12X0QeOppD4eeKCz7IEbRY/7I7Gjy3GZfz7G ayIasTZzJN9EAb0HXximMy7p1kCyDfDJPvxRCwhH5TL6JwCZ7v/8219hSwp7cU/sMp4wPMDpYzAF Iwm42l9jgP1Jq/AtZpCWLVj+g9qHz50Tvcu/wJQ7WK8oCAlEiUYs8ngYJtKdfkzElzXYFfxPtYz0 9Up01XM8l5RXBnyJuJonXuhggA3HAEWuyhn4ftrMmI8/ofM67ncMwZm5KSuAIjf3D4wbcEJxGChU V0nC4Ybr8esX9DLeNekaB9DXaW2tuRzDbEexj6UwPesEcGVoStRpovFeUrNCVe8GBtjhyPJwh/GO yU3VTqof7Eo1m8kG4rDgfmNSc1vCRAgyuaSO15uMYvR3Nrk8SeZPUZbACqo0UXNcE1E70BoCfXl8 JpYZF76rG6LIjhQ5LlEMOY1X7fVku0DngAqP6TDHHJSzA1geq2A4UjnFjsBuicCM61ZPTtiFcwcB 4rK/U5oehxSW4uIsMGD8u6zEAh4pWN6ChrWXsc3L+ngF2/+E+QnypACUtPmF5mOFhbm4qOgMZNQR ZiGXjCe7ud1DU5zfUG58SFZVCEhFmVDb/L4CMVEwkl0ALGvfcr4hYbHgPbO8rTOk+kr4Fh/MyJr5 ZgnwqDeyxkmTh3Foq/HvOc7YAf8K0OOVqOWZUbelTXJtZ4Kc5NJC8R7s++yNwHMCpd0T2e8U/jB1 4+sPsVfPPCgclZIWJ2UMVuzL7l3hFgBWtAKL1ClFY0YJ+1knETtnVY3qGgST7oe966F93uMPHntP dFS9/LXNKjBJNyEIPpFqsjS0/7jjNQvQ3ucRtJo1ZD5JCjMrdS4pH/ExkupiI/0DFN4caFBikMpP Nd6RdRKIohcl+G+cB1RGBTjqnvGuBTpQ9/kM472pFz7MyWyVi4NlVQyDBJCa6eC/Ms6nsqEaz3hF EiReF28/7SGmhBvF3E8qc06QCUHNm9sawCMm3aJIZNOpQhZUbJ1oFMOHIhFB9gJYmaSVCTyLDSLg zxtn4y895giDAXKdZH6BFirV9fy1629rCoObKTQqQk0nXbpgrH+8VYXAtRy6BvxDPSlZLUCKWjQU XoMNm+M5BMZEfrstAgCa3DDzTOCSfcT54aD4c3B5BRpO1iiPwCfS8bEvv7Cf+pD03vpZJBg0GpGE j8QBh9JMtI+Z49z5GM1OiWpVrCGkNol0CwuLGIIFDpVf9uSu1s0nCdvrZN7p82Igrv8SbsMeCjwV VdGZ1mKScKvkiFSognWK4Ns/vGNI0HQk5WNzCm9Nsh7nk976SvvQ/2lCHDLynYHZNWgPmRY89H3w vjkVfQLEgQf9gcvCsswAILHS2U9QV4JW8RsY4Z/tZZHqdreQ4AKfESB9AiWof/TC2MLhLC1vKqgN czAETdCOWhudk4Q0z6Kv8VuF79AuNXBRRASmY2iBQZKjcBB7uuz6OUvbvpKLX8ce0ov8xnmm0qRP 6zn2KGLmymeljGn3FYsQHleRJdJ7stddZuCCdz8viSPRVBMqvD2/nxJ6WTaJ1sq8WMhr5DXqD4j/ n0cKD1BnFdUqbileVWmO0mbbw7Kwb5hnU2RZcgkN6togNpNyQmjPlUNOQXtNKeo9we4zGkUIHGWw PNKpVkyqzdkMpVbnv8f+4o57gDlAS6xrVdyjsH00RS0702nDym4pTBw0Yo7MAmQi2cn2un5rx6ht zG4wUQIUhWiiQNX1L/CvUae04qXrImXZ1rBuFjeTBu3YGqyvQarPotBmztmgVt64SAYUT3Z/lkaU zQtJlEwx9FzXlAe2N0KhzBxdKs9QC4kaHpAcMsDwwGKsRYpT+fw3ACEmjKJuCLiyOji0Aw2hN7aI 4Zk35viqve/ZxMTdDozB5TpWHCF2e9Cq/ioSrydviMSJNKaBXA1sgId/Z27gMbbyriZDr5+JI2nI uyLTPyEEME7wC7V1ZnGOedSaFWYfaXTn03W5gXMjaWiPZUT+BJ6uXFq+cuN6qMXMEKUHXef6nTLs 8EdQWFZle01YuxaHVw10iyMBtuhuX1bfKl/WblZ/a/IaKeJamuvOJ/6vqP/+CrrDAgZUt5AKtsuB ET+tBACRx1Saa7GqI5Z2le712u9b55+rspKYG5fTMU1QQa2LB5OEgraUALpnZw5PQMQ6chgivwJk e02+r+CjyTE37rPE3Z5GoLUWQ5ZRxS+Q5Vn5N9prfz5K3cAt7jenxfQ32WE64oauHbvzWIxWJlqT pTgsH9BfsZiI+i6w9ABPpEFGwJYl731X/hn2H4JgRzz55feM9hL35qiR3JqAH6SGiIWt1fgjOy8P qoKrMkOAsxqmVaUSgcbwPy7Z8kdJQCL2T71VmgIvGo5cuBN3Qh0glz+6ZGllFw0rouFI1PwLyExV ZTEaP41qGq0Kg7r/G/Y6uuSRKrlKw8oIONRk2983nTb2RGU61MEyJRB5+vM8K6wVlYyOudgJJ37P z/2aemLhdS1UvYUQrMettDp0FYoHLjoRl/jLZyH0wsUWO0fk3TCDKRTIQJRRYHeI9jnql7mYb4Sg esIAcb2m5G3qq8K3VwVcaqSOzCCnEe5Jg1rYYepRewJLznTZWMZGxAyfoT57j3DgNy0aGmTpWp67 e2Gx1rIFy0ga0F7oI7LBf+9xkxzoFwwOM+F6A6d4kNR7XRZR5NCKib3zVYhNqM2Z/sKs83zKHL0/ awWpr8+Fo0GhtnxL0XKcddc9dShMLO38mZl+udK9U6iAsLY6bfqpvsVP/nZpsS1jkzrFBUvwjFF1 wdc7sagEweWOu1kTkki2uJX/1eE4D1EkcuiKUhGAX15HYd7o/IaBpi9WbiL6Pdx3s2GF22SPg1ep CLutK1j1KoHxQ6lcbBGuCV5Lc6CWie93ltKmmUXEclic3+5kcVDZ8m2qIv14UMSRZ2eXX215TiTY SnL5IMuCHwysoE5jJ75ScmDlkgi0o/BPqts9FoH9SzFKIYk+Qd7r8+Xjoh8yqPkHMtFUZsRKL27w VDd+F9qLT0QKUMXFXk9uKJHmu8AR1nlqx9RFr/owQ/Wix99/E7sH6Fe4wLgCJPL4MM9GME2/yz0y Ih0nrCspYyQhIFlbUZv7am1K6vO/Jesm1/gBU5vAFkTNkLV+/IC6vxzRXe+78caivcerfYrYXtum Ud0kkHx/OYmlg083KggGRuC4f2bCd0n72wCBzEEmR6r0B8SXk3Jk3+I5EDrvKWNcjc2VgjdXSx8b iXBS8ryiyFNLzj1ppL/sTqV0W02H8Gs0it0YMGRs9vvCQeelphHt9LbryseGgsKy9MxeMeVPdXCF FjMoQQMJ0JwHtIDAncsx4PzWBg9L4WvWYp3zxJbba35A2u6s2vekhKwuSOvvbpfAPflae9uL90ZT nhzENu40fJG89guSkwr/0A6fMkSsHz1bqNTZshTR5AWatpdXJwhjx56k/BQSiTFDTkVFzlx3YWN/ nAYZEgjcrYqE9dNzp0PWXun2EXps9lZPqwPMEJIyLUl9IqWlYASUFS4Mxy/dWldlFTBrwVSnTTVU fs03PRU/gmftXPgs20nMA4z5CDSKFJOfq81ggghxNAwTsVN93fqLFVcmFoPjA3pe/SiMD99MvuJa k39BY8rxuDpDc6Do2hh3IIBkme4bX2+5/3nrtbm+Y8al0U3PEfst01kyZnPKAD7W2cXn262ORSN1 cb/F0FHpCmrio/Gwegg9YYIz+4lmrTS2U5Kih/HtqwWvL2LoV+xcLhZwZtsQrhH/WfFXm8642U3c auJMqDtvw7irInlz2wP9t/7u9AarXXraosSu2BxF4VC7po4Qu9dqsqnykJERywpH+nXHXVEy/eLT gew2GKhesyZ0E7RC0GH9mgpv7piDc5L/5fQuV5yJdyprLJcSPpxerqWly3vvUNM0+KcmBnHuOZ8B qdsZ60QJ7e6bXeOJlie+vKQKjm+OFyYopo6/nOLruY2W0h652FpZb9E8tBxI+TWccoeS6F1lxIX5 39+3HMUUEFrECRNUg+DGAhwP4nno26f1Rxm5G3og4OQai7WRfS7SlQg2Sk0nhLONLVtGoCOETHdU n/hq9AwDgNWoKAVQUksOsCpJ9oK0MZX5SLteCWJtU2a7h5qmyVR83zcvYILTiOCEXMDnm9EZsRZQ 8hKZqJ7h8exsrW5dtt7xWf9TKPVCpsc2qH6ne6VKEDRA4NTXnmASVHDXfEElrar8bp7JVIXCezwZ 8oAcAl9W9MuxjaSb2+Vi/8m3tNyfD6KcYgY5u2mPdCaDFg92DpWi/CaEq9IOhGcGGKSE21xknWX/ eZFwpJLzHzqFonpz7IiV36yNlJ9mJq0NuXjEE1ZuuyX3260w8XdBp2UZXNJz93wPggJ7weIQLRoW mRehiphmwn32itkttTrFIOK9vfS1ba9eBAhxaA+DkwGBQGvVArD8jm6rUFdpsGdq66tNT5P2bxGO uLOivUQGbZynpGAx5IEoQSreeKVUmDb0Sm7eD4WLRhyC+0OJ5usTiCo7aPt2N5vp66Cs7E/jLaUr 8tvaadxVdIP7uK3xwnBVBt9mlKHOeUvHf+82EAqSipHfoRh76DlUvUt9Bt3Pw9DnReyCY82IgqyL fkVfOuQkRLeYqREUfuiubdpC1oStEldojT190InhPEDI2QwkwwpOWP8IeJXopsNLHFiJS1Baq8uC CtFdfSdvzTTNYDPgjOEe0hbwY53vz1VdkiNGRqQq1sEsXleK1p+gqnrW8+FrLpI4Yj+TO/Slu5hZ L+RLbvYau+bs0cEc4M987Emcal2d34/rgz0XUFXHwOBgpZzrqEpAtLyJNmKs2I57vc5F/GD+sIFR yjJXYR6wqT+AhQc8c3FsFoloSjW4zghPTPyP/WMh0CLp9mPYwlqAuoC7Aisz96suUO4Y/oV8CAr2 UmLA5TDYOrOE0w6v4eq9ku+BPjtSDdJ92a1VDI8Xmtndusht/DPs5EYTsnhD6wb3aT/LiEvOMhNa fOxm87iEazc7/Y2c3CEvxosaGWz2XDzB2Z240fZ2LzRYWX6b4Vv7167l3fL/E4rI20xriTAHyxV2 VmD9x3nFDWXDHjmweNjg1ZBOEVm/EwaojH7J1fc49m66DsxI1OHqfkDZMf2fOGsMA/aQagxrwdw9 QrFyD/9kzvq27o5Rh87OPHd7NA1yuLRLgkaHyZ9DO9Z5Y0eojSWkcY2jL0WvU9Bib3GuzZJ59Uu/ 6f11FSEXZ1pp7o9D+KQX9TlqsFATor4VidYp3eckcMduTGcdJT8rGynLnO/zoPMC8Oy9QqiY7Jo+ tAGWwSqn79chDIsLpsiSaO+IqRNXYoeKv/mXc068lJmkpgEB47kqZOfaGw4ol0O+sqFFfAUtr2xA P9qJyizzqlYXuOFq1joy70kd3j8vsU/lOua4j+++b/vkc+pYNq84TLvhDg575gHym5WgVlqZUYbA lLwLhBxclYm8H5+WLz4m0x4rAjBpWSEXKVf6LMtitM3eXMdjy38ilY+Rp0oxXsC6/uA+zH2rxYqX JtQQOo1Adlmm/F+Lexr1z5qdSTWSctHc9DD8lf9IunPrB8Gahl8lHxV4nfysoHQk23uiMldeDns2 KFGXW7T4HBSBHfZGu/zXe18cRUQfrtJf9Z3OimLoPXCPbxUwH50Zez2VVIGwb5KLSLh8KjuK2u5f nWS+COmQ26mSMy9+ij5H/lkf/n+O4zwCBMxP5dAt+eYJw1DG9N+v3KqwXgPd7Gy3tjD4ZAyzAGwg gQgxu4ig4IAdpBMmxsx3kT2Cd04UaQHNWmT8DKM35QacXEtNvjdPpsZXndcqxqa1v4avakeFN17r qOkAFdcV0ZDXlWQk3QopQT+yo35dxYIVV4dsb4MXXhdA4J8= `protect end_protected
gpl-2.0
ae0e28a49680f6c36e3670f5dec6a168
0.922156
1.91047
false
false
false
false
UVVM/UVVM_All
bitvis_vip_axi/src/vvc_cmd_pkg.vhd
1
9,827
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; library work; use work.transaction_pkg.all; use work.axi_bfm_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_cmd_pkg is alias t_operation is work.transaction_pkg.t_operation; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- Common UVVM fields (Used by td_vvc_framework_common_methods_pkg procedures, and thus mandatory) operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); data_routing : t_data_routing; cmd_idx : natural; command_type : t_immediate_or_queued; -- QUEUED/IMMEDIATE msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; parent_msg_id_panel : t_msg_id_panel; -- VVC dedicated fields aid : std_logic_vector(C_VVC_CMD_ID_MAX_LENGTH-1 downto 0); id : std_logic_vector(C_VVC_CMD_ID_MAX_LENGTH-1 downto 0); addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0); -- Max width may be increased if required len : unsigned(7 downto 0); size : integer range 1 to 128; burst : t_axburst; lock : t_axlock; cache : std_logic_vector(3 downto 0); prot : t_axprot; qos : std_logic_vector(3 downto 0); region : std_logic_vector(3 downto 0); resp : t_xresp; auser : std_logic_vector(C_VVC_CMD_USER_MAX_LENGTH-1 downto 0); user : std_logic_vector(C_VVC_CMD_USER_MAX_LENGTH-1 downto 0); user_array : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_USER_MAX_LENGTH-1 downto 0); data_array : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); resp_array : t_xresp_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1); strb_array : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_BYTE_ENABLE_MAX_LENGTH-1 downto 0); end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( operation => NO_OPERATION, -- Default unless overwritten by a common operation proc_call => (others => NUL), msg => (others => NUL), data_routing => NA, cmd_idx => 0, command_type => NO_command_type, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, alert_level => failure, delay => 0 ns, quietness => NON_QUIET, parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL, -- VVC dedicated fields aid => (others => '0'), id => (others => '0'), addr => (others => '0'), len => (others => '0'), size => 1, burst => INCR, lock => NORMAL, cache => (others => '0'), prot => UNPRIVILEGED_NONSECURE_DATA, qos => (others => '0'), region => (others => '0'), resp => OKAY, auser => (others => '0'), user => (others => '0'), user_array => (others=>(others=>'0')), data_array => (others=>(others=>'0')), resp_array => (others=>OKAY), strb_array => (others=>(others=>'1')) ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - Used for storing the result of a BFM procedure called by the VVC, -- so that the result can be transported from the VVC to for example a sequencer via -- fetch_result() as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result includes the return value of the procedure in the BFM. -- It can also be defined as a record if multiple values shall be transported from the BFM --=============================================================================================== type t_vvc_result is record len : natural range 0 to 255; -- Actual length = len+1 (Same interpretation as axlen) rid : std_logic_vector(C_VVC_CMD_ID_MAX_LENGTH-1 downto 0); rdata : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); rresp : t_xresp_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1); ruser : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_USER_MAX_LENGTH-1 downto 0); end record; constant C_EMPTY_VVC_RESULT : t_vvc_result := ( len => 0, rid => (others=>'0'), rdata => (others=>(others=>'0')), rresp => (others=>OKAY), ruser => (others=>(others=>'0'))); type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => -1)); --========================================================================================== -- Procedures --========================================================================================== function to_string( result : t_vvc_result ) return string; end package vvc_cmd_pkg; package body vvc_cmd_pkg is -- Custom to_string overload needed when result is of a record type function to_string( result : t_vvc_result ) return string is begin return to_string(result.rdata'length) & " Symbols"; end; end package body vvc_cmd_pkg;
mit
d7fd2a3d13ba233fa1aa4741f69506fc
0.446728
4.686218
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/axi_utils_v2_0/hdl/glb_ifx_slave.vhd
10
13,849
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block UB5uSFbssywK3T/TM/s/D8TJRSQdbIoX3uZeu0Lh11xlSmTPVwt+cXRhkypO7rZgAXgcn71/SE0F 3szXJdXd6Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ln24MKseFL5IXl3rprcsZ1g4Rym0r815zZbCzDIVI+dKTDsth0ZqL251HZET1/CRPp7XJy+YOGuV dvooqh4NA0RPeqk6/va5OxyKMChWctIF2Y5r51fIql44Ncks2DxqCIYdIoflUyb7t2uJBadFEu6F 2b0L7vlvNUC2qd2AM1o= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JKieM8iXRb5TVix+nBCsD6ZXANJaQFI44D23KQbCrXdEGzniHUfzgDozbIck9xi+TkiaSvdDPY+5 bvvaJlC9c+4+vGihiWADcqZsAZGSFLmEF6oUMg4mXFXtELrzBWlmE6MbEd/hJXlr/wj4u5Da9kTV Q7LN/X7+OC2du5wtTJ6uxyOD3ziwHT4wizeZadsrQVeo5qEZtxh6famd4vgwUFPv214+Qa+VIOcA YaAZLiojkKZhAXkPLKU/vcp3K8n1lssIUOoMaXns9vDLzw6VgaQ+kM5W160+heNhIeuAi/+8uCX+ qi7hWtWHqAGjsU0Vf6mN/PV+3w1rBZPe9m5DIA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block O7dj2xhk5pwlE6gaso4TOfW0lxFo/H2p2sUnXjoAyqOt/T/FLtzwg+2CyJ+JnE/nC8CASpjup9h1 ovr5OhB5ZEO841Nm6P4aaUN1/Z9zzcjuRi41mQmDSpiYInTody22GSMswJQUqjYLEGQD3R7Uc7dN B8JwXNVfMs72PcJUy9o= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block O3tCtwCZXz4HZxEmwe0wpC/qlLRVfM4LwSGBrVkKreEHtj3Q4qiD22FNbXcOSfpY3sAOtoXtKDRY e5C8ZnmTwYYCAKLBPCCu+bHgAQLxXIJsDn6bEDjQsyIljjnx0QQOZmfe7amL7Fol6cgJxb/gIQW2 2d76kkrP/HqtzPMj1Tcc1wex7C76Rd37CdDOIXuxt9RgkmOR5zP1xbq18FtvslfMupLq3JmD9TZG dUeBX07UbKWAxw4ncdm6XtXbtPcwphG5N5J9v2lMH5PB5hqI3ORkcmLwV3wSEhUxXD7kloityfQF aydVDzSYqS39F7rADqT8Kxi4TgTWRjsx4N8lrQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8512) `protect data_block 76ljkpkUW9usEDHuTOXp9N0Vhq0msFBQsMb2njdiSj6UlBGxnccuZzaT6u0rrwfrr8/W6D4P25gA OzGxk2VTUb7xrByVp1msfzHQLuL7NRI/Z87H+WBj6uMjtQ48OXOnuNcWlWW/ebmfiQRcZSnd7jaY 8PgD98ceYCEPfab4ehMCTfd9L4prKaKQmGJ8j/Orc4KqwGwSjPRHGEGAzrVmjQqoilvsvfiWi5Nb UyZGXmoKq9DboOTtqruVE0CuzL/zQE7qJyq5K9qGIy8bAyg25e+7t/ngurH4p22N4SXNLRuV8hzu 6Bv1/2ljT8Re2QY++H2IWC4hl17AxJC0L4+dmX3r+xvn3OjpX3MXsWcqCpYm8frYqSqbRlWufssD sSgPk/IyqTVKu8wFCKDiK6LSE6J4mWI5fgDXcqeHKCqEChI2UloJtYL3vgHKDuJK6vbgtndS6svN muBf+x2mnvGJmoORVUdlu1enIV78gt5j4F2G+MLQ85+TUMJltmTpGswg9YBPGh456w8IiNDUab0N riAUhTUpDe5rpBkTHXiktMtuxLebcegxSxsPx06Zbt2cYUue6xqgPhjJPg/nLQKGROLIUsHhJC0Y VYj/QFBPAnWABtZR0LCjfyQvs0OukDXgDFj9Ezp0Lkn2UV6vv12tAjEiaOi8vJuJn5dJQFeyJXfr wQHDEurrAnLdcrX+GXPfpD3CX+/E/URfeVqCLOtIe+XTsJi6TjvFsCXYO/1qnTeoSCepbvKY61ZZ 9+cqWUZL1nuFYFrgkpxvLu4XTqI17Xlhvq1fTNkz1NqklrFGHdpnc8lOQm+ZMvJPa/x3D9hQjq4B PKh95Ccq+j0JFciatDkfipoCSRkRUEio3Cx2DrwB2I21wAkfxX2zwVpFTrx9XCMpu705wleANAyU 81sJG5QFXAil9D//WLhVPUsJbsn/Bi6ooCy8dBt5Oxm5xtLTAMbgw1jaeghNFA5Kh7OWjCs7eJ9u iIcHvP6rFy5HlAN7t2/JTCsTbT9h8ECTYjLR8W/P3cfOa7UCTR9ATAKGInQX02eyup7fiiPlIWYE U+wnzWX1SFxz5R8ZlhxfGhb+/FeRSyUkvQ75fMt21qWEKKrF3+6GJ+AKch8+QNvUXZXH+7lUVim7 z2t5fIIjDOpyiaf/1NZH9ehpB7bDur5ICO9EXTMWUn2VElsCkmQmTJ6MNSf8Nx8yE526HF64FaT6 cZHNCP6vkzIeS90Te2YY2MKEMykqMN8f52h7+2ijohmFjuh3vBaifbP/UnnbGmEu1lZTjHyrOuWk wpaNOhawlHXx/k/9CNEWM3R8lIzyTb61MDdrqwcC6TfM4PfYmlgHgWRgKmuC/0jwpdyipQioaNbx nu7+/bN4ObR1adaGi+QoVmuIYh36IanxkdliGGo2QUBB9IZ/E0Entcqg6rKbi6nbaVuQSjqAEEIx NagXAwBz/DbvNpUA6V7EQAcMQRPQzduT74tVU/RK5rKJ8nWMOBe7vTF37dEZmsXKPO6B3MFJh097 dlOREjNglaQAvEhfKweuuqXwRlBEtZy9q2GEdBbs90QPDYthk80SYn0PPrYq1IlD+v9iplqdB88j J7PZ/Nqc37erHKWjNJ2beDZzsf0j3ECz0KYBVLlJxtnLHDaAYN9egXneQ7OJs6fBn5ioWnD3NsU9 d00bIIEM5xvBlhQibj6gmepxw6T54IdlQRB8ukI+fteRwaxVykmVY+E2XvVXfwtTbNikwGuhCIsO E5CYIV1FaBwblTyyg72jn/GcV4s9utVszCvB6xR6Jw1rrvdw0M+hBwk5Wr6RWb8GOUndVPqfeHv8 JN8CY8sf6Xp4lGOTBZmPiKxiZHwxEmZTU4E0vu7IUMI/lUc/glBm4sXBO5oAdhTPNz4uOTcKWVvL /X6sDPXJE05kdz3scvbywtQjdaGMya4YgIydUctZkG2GdU47BJUQpW46Ffxmo8NwCM54GXDFhdEA reht9UM7csH+LJBBbcbwgk5I3TVkibB+fvaQzbGVxCQ8gCExM/k/FCnW9jo9JyIgSk7XFr9FEgTU h3Eu+czF0KPzu1D0lEQI6X+DpBd0o74ikQlfm5ufmfnzRVC3GUAg1yB7dBvyQNF6Kpu86kjVWI9J goYtA0PmFE8PnVCSSqP0Ru3EheHbXFfzvQ74U+BxINVPPY7ouX9awLcn53RUYTKKjiPxKoZkQH8F gdPdkcbdaAE28PBYL8hCM0JHclnuAqVa59MfRPKcSJ7yhPJo3aDdWZlMJHBwNoOXi0rL5skwpokj kxY7JhyrNUD4IwFnglDKoU3ZR1K+mYYoGzWDZp18qr2uEWSb0fM8U13Rn5LEpd99Q3GjvONOgvvA loT2ln3gHFknJKzNbyEiUppd2sbRWlmjzeRHZI++gobmf4OiAvuvbHJo+8FKf2+sNuPPcaq0bBO4 LFUhMpGxgyXs5D1318ovpSBqyZpCS81heBPPYR4BN4bHy7iE6pI9hsAColfll7pQlsXi/SGRnd6N axXdnOloGhcMnuaRoBjQJtrkOTbb8cqtRG8tN2QawfBiZ1kFKKylPZ+GbjFNifOYUBHWZGKXM+Q9 Ap0pwr9HsjBfd8tqu49Cjh9l9pLKojgz94OevC+4oDCGf7FdZOQIrRCaOqqMQbqFFCTw97rCGSDD k04+lqJzmc0D5uY1Nx2v6pqqZHA9fAAazbMfUBlzDUxk2QgpfSu28OzTlDrQurwWp9ZJJXVo+NPf EShgru1qZzANRdchovfZh8su/FFIXoRXaEguqKMAci9yf3+UmB1ejQfftODSQhd+HfQghJOkxUSN tO1qiLu/anctzxrhGSjSsZ0mtzH0vPiITlcvn42ZM6Syr0GYQ532cgSYfw9BhBRfU1g0jS3T/Vdn KU2cFZGWEwtU6Lixwj9Kh0kJYxboncSUkI6pYqYIWaf4/rA0KOl59v0oX+mdMUsUqU6fWSuhzvp0 PaDBR8WPYs5ROfd49GfdFyWSe2o0KWNO5bWRBUnl6yarJF6+YzGvUbRLkfNQyd2tjR3SjP7GhQN3 1e51cgNDPUiWRYcYTv15fUH7m54fPLgkiJIWuSHdZ34S86wlI+l2ZAwSOCYgaaZIt1KRF9V3E3gw fWCilZIbJ+GAboiEo6Lhn0E2ksjdvv3bQkdxDfG+gVXAWgCPD5FOGHgubmCnJuwgcuSceXlC9muK KETZTQa+9tP45i8/+CoENUBo3OrjY8S49UTQqczbXpqPtcwg7S3SzRHRv3Br9DER6TKl3Xa559wQ p0RQ4Wn+QEDkFk1ccph2SSDCJ3LRgC2H9hv/B2Y4o+4KYnUjBckBWN13AC353rcswOVDXVwQj/1J gZMKEQ2Llvzx+UBAx12QUka5u2rHEa0b3yIVnouA9myg+Lqg1pdv2UmJX/su3SP0S5jUiAAimTu2 j0j1nN6NRbDcT1/y0HGOmqX25/HV/tzkOMbRhakaj/1MeeujygbVF2/ufbjQPG/v8RBiv6Hs4SoE 5vft9ohqOEsy/MaI7QOK3VLK/7dDogI/hIrdi1xKNczQ33yHMVh6WOd44oMHwrxwKf+x0nDH8Omy nWa7TU/Uhueb8hrHG5ajn1UoL+RGpRx6hOtYk80RP1RwyNtURDFsEhCQsJ6IvGrM9J3Ju2Vt1Y8a IMP8QrNZsEM4/qoKr1CL9AKwx8MYxEwAfrluzm2rxrSlXG7B+zM7nSyBqcUpVfGQNZSG3c3B7+8Z 3zX34XiytWULd+pYe9exvidpv8DrCWSWPI21hmwSDIh+k91quetnB7zC5B7HScet5EGmHj831HfO 8D/So8jFlEjmgke/h8FAQNshPK+ROlZeoyzk99WnVSFJ1iNIZTw3zPqTYgDaXSHpDDo0d/fnfRS5 jz4cDv5qHt27QDqBj5u3BX1VCjdpg6GFIfZjTWQY6iKQSoeNtHESmy+qdtdLiISZQbQxetxQOPZs p9YDuPOktosQGFJZBI4oIanYTxxfNrlC8oat/1nSMw52t553Cxi8yf6/X31PX7ZHJPyfmVTZYqIu OfnTrWsJdz6ztuJ/cKni4fLS3FcBjDdA2KnnSMGC6H7lF+AUoUMgBka9n0e1Z5x3BcU6U68xiQ3C RxalYkX/7OJ9Bt3R53IFAz2dc1nBGKUgrqHWk0/1pm+XkHCk0mPzNTF0ZVbR7PD2cPBNmgBOyZN9 CUsAMT0hFKREFRrVKjpfrHealLMeQCid2a2IV/wG1hoXR28cG+NoJM5cMp15w+9vu7Us7W9254bl 4mEQl+rhmTc01ViYqDYVYEBgUO8BMJxUN97656tNEo5MH7Sxs/1sTepRvxSJI+LOFZQM3asiopzh zx4ojPcMEjtZOhs+vknHip24WWrJ1XVbHNlpdHYAa1Z7i1EKobcHD0VxNR2dlWYAkcgwgBfx46BR dJj8ewKj50x1uQ8o1zkxnsaJwaR0wYuncooWVH2OVIqjpeUasx1rt59pYf/Pxg95fZh4LgRflrn+ y2psjRD7qFuSKE6mySnhv1YG7cLZ9yF6nmbsAgwy5tXTmRCIQRkBOfda4fYuPvzi6GzKL4NarVmG aRPNHkNf7nEa9528kkwp2g6HP4ywtBzbdglc+AjjGu2jkrj7aXfumdy5H1LSu3ftjW16i+9fPKOM ivAjy8zHauklrTMk2H9hn1UI9CCPSfQzno43Ethlni4TD9bDz+fyNkcVLJ9nhU+R/7erYJUW/PBc AaqCGIK/h3j7+9iwt5NlSTs4lkZgy31hdeE0zppp2V3udITfcZux58ubf7JK1bQZfyOlHCMjuB+y xuoYmySlXSDEATSUqb3p44fk127/QowgiH41NLJUqEPhBTP3dmeHMtWODPQZnB+58n1+qUb/i7eB ZG/eW9WsF7t7kB1ZmKhRrVdJCISc6QvgV4CChZgNkUyJ/5yOqf3vt5q2YdAwGP7HmsPnC1Etq76I /5SOSNbJIXleCMNVvg4PZKdNfa4SGN/Yh2xt3uhTtXe7jlz3Nf9swP7a1QvJkTZlkgAJ2KUPS//z K04LpJEc0XCGr24R/SKjVi00iyIkYpmuMJPTSnUXLyjz/vDwHc/FSZ7IpGwyZxx8uI9RwZVhqV1m 2FJqfvzcdJeq7hznZkkCmrPcwOe3t5rtX93CNzKptBh/46BsfiQeJJ78xoj1EaBBPz7AVoVe2j0+ OgqX9dkLAy5uUbvWavm5Ugt90tddDLkpi62/S9pjPqzr9b+QEN8R3Lrh28McKL+N/+t/FDq9aq9I 4+nmj0cG2l4MP3rHQDLhs85Eu25Pc+SF6GOeWkzm/OXTbryFtBYHg7BB2zdO8fxxe4Y7JCP7BFQH S6a4TkzEVS6+J3kKfVxy9liIGqKpME62WRygPfRCSOR7qE1K5fvpNJI/7SRPhGZvhxvi2lJVif5y AH2dQ+MFhT/92vKjSphuz0ObyBRmfalSiFXj9zw2kiA4x+DEpNcDBS6TJ+gz1hB31YAumw+qtOxy iL8ZdESGsMpYa+GExIbEA2WLRaonq885Nz1we1wjH1n0rvlCLvok/By+Mpf6kV+jTGusnEGsBPcD RhhhcJ5CtoJ+xIdf9TaFE++rx0lWmkO4PBXL1UmCQnpFQLKXAS4CYcV5xWdLiIDcrWPmLuznlrXj ASU816VwUO92N1V1cYTVUhLiw1px5Gypx9doWldIzlh+KU17OYS6B9OTjpRr6rqbINkCCtnCaWx+ 2FTRCpU4LMAnCEPAvq672F288dSArEU0hjQRrOV2ge7BEPw544wjBTE+tzynZEe6Kasc9KoeI3Ua GaAjAnKsHn2lfsBSHMFH8azPtRksmuGnM8oho6gV2/o5LY63Ys5l8+za+IXcmIc5hXyj8VkdjFor ukRoy4DcoGRZp7zfegpIuVxprt2Jw6wZGRdSjnEc1M5xyzfyjT+MTtf+cO7EQ8n+LVwOTfIXuBW/ cFqg6rngEzj+D+gL3VITPFHrOIrJVVppLC3DIJYl7zlruhO8Rj9gHA5J7zLNQA8DXypK32C3XNi2 J9hg0Q34CG8DQV95Ckb4ykrh3v/pMAkygkJZjTecKCprXzBMCj4yhNiuXJ0whejXsLgy0mkimJ/j T0XfIuRwv83X3pFHNx7pu30jDqWnFT3DwgohQfw6gjQ3ETe5gOuwgiC8cTnOFg2d/G/r2CQausJ3 acIl9GrLBj5K8VR8SjbGwjBOzD2vi8gd1b9yXeh2LVZXs6InTSSBTxEKYJXFQRVNq3xpzXHRxC5L Kxoj9jC+RbxvUf2zPYSjRKsFu2fwHs0/C1m83C0T3es0qWoyyWLKtvNSSDC2lmNDf+9gD5aEU2wp Pyl+1O4RqezK9m3ZAk2PWAAof4s1Ttyki+LyNWP/iecMLnL10tUtzxmaj0RpIR4tRZp4rrSjDoD1 jPFangfMnILFs83SCBTzMcmEpSArF6+YYLsJQjx09p3NOwGS/pZRPOuvYA9JksoAPQ8/SuXofmS1 Ao1YM0OwgoqhknhRggYY3Ri1H5rVZQDH7+ElGzUUx+pQaPGzJF0N+wWFjs+RiXEPOevG8b4ZeKP5 Us3KwvGe8inkA97LO42b0hLLRwlFwqtIlEMUc5CdpYx3YdSic51wmxJUSdPRJmyXcd4IVkhkhd9w wUC8E45ZPYaxjj75++lKh7gab8C/l7xAIhZyfdWDe1eKOX1lCcvprNcnuHRUp31mEFw3AXAi6Lqq WvzGUvvCBI8Vz9Ul3i9T04SkV3PjA4DpT453aEUoE+03d1ToM6DLbV4VPPvlU3cMYqSMlq/xQva1 aI3OOgqTm5FakmHq6WU6hAm2mn8IvwN+yikJxEPCYEkjBUxvpC0ETsbVYb+uy+/RORfMeN82WSbN d6jmI6RN3qWY7s12NyHoRxMlJrATzJIDlPIvn1Oe7WKekxcYollzcPrikviYOU3S1UNRCInNwlSs qyrXfQ+5DtxBuVLJCVu21SYY6ieIOUPipIewqaAaXCTZIIzYIrZAq6m/t8Rpec8NKFyahh4unRta yf0s1KHiK4ZFrkG3NODv1J/fqaJtQ+bbSv9DrNxmr1NSqJw+dU8zR5Guj0ZbVKz3IrEM5/8vG3Bs jsuJoc08pbKR91nI96XqiJSXRyu/ulEN585PxFgz+hhyG1G/XbwTw66BB0QBnLjty26dq7fyGIVc r4ImLa3YTs17Y5XNM1HzD5fe8vJeuofT11zzpY84mMvqWVDjBjTg2Ee5Jyivn8U/PF/cQxyVHYtL lGS474JIxMPXV/iSMMWjJmZLLlzBogc50fA/zeXIiItCTgCKUdYeeexnCRwFTUpyjqMgxEKJiJhl yDiJn2bCpt8nN7KQhXDtFX3Zw5FBh7nsXeH9d2KIZySKUtTTSFUlMqJqZspu1FJBzzbq7/iDr060 V5LxY3yWYxHddOjphrrn3yV555O6SUNZxQhG9tMbSZAmK1N2TuGPOhodvPilwsFRJdF8mxyL838R Bt/sdqbMyC6IgMuvNw6WeDsbzp+z6MyZc+i5bRdFLNRI79NLXs4E53e7f+GNeZKnH1bQyTzLtzln CkDytrtt92oRWAHc9yN4PiIDaWG95WPq9CUFfpFUYEJAH2QGV3aEo1xHBeKziNuMKBUxSb2AEQzP MWQRUoAcxV3IQq1DV1wFv4RwbhKq1CAgOMJSWxmz2sjLL5cnmQ1OlCCrEzEzWQKW7p9HnbCxyb1L h1yYrPSMRgpDIg30l5yvbsI8ohfWTOUmJtDpazK7wsb2GRBjkHGbqyIf2sKHDFIuXgUzVe0iVYMP ZZe/kp23G9yRPljKofgLdg/zDf1YBAaGFkKLewyz4hEctPB72StG5WBcQTuWPW21lviE4eEt+J4B L9D5+kYoLnMWXpJVjRsGDMF7UOTAZx8GJb6faTGMC8kpnlxzrLnzLUKgneyBWj3FAtis7s1NS0J/ uoJFtGYHLQrugIyYzYGKnM9ehwspsH/mL8DAnS5MHcsQDdx91TN7dM05LWtMOsLg7uOMo2wCDByE kuY91rYhM16Nv0jkze7Y8ZpKJZFiPpylv1UPOMoqngkJAK9Sxvgjmey2xuk/bAF0DlyINd4dUJwW IQF7ZxaS+bxUp0o6Js+QJaaLYhepYDhpxIDb5XbsdcvQy+PIOV+PjHEEeGRiK85LRu5p0X2XxLAy nU0eIfUShT2eL3qQ2+BfFJMQeDaqtlwuB8CDQt44sk9OsHMOoCINqI3eYLE8lkSGv3j3o7R190wa MjuLJJWdSoRXzbHUUaXYgBq3mrb050bZXvnVfvWtHyGEWoDWSa/zkwLt06G+28Y+q+rGew/NqoHd MXW778Cs+xXjSLIwGrzbiFkhFWX9h2ClS2W+af+O5nXO478LFCiV2e3Z8TdQlptJgbV7jZWLB9fk YXpRPaTYSwv0ZPE5MAuxceGzastMOJtrJsrXwqUWgOOJX6sFTUZCKBQIM8+fUjlEez3j8F27WRRa N1DDp8OZO57FFHqnynwNVMGZYvK2Mf+a/A4l3jCALfC+tTuSt59Im8n2ZIsNgpD3ZFfOhFGCvCdM o0ZUrL9bACVvwV6BOhEeTP7gAvjBsNKYT2yRqWJlp7TQxDGN/CUTsJGnomG4nDhOaIaMiDw7JdtG V21Ttz9bjJXHTyoBNjOu3o4g1K0A1zga796qUUBonXjGN+RqJ0PID4m6YYCGD2VzrEONe3RyPicn eNji9oCg+m02VYVRQU8Fs8B7NZ56kcL+SD9fPJX/jKeM53UIkDvZ3Htgcw8mj2VHTWZRxeb/r5SU UXkTyfebVOlhmRizhuneL/ot385g3wRQ7LRpLHKc64nn0nRhPzzY8lxx+Hy4mIWGdk1ekQwfHdct 3QOp33MrGu2DXYLQUn8rJx5+gThBZeHS27Zdzj5jTnRVtdkCn9FdCImzq8GGThER18S67pqJJtva oSv3PS1n03//JuAgeKgD7dmD0RwQ3KTrDrQTZ+8L8W6slz7Ay/s0AQBQeYOhYTwDe4fiUthPsfvO b2GdGJPqUAvneMLS/b7GL778mHwoX8Xp+/KZir154As4ROJTSKeK77BecqgFFSkzC0biwizcmcai /F39oG+9KgBLdO9Cvg217udTBSeib0ubIx+UlE2GgdFNYDkyLU28PniEwciFYG1gyDPLV34lsdKG q0N8Fy6deFSmt9T7MS1fE+7TkNKNsXx25+p3vlACGtQzbjibc8BzxHPXVxJ5y8nYJD9U6LajVTN+ 83PHaJoDdi/w0dMZMWZ4qxQ/J915nvdrHRStOtCJ1fVhYvKqLHwAICJHvBqsRH6V1KQKBEKWYNWI MhIPp7ybTm2nGblBIDJyP2Qg0YYMpySYb0PBcMRizVQ7bZkHkidQ/elfkm9omOorXwRuPUr2ZgOB X/6e0/fvk9CtO33Orc6B1TNPuwIU+K8ydG50NtfYjXD7TBqLfyhGFbOQdDOsJNNo5bT07Jq0eRot wHyZSJr1ABY5SterBPY7QlveA1s+it4Hx0Y40nOegOJ4kfZk+ev6FtGuhsqEt5Zo672DOCx61JvN VoqPsWBUq686S3JcDT/rN59z8cAFltTxchdHOm0gPT4L+o0VggCLwd+SxeXczTKH15VMkBCcwmma 2hjj8ibi2YgGI6gCd51hVvx/5l7YtPBXkdL6UeWusYaO0JdN6QP0YUwl1lStWaLEFCcXMvs0tyLK 5rE+SI3yTLjhsBfPtFuIODoSABpY13PJ3qK/u1TA3SwwUsbTdyN/967hQWO6j7KGmvKKcrFYjt/A ajIHy00DBMl26ktfdBAm/OqkOahtZdFjQjMFQC0hXSeW1l6S3lu2DONYwmxW3YdB/Su/pgw3G6jQ 56rsi6mdeN0TGHQv3WPDFld9f86zvaa4L8UFNm0ByOjNnRL31XeEmmJzDRy8W1ry4PGehDHG7E1P PAdwnlT1kMFwmPplLTnNzCafeRsmEU6BR7buvTEl+GARJsFca9RCf3d56QKjKZzGeWmBAQ9tNjWv rZHbXM9HAP3FsvbD3Jti8IVmkIXt79YopxOdGhMj3vmGuvXm6cLGtj9K6uwHOtgxuiZVNXPiFYxV 3DY8N9qJldDCS5JT0UCYcJZ6BemfChAdTlu0uAuGc5GSW29CFJ8qZUkcMudstwBIPcC04ZaowfI6 kwhZ+ViPGXP3T6iTMlY4jWwrZqAuAzlGcXOlBW+q8pHW8PTwcQcq3Ww4jC7PLBnewdbA4HunwoAt GVNJBA+gB9SIuGfQ2YMq98zUi1opAyvZbMH9E/iInvlQJuM1QM48mKOWosuAVqLxSdNEV6ZukoPY 1FAHtDukBBR/CSeYGwvxpOVQnIimrMLJFUE4trvX5v9o37Eak7u32VcANirB6MlxFq9srkbb6xGb nJs7OSSs00A7Vv22l3+fgeqUnTCfhWC1Oa8RRBipGd7/VLl/WC0UAoNe9O/ienPTa4zbIygRezGA 4BqJyW6anx1wRfwni5loOPdXcw9ZboRAwU5+tN9ddsURCX3RhnhnkcStVVmc4QmcR2+xXU8tKVLU 92YpMKuOQeuOPvEKWKbdlb6IwEsA53dG1sDcZyPJLMZdx9CAmgdztnv6769zAdUX6f5CJxBpjFLg osv52iwev5xIgOBnhy1AOLZ2cTA2EKaVF2cQHfImBlnaSLDQyRuBt8WMdHyLEHkqOiFr/sqhW6WN QdSvPRxNq2pZEu2+EtNWZeTtseAsM8f9YvZK5wYKJTYhqrNcL2wCnKeEdHTW4TaN/o+Dg73Gvh32 KfJKukzw7Nxdtx6+O1M1Zha4Z0UdRmcKqz9DA/HxOSmvYujecfLbi+u2QpQd0aqmV5ubtWwNzaaA O397YOckQDUz5wrRkRxW1AOjLx+gNw4d8cYxN3d78E9YJ6jeMN2fcY5kBPJrxttb37VfWUackHKT miWuzKsT0vez5+fa8gxfLbq/7wVsw5mTGB1eh0uaksdFWQ1NLwyCptF8SRlvNuGQShrTcdiCubPz G9lLkXs/U1HKqhbAwIr52dmsE4pvX53rwmLp6fj+puvhgUsEBhtPjo/N3F2Agx9q0GUaiKCqIWiR 0J9vZUvFlz/pAORsjNoaPFwU2/kPYMBPixbglsWJ1fTHdNMbQ0v+bx37oGdq/I9iNujuBT/YEDEe 9bp/BHrXABAH7FFWbADJhdKcMhLeBI80Vdy86Cr3ooUz46zKbewG0pd1bWiAgNCV/zKJzEC/1gu5 Yi6QMtk1zWLDZYZiiYsGKDTPBrf5BgM0aYsMOHY2LOQigZUkNIcdHeFhrIqjR7C6emEnUxfpm9IG /M8gGj9qg+SaIfl2VlU1zgn6ekl57SYshFVD67Bg4/HAO71crSaMGS96+q+rnQc9FlIwvgsQTHGB HP67p1lKvaFFtUlX4KTdJbm1Gg== `protect end_protected
gpl-2.0
1ed7bacf0ff1fad9cba5fc7b26c4add4
0.933714
1.882425
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/so_run_addr_gen.vhd
3
100,155
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block A+gvZQKrPgWxjZBC411jt4zAkNrV54UIp6Dp42MnL8Ttq4glMD+o1N0r/rIJafuSNtLZdYenOvUp RUK4JzoRIw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oUCJJeEJWEmzqEyhiO7VqoRIM0IOaaC5bUq3cnwWLW0Y59YgxKS0lkGorw7M1d3PX3VLAgGSsQO7 5PHFPqewFv1+8rsyR7gVvc1BbiJRsRFkOYSIqmrRB3YBt3ThUOHSA72dItp+tyZOfbRKiZqP9V9R d38PPNAWIP4889YQbno= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block X2eMwT4UsrrPPLvwljOvyrUr6NQ2DkwdoexjeE5SKL3Xqnh95L/JCHUQ5A/H2lUKauVsswF+v02X 7XPQ0tDm7Q1cFPDX/fo1SAJTQrzupY8SopzhXZvpk3d3olMRjb8Nm2pR1j/F7MibYL7hs5/7wqVf VrQExqyzB5HJfRmgUJ9xUoFWJX4atOmc/CP4c2Y3VhGLWLc0iuCxPrRhyoKkfTba6Ju57v51v06N +f61oZl4g7K0DMdDiWa4iSFVzUCuu0F3m+IQZQQUDZvTSyKsjpBGvz4EQyjBjY4nN7Rq+ZgXwOEm YI34Q6dmzXdjwltBbdYmza21xNEhx1rhsAjBIw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qOBQNJWQY3IhCRlnGvQDTMAGZnxAe89VN2F14NzBzUN05GI9T+/SF+qw7RBl3k3UuP0RBpa6shbA w+JhILiKAzIK+kFsChOEOmdsq3LsRsGSaLJeRmuGy4qvqq0hFyaADjEcvRaNmc7jqr37x4NIVDoQ PR9hvL83dVT3Od14GWY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block llUXIIjZTiZ3UncR36/DZ2/OioBpHzC1b8jKy0qs9SEQoEvWA36Kzovc14JvJesOmFWx5hNNdZBq A+mP4L7OAda00Zya+U+0QnWTF2a/5pMNgUXHr3Go/A5qShQe00IIC9TFqi5YwoD/OuXzzj6MxSvP djm6nOHtDxATUNb2PkJZCIOSK3CU/15/c5E0I1Z5kNA0k35zJZVVrJZ+i5U3Qr62FYT/4/Rzhcfj 25y7OX5aBWh9Yd8lCAURbsf1pjG6EOGQT5DZzISEt5s0NNECQD05MWVycb1MR7jGguV+LsngdML4 epfP0ViVGuvarknpuWKgNtVfTnqVe/j/oi8wlg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 72400) `protect data_block YszAAanSK0nDnAiiWnInGYScKCarL5jr9svfdZVEN1TnjHCSHC3bAgC+Ma9MeUc+WuXsbIsUjdlE c94s8zsVLXu1EwPP785lP6624vgJ6tYOyIeF2anv5ridwCV+eUZQhdvI/9P/3xebRmBnyuMBIsy3 Y774HMD4X8MUy2eKAn/9aun2u4+gbZAFa+T842LXV+VDN6C8uSpULgR6I07uQiQ8rXxVI5rt+7HY bBxE1mFdYqemFymM1Ljvhk7bt1IXS31AcxE+lqGIGAZNue+YABSHgabJsfnTi6SS0JDr2sJr+b/Y DOVuluRt1xRtqlVhTWsRvpiy/tgBewFwkFVVXWSuesx5Cip/BvAIbifp3PdOebdoCpLvQo33ASdc YGTPsAVpFc8f5FfxMilV/kG+Q4OjWtbmdmgfdvkYDxY1S6VgtblWliYPRhf0NNo+BF593I5e7pR2 c2q1WwE/F7ZysroeIrBu9wE7s68x1lNPIM4T9sSqw2ufudpDqtX/AQRjBLoVZL/FIa8BRsL+P7re DUXKzHzdSr1btnUjl9NG/sVd6p/R3/SzeolThpNcK4BCQeaAjCgl0p9U17hrzv2uKahRQsEGpXgd GSo0p53BGNNQt2RkXlZp/btFi0UeSCW7kHLUBZvPTVez/xBOAfm7JwWnfBbV8yTeVDI39P8sb8js Ib6sQHlLrMtdiiL7DZvJRxs4AaLqD8Khu3iSfGnEZ7CpJRoL8tESilTsijzbBGZGHzEDi/ConntK qBkGHcLOA6/L2ARcUeMtLcvqWyLRitqOT0rBIDXKrakGfQtx5M05SXV7K9qpqbSBQ44jDgG+z22V uzoUTksjjWTlisSIyGml18fyIbrPiA1VJDY4OL1DJU30r19i7XwE/zoR/O27jsEe7dPGpxUBNzo7 ddo0VB+K5kEZeRYAF4VP9Yrsy+J/EV73n/zcwlEqn6UPSO2KWol14KUtgcxFVmW3fnRHrgtAT+/D PYfS/4ClyNh5pLxLeM9KlWNmmfc6mvVpA4zrVBIPNdbee1TXVAu9VwiU8hczXkusyBYTMZKKBKIR SIPxiFK24YRf+5I0uqhWn4deS12uix9pDfHy1NY4EseX1+FctI8NLjsq6cYuTouyi3YhNgszcSGP nU+/n59UCQUOfDSsTVoSbzwNGhoOU9090EL3IRp70KMkr3g2xo6JDgLllBxjGFKgR04EWm5mqz3h iXjrLUaDJ36SAXkWz89agKBw23NfQpP2qPYYv8uCkFxXrserSAptu0IQscypUa5B+OZeqejIWNuK TnZd5VaZh9ez75vWMksUN0BcH9FRM7HCskOqHfETzbA8Lnoiu6hQ4CxdjLuA2PVPd0khKVsVyyYk 9jsOou/TpfQG8WQlxiRLMNtO25aUUD3Mzu8DkFj7rEmIZwJhSo4+jVpOc7IAqCPX4+qe4yhiFjJm t5VJEsBAqU0Pwg3f4z9rQvrzWCFEe31W3xio007wIWKTD9KXB6sbnfnvxU2eSBM9WBB5xa5cdbwa RQCZ/6X7NfzammVDOQfhJbOuBn9D1j8OTx0U3tO5WZpEjmXiOnOmOWh9rsZprN8NoDf69j/1BYtT 28Y/T3lHz6+ucnpvYTUIt0w/u5baIk8dLhsgENcfiXsn12V+ic0iyAbqtEGXdHSoD+MnRgE613HB YInc4olLHdOQtIZ0k/WCiu9i1ZVrbxr+Ua7/aZ9MAXxDKHpiPiAYtobBJ/PgnpYH7yqZptj5IhCH tTfgpUaRnRtXM8ifQhi9ZaY1e7g4QiC3fa4enc4qce5ccuv3H/Aln12HU7g0IVGN/OGolV/aWr5F L0WjphdeEUPe+XiU8ua1IGO4MN2jy4S/ZsiRkZhuqlcSaMZP6ZirckCGTbnNNS8QzblFVLpxgNcS aQe5+qrM2EY8bwJKJPJ48vGFZCTwsSpXFHQ8L8knry5WcsyP6rgJfpytdmDu4Enxf62Xp5S3UOkI we9pltD5AwK/BlRAQ2kGm8q5/msY6rJf39gFaK0HuakC+0lzrbWBpxBXZwsZyboDnVwHqTujvfNU 7F9XuImU79k5bjpNjUQaA5OGYHY22XN98sUqr9DhPlPq2PDAa+7lJ0/TjzC0WkwX6AfqEeP4Qice oRxUHdYW6glsVWetn02Kb8YK5KwBdh0HYBnURTHOzUwvhXyKcHgFFS03PfetTSv4GeaigC0eCUFw QiyelWt/5PPkmGgOeVBVQ/QBCgxaIgJ4rP5mwSJnkU1Yml3JVGiF7YjxtDL2n/5PZcfUBGq73kgG n5dEo6zxwDBMxWeZfeXcMs+S0lDfa9/xb4jZZ/cmtvHulrUVKrM3q2pBON+mwsuVX6Yky+u4O823 SLL1MF9FHrsed8mtcLIS5NmAP1TQT9ptlnrI5OaNY47oNr0aM+haJwQSm727H4dwwLahRzFUCWh4 DS4B2gJ0W/CDwGXZWmglR1WtD94BIp+KbS4EBs7NhqOq157if8GLWpt+1/rsOsE0VDpASfMMc8il EFI22mEwn2FC3TWvVkjos+AReToC/tv4k19tfbleM74frRxBolMdmQIy8QLEW3Yu9NJZNT9ZF5aj uPYsLfBdN0EpWAttFNb8tzrN0TB4iWY0kxxK1ZfOquVJxJoG5QJ8z3yI0EXdtz6QSucBxyYaGN1k wF90cF11S60W+FIifNICLqh4zoMkx3EyEnOH39qadOPuKqQLyn/MDC1+eKCm9IVI/V4+1nmZpFBO dMdQ6W7ud15hVvDFK6sXwehR6wTRImmoI6q32iHbONFvDv1EMflJdzWC4rRwQMydDpdW9W71O0sC EpBqcT1mNZdqCRMDCkx5Y2mq3orY87pl0TbI6Pjb7Yw4y9Z8LSF7KXIXejBYSFG83bmlrRbkO/Hh Q1PUsDVtcoAEuCtBGgrsR+Nrt9wuRwreTze/DdcFWBj4IbBKL305A0ydJvlg07BhiyG/u9QnYs/W 3VbItv0BWFyP49EUeOTfFJ/rNhGyDLc+gJcnLVXg3GH0FMJkLmlgFatK2Y+cRcLdw5kpC5KsTaeO Bp4kntUEakox8x35FJjv7o2B3RGm8GPP/7oNQko1tC+gFnmhxP4x9FegB4MvDFWnanw+arYvuNcU 6QwE4AZXf7qt7JQvTbqly8Lrlv/7hNXGL0XJSulgOvQa8U0H9f17/TtdpwVsvmRknf8lVt0bKJwV vdsK0bkqG4t9K6NAEd9RmzUN6k7T3varvN2SKk4N37JvgXELtzbLdKtFXSrOwPJYZURdNZl+zMD4 w+iMwrKdZfdne5K3dMT7S5dCwsae45A1XJ0isouk6Uk52J2Gb/vQjaIc1wlMqM1Ds+i1+f0AVVV3 3/xXaj07Ske97fAzhBvg4qHi26yLT2AifWYsftUkIaARDvGcsq+xVQBqWFlEf6RY+A+PsDfCs4X6 Ok5R/w0jUVrMbYiLsBLOGT5G1SMy3BfXri0Rx4n3qRtQPAmHTscccSHhybKO70vW3f37h7pYlCZx G2zCJFoqT+7DXD58caU2W7i6gIqmgn04vzP1OuVidD2SA7470PTHNW8+L0brtrnLizuGlizNLHcy q1VdKos/FHc+LRf9KeLiI4jLnz4tPZ+VUEQCkULVoKQolwuUnBQc3/v6nr+xnCnmCZA/VkGJKqRt UwTiQKYo7q+6tLaEXO9R+EldzIO+Kede6TuB9jdSdQxSldScd0o5FLnKgNg61B9tYWPorZWJC4eG pGZnfI7C4mzOd8xOt0AysbmFXrA2RiWXtJYSal4eFgzov9FWtsneZIluUrQ5LIaOCR4WGA4NdafS hm4q036MbvEnY0qYtRgZZayinMvfnPr3SJkIimPvqE1pROO0CyU8s8BbEo2uRAdL0iTLQR1dEGDw t12UPgO7P8NCI/YQhaxBIPRgdrSZXwsKOrK6SAmcAKQ3MOMBp7y11FYfUAltDY6bFng8zhjASXui hNueySWMohaUIjKBxZ4Sk1LMRfhSVmJNUosd4Iapm2J0AV7+cJM36Mk/yvoke+9rDg+oGqa8nzrO 87cJm2ypwfeTpzGZiC6lF21sGiMWKkLAzad1dRHYhqZm8EMJEZ0zofTstI6c4qE8BIpkfQsicpd+ 4lN629tRW/LtecYSpSw/9ew8JT4q3LmTFXISNzRlSkvc6MAp/97wu/vsa//nlrGyqnc3bg8owqUw zUVuZXL4/Qp47AgdBBlO3QCYJnTTy9DVQ3N8R1WFvNTqfJvoF2og9GS05aK+rGnvdfvmGWWHNsi6 aDJG5512XqxuN2+PjnXZWLVO5e7YG68Op5wwNhZakgVSvZIkvXUOXOvZSwRp6GryroUAjHjFI7kk hJrYRkRIgUFpF3X7v5uMCOHEU7MBM41w9NiAPGCT7Pcy+juxvcm0saEKPxtHsTReIcKOc5Qt55Bj ZwkwquKAGs0QkORD4lT84ynEceXLKMVgWnkkOoClP/NwjOyJRlJE0xY6XKo/thDMIdOjeVK18Ako fLMOXQzkRC4o1wGhVhC74eTTMuDiE0I+JONAXasrwDAC+jze8zj69O7EiFM3leDpPYRY3AD6gJtr 8mLyfSWEdFY9Lpi2qXiY4z95RLDiTBataOe7QSS7y431ZVxrBsg5OGCZL3yhOBcjYOmFQqiredem T4vEx0FXMBSDlERgmcSetj7sTLakgFBX6APBf9TABGl7ixCmK0tODxrpvjVCVgg7SsSF6E5e42sl rySTc7tGT7FVxwfx61f6gFOB61gF3IYhfK/9Riq26NdPGJfcshRvtZJwEi4HC28/f/Pnulefn53S JukJLjbpGUVeRyuFgE18MoYXKD05OiQC8kA7pZVA7JtNNyn5Mv9+mZTQnbnlFbfWfa75L+wKmiY+ JENM7+ypfbgFouf8qxuDulOidm9YyXkAcdWoom/HcESyhkI9cqsQaSqpkr15bADdZT8hq4NUios8 vAoXZtg81K55c7/M/oYt6JGjgJ+19smJ9HcjNxURIUZpQT/NCpWUZzTmAmQHQ4pYmg72XyUdsSes TaS5Yueo1+Gi7aroGhmS1uz1zvLBIRdAwnrym/NNOjtmTTLN/LwZxOzpY8sDONHF68pkm0TLZv38 0RjcfXQfLl5qM2UaiutAgjiMPv6TF6gMpCPToSazpL9M+jCWbf8qPOiV6qrij/nEXnF2WG3JCZdg HjQNeMmX52AuksByAvLuVvBBM1jTzgjz6995vngYjfmAaNm07mjNJf+1JI53sf9XpVBNvvsDaFyt GLVHiiz3Iamd6MToiImWU2bvF5ByX+NpRVqYxmjExTEBsM9d7PdnVCSqiKcCnZk68D+nr9sVpZok 5eOof2siU/tIT2lRFGYhk38VxRHsXnArZGY1sqwvMCKapSY/rrLApj1+930sgjcCvVBwd17i3/Rm N+QUiXBO/X3swBNY5sYZei8wp8cjnwLofDpSL5kLYjCXiO09cNHJNEBPD9TdJzF1RD3vEEVYTHwt GKTJTGpDEBCibfCKBxxSmVhOwLzmIuhLfdVPMI/l/PS1tFFejvNg5wggTKbdpkU9mfogmm3HbFYI RD+ruiad1hRIZ7KGoAaxcJKsI0l3TexbdvBE4oXbJXtgThImt05LmpfKkxKG34vJfPgI11M43t89 +JUmlMWYlIOCpgo6WRo4jDlFP6I42ssF4wAGuXWYGBWEvbIwa27meGnGkfjebXF8fBLJsfJLY8tg VJZxYgvhfu+7lOPKN3Z/akZx2uDPdFHDH6qeGUgYGZCuQiDpw5MwLmRTgm64X/yEGmLSgJ44bqQs JhPvISZVIUXIfb4o6eOKWsQpei2Nfm+PDKW0FQPGpjZlgn/vBwOYRD5JGbhhFY4ovbUWeQtk0cAA I1Kq3tKuX7CWLElGNl/XCYTmD8gF99P+Nqmdi2IIN7sBl+l8Oco1ByBysi16hUG++pyy5RfjqjBu M3vd08tfWOw9vOzitDsUWzn3jJ9Gn1IxRDU9rXNu/m7BGZW0OdtckLqhftwvhf7coQ3bxBA/xYJV eC3l387Jz0YwdWoNae2iziB+XygrXtjIXG6yKVMaxlGpFqks48I4Upk9R3QKWdtP/3+EfPA+3oLz CWSddriQKa00pIquYyj2xjRA43FrgGeuPgMs42lF+XH2WnxEBNQmFf02luefrceMiNU+6JTHbY6X 4/ugHTr8r75EGT/CROn0HuXW1+aTItP6CJaK9jf7jsw5fDsBVjQzIMtXeGVJhN1yp233NVb4GZA6 nDKKzNSBVuM+W2EyopOvLVPOg6gTdyIPhWe7gfsc2WOt7ZEbren9Jz4UEKlnIGmLvecaryoQZ/vX 1E8LYJdETKMT+KDIsBrNg3/PyMcLULnz5XHhjw+NfrPtqgIoIjCIZfjjO6B2nKE9eCJ8FJaziBx6 3jKCjf9Z0xWaNBiIpMF7Ncv/HqkOj2E/H3qGBoF2Yfxg8DXCCVv+s8Fgs7dLVzZdW+C/neBjbq0W tQS/nV74hh8LncOudqQp9QHSn/IqIbdkpeY2NF7CS+Py2yNfTYnQnEv2eKQeSj+CdGvAUzHpF/3g fCESyATCVgNYR9uV6zTA4OFdntsuB8zJ4KfT7WCGNs3jAnk0wRqLC/pO+ey/yp1pK4Fc697v4Tj/ bQj0A8lKic7nlBCY2AFD76c4LlIMZxWRAHlunzvpp1yeTww0TyG9KDm0C1It6vs/qi/4N9yN4v9b qTFgHKermlec6va+WkeCGAY2RwfFzaNFVWeiXNZYejtkLab7Jmq5jP1PkrYYRFsIsfN4YQAJ8Qid wFIkM8UQeUhKtHzfnpTxXgOyvidW4aoW3Bj9kPp09Ou7lGS50kpaY6gufXDDylynYoObkrLh4ha/ 6OToBuFn7VWYONql+zjGUGMcEDhjX3Gffd3EC8iBK/jto1iP3cIDk123wRwJRh0IHptKNF2UnsCi IQ0Ubt8ukLrVWU3fFRfjuqSlA134N07FMTkQAUydsiqXsVIAbm90/NBQxhod9Uvum/1ngTLTEqHP +vafm56CehNDd+6RZ3zvFk38xgP8WjGn9ZVbdJUxHgM7kkvS+09W5nEvs1hJiy7qsS8lGE76TxxX lDaVy/o+/G/47IpYzfVKdASHkt3iznp4OkegZrAaVJJSUs6mThjuRMS1siDIqIkMq0RKH4cbEFxr D7z+dQbQxB4B5xWjvltMq5MLZu3ZfwL+TIG3l3qL7W+f/YerplTlKWfM5chQuu6tH2KVigsb5wIx vrxCmEJop/GdGdBZTvuzAXfxDzS0Ew9GirtmNznPD0KU6f+J/7sA6YlAlX3PTBGqEyL1imAcaD3d IsguHTjGB4zMAM44c94Ujn4Q3Di+NNpa+9zDwd1M7Q8qHrRPVQG1GNqrUqFq9+8PQRjRJf8bZFse Mof6jFxbpm+7QhUT4MLxWlJvtNVIY8Mz4My75n+sYPXBL2fuPZc11xke00i/LXyrIjtKm2cm5qhR uB1c+xRwIZn7tcO3npkC13nVhN3OMNquQtyO+zB9BxoeqP7lsrUWL/nE2NrKjvtO+8VK3ao/pu/+ /UUgHmA0j0ej184YhmV6IxoxKV+6jaYMk6GJA5SLUjbfeNs5L03osgxRJAnYVbwjyjoQwmH8jssc qtKUD6o1A9MrcvCds2nRZWs6LUjSk4ntCHl/L5wdHgK09ZaMn4lM2JNk1oVDGZS2UJJKNjBNFDwx t5+1qBiWOImpknlUsQo3Xg81rViniO+4sYcUTlyq/z8GIFuMw9Zo8xXvEjcc3wNlSbA9byEfNdIT EagsS2PKNqfaEp2nCZvbQBIyQMMTUA/6tSao7/TIMr7Ih0okNBhXkVorQUzmBiOa4IAopqopDNaQ q3I7t1IeuW7C2bE8oYvjcyBtfB0jS3btigtrE1XDvw7FmmGOaC1B3/3FT00/Zl3snjOfwlSEXrwT lTC2EL+JpYqJjVUAG3bRDX+eSxYg9T2jbDR7Ee1iUYgr5BmawzJzxm43hG6uwQoITgl4rCyO1ihS AFdK0TsnLFu0JtsjYCHVLB/WWD2fiSfjtxD5Tv53eK9aQHStDq3Sx/eMnWrWxxcNnTKnh78TitMi 91+TtefCA7J1sO36S8QUeB2Z7qRa0B7b1hQYIw693XDigyublh0EyS/GC8lyOmNkfWiQv8H90n2l 7WisuPeBlY366IoM5g9bVJGQAimDQA1MFpPc57nXjZDW+p0Hf22CZ7Qu//m+w8dSh4xJbGaSIocb FvFvp6VfY4dpxxTDcfphR2NQIXCPzqMYj/qhnFfsHdCYgNiGQGDpJ8xlPMSDjtQdXGmaOyS/2oYE 9xSHL77CgXytnn6V979VR0aHtYQNj+Znc+2j59BMJ5EOSqPbjdMEKnaLtwgQeFkXmULfE7J+Exoz Getxm+erxYSoaDZEDG5d+vGLkusdNl/U1AHe/pQIsfLakBpvimSqP1JmnQBUoBihPGKnVpS/hqef S4XPbzLNj6vEUv4YHkOYRxWk+TIHnmvhLC5IxBHdcpNXIKrGEdRDqZj+GCpDC4j8TIx+wEN2s4kJ oTyV7WId8UV7moDsqi5mS/rCGtmf4nw6JOHFzXoWVncfbQaTXHTD5Cf1LCcFFQffQqwqC6dS6O09 enCQFP6HDMg4buV8V3rsnMR1zXpUACcnBTJypb0vHkok3glD/NFHR18BRGCVqhdh/NunNIPWS61U y8nzL0y6uuRcqR3fc/q4PwFFCRLprQug6Uin1CiiWLBTkApsGjuoH1kjGCz7RdzCZ0UzlFUmwLMP 1ig8iWmxjGM3+CM0HEBXyvtjuajX0jBG5OuJ+vbniQNXaQwQsQzlVusRW8Af70R0RBHDYBYCQqoZ gRgWhEeFm/0bsZyk/4cbG/BHfDkGqullfjfhDDWsve+4qr3cnmMS3rLvhLQuIRw2t2sZbA5P+B9/ bCG/ink8lgkYBRKR189HRReShxrOBUZ9vi2hnucYUYFUCBOcQTVlYvTaoehU6M0xsK4R3IwvkAfy b7mvJFYbJZGW0vvYME9oDJgioL1F/rTlmy0FrqHTuRAXwGltFdZRarcrKDJ+lm7UsgLa9f/OiIZq vlwGgrwy6263wZqsMV12hYn/3lNGMl/2VUxAIrSqvhZHv1yjoKoDOTw3C8BgtHbhD+Nx6jajVLOt GN3FmBWaM4u5PiiQ58P1mSSCn25MjAm602ij8V/cTQW4w1GXEoqeyjjnv5DgwDWNG5uhbnmCEgow MRs5WK7zkKjYrcdstkSbjobnah4XydSZvn973il/Wm11w5m8qUPlJggzFqjTt25MDLwPhqbRdK2w jGDuWU3m7FfnXOgpGw3xQlo4YpQUVFHndcm+932t90r43ivhgg+Tskt9AdIS47p8WCXNWRTalCa3 OvGRkWoR9OLqVxN24Qny6bzvWgF+UXgWsHut/k6By14vO4BsH8k5hBqG35frqLAwkAqI8eHLy1Yj c4M/7Dd+jP+3WppuCPhGzGv0+DKQos4uf3WL3Ov3dN0CFnobso1qFJmMCJRWNDKLaAXi2V5Kpe1Y tebWvSkhPQCwwdoV7r4pd3pcCP069MetCvkdrWsMHgvW+iQxilSY1hlpW9huQSge4pqmeD+P/MOY xOmffAs6qd+RMaVgYXtJA2XKTZRlDPHdwa1OFARUvq7jRGmFKWAO/zraC5wyw0RXVqyU8aNBfRga lcfUnRf+sJ8B1oEUMwLwnbZQfrhj71NxRW8nqwmpe4Wsy1IVnHAvADZwPKs/s4TjBpccjS9k5HkV 4ZuMTrHO+f4PepWoIYcz0hbgSLGA/OR3gAEiR4QQGfvd4TbbbA9i6jqW6TPTp+CzVcOzZLf9XqPv xRU415RdDF8fjXCGoCOwxUMGeWliaRr2BwidsO6r5LxWN0k8unKqnP4rzvZlz9NAVLQrGT/4wXR4 1zdwGJDrGlz+Sl9lGVTsPAv/FJhCVcgA+u00wrW9TBTfOOamM0JTADM/XJnR9P2FedvWaFS9nCmX 6gdcT1iWgXUO8i5saHl0C1aOQL6VTUoSW1B3uSrdh/THVHqNY7lvbRmpsNyVOSPL/QfmAcrw9iwL kdaFATE7r7gTHk7+s10EuOUJreJVecODuhuyT+VpEXqq1lb1lhGQE/Z8Ss2nBBRpCPm0lmI6ZWm/ Qw654TLkj0PSczEQOVFprJrlX2CUANCoC3KZHE7zVA7s8pbUxAkAHC9Baz6hJm/HruBPZ9COHxWH Lb/Hy9WRAeC3GWCzBfbHAgWnFBTZXbGF0TGwuL7pQzReeaYfZssNl7S+teYTLFpG36RwbOHcUhPI d6qhUrF+GO5n6M3anDu1wppiI7PI9QK2/EwwsPwUIGX+K0+uhydXTLv5OcT0qRB6QNKq4726qBFt zxc43yGoqE9b0HYJJF98WUMi9gZO9h4BnwaoA0cmIegH6D1+2D8VHfB8RXgxpZQaQohQsHYJIT42 +Dx8v/4JlajNc7im/p6OHucidUdhR7oLfZacNZ23Rr7jjqlK8Fy8qbHs1c57Ag2Nb5Ie57dbIksp YmmM3+uBuGEnBXYpTmlM8ryMZYxoET2gN3Rg7pVo4+YOyRrDQao0FZNOivrW7sRRVsEEYXbIBzyN YYmmVEX0HesTuziMwWgimpnqrc4MCPwaF7Il/ITf/lIpkQfw4JcoHvK3x49g/0NGvSYAd2g+/xIC KxXI2zNS2PM95nEo9OBwnIQBGuHqCCn+Vcc/WL5RBF+OeALoWmLT5MXrrmyYB4bBihK3qBMFKupx Klg7KykP5/BMqQHM7NIyLOx4PTuUpCY35hQ+eybjqjfNaduNxullSYTzWBm6+KsVynb6v+OXyZsr LMumLlV3dF+JRpTa6S6bEquqdMoEQNelsFl5P9/lvw6GEguB/tg2N9uSI+sJ6KsJYIp9IC9G4vAJ +FX7yTv64L5mviRIDkwiNmwie9+x+WmqSH4ZT/hJIG+Mc+K9e7oIkfNOFf/1XqK9AuHvXG6N19u8 8s1rdzTibMFoa77igGlLfvZKJoenjRPbmJqc+C0RIuROr/lSr2oq8AWgLzc+5bj9/YffZued9PTe jp3nOzFnPW5cnJ88gPnfAP9r96LgxqQ+S2vjO8w82NodrhznJxgqWYLqXROvRNFhj4HjlWGnF+wK Ky15iANLZZOI3xZ/wbvjuftFpdBA+hTC+JYWEpMF/oVDkBEanYLKhCLE+RUWqhwxUjLeKAhjyoSZ aqIuAJk+A4kVe4vw2Z4DsH4JV9M1QiZ+ghYZ6mfoORy5pVE6w7mPsqUsicbfxj5MXXcX2ERmG0BV 0c7+UDoRR+GWA+nNM2eDJywm0grSvWA19EneceMuoRiWFMZkFjliFser1KB7QOMb/ItWpjfvaky7 sFvHO4xoYK5QE/VBmt2HOkBaP7oukXm9n9fauJTDQvFX7CTPB39tbtKoLLBKMVfylim7TX2WRruC UdxySVjOxtP7ec0nypwtDv66d0D0REVScsXJf2+BU3IAPaRk5kdnALf2C/GJtk5hpPGeKj62nwiI MSBmY+mN1xRrj1xBnxK2O97phX0b1l8tp7iPFX04nhp5qr+hNTCB/1a+1dU6WmhlrUBnJhf+l/a1 zWw/qKG+k9NFmJEKGSf0pYalfRf50op6Kkof07kd68d57SRoszOC3RMRcmkjrSEbF+FVRzVuSE+u avsFzvF+FrqvUPdxmttYjIlWPjxlxDDXCNjoo3PGq/nRamF6SagABorky3JGK2CwRAaCVii4SPtt off8eP/mvO0sjbTcBQugkOk+egsWXhUU9qfFG8UCKvziASH7Tpox8FeDlAdqarcIQfKCjUcPoMKv iNCveYnipBK0t96d0T5a7LYLNpDRBPifARMWBSz1mZ/vMb3amb6PO+uRPg6wPSoej+Z50aKu1H3w q743oZTMG6MjfBRcMq+Kk9e8BLkjpjxPf3IdXiXkgj8KQIGwMFdcrwdaKTr3MXyy907tFI14Drow 8MsxzJAJd5F49ieFr9LtGJKzayJtnZpMeV2EQhDqOcOqIf4qN8eBGpCGpd2VULSI9dYezhLEi0Gx QeIKHutZhkCnQ3cQKPw88AsERAseBvgDoTCpnrnHt6tXUymJTiqqi7ygpYYx24RS1daLS+m4HDzM K1AkXQx7Ny60+zpB3qQBNrnYReC/NZWLsjIabw8XeNbaVSjfgCAosAMs2UKSYTEZ1nbi/+WhD5Ha NEGKKM6UtDTMwJ7zOgVnMTVATbhicZMnJCyCEBkhlQvXitro5mN0ywXjFbn9/Nc1bkGLlf8SKSxP clNHdDJRNvlyPE1R67joJHhFbQ6sqszje7a02MJk5Rl3vkif6DS4SGQyXMm3IkP6xFy7VZX95yrJ iSIWJTxIFnB5dnkfRR1QT6jA9MHKpmdMLm2ixd22x/GqAv1TeXz2tBjz5sxtod8O3tsSDZs/nqLs fCtNmT+uYatlbxEIMqRSV7nBN7bAaTMKZ8d4+mSEUj54jMMUsrYVrxIHKwqg3RsTVPwp239IcVAQ 1yoTcWB4LbIgiOIDeoiwkSbNA2aDkT62yGm9mhUfM+ohV9BTUvgrG+o7yp+x5toJL1G+TGBFE5Db FAY7xx/8f6ztBzCY7lInIaBfXjJ4crFHZ4lCLr1R8LxcviqD6apKOpBMe7ZffyT6aUg1wognD4Kw SObu+OpHMJDU5kXoz89N2ZBuVUfdIIBIHwDDzkO0JAwRmHD9WEuwa+nQMg7t0uVz5Bo4AXaoclbY JI/jByQ8tJtjpzZxLhFwdUzmUEA+fD8sEAacCwn0xL5N3/AkSKYkNQHXQIaDve/J3qNIxaRqkE/o 0ChJCUYakHBvD6IZ6H5RgkAS+QWbfoMXl1dSNovuiLZg68AOW+qcPPoiSlKr9e75KxFm7wZJ3pS5 i63Hpn/1UCTsaG3Zdotan891SovP0EpS2c12GB0KPzh6C8uvo8RsTs150Bqvt84uKZdFl4JYcfrJ s9gZMUrK8hDVncam2vwA3a8HFil6eVyYy8VJRzhCr5QcjNcPX+eL0qh+lgwBOyIxhWq8A/btLLGi 7W8X4jesYBBs2Os5hB4rBJjsd5DmfAwu5CMAXB7M+mWXjRx1jpucooX4aKLZNMHXccEgdhgcCnFm Q253sMdaBLH3r14GtTyIdwNsyB7JlpkgLh8xVyyPKZuJvDSz5nihmL0EMoqY7bdwsT8usgWEi71q jn9YcRzQfMc2CvmBj5h0r3R2PElsbf3fppAGMHBuN3xkZi0cu97dR0TOrwDb4QrTBmCboxk2Answ M/J8wnSq/7qY3LZo4Jrbn70nxLbICvwXY8A+zoFopGmdNZsRNQig/Jx0o+ZfAZGMWtLn/OcxHeRQ eSEab6Nyzxse5uyGeZs+XXhRLMBLkZatmc3KnO5tEwrAXqTZt+F75g3WSDDMQY1CMVaOzbRTXPeT mPGHbjcL0OKHYJSKKqlHTb5BsuUe/Z4Hy/TUSs84sjjvRacnjTLi55Yt/lJ5HctrDaqpADIQSmWH icifGQu+HsDvhZfuyeZ/CwYTH6MLIzsB4mNLMRVtNpFrnu+Hdz97OEOj4CDyFbOGt2PyOVD14aC5 mi9QYBrWiOQdDwLT6JsoG315DtKGYfVMfQbbUQcZrObDmzOOtJXXbrulioc3gLBkEwT+RuECaCGl EVZ/Ug67D3JVZhBOxI/j8WfIVtidx4uvW3LPobQuubculMcZFcg6Vz41eg2WOn8BHr5K0XnXYZ8Z WFHuOrLHblZuHX9yHWztZnreRiShn2mKKZFD68unLkeRQkIrfHGeRx6dEWpwcE0OsjRTbVtUgrLk NaGYD4wYwtVR/tZ1hLchtkSlKw9N+SkXrl+GC/ijGhifUeuwnJklAnInjS6OJZXGO+wzMckM/WY2 +0zmRYwcO9xwor+ZFikOPalkoKlACR7TJp5CF05cwFfc0Pdj57Sv2OMTK7nLmNSq5fvpwdHpP/4X cgBctYIHYMv1uVF+jd0sfIoXq4b1iR8hyHQliZnl/xzVr78QF2Azp9LZbeNyWlv3GlLQqwK55bEM rmnrvcxTjmodQ32GOfVXxiogpWZVzP5A6IjrUH9XCgDU2PP8b9h9rodftZIWXC98VfhajoJdbea3 M6lnvFB6kjf30E/A595B+TbaI1wIZ+zzMkD5atKab1j/csaqwfuCKdgS72y2pwdjM490HyEHdB6b I8muhzYdAJZ5j3SnkOR9FssDv7vrZZEZCg+YUb4AH3cF/e3IUtQPJwOx8CaZmo3QznCSvmMSZJv1 VNeJ6/AIvrE7Xl/xzf3UskAqQujsWS1KPT1hvyeGq/GRPAzLWLY0Z6aAei4tVcjHMoU5kK4vhNYp PZMHXxj/DSeIAvMbEMFb7CGwXaFLshRZbfiX+QoEl1H08uBgOkFoJJ9TO8NDu4hJ3UN1jLImIh+s NHcksXjmHGbU4kgZuBKj5YZO/BouHKTSg7KGsHOfl6D1Rzn89XOh9xkNwhV7dHLPScf4sT+KQFKX wp0/WuGTPRtIX67pAZMFldR0qW+nZL32hPs1txTeCeXT1ff+fvOMjyLzE7IGfbmD1+ZgHOeZnw6e ZSoKIE75FkQwcfbQaK5LFQk77HIIi+0lqU5pJ61zpYT1LygqdjHAZ6iSfC+PtV3RERqJvcVhbSIV 79lvvrEi9ff3xZPLYBgKA1IS8bLytT15aiy+B9BzqSxE68oPe740mUz5MZ0olH0rM5nBdOS3H7mB ou/1ngkmMiDBO5x8OaUjdeJnJ1hADv+oLxgZeWLLxOji29jlXhn5wjp0XH+EAf+C+I4Hctz+IcgT 9GKyYWvpIyRWxMIkjHVJy6nxkSdlmfN9CC0h2o7mNT8VVn6Vrfq0OsiTQsBd5EXp8v0ttjohYAjx /4Gqag9BOvH6cSPhdQsmKh5rTYfjnlH1rhTea8TPqBfw6HEKhwWsUtcyVM7FqWtVY5nR1LvPqVDT FGBNKMz4Yt138QviM6+pGpLDE2OKcrEcKBuah0xCwgMzmPNNKWuYzFrinvWIBKFaEmUYZI5TdWrS SbhmYUudAEdBH45aS4UaDnUk0Itrd0as9TAP8Z1v71Veqtex9RhFsKiLp+Z6b+krYF8qfY8sDeOe A7DV9fbnetfkjEjTUMmlGhvtuHvhbIzrgYAr4QcsNh1K+x77BJntR/aeC9c5IfH149MhMK6TIoyU wUyc0rxg19DXfsP6UdgLPGHxJoCPJVzAJqqecu+mURKHuybRyA7ArQDpixDaYOjSJMt8jtFHoE0l H9HDd1tfCYP5/JeynYFzjFhJsXR4gevT5pD4dbdwwqBTVs5TGZS97DYaSTFAxJm7EtcQMYBCKs87 m14h5WNC8OWEVEW5w/M/YhVUhTfwrliuiEhrwfvnKhzCNN//Q2QJMPzVhM/zqh0cYVnUifYhKwFE 7yjn64eZrruv6H7WV2wWvdsZCuTA9XLQ1PiUPHM5imIkXZaZ5nDpG8Gwu+YUdt3wd6tjMYckmYiK jcJocXgoZljs0xU9Kwk4cGZ4LwDNw0uhBCZ+7JIo2TS4GkZ0yH1YiAtMWpa6WaOu/YKN7bCJUxl7 avk9irOiawJI18kEq2kiiNta4xofvkQxq9kiVINfRWirtOb36QvhtCrjGZ6UZVNa5Tdr8y7naF7N cFlsTWL84+quv15Zg/s9ANNDs3jATh6Op68rSiB51tmivwlqskV8z6B1DGhoG3mYTycGvOMoSJiU T18kbGXUT0G89rxkM7Bjfx7m0RqBBxj04GVdIpN53knUgE+8M1QK3dMiny7HuVb7nD1wAR3xF5dh 1h05ycaqqKpXPG0Kqpgmdpoi1EiLXYrcaNR2hvHlv5xUYnyZSB/P0QLNDS413FFtSFOMryBzwgUY 1Sshl04j3CGnUz1Y7MbMlZfhkaOObRgzFsPAv0NtCIFSI1ZCcHh2a2qc+2p/b9hlFzXD0+GelYW5 IgmySDUzrbaZRU2ESbGcOeWssfqe1v6wecORxW0gaK8M2hwMCAiJvjE315B6DrgVr19Ua5nojRsf lqDE7khPB3yDGDjcXywl6I2UvivRhNG1JGVKOi6BI1whX4i5csbuUdCrun0T34dV56Cm6rgWj32Y Us2RVgOh5lXwsBQVd7xhLp2S/sPSd5zOZFe5R+wnypbVggQKSxQXzzfIunL8fJLvhAnYhy5QfI2d mbvuoUb5M+iBCTNs8icZd99riskTTmyAnjPFS6nW8F3VXsZxkrJoo9XD9t8ErZyReCfmxBiwomI4 zUc/lLDtFwrRBuRUXQkrRoQRga9IYHfqfc8Fe6EnI/Ms+xtQn/PriCyCpBReT212JYcejQKPFQZa vUORi99W3WOnXry7kzcd9AyFtKV5ClhQvENtCLS10TGmkqcTXi6ak8nXO6/dm7wRnDKl6ltYmgTc 98EWDP//Z51IgAjbyFwc9/bb9GjxNjsZzVaVjFeKCx7+eS/0aRmmLW+2dKxieDuNMuYkRegx5E7R 3luJivfKbMI07D0eMlFiBYw6P/InQ47l1WEKGlYKqtQsyp6w+TMQBZaR3ARkzXQPCOGT2O/QggtU Rs58IbcZivrHQQE4lBpXA64y7SVtgIQozgQvCuoet2w+4amnmOl46y/EPjo7QWq2A7pnSkECFu5I BqPU0Qe1BHh8O7NgpF335ECYjbWxBTRyanGEDaW2Tb4FTIDIO9/xpLNoXLCj8nzv8b/JNK+PCJHB Gb02QIGwygYrx9P685tHAp4ojMWTbWFmolfgIJNKbCxdfHdbLdCTrD/PwqeRt0elXJ7S8LadbTXF 8X4LbFVLxF7Gp8wk6VAgUzn9HzIpSLun3uoMi/4hj0wIV80t5SgWxB3pmNhcxj5ipVVzHJZw3UmM vr/8POaUEHh3IeWVm9eIVX62AhMEnm+RVwZCZpclCkbZ7h1ubm0eH2kx9rDJwexV0d8B6jg3vlqB Shy2jK4TzigKnykHRSYZ6wxbMnygC3BY0Vlx8ktibFjZcDVAZnJqksErK6Zx5OZCPd/FVaVT3ePU 2vc5LvrlqY8E4R3zc4eknPzwjDraaLaIwJXU61hiUWsuiwn5xX2x13JZAj8M6NZmMniB7vm+80u+ 7Op78hK98EXPxK72jQwrHfKO09pAuGfvs6Tap4C36Dnpccl9HN9T6azMa5mkriJ25hYfdPbl3ngD fGJiQeAImcql+7ZGYuyqxrncrDH25NgU4ePoQDEheJ14ChNGEAKRhkRV7G47CzVT0UgE9GfXvOLk 0D4Lg6k1fi8OIHVSt7bCquIUF6pIQPUXUYTSOO8cAUhYMuQp++8DhG92FI3gJpXJWRXgnh/fH8I6 V0zl7yTkgOAJ5/czwFulYd9z0JeXc02unfppS1eiQTEbNB9cANQqE7ZTvIi29zwNLJe/7pR+Bh66 9rmt0rhRLdLfZtXuxNv8XpCEeNObqHNERVQ57FkT+je9tL5Q3Y40DPV1rqWY2EIsIwgvC/kt6DLs jaoPlwPfImkc4Dr+mpzDVc4zf8L9Hg+j3HNN9R5HbACpHPjaIyoAWx/O+72gUpF9o5Wv8tuE+5fw FjIMNP7ge47hvSJusfJhLE4+NCqkoXBIkJ7IoZFO8RDXeaiBf8eFeA7omgJqLvxJ7gXZa7so6HDb 8odtw8Mt6jkKF1b8E1MSZUfLplEJsW9s4umXJ03Usj4IQhfMT3XjyKBBYQqDtQm5rZTWxRDbPTuI B1AIvEqZL2PSh9ZVLKHLkjF7krd4sWFvjCxQJQ9gIZaZUA0v+/0mu1xtYqEFtuIsGoUVr6yqTxqY qg/2B2RWXmJyNuWfym4YkV2qg+MhHYewP0rlUys4snrQbjBN53Cnb/jV69S64YF9rd8MBoUaJj8J sfmTPlUrn6yHfAzBLzZPMrj1/mhs+efIjJ/6r2bmdbaTlosP+eX/z5MVS2qnp6TNyaI5VGX8q1Ac cYonmXJU+53FsM06lT585UzAirCrdj2f0oTr4cn1XajowLGLhMJBtyMSu7mX3YLKl/C/duxqC4Dh 4KpUfF/OvaCgQk8SxzloPODNQwzjEkT6dj9Q2FWCBxqOJmNTSQzGV3bs5Jh4Gdx8laPwrMo6WU1R LzQMnkz6SCSe6yOgQdqRUOq26bLJhsJP/P1p5lVsYkbDw9XLlU5ikAd2sRpzoiATOaQ+17DLvLEV e1P0J8N8x+CaGVo9LeYGXMvDAFx57en870e7S1mdyO9AC6IlZ1rPRXU8l29tVgNqcTWq30sJ5Imu riYGPLpoy6fZAWxDGEHY0p4Nxabf+qV4xWS2vM/HSyJQ1zih47alqzenyhT7xwfkVTZj7CNOSvd1 u7+IDgP8QZ8iEcgFjbdlGgQoWy/29Oz/ysnwyw06wwuX+0owY0hib7TFSRVarauKn9JASCVhKvhC xRKK4dIWMuhPP4vUpVaeyrLvi5jfp4yA4wrG/oxqTw3rG5FJqjTS+pRByDvJvgjtm/uDMt9dl3WV yN7kddeSIRXTIC4St49BRmG6/tBAy6FpGa6N3ouLbohg3q1F7eaBDCv4Js5sfVLsJxuYGNA//6xW Rj6R5ePjqGa6dam0SjDAjkqi8e1I0X/JdL+5oDHjhB2GBbzEdhkX/rfQ9xQtV39xqLBOSgWcvNFI ivmM0mSH5p3s6a08nwZzX2rVPB3hTHaSNkOKxzSahkUPTtn8SXWSfTkuETOVLm41lNwZIxHF7KY4 coLwgiY/Kd+qam1ICk5TBombK1QEjLEVAnWoYfFvv6H97K3KnbW/HfgdAdj8ZgFkG53bFeko+Ql3 cnBkaDFL5zrqVEy5M7Uvgb8FQKPNf2QOdAJ2scI+2/kC3nWOlNJa9fpq8BzO5vy0iKSJMiKOLSs5 H+OJ/hWBoJYpCZ7OgiQd5zoz5ssCSR1xdiUaaAEHzaJHhvCRFsIWn7smWsZGLKB0h5XAJ2cYcLUh GHmtffxt5nMiQDAmLPIdGsRHv3kVIo/IwXHt2sO9HUpCuXiiROVvEK0Adr4+kBEBT64fhQlRnJQ/ 0cq//pWfb49Mj3T2cHqrnKq5DhhVI1ups/JZQWVQw4cfGRujysBvdPYtdB9p7qp8JNRGGJjgT8cX mJrrbAaEAjQ5Ibv+8Fuu4WN4wQyZ7agOdklJvG0zbtJ59KKwlLpYjE9ToeHnuWTYRsWvIo+M8X39 bVEk9H1P/+Zez8m4YtYg9e1C157XjCsrNI/MOuMNixPbqoy6WierD/zjwDc9LdC6BsiuXwA72Yp3 0cHRIdovqoTywA+ms9Oqyjvp2yDdpr7Z9viGEe6jDT+wAYb+cJQ0yg1ghHsy/91KdYtHVlr5QSMv rbuT48/3vz4WBfvoHm3vKDUe9y369P4NO9azPPUQ754+jAtpNWwRW6z0/fV/M6o+MnyTF/F0ad0j RByRd7Fl3cTxo0CxcgdFsAA3Ot9fd4Z9UshVIXdRUXQUgGpF0yIybztPOrE5jYg5HjB3bHuZr/Qs vIaOJt2cXZ4MLJMOAO/Ga+nZ7lWxO5s9BQI5dL8YImEyUZrdggC7YYyJrQ6L3fMKiX7xzZBd9/08 tSsYVo7rCSgHHI7DjpolcLvFH2vDWG9N0tWKoazf9rGHsy0U/RQGIMdSATQ2WvisuD8cY8VZAyx7 tNB3eyU2jJ1DkTn6Y/MH/mXrbEfMCZZzH0z2l1ev2KVjQFwEU1tpLIn3LpjKYvfFhRdO8UM/PFPd 9PGNClOLfNvaN+oDX6Rbzm/vW1OTeoijaFk96H1CXqzI51jDW5ynu+b/8dd/rdMvLKz3pzpX/+qP bxc0eIx0Lub/IWsryF6FbUcd1TI0GAPmavbZ10ed5V9e2VOrd5rMGSWMU5gjn7GpC3YR3fEzixNC DiFFEYyoHD6AQwpDrTpktmnnL5HI+ND4yqe94YHqUR2Yu42bKMovtZu0V85kvlkNBTJzJelqm6pG ss+tMQU//Dr+TQrkUaPOCTQRxh4H/bj4a+//1DuUHCXBFeCNE0HaBUFfUrphbr/i5k7UDx2A/fNq 5TdW7hxs7KGtkCacePYuuQD79VJFjmmozLO+aHkSmoXdPxlg3Buw0lPVC6r99ACmqjIN8DNfUbnk mpCh2OWL4S5JlhA5TqVxGH7GoqSjNy4+gbitduzuG1Elti/vhjn9PMPdqKBepzHsPWVagnwbxemK b4/VSAfZ68BAHuCF3SFZahyaiM/I+1COT+AANz+y7vFmmmZ6j4ngnev3Wm3mFIgDsGiPynGwrzyy eH32I+Cckbm+C0WdYNoAtvDvYFSYOTM6HH7uy/eX3LvTx+vU/s46Rp/QjkNSPD6cmKLcpUbmStKn nHvJyZFDe/WHA99bxLD9VzeGK+va6yvxOgFggF1ytQwek5nddn2Tv/08SJnyDiYwIGH0sfxbXiUH LP4J4Wl4msomQ4P8x86QsHa+RfIkkx8OWEv71S9u0a15ntvoTsUlgoOaU1UOH9vofU76kqaH8v6S gQ5C7qWh4F2bi/skVH5V9li1NRhZ0CjQrbBK/b1UL5x3och4lstTnnhpMfDa6vedHrZyNn4q91z9 eN+NuUk7s0CEPk0VA0oqERcEPCy6nhSoq1BRvjflwQmL9mg4ztdJ8o8bzLQRelangDYhvXbyIYV6 o5ENTJSS130LxNYCViAc3SKWDsJQ95I7UcmdzJsVDnXEunp20ASkwr+e0NUMcvniYV1xOpWbvXDn UvOt6SzrQXoSWyUKTuXAKIZ4NHe4DDW34GKbjAB94zlotihD8wFCyI0tMuq2lELqft4jtlLct85h LBmJlvPvxlrTVvh7J62p4TY520jC+V8aP18YBUlWqBQecpiYmsWZRU1Sajx5/zQk07zTNO0X0FMA Tg2XA5XZw9MB5yiemnKyNMTUtrtX5f/3Y/UZoTfM/ruz0yDrX9q5UQFv5tW/v8LDcJtTgUTbaXJD Y90zwKPmeEfXytVjupO1YHw3EfPw3FjxOnDM3GwqA+X11VHn1FJUSLUmIwqcFabRm0/ECId26olD ggJwchF8w2bsHmDr439UcO3Kol4qEyR1q//VlJ8FNS5ILfI0pCB/6Tenz2RzokTOCp8eyjGXkcbx oDz5HGC9nP5oyNn9xVZWzg1FnTF5xuiKvKF9g0OUcCwFrvm6aD6oJWtzcDW/Oj3zG3ZCyVDYyG1O HafM0wTAv/wiBcZIj0N5vdUjRuifObt8aB2zWnZdPCGF9Pgi10ZUcCuj37MQJVl2HjagtLO0z/tB F/R4wz9VH5ybqvTV4GpZFwx+3FcvkgdCw2Hph7IghYuNa8uXK6TJTxT1W0H4nhoQQadi6tb9TSHz 8zgbACsl2YUI8Z1eoQdtdFFkeAz4mjnC3GHKAJ+PFUoikt1geohneE7L5PSG1AV7xSAXTkCDeco8 BdNtDEfj/jO/ohsgPdkaH1eR05sOoTw8YpOkIO6356yLhlR71wczPmzP7WKT+e2vLmSCOejTkAp+ 9xKeJexDxombOYOFRYCZCbyes1jt3poDw7WLoUZFi3BmaOcM1FChqvWFpqF0Y3/lbQ515HuK9SpJ zPr/QIuznoa5ND9yTB7ed8flxRcMmOCt6AiCwseu5/yBE0vz2BtIb5PeRT35yoMSgIVpT767P2Yi R10UrlB7Zivxb7eRlaiS3CWzBDMoDyTicnbPW49hIzRNRKFy31X6K5vF/pBZB7Ba8ARQGJ1kU5wL whKvppKrh2XGnLKRVYCjpNlSUOBkCtRUWFSBvrurT2ewNQERFFLnGnRAruKL2TukE2fvKeiAoAA2 Tv6F8d424L4RWtvqp6wfyKCMuW9uCMo7i32rDwEHWr2E/DdcHH6jmVDPzfHX3J/5VXQnwi+ch88k 6afW/rTVtLZPjS+R10IIkWXie30+lT7JWq7jJrd+UKPhedFeOjXItsgTbwzvSRduTR19QOCEpHDW CSeuTfvrb1gyliaDrRVtOOIyHbGp3riZtMhQ55re1pYAVF4YB7qn/jea/DHo/3b+drUPm8azvBvt MlWI0fFy3ZMWvgt6qZpnVygEAOrfTttMSvJl1XzhwJlRFTLBXdDaLYHA5lFDWH+ciFW5ygQIGMCe E8doyuK29IrC7w8fRDDkLUDn2pB9eTHEl8Bn2tEuB9I+f94u4x6gBrIEr9RqQ/lCk4G/9aUSJWiq w8HH3Mgp+CeREhzZlAvcBA04Q529n0s0/ub2IU1PckeQR+FWd5I9K5y6y4bcgt2j0d3PxmujBDo/ oppVUytWIb+yE3IUtMoBnJjaZ6oRKtDnrR0VIiq1xmHBk9qxx1XFAuiM9J3aD9KBn5vPuzvXrlmA DAyD8DQuALnAM7ewa9UzaVE9HEJDlgdec85fRK/sBG3L4BLl/7og1EHTzO/OeXrizSShCy2eT4cy p9N8vSu8Fs7YXRuD/pxXLbFMzV0EHMZmF8XgD3T/v6S5XzAIjCl4YBfPdaqx/vXSHiVw7pOUrKj1 p0vXwasM5aY67R+q2NEYUNclrNGdlvAlEJxdw7RlgJv7+yYLDQKsq+Yv5+B+vg+Mnzh115mtSNQz JwwADUqOgkPf7jrKWteO8R+bqgjWTlI/59aSw/MHUHLbdlsox9tazU3n3XUXibhmfZBpi+DrRNuv XnPKvmGk5pW+h3vqtwKKTYrRQxEA66Jjvl0kCZTKjP5vHHTmLDwc6tf8E3rdw7bMJNEjK1gU+DfI dR8MEd1La8d9cpVgGkUuKky5gBosCRbMDyFFXCD3ik4FS+OCJh28hd/GEWAv3KeWGbyJWrTjrkTy eeACluXE8TPhk1G+oCTpNZQn7dYmLzAhjJEv6yYhc9RHOObW858FPxe5jGhCLAbQ/VCGqnlQZ7BW fDDDIR0cYjJBTTOH7tscQSx/JsBoE1WA9oDBz1zWfBkYnWCoU+WinJZhHBVpL8f+Gok66n3eWYF7 Mg+EDwBdJhs+GcYvd+IOg8Jo+dvbP7e7jGwf2mPWz/3rs6tVwnezAuHI9UdclK7jX/vfWiyf1bvW 4CAqGVbyCXmVWlTZ4khNNkz70hI8ykVQUOtawKEnjHybS2eLLdb5+raCqdFWALX5NMlVxWqoYUBk NLgkG0IkX171zBH6F9exKQAtrIPt/ptIlm5hxPow66IY6OvFptZznx9k3EUvvyZaxNR8OJGDckhA W0KzGhZSNdl7U229E0+odjIplPa65E1EtucSmK0PegosXAk7aLWcUdcFvllbxj0vDgg8sxDJDLE7 y5xI99Q4sK0jr1JOr+JPa6MHYe1OaNb7qtxhfG1fjNnGqUyr2elFyQ+tyEhH7HGwtBlEvFxWSb0d WEONy16cocezAYkoR3s3zNFMrNopfj7mLzhuRJ6sQwAG883X5KqOLKuTe/4c0YV66eh/8WkZblIm SJoALp1ibIcgyUCrdI+A7LA996WRkDzKHLZUc9R22AGH5Ltdof/lYzTlNOpVhc1d8D3oVXJBIJkY qM/ubIkgMg1E1HuLvajF2kSDicPl9ZX3xiSdWUahn0X3ROuUgEanNQw031Lh/NepcWpZrMR2fKgm HnNd8FtxqiWBRIkXiVi3t2K9V+F4/+hTGVKcNxrwQUBRqJz+rr94opqgR3aTALGrg1B0r/kvwbcX 2+qAXQwMM+i8884SIJMc5mfk/35T/VSWFeXCnRw6vKcuJlIQ48n4z7a3WT1syBMusWZoqE+nfFQx hGF7+/oVO/HgUqt3gALnN9rrR2YukNwwz0LaSfRnFq569sXMnBeLPcjEbQCT+rKX+N2Ugl9Ie4Yq NjUoNjSs9fI5j3j8deYcQ2ueRCG+61lwDHPQ8l5r0Cqbjl7mTOGGZDVMb/leBXWb7wlYIyyXLtdc xCpi9Y/EoQqVqTdFF3ClkxUeQpXSHe3Vavve5SCq9bcNqbRX7T7h/wvaCrcjOWQmX2olcF28mDHF ufINJnOqW8+KeaXQjIqI9AZuXJKTs1FkLVXr3fA2uuxeXJ824WFgwqAs+FbBcqFHFA4r9+LkIpou XeLvZSUGo8zMP81F9q/02CSZ+1XK2Ipjn7+OxzFcgtApvwVm6AoN8x5pGY+o4z1XIA3EWSAphHl4 L+u5qkfQLh/nutupr/LSyPEyVB+tTPGm0FLxN2iCkv7DHYbbik5/ccrHEdlXAZIKCp9mXE7t6JT/ UECpkxPUd+Srf264XOpYiAsUTxHr0kflQAxpmuZ7EeVLGjwc4NQmbSKvDH+toVgKZnf6pikABHC5 B+lKT70Q8fh6pSWFdXGr5C8YHLNG5wCMny59UOqB3Vvl1m22HWIvcYH4LJIy7gwZZGzJWf6KFe0L POKEQ6WrxRvewzDgwPRJAQ7y9l6+rBWOaoPwNArVn3ZsybRvG2xxq2rj51VRJCRdFBmQZqXeK3Ug LrxwXh9NA85IX9avsTxr+ZrThb6SmWEydfSq5hlPwBephMK9WWSRU6Xb8pRCLyY0laEkYmC6A5KJ VDNb4Xp+Z/Ef01Vk4PDBthwdWBjkKMX2kZfwtR3Pcaw7v8d8x13eGcW+cnfscyd16UmpXA5cjHwu 63q1AYKXSaTidV2UFDfO1Rnhaq3roz++APzKhcWp0PDkh8RLx4yQ9SWbHJjXJGNNECSvL61OPV03 vPkTVgGzJcCNb+1Xczd9VIgTY2Fs9TqAtEHn+TSJut/Kqq3V+kNX+a/OItrqLzdvqjJmc0II+7DL ATnSmeiNNQAB35odHtF6uwNwedc/UbeX5YBXxCL2E/Su0GHweOZmm+PDomcFOHAkGQ3QWGOgGRTg XTeB+UH5xmYYc17H3clXbCtTZ2WUocFt7iyAuxEbaMepXnGiv9SVmt3CB44DzS8pP34X3tpoglfG lRFY0Q+ddEncNcjfDFguilKYMb26R/rReC74nCIQ0Ky2ycvQFCFAJvI3n5ofGatdeXCrUQ9P1j4+ 87V9HpwnuQcLFyrQ3FTS9HBMLAuF5lfT5mmPJLuN3vsnngnIRsem0c5SEIuKPlX8dk/y+F6sFppo tT1/BU7XFOyvewtzil4pyzyiKnlviwiSPVgJ9qPNXGrUeG+EcB0QiXVUavRHd6eSKdD2deBYkCYW k9lq9rgwXe0PSRK54ATqh055iFV3JrlS+106FdlxKWTRQFcXNCBVZzfYmexU86+7o4eGZGY868AF sQ9F8w61nTKvkCJjLDECNi1Zre9kYk7ygoH1+YR+RrWFTE/rnWYDnO90pADDCVglSJYyx8BP+asV leBgo/HwYHLg3+dapEYEOxfQHFdezrfPc2D+xh/DIV+jTpVyCbU7dv1BL+FfpBlv1GRYisCUhch5 bHlFnaYST9NcEoyDU8gbbRAYMTSZ7HNaDfSmYfuyW3Q+eEBgxW0hOxnOGy2KSNgajjsx9egfiWcD LkaLw3fgJB+QDT4ujXHJhmkUQAQrgmudk+DSMOH+Es/pABsIrH3ZkKcWt2+PDFu7sFClPKR0QvOE fDDOmlUfN801r6yG3KMIL7ywesVGtzAm/R/vfIrXmeWsZjKDxSfYaKvrZCUpUwWgqrU1XQkIk6Wa rtOuH/0JaBT/jK2MxCZRHjwK+kBH6piF0N5ssyeWQ59wDGe0QAe0yPVlOjxO3+BnyHjifsL2BWRA MGE6vqXIil8qfRQzGgByfBbdhpJJU11Any6NFeQNCTGKICfkk2In3xvMUjUNHGkkyGk2FsQdfLk6 pdX4DSAvDCsD/7P3oa+GU2C7zKVojK3rEGeSyq8FtkBDiocvD8fA9/UH2TdTF79HHpKS2I48wB5j 7qxHdevvSTOVIBi/k0GpOSwx3FR0iGf1JK8lS1dS3SGALOp6C/1i1S0HZnJPceuSKU9KeSt/8NsM yREOc1PGFEbudNoAReOXr0h9rMyXvA32fsomu++j+VXD6rJu0AimiaH8eBqOvskBekthZLck1jUp wKnnziWR2n1l+9AUkr5zc68KrKdq4u4kGoHkSrSnqo7jySL++yDrOrskw1OCDF4UmHXW433XKR3X OU9ES8c/751oxH4Zo6Whs6zhOHBFaPH4KIR+QnlSs3Hvu/JIgwdftLm/5vdzdb/k3qApfMUXjRwN RMNGvd233Gv1TOxXGKcQBojHtuZWutSgqNgjY/NfHARc8qA2Mf2VhH3M/0WK1qcknjNuxZQxLLxO +tyksItRCmNwYHzURP6xyjv+gYfqlyIWBUPwPkKysGlg6tIQ3VIWh+OflZNpLwGsOHUsvD9vFVnL g4tSyJxGljULWLSXa//UPosKPN8uLLmfi96ml+XvetQi+8OhXNjJp6Drv2cL0dSNhQliOJiV7h+F 1mmmmBjH8deszyJZZ94pQ/qoasBKsYAe5l+GCt6h7+VtW0HsQsmcygjPfeUZFn+wKLlXpVFx+/Zf ACi50Cx+5DmU7gqvSmiwPtCZI2xeW0/DI3v3NRSuD/aeHiKWkeTRPKiR7rxc/ZA1GVZCO0y6uTgV kARzDAJ5shMpVsSFMeSB+/CqkYq7siYIVxpWAbWpFknyjgoSsAJycxGh8P4k+6g2gBij5PAeseBx WUb6sLixTjJuhw18gQb+Gl8zLJXjxTxElt6s8kSFWxwjoHgBMCfpsxV2AHRR9sFMGwf67aj7zjgX Rrjimbe6fmYpKusqE9rpkHP5i5md9M9kt8Ekbg451NBQEFz6niaxplgWKfTdOvHTeEyIgPW88oqJ VgHMMqk4ryH4aPtupFrdTUjbrtEfbT1qexDwWzj6j2JUacXE3+DHNNoBuL+yhHUHybvMUKOqcWNk /jxUEVw7iPRadj1fOyWfHxLc45bKZ/zfKwjbM2mv9OaF8EPH8RRCLAsJ9vaS4cVncvpjq6Jzuhwe ejU53iGZOSYCp+xBu4uXYfDUctwV7SZ2c8kwCMsakTPx+4lhZwQJHRt8y6jChKcRLQ5byBbdZ9Jr 78/MDWq7rRBILaKHBaCo/eF6hqLDDDv9jYPMavym/I08S5sxL4C0ddJt6lbS4UuOBYg7dfjWQ+p7 G1cXTD2wSWduod7sExRpQNva1fRFpHMK9Dl+oiNF53Rq5CSDmO1aL26vON/LmrkIgsNt7jgNe/Zk 29+yBeqeSXK4k5sH+NAbjHa75JkHVb6+Ui9ahjrptnGExccJGfvdDCdQy024vr5rbxkMu68PmFju 0MB6bWy/JSGnyk20DxhclkudaO5hcRh50w6rR/0FAbwRHdHZ5eguyTcptv5mM3Doe4TohXuV7pXD KJtULB6g0ZmM94e6Tv9oAybqTCgUL5LLQrJCzLEYWxLZ67eBBLdNbF6aRk5BruwEuws9wdelvhpw azLgFmv6U/fw2EN3Pv4qYUYrEC/sXDor9bLyqB+ajuWfr/e6v18gYMAU0h+ez7yC3Z/XNe5ECCks FTiROM7AW8l1K0ZK6xLfuYcjRWsNrTCzmpanKl3jPy1/can5mqLEyowhA2BnyeKIcwlRcoHjw1EK P4MoCSZe8IIH4kJAstaRR21jQlpkMpBg2qa+2brpUUKapGk+rkCfw0WThgbymNiMqqmSWBSxj2cv o9iUE327CGubhk2cgvydQbXDX/D3FIOAWRmpwJf112tNmliaK8RRpFpzQXDib5Ig6FnNNwutkDcd aTcgdTuB0l0vbLUN+J4XpXlgk7qlPpnZviOIQE8VExc5+qZb/6kdig2dLkdDYc4sjB0Hz8kFS5kA GO7N5jNFTFrFrt9ywXVr3zCb+bQaT1gm22BCpf4C7kLigvFWcJs5JiiS5zHVP1LHXzO7TFUEoWIM +MX+yKhvBPKkHryINuNiNXo10tnuupokLg0yhZZgNH3+YGY//E5daXevow3YBFFTHybQ4cYh7yc4 oMRiuysCtJ20uI0peBlBkZxKStrgCNQTOGZHMeGg6i4eui7jywCN0zhOcR54w/xHXhSgscJleQzb 7jb7HyLjFzSdR8H5Byap555K2XpMqeyhjIPSFwoo4sBxT++oJnzHWDJ2ZIR30p2JPb9OdtnFKvdT IBlEYYbDyS3lA1FqRgZ87mHxdjUCtJcRvLti+HstHDEOfGSWv8ZTD3e3qstU26TYJnNMhIpJjZKF lnNngVnryTDJZWGfJUYQxMXH5vI1LPWlZwtdNzz3KYRH/9w0mzihqLCaVTwTKmOPp1CY1RXB0EZc NIb6xXiM8eAV9GdXT7vcOLHKKdPI6g5CPlKQq50R3NWJodY6gD2EWMME4ORK04CfOcus+cVqpiV9 FrgwR5UP+QK3GvPK6g/t7VIeeFK56IYj5PUKpNMSeVXe5os5Rs7KS/hlMDtQKV9H4+3orK5tUzzv 1NnQt3bxPXanGKAgiauNydGIuD04r5U2oVks6b/AbNVmqP3neHg2WG0tFa5tgyZt3rtVwmf0YgSX 39SesxiWBDykcDsTH2583UiUi1WmoCDqbvFGLKLDxx5dsTACVNzDTToNm2DjM1NMQgDRiHEbnWHH to8jIWT2e2tyGqbpsuhKvi2SQ9l/dLGzyDvUc14NwaGLvmzEBpMwbtwR6JGRNilk/DOQkMB1rdQj waPeIxXw3BomlisVhETyy1TwebkAp/1uJqKLsFT/c/etcj11pMcuFIiGk9/KugMBQVZSi3WjYgK5 nhSiuZr3s1vUoOsxtsLhzhFSwVPPIaJJnJlHBx/P7DWRwNDSmA7vOK1tDbZnnj7I32Yo+cu/MCf5 gFN9vWAY6q8TgmpBj5HjIxyyMw45OqrmESjswUrgMV/d8acR/7tRf/njnknUItFl5QmFgbtTj8OC fkJuBq5jTIsB8Y6vbEmmd6ayXZ2KDYukKChMMDfYhFXrcmJWVElnmwT9/MiIocm+393iYhE5lxAi wPfEBip+oOcSyW1NfSve+HqY52G0pj5smFCGN2PoTeVs80xemlIFwpzUDcO4vybdlh6DHEN96ZAi HCJORGtERm9W+cnONu0DBxYpC9gT4YD6YScR5D1vWAkPMX7ipH/WlUylr8fFnH8qMcneAPD1/ya4 wNuqI8hkZc8XC0XgvCPkTdQQ9fe83PEWo+wAEtJS7S403RbN8MIhsxdIwZZ6MsuMRbjeLncKxND9 xqXRKevM6lCBx5YSmO3vT6rDycAyK49DUaE7BYE7YoVp0ZDp3lz2JrhTbbxGTOjAIOEiiAD8qkcS +8EWun4gdW8BZgDP0s9LDyfegkoDPbaYeLdggncaD0BNQwvoKflUvIKJHr5XuwldT7HiUoLfmm7I eRwcA6J5r34QccwXMra6wTmrDWig0cBoKsdFytMMBMaUziJiFOsFBjeSsmLNBmk96QvXtCfANg7F 3RJZnwumWkigg+X481M2bH4kMDbhiTgxaQXWj2egNwxv3mqwGrf5NMs/gvlfSN/saRtWR+Llj+vi Ib83f2NWmppy4ThzgkLHBk3rcIhZf9FvCnZgFw+gPTObsk45KgXKveS6rSPSh14D8fybiQThfhS2 3Z7l+K+PZTqj/0OHwTvJhVV6lYAUdQFO8AhO24g5D3KFMXn4nlryTK2ViPUbQAjRDdlks9s9otUe NVmynAzi6oRmc+PGx97sOny2XqU1C51BAoXmhQQ8ezfwHzn16fQEcTFckjfdJBSqaHMvoLVjm7qR lj+Gi82H3RDJ4bqPnmuHIzTIkPFo0pSYLvD8mX0jPTnB2iG3YENwcQSFHm1jSNNNuEX+K0RehibP k7DZRhhyRaHmDPpS6hsI3KewqAOHQyojx9OnbJuNtV7mhg6ukSihzcknk24QjH7ByNbejOoS+O3z FKBbC5LO2krBxWcdNloqYxgbBre7L3hDU6uxTKhkfsZMwWEKr5JlhKOT3acUe9iRXESEDpEkKICH dufB7sdIyU63aj0VqfAUEQClH0sbBvLG7M1fnorITp2EEtu0vjCiALa23HFJ9sQiffKFuHItJfNW IJZNgfPdngBkQiwwS7FMd+kpTgF6C+eWQXqZYdafGA1Sl78TxdmFMfF2TDA4R2EpcTmCcYixb2d9 RYF+m9sm5lylkud0+mrIOGUM2pBFYJubXjCEmBJ/1dvpIiCqtHo01dTEsIlxwxeGrgFJgxRAVW2B UhFXbRO4igLCPsJv+pNt9xkrIxvbZ6pJSUs3CIzEl3aNl3fRJcjl0iQ9tWZdHU7fMtpJXmGmrw/S foGIxUtLgmHnQbNOw+EwP7J1kPRBF3yJq2AwVYaHjTHFHYgGLeQLGw4UPIz4ze/9HX2rJ71cLwAW zIRx+n2TtBWpvuyuo55tdOtQrHK0+nf9vzEM8CMHRpeua4vjPLtOTTytE7IRg0MxiZ7xZXXoDho4 6JzjQAbJNJNNWLPzVjnT3xWhGmnW776ax0vndiDH/46FfpsaLWm54wwC7ufUWN8O3PJYmRJfysnQ sqmtZMdvhn0Pek+CFYl14Sn5nsd6rdz7KvmfPcGTKGTOH413SHInnEud1Z97HXVRWC7EYoMzj8zf bg7u1lDwwhzg4b8UbAv0heyJUSwyJWoez3JGmfTnb8BASw2y5Ml5BYBccCBkDKAHNbeOg4DpGpir atn/x+FZV5vu4UDDqqoIu74YfDQ4gRhrWYgyku1sjJedsNsntEcwfNP3htnJrS2sLSQiI4VxbXLP FL2dKQC0e3WsI+Gu0lG+Tx3HpVu0SA0226iam3KT+9rtE1+Hc2mUmBEA6uqN+IjIn3w3ccl7VeGH CXw8oUzyoIbuTwdSsxsI70ojbTvwlswfAjuoALIYv6Fg9HjBeAhQVFfUmKmq28ib38pDHiM6TWeK EO/NJCBifnvOXap0Jl6U18Inqg8H4rb4GqxJkmsxGrl9pR/C/0DwpbejvHgnpaOl8MzL72FeNTXm mkejarvmJoOVpXiUsfRUf8AMSYK2fdy4+X+iP5nHlnur4ELbogc8tr0i6kOhNYfCzrQnqacBwG/A 9PYGbECDQlNlV11G/dHahsj8D3OFDm9m7MoMnYbi0rL3Rq2jyVkqqtq5fLwh4h/9a50cwUVMfEEj cSktaQMA7ZThiItYau1f30NxSk4XsbUfSfvyyxxMHaAwD+gBIXDXIt/BxhyTRF0RGPxTMdwDFgsv 4VIXb4iRe7ax+hgbL7G5HyQWg6EkEBP9D9pnWSbrfwj4FROqwO8F9VDfI5ol48jHRXmKW5m95Txj FdRoWtgbmpQBxUBI2WExE0Gwq+dpyCMUvuinuG2RREJvlksdE2A6DtuSsKN1+uzEhANyEMwPQZkJ wNHJwqZT9mHddi6OjXKS9fs5KNr6NHOW5JG1h+vD+tefAoECMPAIOOEchyxIl+0XGPYuVWREEl/L VzGJurGrsKE6t97e7UzOgrMF8xLpTjfB7INOjCe8FUQKPfStBADaIhNy5Pdq7ekRtWIefLV/Gjs6 ZBKERVT2HXJ7hecZfTaeqTZP0IhEKbM0WwSOjLpX/+qbbFEPieKRKwWUCPUzZf5RXB1OK72qaU8l 0IGmSFiDvpTYiG3d4W8ta2fEFENlfOhdCRtsU032/Q9O+GZXiiP3jHcE3PtR4pSZKZmu9HkKcz57 +Vdkt3fFRChXpA1E5JgRzLFRNMO5VKxEMJg2PxQiPzwArytcCQRJRH8HOP9LSFvkVSyWQYDFaxmN /9WLhfgQK7XNDikUHIbdKbPg7uIrVMjNulxADWl6jT/wtoKJ/T6iHJLGlA9VCyFaqmHf2/jp8+21 Po7rB9l0MczSnDZ6CHQ5Fjoa+Cp+b8RvQZXnlhtVYncZYTJg2EB20flCO2zdMexuwrz9leaaHi9b aR45z3Dit6wzC0zsnijVG1ZgsBNH6qyenl7LQswXohR9XzbJVFFHMNgpDCaIl5CAj1LvaFSIwsTD g7B0PoUsD270I2QiL7JOUA6MGeVCDBFZI/aXmJyyQucXaooKCkIsnottpOU06coOlqMMisiHSuWe w6LxkpR38Q1FWEcSIw9mOdA0tYSdIsOQLNm7uaKT9wltxhBVfvT+YNINL3IxrCvQGLmxDBvgjgvf IIIJEavo1rXcEbRz+grRJO7LP9GJNiFdFysY+MJa6/+gCa+/wHEKF+b2j8P8QXrE6UUQ6CzwcYDl nJL3kZomwS5ZPLQeyieJ+ZuNapLAcYXCghSpHcrwHchxcOWc5QOJ6t+4vk6KVcRHzS/JOqlAkqDq +xpFMG2E3UlvYOGwqgaHnynHzbggIw2x2tOEnjcCWRoJwih5QfCSOjEokXp+GcdVuvr+8n7aK+AA kMKR6TQPb9L8J/1XeqDwJi7UU5rWUtLvtQS/EzCUtFsnyF0pRQPf2PAnVg7QIYrXhCB670eR5IT2 VSrwynljl9VIzZudjmxudF0hE2ixKDCX6KLGq4KBOhi9hHUothTJSoP7nXqg3ToSxU0T58kj6Gm6 iilccihe2QZ7avxoqVUfDAC5Or6DUHJ9ZmGabPxhggQ8tbVOw2Of2jonA0ENFG6U/QeYZHz6ivMK WDzxt+krGiB9uR34qSOjJZt3IQTgyKGYw01XXVw+3mrFuVpIXZrmMAlDoLIBYx1SoOb5wT21fFvR 2b+6KY6ZC5p9lNxadz7T+BSvNjPFhG1yfgm4q8cCbWVZfVWwk2ea45tZxye8T2AO1dF81i0PU6Qv pLkrK8+ftFkpWBTV4v4SIz13i6PaQRMP1oHUSqGqmiypP+mS7WeBCiZlw3+i8qgtBf9T7gUNMZaB 64iB+prZlswNfPtPcMvnDkoFOrQX9WsyBdTzrtZ8/4x7U/IY1hh83avMwwDGBDBWVTaRBeTcBWtv KLroBF22238llp9Xe9N2n3c5g2sGQyirPZa3gk32FjSzaNi8xs8PnmY1l8q9USI2e8P4CY0v8SDG mg8WqWUSWyPmFWL2CeU5QEqRp0HsAysQ584HzIPWOCkSTKsdQx+3x768qw36CJfg7cjFXeoQNf16 xPnLFK+bixNxqzgKkES6m+zCozt93XM9e0Qw8IV7oPcEWtDse76PIBLYg0DIuVWAe6w6KqSERfY2 RSShVkmzPZ2ZseHJd65JHLmjmARWSeFD54s/P97R9/CERIXggIP/VNGyZfZpOf2FGY+tJ2Pv9ORB tlit4mDl+7f/oIS5jsNTK08FikGz6/L3m4j+1kmV5jjz37MWuQuyHtnW0cJAt+BzH839Zfay8jdI mIsv2m3U0fwRG6Y1NoBVMYa7qfmOwd3YaifOvy7//JRnQEOt6ZABmUBJzFJMAGI0PYaWLKazcS4f R115mC/truRdp0NfyB0Cj0/9Kynr4t/WAmDY2ptN3yZZFO1bigLelirU+bou2o3C94Wr6NDrZ+AF qCbLIxYWscnNHyRr7XVj1+1ZqXS5GvEZcK3YBKLgUMFioamhm2QVAN37G++qCX7Ck8OnMliX2bN/ 36xASqpFu+xVKzMP4Y9ax67oAyl3HUkKFnHqHPC61Wjmmr9a61DLdLF7vmPtbc/oOvrIx9ALxFGs HHlCRAI6lTU9+P9x0ErHKqkat0bIVyJxmxVSDo1VSpHr6X2rYZk5yJ6BgVZdE3K8oLnfdJCkS6Oj y17G5hlKn89Hd9NliOMJc6YuyZ0fWDBXiBs0oGiUInZUQ9fJKqm979CL3arRdhZ58d7xvIyVPMgh 5CJoA/ELqSF8IkVlm86xUUJHtopTsXZQ/nd4YNyO/ikoIhHvDeSACuNB0B3yPOLJ6ED2Oe5D17vv y7l45CZIYAjCyI+5ntOMOIYT3ckF6F9lUvXnumWstNz5yDiNvdYJEfjLB10CWZIOK2YJf4j1aty9 dLt92Gkn8SSPG3mJmCIYpjlTJDUl5qJlISXWYzFqM4LOzXPkfmIpQ+bLRVeTshma+q13n94Sctf8 3oX5oGrxM01DXLSNCHmZ1XKqbS6VjCt1X/wRW029QO2hjmqVAfYME/WxyFGnC1CRyhTCV6ze7DmC f0XSia2JZCS/voZoSqJNpu+y+K6w861HI9PLL6Yhsj4UDV5DCu+Eoot7vnXMO+in/h56VU8fi2+r voLe8OK2tudGPLfxswA4soinkFLrfzDwmtH5XzwcJLouwUel8WVbH0dYFp26ukTduV4Pyu527g44 JDJqTR1gkTb3xZrD5GnqtbOkPLbGaLQa7YPXZUDLatIfa/Ji23fnHhS/Vll8d3WJPGOufQt5OCTf EewoVu+eQbL7J1Wbej7qi20M4kQ6+p+ykn+EOHzaEgt+moIAV0IwtA4XUjG384eyA1WYqGugG76L we4LxcGdtygZ2sI1JLtrQaHNVA8x4ReLw5OKC1Et1B/DzdGJkgm9jXWYuI/wr9rr8Qi0H96Mj2k4 MGOdPhos2Bx0omUUbyzGsW6O8yV12j+MQWLdzs1ZRJLmfbTKXhaAtPNnYeFG2AN1OD84aH0BtkP8 fDDnyKlU5Tqm8ax37yD6IyS/2tAusE2VNREhRZUpovxkzPOvJS/K2U9+Y9aU8rHQInS8CaOBEjNF cZCag9jMEI5YYhY1h9oAxJ/rZMmOelTe0BW+EYJecaEJngeAI7qG8QFTB9DCFn3AtQp101gfTuMD sfItgpUWtDOiyIvf0s4lrVmQRuxm3jzGmbyXHR/SgeOiSHY77K1c/SGTp4hTl9NFuFAuQ0iVUY0n PXlYyOp/uI62lVpS5+qcCnPHvNPvjal9OVkKiY08gDpr1bgQQ9XwSsvLhjVTtBzVn3KJEf5t4PvN FteOKUB/xdGFcMbqSj00ifwpjEm07NRONtMtQu4Ufn+8J/Bwg4NITWiUdYVdcpX42vEHWTP4FfTb 0dGXStLgUWrrxjrM32vRDInvc0HJTWmjZvhLUUQ/fFrtCD8ckfCP0e4ZZOoC3J67C0ouw9P+MX9B lAsBTOmn3pIa8Ks2momvJHJlv+RRzCKhShZYBIokfyfE+OWYqyll7Gqk7hcFdACWnMHRzZr7q+Mg sGMB1c+Ctl4gdeV48h1mBt0VPJNTUBgjPbB0La9XcJlw9bbWqtIJ2AoE2s4Bw1lF17UkqMPsDXd/ uXz4w+UyWB/COEkhzXtfErQczL5TYd1tQd8MAThW3D/jv0RaluGcvZLiu4Hx34VWVH1oofDoiMlE qDs0zh2h55otvy3gd8xMiWQnbCFWFy4EOs7KEMF8lUf0HAXSRXOL5dWXoXSa4PfcWU1WJwpwxEKf N0/Gz9zclydAUfb4J/X6M/THauE1vovE2733T6C7csjkvdbVWeohrwMAKUw3r/FHySoPsChjmohT puc3BilqiFWJuPwKPZMAR/SZAAoK2lIdWfrOLcgfNrB6mUuCyUa6XWx7mJ/JImwo14tbzeTOa+yk W4zzujFky4N88l+KALpJsZyogwXkL7nByhOoM6+HGRn9wIP0T3GLrP6EskXpeIr/9Ro4w3PGP6LG XpMCNaX2c7EPF2fPzolPqnxGAI7jfhR86aaxuZoxA0knUpo13Q/46+HYKRP8H6ZLFRhHtLwj3rMU k6MzZkne4Zp7Q6ARHpCkyYPJNZDmBDwR/5rTr+kVnP9MbRKrq8iTRkoTP8YQLANmjTR7Mf7YsrIe TOwhuHRuiRkZ2jt0P9tsJFet0tglZguidfiezAcH/B2BwfiAJIUHJfPOXT27+9XZacbWalT3VADW t0TOzLOUBEYXO5AmOwyXvhJBkFIS7yic+Dt87JHuSEpjDTHQNBMhWWLV3KznBF/DO2uLQiVGG/bp 7h6TJ50M2n1fKedhTdiZatgfC1cW13vi2N3tfyZcs18PnjG14xdlc2jPKy8LMPTbp+HPPKL3irY8 hLwSw8Kpi9n46XvWk2eAXxeoZvnIU/eL8STTIb3UFJJDfA0EwwLSHpDgCihghWHpxOTqFaV3XX+8 4KGQEaYmJJT3yOPAEAvut1fuVeKMJOMGwhe8c0zXs3AnrFTs4iYwYYQd016BZh28XogJocs/UeMV ffLedzlOmacN9XLWfxpiMwfLtIgAOR+o/3BKHaMWHuXKT/Phztyb/wwF3Nsk7oKlIQ9QJLpWXRyR WIAbQyEpeDej7AgWX/RX23S88E3bBg5JSIqu566VU6tcE8QU7JcEt5P21hK5TGJgJ8627S6jufSW AFw1JzWCpU7trd1p3OCV/R5iW5q22jnOSSK1wGBNMix+AsQfk8FA5KAukYMP50byrm0FbX+EdpKB +/A4CuiouYytFx+04idnUxmWeSv6ALHy2jStgZnNIBxhLtVFQ0iWIL5XWJqesFLZIT6EuRDyCNbC tRgFoU2G7vmul3esP6zVokfyhWwg64fjGtRlJcb6RheU1x/u5XdMMhKh8sG0lciXoCWDZ3gX9Yfy FrTAscUEFnwkMJ89pFtHQZ7DXyUqJfMhqGpA9GmxnlFqO63wNqxpMxjTg0gMf4QvUA+6Xd/+wtLN WoDURrqd+IauMpBLqbPJzS6bTdjWgrDlcCdqVHmhBP7LQoKbTM4+A5GtIeHi0b061pmBqIGM0yqm riOq7Ag8eOj4W6QGRcPrrxgp5kcp2EnOCqXPe1kR5wWxURbsAQIv7Db9EA5GO71oqcOkZOy09Gjg gaMyBmoKqGRMwd/+NqETHrff75rtUGSZejH/eXkJAbcMTE7Xso0tUC3dbsrHNcLL/kNBc2b6ydFO ulJHoDAszCkBikdU1kgmGtb2PuVxYULD2+nEwJ4B0efbNAbG17NXHNcyV9uddR+Lw6ai1PVi4RZ0 XPf+IYmyRDs93tTwZWxGjt/eeJP09u5TEDpZDP4ZpiITGQV5bFBKxGokwWg+68Jz7mL1vj4aXn6h bkgnWVmwnnb0Z+jiMFwOB9dqXP/BWT2ePlg90EbmzuishDrXrzjXuAy1yJ193ea+gbhvbPYVZ/nP Ez/jgNryQEbAsPVRD4PQmUfZ5rCcSvK0yM9QMM0N78NsChvYCJmhvDNqdWLfvnvl89Txo2DvrVjF ck8OHPioxvf33BtiQHbC4JKDCEBpCDZXfv9uwLNowTghAl1p6Jm6M1PitWvm4VXPx3JttuYGkpJF +XV8LJnzBQaHu1Hgtm+c7M39Qf6k4zbXsdsCwmVBMfYHd/4+V1KF+THkiDD69By3rHpLKtE2DfJI mMzxLsh1kieUo2mk12ntT/fgmMxuiqyKUR2WJ/vl6Qr55s9yiU22kjPJTDUBdLG5CxrCOqlzj+BP PKxhvdjsNHz17h3mKKbMlG4IIaHwn+gfy45MdHMPpB85Qh4NUMD/DOle9CHT3OsCIPy/nHOE3/fx fpcqkfA1otPNKRVem8WFZibOR4ItkmwbyDcCS9EmHV+cqDWFPtG48mGVEimpcP79ZUxNYGKL0Ktt nDQZBYruhHiiy+dTfxd/ZMVUEaOJ1FfJaGB7Q4c27B1tptxFkxOeMpcHFSKuyGy6fiG40EPgxiMR EXC7m0zGG6vMUbkx0pW8gwQnskoztXz5zgPi5qfcGq2zcxQyfRfCxGXYl6eem3Z+6Eam3HZkoDd0 QlcBOZmRK2O47Ft1ByAfBw46anffrS9rMpkcp9RZuVzeYdMSTAxL2LFjuWJhX4nlEDJHstLmcej+ Z4KWdLuVZq5EG8u/4z/KHa0XnQNR5iEIF51+Qeu6puBey5PW9pyEvgEtORGbLHfa1kXW4rWW375C SOPMi+WkBuQIlN0z4SPGIo/1+Rt8h98/kc61e4naHgPMaEI1jX2Iah7u1TOP9OVaOygbyz+ZdofJ aCy9Qyt2aSJBrgWFYdwythuf1PEVXkhljo1tPzb3wObFGW8iUU0ogrI/j9jOtvrmkSQHMrerYJlf mKaiQ6bTOIdv+m7cmFkB9VrAMxzyxo44Y1X5AD5qNx7SShYHlHSxjHLAaT9TAt4lhPBAVo3Oap1k xFALtQFezBvPhedA2CpUlAZdKDI9RE2Ejr+DJOa+2tW9UuZGUvFWEu6SXgt6lCYe9AWzc55AoC8/ ezo3JShNfgKqU9oL0dXDbYBdjaclNP29oop+MPe3zbfVcqf4OHFEdvOk4cxsxcNvth7QLuiH22VT AEEDiQk+GWrVCkKLY/quOcn0QKOzwA4MLoPZoPuh01LWh4UIlgPJvBKZqnPbFIbW5VbwVsHlirBm jjaXgsDYEVcz1CyOftUsNxUUf4HZykYh4LHm8DuhMBL22E5G0FItDY5Fi3J659EJQLDRveHKOROH fwyzRVxfO1Y9+Yx//lQs9ICq8z0s1476KLfh4pDD6crEhZtkUni27KJHBREX3P4JUqeK113GRYq+ qDYFT9Kj6BAjUoSK8vHGTI69DIvXdB9ZBaKUTD2fK0THC7Bw/ld3d9xDL4g2u5WIXaHShaY0Lu0a cZjHZy+Pg/rzQVuX2rdcUGQX3mfzicve3bFDI3lBGWGYQpDGC/n9MgiYql1z0nvC0l0GR4igxYSV 7fOdyUvf7MCBb6l92IOjBPFtDlO6j2iFCr3HMaLNOsNTcSxLKeoJs0oiZ2cdfoiLpkM/2jQwL8MI xEkGFNPxkAdNUeSnucFuxlTGNDIaCFFtaIiunSuZZ0hLk0rs/zUDPIWodesYj2xnWbXF4zKDbvAC +J4IcY4tgPYfQYWgX3m+tVSGF0xqKOPAqnlX+kmHwHsa2Ey8OtrS8QMUwuvEAnUvc/V0fWo8gAAK RdAucgof0FpVJz/zC22uYFRBPewImR2ZdMqr1u6uSwCkHLN3DULeyrXtH8yfuimUfLg3Z4jXBsU+ OMcJlfIyIqxU5xAbPJCZ7R8BIRgw2wUhxxzHYahwaMIrUCeu9KpQCU1ydlNCNlirA9xtw5Wnj/Qi HZBp45I33if/hztLmIIfDd1vmuB1B+L2aDJhcRu+EGyQLPrkyvv7InoYjN3xZdVtQFhhQn8YtMdt tRZ4kqzxXd8YVQs6oY5rd+coQ7s+6ga2HatkCW1if7QSdD9QHtbA0WniYZPRLBDZIQxrWPJ0otKk csc2+yo2Fw1SzGvF7PLIiK1eebE9qFPIjLavpcgxI3rvQxv5DDhgwSwAi+fKA8Y7Ks5IiZCuxzak R7dpckdr9WjydmoTd4mVhtnWqFN4lyXumiSlQecSBcNalRTWtxi18zOJo4iHdDG1U69rjMreulNl 5tBl2Bv/Uk88xuArlMqtfFr00jf0xWq0mQD6BmrITOczu/ZmFHHg3Xcyp9MWUmBtFGTnLrpfMKBN 39fULdhEk34rflFzUMRtO+B5+TqbOxYIRrWDuKG39a8gRgw+PH3z7C/pBCeHjHyHmfhCfVrYmz/W b6graWcpbmNQsGc+87n3EDQxADb+CLlrNB2UY31yYJBVioiIGdyiwil8x/B7cbwU7bFEmlO8pJPt tvG/gW1XDGFIbIe3mpntrWrl2zrUwiirdV0BaVv1P22HGj2bW6mVwwkGJPk+SNMWWxjJTiODiAod ZTEtC2XZ7Li1ipYWA0FJlX3ODkMzNtuifYBE8jicS1RAR5nhaw3k3O0JT5nU05hFjvEIU954KkRt zBcQLE/lk7eWfZv7IUb/LyaFUY0hm8fhCdbyF6pU5QmQwsqXMYhFVeItevpuTm56dml8EIhGM1Q7 KoqrNhEMEl9xa80qiAOTilVU/1r6R+noSptvY3OhSoNdBBgkqA8NJnTBcdYup8VptiHQExmDUiVQ 4gNKZMJFmAlyqvykAKaX/qwop3wRednKTlQvHZARaUSrAefMn4825Cl+1/jD1dm+K37EURVgsuZf p/gIhubjllgb85tsobRjoS9zZzT2ANWQW6WcL0aDuESpCzR4yrSE7d8FWg/Rv5Eh0YrUY6OdEtZk VDi9j6X/pQek4Ghi5k7t2v7LRehuHFB5rTwKPviO06UfK+seSyxIZCW4j9PjByYdAmq7gIY4Cmkw MIBs1co8JcJG2VEcxPIvO5U56DGbOnFLp8Am7giyDnpLaAz+0IUqsULZjB6sUBu29Zjznc7w8em9 FK25WidPkr6BRoL6NXuyY/iK2UZNAEWx3cT7MDW1tikkX5suxYvmNaLcnJVk6+c9JRlqzdjMpgbd 9bQvCbmRoRQhSsyIFW2cDOTsxpaU46ljBtzNYtXzUpKXTS0CLuRDqgODK0rx0DUOqZokfDVqxvH2 IoJ995OZUVM59EtnBbevSsFcn1B0FfPkNzJLO2/gboFJsg//RWis1E6MNgWnAv/IGMVbQGrESY3N iL/xOEc8hiXyDolpeZMsbqgiJBTjZNcf/eRoIw8hJZZktoY0qbfuZlKWWwo5xBwkK594fnLNWbyM QHsiDZEUrs08kcMKu9jKMSLHBtyPRc801wpIPMwHN1rSgB8deDYXz+BxTYmK9UwCRFpqYyt0cgYx 49cO0MNesr8R5kYiaP09t192A/HVgU/24bmvROHu2wB6SAliOq8z6x+cqt+ZgG4f+KDDr2xkPquk ztCFJOA7TRwZtmaHUe/WQuS6LdeWvU+2OdcLph69NgoWCD+QFy73vziy7/BfJlh8n/ya85vHNYU1 k2JVl2/Gycb4ixA4vRmhugUFhbfunLkh7J5jVrspQzTVXzKOWAymWZHEzJrpoDyUJHtzo9IJGpKj KcEk2Ly1K0rtKdlznkWwXV+rFptV4O4zdqeCLnOZCQDym4RTDAgPY2n8j0oC50gTMYi4cTYYPcRe fVWbzWbJF+j0HaJ6zDUXkYuF5+25bOt0kAu6Hy749J/MKGPgoD1TVuyh8KM/ADJ/TpvqlJGcmYIe Sv3ji1Jwe+fmCnDKqexfq0gACUb4NFL0li/AJGNrVXATaNP8S+V9m9jJWB8/Psd3+7iF/X3eXJIn rD5y/fkQd2IGcjHfjvSDHBWfsC5zan2F/xvQHxGI71srbTv2vVNqcN1E7NRnoY8V79utZjRGA+L5 rNdoxf5f/E723PjgpmLd72Wke326MWaBput24NDIvj4g5pPo6xXURlsrJ4CWvyK49YGAclB8u/gM OkdWHgwlK6In/sLqAi1xnZ0+4Dw3F/s7du0S6oc6keNWIvHVQrFHOLvyTYfCEcFf+dPOHi3AfBg7 GnbPicX/7RqXqrzWFnos+a6SmYIcjWIA/shD6qQolx8JsIrmAOmguCOX7PnRFB5aLVVO1seopfG/ mMSjKTe9ZzxWmALkUpXaYBNenxPuDuFs5o/zGz9mqmypTdVNlFbnBU8eCl7PWjRLjSH8/JTHlZo4 swyXMN9aLywXcf73OHWQ/6LZcvi6ZS1HH9wCfg9GgPmg+DBwLJNu11+HK+ucimsszfdfD/11KNUF +mm5af/lRDDo7xPv+N1D4Lia8qOroh+YEMDz8/sSNai2cZ4p78EWZbTvnok6UPDMea1lCFjSrZWd ZTwglyZ+TjibelDPsxpzECffTMiBEaM5BLCXXSPuv1sfQvYlWK2vISGdOSmtwaUjw9wYYm9lTdK9 4BOOk3ZHc1OLU00wQCYbIwq9wfCUA364lQ03RFqfQdFLSZdttyJKaSlOCfPSuPejXyXrwA4jeGyI VjNfJyRXLvI/hh3kjxeXaNm8QuiMx8vRswVsrHjpt4ItxEMJmFsZyzz82+dJu1jmaCed9TjK3EPr 3ZZLMAQ0Wg3eMbrcTpLyNjimYU0HH64YVy5fXJgledDEovVabvQSOBnaLkP0+9KSFuLHL1cvTK4T YmgLGL2/TvU9VXwIAZ3TqwtNhe5XpGC8W1ev1wpOxxucGb0d7k9dyKgIMvSUIBQME4F7Lzg3zffX iMsvYwZli64UElQ3MkKOxMiucgWhrEihEgEfmQNPDgsdoCqI9wEgMQcY0McdTzQd6sf1khLSPfyg Ph6E/biRZgIOJiwaGTPi19LNWED+NUDB5qzfmGcY0PWM1RVYM9R3NxAsyI1MemdcvNGjeX7jOqRW X69Z3DTNaPpLJqIcNxIyAiJ/swWdlG7gbb0QAtqBMCHzF2qo5pnb+YW+Tq1I3HUVky7qLbhp1yU5 K9h4n3+WrOCrVCSaT552FTWicRquj5PeZyjnTH6k7nkATj0WYMr5PJm6Pw5RKID9IRcJ5X9gJ8yk BWJwmjSLG48dSNRWNNwTUFCLbSVHyJPHah7MdLmnJ4OnvaR/nR/ietCOgPJGVMC7mrFftx+ziuPM d7OPGodMxMrOMFhuwF+xr2XRTW4Z2QTawdYOOS8p6j1Zt68g11uq9a2UoY1rEBgfqNRj/I07V1vF BinAJCFBxoP6x5s14wag5OGr5FVcOXBRYIUOEtjH0EKRxoh7tyo9f9ClC0yL0LcGIkM0IBlJ1WZB fElyUutm2V4s643B3dwJ+7Z0PEQi0faOt1TOfCq8qFSk/zFihGDArJykdkJZS3JcrD7G//oI/QTA lbxCR43LS1QM+Z0QbgUv/gPBTDHUhakqSZFwElvM00F8vX+7dDRU8942EsH19trdPTwDeyWopHE0 2fmzNGX0I2q0vvnt4+MzSiGshZkuBlAh/zaccLhCqlxmJniJ8FrWlOfJ8JUXTF3s+JsIHLWVRPKX Fkj/3Fsj7BSXoOi2+PGzrOnh3hMU2snLJV4dEuR86jjKFGaQKB8k5bybJFQXD9sX9WebxvSJZcJo RlIYKzEREJ1pOcFzv2xvRyT8CDxRNCmEyQ+R4QjsAB2Dt28LcTpBfjaXTis6LymmVHhNMfkvE5Vm gImEOt8D8xNL7ilyaGiIT5vMKGfeXm2YHb6BBRjD5NC7/ogNPTR5dYLwuLpAOkQbsI5CeRvft4Vq LvEHXae0VP5nF9cJAwcaAIkd2U96W3IOGAQ8fo04RURUibzBedzHJ7n4olfjC6sozbc9x8DxgWt1 q5YNXrh0D9gdBXEovx9aA65XNBMxgttS5YnxqmhqUdypyCbR/eZuHKQ/pugfzyBXpBg2gMayEM+d VjamNvd7UkRe/t6rlVLytHotk/U/Oepy5CWZ5H/zbiWYl/FNrqAGMV6mETAC2HYbrawbxwtBHmuC gTQtJOqmUrx4T+vnnJyfY/ZYsOHqW2PFe/AptNI2gmMEQavpzbcX7WKeYKFgwlSxLTOKCzv7wTgZ RSUa2JBTJ/yqjZuEASP+qoSli6YV8P3MwZbs+D02yrtGG/UveHUumxtqiZNnAMp1Gx0nIdZm8Qen GoZcg4OvjGe8WkGxDMZRqCDN6RdEXftO0bxEM8Cq5TgzWFPmQdiAXnTW+4uo2Kmfx+leXOSpp1NU aMwkS1ZxoyUNinnLUsL6irRG8jjt8QBrT0omXYgmz6VySK5KMHpnMtgZm7RuK3XvMRWxKCeaf9UN aNZ01q9cCYD/1aZ1XXbrzrAMWRyPx8DTLMx6ZPn2MEpLPlC9fHUehMa2OJCR8qyBsmUL8C927OPF tamh1kOUaPZdkT2kKmQNQ5aNR+SWalXGTLus0q286/ryP/bBqV4T+696DxHmMlBZ9P3GVe+nacfv w1hBku1B+/YMXP6ECPQsOZ6gmQidn3Zd/35kc3X9gOxYaTFZDIVhgS5Etp3XS38ZmgP7cjWVVQS9 mRxQrFX+aLs5o7rrb0Rqw187Ce8mXnBYkgI+t531sXNpm295uaPhcJf/t8coI0rI+LsO5vaOpbM/ Y4CRxsJ5wd0838g1Hx0zPo/mS/k1MNmWWBTPPmvn2hjQxUhkrm22kF2zXMksX1NLZv6uQSpXevUI FxDfpmnQtLqMAxvoXHyzFZ1Q/6TxBAo6hpZv/oOUxBJcC0gFUkzfgDRgBAIUVxiMX4SazU7DExom 9bsWvNX7nznfG+vxJGzxzFIGsDJl/ktDgy9Bil4JDdVOslxAWhRl4zczb10pmpm9km0F0TEAUeG9 8wqO62zXnLWeHT0QiWvkDuRR8hJVz2IEH+oO2TjeIlZ4Zv8WEPaCkGWs+vb2Jj39dZbyLQoN3frC Xs1U05SprF7YNWQ+tBnxRh56kkTQHLT80VagLKvUB+kU7dqJ138kFH3g0A04fGL8dk53y+RaB72P ZxcdLUEmMtDPyVTesQhD2z0C4TFQrBoggAMrdc6tZn1FRamZM7RUiX2Q72xoESJ/hHu9QdfI68ty ROXPq59AjwcdgRGAvHtfRZK2Zh00JYmmF4NDBzPZowrEZhZCvs/ZPzFElf4PbHV5g4zpVHaWNEXd FAfgS+Po12vBREW1eerW0rA2NeG7SMaaSqbpCtsxozr4JMLyaSdV8qE8QWx+6jo5VqJtA5/tSQp0 9vf+D6qUjfQ3A28EYKSPhyiOJkb6S7Nl0KhbSzo37JIWHj1NnbFHdCWhJHfQciRzZ4XdREemykj4 U+5C7nTclJPOng7YyZYDTwwYZBVhNpvipbpzvdL9YfdTV/2NcGsdBn1RWGAVdK6Y/rv8RehwbZ38 DzxzG4kAeEOvbc7TFdC4HedDXIkb/BExQsSONRI51mBr5eR11yo5o9AKzeAvR0HSA2sUpdyUmxxS vMqmOmTpsKQNuXgNQVjoiL17uG0N3ENzBHtO7VM5+m5E1QnQ1CcuKDryE7WO4gIiDRYVlPl/up2y 1PaBKUuH+FvurahjP1VC6donH3E8KX1ychSTlPDUs2qfRrjzyPgfOpG/3xfo3x8zVu0LXcB2ADD0 kzGhOPVvECgGNWfZeCLyQVtNMQeJ6vwMUSHW+gzbu/w3yrTkL8LM3MAM3mgX65a0eE66jNfWjSEb 8bGw8ey+yuiU2N/0oPJ/p14FL1GOJR4WTvfILOHaXDqwNynsVyVxVCcFrqGHID4iSHANYtxysp6S zp7LUVpWenrap77mlJ1zALNJ+LNElM+s0ahLYieNrV9C3H7VPc+HSfybDJBzaU1dGHTRVoJqzWj/ jpP181L9c4IuJ6l+nBDfYqJgR6PMVboY+wHQXGOtNVzZzoL4aeM2xHx26Y8vBaYFa43V5KBJg0Fi 1VMEW/B/5rwIWpdB2kKJEitWvs3YM1+7ttRccU4OZCJroTma+HepLRac0S5NxYefSG8bEuBqfxVt smRI+8N2XId0YbopB2hNGikY6+R/3B+bBF6dyLLgZMPlhBVAlJzpXPMmr9xZB3h/sHOVMJjELAbd meJGTCqTbdePh8KJXQOErexZzFYQwrZe6YwfIu4CnwoXF/csFSbDjO6zIMdtZ3Xc8OzBF/I2aRKz M8kolVH6tyqY0RLIbPodPBVnNqBk8nD4QdAe+HcdB19pi7i/msHgAtACLoP24Kb6iHLjHnEC1Kts h0nZ5EZfVgm/nSbco15j2NTAvY3Spc3n1s9Om/0LM8UOXumLRF9AfcN0zB9Y7FvlC9Lt4GoO5CGy gJyZZRuUCyz9UMH1Lq+SL18dC5HwOLBIJEfPcrA+6FYV1FE7vDd028bUayxo2ithEEojubnj40fX phJkouG/of996afg+m1PK0KeIbr4dy71+9ncfXB6gH1FD9C2Fj2XbZr4KIL6XyjRgDTuSSifA0QD Wm3HYptuaoVUY2vk9FLc0sSbZWQO6Li9CZYyTi6sVDnJMeMTF2aTOBiL9D3jYISgudfofitZyJZH rODeM0ArM3hNT/wHlPTcSkGBq8cmqf9TVBHerIWpggpgpa18iVK4NnsSlChxxO2fgEy50mjKpVMu svqbb6M/rAW/Z4Wk6msPA5Tlds8rD2vhCeNmiGI9YJCZTbTEhnE9U7nY+Jjo3LCsULmcEkt5ORkI 90b1Yjc4r70fH7SbvLRxyRi39f7cn8yoF4O32BkQ3drhcvdKGgI9OdAr3ERqPGg4InqMEC0pbC+x QKm3B2aqvdzBy6WTtsQeT93r3/ZzG01OsfHphucQZ94W3xRoYA4mqhBNYlaftExge58aITcLziW8 eyX52Z2ryGUeeGu+yLrJ2bsMBFerSmLllbS/JDu5lXr4XNGg6Nb3WcJyKGRtKls81nS9+sfFrzGF qqLyYcNwt9XDBB8dL7HJUm1+DqCz9WMmMyTOo9o+nI/zOIv4HEIW0E2nmBtf2PDeqQPs+Ki/1gnj TogQxxztjwyme4WjN6mw3pcBRqIfEuSHs1Dy4PEnv4w9UfgeZjhdLzHjjiiDZHV3ZcV3W3dsIsUJ R8lsIFNj9qEeIvtX8cE7+FJXrmq7Y7UHbt0Cu1Y6eiAuamcjAGSDv6OaiwGMDecadrs1HDDuHjA5 nozcjxIfhTKckWr/NwBO5wyc1OHO2mgzHLZzRbbGEu6n7dSr7FjDyeFxp+b6rRGR15Fyh+hc1Aui hv94GO5/+vhZtB8e2Y1A7DR47cmRLJsGNgytZKRDoEwZ6KbypchdXBnh7nP0i+ivOwDI0OuN+C9u Ppo5cLEQBBAE+YmiM6CBYbLboP5I7PHz2HVFccYh0fXBxglRKpcNF1quPKJtwmmllScwNSY/XgOk 7I8cAcm5bGzGF6DVOntB9g7+A01W8oCGG8hzJ5NKiouiHOBNkbC4dAODnZCtPqvN7e0m0KGTryyj myIMHnwwSXUYFrs+TPp/pEDpX+nq4AcyyM+K4XRMrBqWdZBuT8ZZfgGGXBG5M03Cot60cBw76u+d z10zFmnVH9kJh667IBfrQwsSixhNKxfoKDh7LznFQrnWEGTlEaBqOa0Xhp7HFKltCgt169T3fQIz H1T0M2E8k6wbhlHyBf1swOSJxEyD88qdr+vbr/UUeUs4XTx4HuitkSAO+aKujTh93oTMLjDqCajR fciUdUFLtcLwTwDtXbJRNrukQeZ+eFMF5tRXMFJ2Z1OU+ZbxoPF34BlvDAULYKRBwtgZUlTOn6lM KpDkPD2vyGs4lTedlL/8qhhefOf6lm1oLN2NCwH4rhTO1Etvoo0JpJF0B0zp4NhXopjZWrceJ9// ueM+KtT78RiE8jV0uxiMJKTaFkh5s8OM22KtAJL3DAAhdl9KjEFaBKQYQmyVtci0buVDjPObNyyZ zfustdmlDadf08Bsb9/p0lzlKZ4rdRFPac8/CG7lzn4a8lUeUfBMRTFDjbdPi+XQcc01Iqx6mUYZ +o6El9cMIWblw/jzq+VVURATL3YDRSHTwDr/g0Ck76g5SDYO0U1i4qTUvRemqLNK4n4UeQO7/g2N Lk4eC0xkjEpax70kjNPIraua10jcZZ7uwxO65knzQJeqNN4yzfdER2wwvCqbJUf5PkGigcwaMR// TWC8uL07rCZdyyO2X7/alLr6II/E/EFfzWwaG2cMtA459dkcxW0xOkE2Ri6NRPHYLQd/6rQ/kCx6 /0DR1LqjPA7Diye9Nx1Ofk9yLmSzQoE4xYZkpKmYY6jkURjwi22Al0x+c06v457tAu1r47QvthBx SwJSX+UboW0Pd8CxcNPwEY9AafBgGe7ZtWf9Ci92Mc5UmurKjWkXUukO6tnJefpSn4ZmAy11G9GI dM5Zm0fBMOyaDbtEMGDYTlX1nzZmKn0es8MpHWwrohY3J8Ut3HH+duAZ9CHdkAaGksES7N60f1WM 1QGgtsZeJFQZDedOT4f6J3sBQ/BM1cJrldoW+i/qcZi1eoIl5qi+hWIpCp3eLXwn7O0ZO40ZjS3D Srj8d8/bpQfqY9XKTv2KcKpl0f7hSIFTxpicF4JopPQvbGjyISdGl9hb2B3p3UR63+oh8cY6Rsue XwNNv9eP/R4u3jlUb8/NsWu29lXSG3De9agELtIQU7CJjaKtgzgyKj3nhUAYz1U2OJCYBdCaePli 8VdLxZ/HkYDc/VM8SRLhBnt2+Rd3Z378XxoQOb98dYwzj3g07Sgs+ykLudFpM/IPhjhKtsNpnHtm TZ1d+M0x0LtRqTXyWXecVWdXc+n3IB9CiNLTiCo+3wIpppgnfYSVKn1pY4y2HgdXzhjDb+vuHYEu ICSDxQHyfelhA7VneNO6147pJnfC4MZBPEphCLLoNMx+Ss6yRGb6KSDEBS+ry8K5vW1rkRdx2i6U SjmZTug18dXEiQPLNxF05fsEmaiB/5fPDzS3x8APXOTvHo6XaYbGZ8TRZK2b4f3CenZpeaMPbV8z HgT6qs3monHszqYs2QuC3BCusaT9yETJlNU01UR2sJ7CXQM4tT211ARw/eNI0iZI+BlJpmg3FO0v oOEk2kghwRTKLQzqqgtYjnD3w2zvBrY0QjhxCXA4zIFCKh6TJKOijE951saTTu6l6pRyPUBAT76y WjvwuovcEP2Q0lyJqOp8YWnUalk+rNdqie3SdGgkUSteCurRlemeVXh7ovV7E3CZ9n4K+iJPzT6B h7bhC8j3Yal2GrWw661HzBvieQhb3mQ33pW1DZZ8qMsVExdeL4aRJ6Q1Uo70L69dtYaXfKZREYiz 8lohlgDT1cTOGNFwjjpAPrfKdUCEM9DO4nDCeoPUHDvYQ0d/J/jNs2WyuDeQaQqNwgY+iCFwohhd cwt31Sj0TH/8dGcl2srQsKM5GxtRLgrJifE6fwZ8ioCnfQbvnQvcTLVKCQ0ktT1gnNZjcAinoFpn W2/zyOmsC0cEQ3aDbCNPOHbNNug37osJ0l5gJARmRe2w5wXJkfWyoyA0MUgx+BPqjoNkB8eGJQwP cMoHSz45clgmyEh301iyhxBF4VutETzYuMDoKhJudUo4bgAWk+2W2167RQ5ZK31DU1NIH05os8Cl DtjJ1yYeTimjSrOUGdqOXweCF4uTstPK1o9OU8Z+nlLQTxvxiVjcFti+RCVlHRIBwusPnzOUH9iD vB+0v7UpxlMXXlPmiQN99RKVGf2fpFqBS7ggOQVtLTPD695DcG0Nr2IcDdJCmofjMAY/X3WOHQlR Z3sne7annn60e5qJNAbkYnWygBqefIxyZMydWhXSsouZcgucavbfLY/4Z2UZChgLydF8CxVR8vq6 XgtTB36891qAE6PKe8IT4qG6c4BFkAfY2y+9aZGaTv7ZKaApZMsVmUSLHnajyqedmFoXs28MD99H 2cAMEcW13FzT5K4qc0zTiUO2//oJfRR1xW7NEqf7uTvGZLBu69RUAbscLpcngVEEP3cAJzOiSPgR 0NlIEd879sA8xiVooJoHsYDyp5PLWcGr757SRZKRboasvy7Fh02AORwD6ZPVX1tHPsTyw2RCMsZF aZq5yOsW6+Nn+K/M4RFZ0GKn3WO8K0VOKOV3+q71Gf6q+f7NwhpijQSEskLDhEovPs3VHmg/wlmY 1c6n1XcaqwX9WUclK6n1UyeNRABfI15fu11LprEchg4sks/eFTfYU0nTk7ZrrX+fwk4Of/IHaoIj 6gJbVANTAXM5Kt9ccr7fXe62yboVmWM7uWFyK4KHiUVFCVyj9qzeFr0iHx7WPb6uehDPdiWhyOxW m13JJa3XwgVtdVrouAJMuDgdOk44iziADz+bX3mnHrPGcI+Kd+DeLMKTxXp/s3TSuDIMWhUAQa9x /TB6KigDoVUs6D8MuiFrv6JyfAl3M2Q6PfJwN+4fMaXYXZtA5jKikmG0QUPMyXH9LmgaKYDkLCfl xQLKyaITF2AfEXfM1bzYLJnnRbJbvYnmz4PIhsj4npEJFKz8bIY7omWd4fY1y+7FJoH8U3gGOsvG eaW1NIGV1sz6t9NdmEqD0r+UmS6OOFPwSjd8XsDjIW7RpD9QxB7jkgq8mT/3lD8j6UX6eHYLPbZH cTIC0XLMGXO/il7QJMy0kL/1hHGPrSEbcs71cY34yc6Bxw0k1S1jVbJS+APWnfyaeqtG2quzS4B0 uB3DD2StP0p6dpbftGHkS/th6XAC76wzNwH7VUx/1gNCllDsBfb6WENdRPDs/+qf6XBspSGWOBFc WE9rGJJi4oUaOvpYB3jfAECZ2jVdEbEhdyhNvVrV9JIzl0Y9UKFlV0U0tU+BZpns8SyUhpfbaUbz C0MMsVAIwLSuWItP5uEZQOIVzNWxNRYhDhpSgwZrhdXNgzLwwbi2ppzbKLczezxfVbKoQMItfATp +IiNhxQ+9319rl4mLM/7BxCdilelGgJGSAyiOGmbRnx8qo14G+alPREs6GQHbZytiEOoVlv/U3VC qf7zBZ+r9w0dlpMF15dkBYWr7S4ZVxIRuaCVtf0echRChdllNBeKyI24bpwFlVo0EtbaioGZydpr 8mw3ThkhCVfFm4O8w3QsHOe5amlFpFvW+wErh7q7DbpjA49EYAsYCobLHqZqEwU4ApUz5Cj72qeu 6nutpvwfpEe2EkoDIUR2PYXtGf9tGitvCvZ3KSqqAeZDYnjmYcSTjaAduVZYIKYL15K0Bl7+oVQo iMrk3d7hiWyQFETSKeJWkdC08nQNc9uM113xZBOlfy0aWbDD5kPquvXfTzDNQ3NAGug+vRfQc55C iWs/2Er4rddjDBY9u+a9CZIItFOnrwuXcgH7Fxm7iDtRk+ehhz4NY9F/dKUuiH3MTPLHNpsKgyrx 2LJetVPvT4Q25ukatcrdbrEeORneX3Q5Eyo4guINdDkvxXqOkQOEp4S2s6x4txXLkKrKhvQHowHT ic+AJn2OSH2jXrvYhhWSJa7Lh2sDGD2AM/y+fMmnNChhkU27PB11imcOi004/g1iAJTzCnNJMCgG HORZ7/8o+MBPGvXXAawVum016pKe2rUGH37DVQn/R7FUH6PTZs8RMtkr1ZGdoGkGpfzjD+7K3gfN ItfGkSV28CH5taIRar4A0n6fsoI+Z626BywItZ3QUNp3AhciksrhsKD4QrHyTAYLfA9KQTyU5MBw vFQx+SfNdCZc7W9hVszfIgBDdyKhA0KWEoWk52fPFRCzap/L8ePAoPPY4DlOzbSYHgV/k84tZNDF w0xqdSi3Aj9MsUglLerTqd4Al4e/oGEFAtIyNplDJ6oPBFoSuZBHDaSWoQnVVLWuS0PtWH8Kg1ZI vubt5a3epXz//DJAUe0oztW6uIUq+bGUnoIhsLYI82JbzJj9oSRbiuosIYEe0YjtdJh7zCI7UUb2 AmExcRoa3nEBUayMKLqyi/i0HyFqSkIM4bftG+szceY7MdS2n/MoNaDOgKVNZdm1crn157e8MFCJ ot7231cJoZxX8/ZZTXyOm/xEhlt7UDD8LwP4gc85tWkqXaEop+C8TMOQbqVhtups6kKRVvzCJzdj PEo0GpCwAfIQ547Kpao9ZsdvRyYfec6/xFgGnul1J8KM4I+URhoHccYkeqraAFsXLQB2Zy0YPZ44 SjykyYCSl3z7wmqW6AfgkP4e6yoHsGmuXVADsGPJvEJVuTgpWHwx6E5a2aY2+G282YGA8Xjzs8UT fG3lHniEEbRPlWMXhY6aEW76d2FlecoMNzA3fPMmjeXco3uZDjcgL5c4o9o5yHQipO4SCkoduE7f gd211mNV+5RUmih4yJIxi/C5WFSrGQxiOxdCT8SPKC0HuVPqh5YUp5KmMpu/kO/5b2y5bhmE0+dP a5o8UkNN2/ZrEsuVXYTaWLSX1EK0HrAT3G4Ji3SbzRPG1WUDGHcqmncrPdkCJsJFZEfWkw5ABn8P sBSYm8MpM1sVjFWh5ZkLu8XhO0Ygi1Tudpztb0bK5ayHhcNJHwM3ysWbHcz+Td8u4qxMHV/3laot j04XmkxPhzLHuNbremosQFmMY5DUWL6gnQ8K21udN8Cde+9fVWNoOhTM7k9IVajZ0V60jW0QBcKL R3jWUjuh52zr7W+RMkBDG1LRhhq8CHdRSpvaSei8s7E1Y8KSC9LyaB/+mcdPStryS+abhuSdD+2G 86+sS6bpm2Me3yxlD0kE22jabi9xDjOZbLf16TXTJmRroTbL3z5Kvp2OlruiJP7j6rKegd9nCRgQ uHocJWbM5a1XhQCklaKJNR187nkqVNJ05dqxfCCCczB9JRW/gtae7SQynIyqG5dKB+bnyhzVRtKV GLsAnt4xKcQRs4EhDCscafCH9nJVHolkkpBnBxqdrWIw2r8SWFhgYXEm8pbnPoHOFCABMouj4yUQ W7s0LPvdNbEEsCNt7E/pX0YVwO6ZYizBRZdPGzUeExW8SiIZNm75S4RImvHkYDnOFShfSc+ZDxBe 6loW4K9tKXSsyptuZ3lYE4CgAje2dAgeTgpj86lE1YUXCSGjQ4/jNgXTaOGKKRuRW9aa1etCuVZG yMAUgA8bf/XCOh4Xb4WEhi64aYfR1tQp3RvgwpZ0bYlLivWcKSOuTORRajKMjvetEC0w35jbG5hr uNcXZV5X+yXZVhPaHJia8VgaQb2jSq7YQYVI36FGnPt7SsoJL4xwXA5OjnOZwlqpZdReZZcpXo68 VzrH/vAvE+L9fYnQzSFVWU797n5gyfmfVMCcWtunsxnBYWlJJlq3VOMs482Lr0eGY9HC8XgtAUTx voL5PCu9QUD01AjRyLtlFEKGKLqc/aFAI5vTonEz4XAeyo+c6exgvaR05dLiRh6uLT77D45LzyMq FOd2hyQ1+/KTQiSidTfnFKXn2qocYzwQ6ieIW3Ctglcc7ZzWTo/q8CSDVsySXKApkdRjUSJDomhR IjyfohSVQqnWyQ9TKCZQbSMqOavg+3kOoAo0f5eXTcQA9FhLJs/qfgeN5/WNEkt75A+mcdRxUV34 sGNZ/H1vxB1n9VcUf6iYwai5/vhlIkRsolz0nsfnxziLBueh9ddX213n7lTVFjXM5P1wdUKmyM6u dYnBER5eWmhBEiZZrJ6Xnhp+YMvypeCtexlXYnTVApvbajdlTmj6grl1aYJSaOnZsaxxhdb4jsIb AH7cfEAxseO4CgSg5Kbnmq0fo5CtWM24fq4SJ3JxBp5okXjfXPLKjX1Dmjlo2uh5Wj7boNiq0aft NufQ3bPAR2F0Q5YJ+3JFB3NsxzVwJmvjPnfkS5L7/k+Zq/0N4xAkOXC5fRqgk0lHfN6/fG2+6V17 qolVxpNkOYpzJPdgIxAdZmyhd9AeVaGg6NgJd6MFI+khMcw/0+zm0npRynaRK8hXeRpHOkeV/RsZ 2RHtaDlDDlD2pnBS2x1ePmEnOMZt2HK298MxW7w3o4LgmUD1ueTHWujltyPFRo3kaVnNohfYz78n OcNcHOwsbh9NyaWvuSM5NuNq1edtKUin+SWPAJu4/fNeeIoUvkZtNi0PHgmDpTn1vzb/9o8GCvBO CbOlsoToyraOmxWewd9hCYy9Bqj2sMROZNiJlN6EwfojfcjZ+rOy568yIZnFvvoUh0slzW3K7Hvc zioTbvR3k0b1tkHdSvvQyzFifMI6Urb7ReVQS4H0DB3B6n/RYUHMYY5yoPV7CuYC8hzR6Xet09vf s5LAUCL3anhIZ7AphdG2xCrc88qQODE6XKtL1YT49rydTfVV9sv+mLysFxTOBeO26SEpInHttQAq cmDfeAXx4xh08DX8cVrEemeX6LTrLlsKTzwcS8RhW+s0fNr7OnOhxHxpr+0H6KmUtbfUoqOQvKj3 4f7+qFEUh/CImsU7dqSYk/v0kPY0K1gC2v5w0tqlkbn/ovy5xoVLaxpTBJs2nWap/zbV8NRwFkYw 4T3f39AiVCBUW/w7+vUI1yUkJB739cpeUuU0dl0sbdJiiDeNEk3aZ0H1I/pMkWkimTdqfgaurPGg 6RbW/zHaLDglN+EQHbwEZENlmshuaBc8vlntyhsEGV8im7qDfFYKcEY2BPw8CDqB62EseAnEoWp3 BGMQ0oEnXLpA3m17fQf3mJAgaQ+tgZ/W8L42yYcS46VyY6ZuCaxAPawDCN9WPLTcIJCSCQUiY/zG Al1ESJTpN2ykKupRDz5s3ukEnJc+KsB7nxj1Mi0jdYbETaz8BR5gCBrh2OYNzjG5Ljr8oS9ojWFF NxGzxSYQmt8WooDTO1uOHo/YUeNTkWvVC74SXWb/ZrIs4m3/edGT16BGWiKTalDxhlcfyygDZYjf B25gn9mKN7GJArO0YXrhU7l3kghOo92eBI2htzTDJHFhSmk4yoHHIyn8Mt5jeB5dMvgpVV2Ckc95 AKqpH7OhbnzuuUAAPGWgAanyHq4ZYwYIF5zfGXsczJkw1VWw8SXHTvltrtaTtzvMThcZMVDGIR3p VS7CuM7rq2tYa+zYqkaZTlSBfpsOgO1OkAXo/BEOz6FJyKwHrfBWSe1uGmjxNfsczUahcmOSZNtB HaSqgmaUVFdte17ZOElZxvGI4XLoJ0Pzd/VHxqf0sj/1yyk5JtlTctr4IyLtqcWZPDZBvtAAGX04 w0AJcg6IGHuGPGZZ9BV5OH4tz6OH1JvXGNP4XyWr9v5xPfObFQw3yv7Mny3WQg5ozyJcBHVlKL+e EHLpadMpSEL8+QtDriO5rzO1+ASYQrqlboacOFtJwMco+/b5tKPNdbDSDq6ut8q58kTTlgoxvPnX QUEev9xlHWexMfsKtOh907SigIt2qcm43E1I0gIeFbStZE+sI8li2UXgqHO0MtACIi0W4Y5meW0v jZ86oaU/lOG6ckvhXdmpag5Hrm+tzBzpAhiyJ73dfOv+hHoB3LDHA/bylHjxRhJSb0oOlGVg3xCQ K/tdMUHoDXu9EMt/eHNiBhqLsu83M92pEOZ6bAUNkXBB0uSAaXZyRiCwpPF9phAxATkX+oUEyzcL sKebHRjEskmqbUwmZKwwfVTbEYGtQGNQyqrpThC2XNYIQneoaDIfOyjBRUmZWb1+oi66qrkNrGXY uCS+yRwttH8c/DsHuaR6pBXgpsbcBkCOZHG8hjJ+spYZc1Ea0FDgCdAhhjcfVbZWRGHqUrr6cbLL 8O/b0s9dTRU4MJDhtbs9MS2j9dqAQqcjMmn5lyVvndFM9MI12Zb1bHvBltrum/A6L1nrQK4YfiI7 pW7x1C4VsYy15CMr84rLYjvQJ6i3Ryze8MWtaO+O2qkLzyAfmOGmJgPctXCUdbib11uqMXnrOELY SE+3quedFhl24QCX6YzeMd7rsPV1Dtr+SPYrlY2crk6+VDqokKwQLCe1xUxUnG2ASbFMG4bVISIx o4ALEQMSPHvaMIF7tblC6XkbL2GuIwWDZtoKKvIjd0tpqxcitVoYNZB/qr1x+ZLUzuVIhMLkenIy 5W6BUlHpgEqb79Gvdnn+Yxco9lBE7DmxqIwwiiAzIYdnNeg+YCr8nDOUHnAgRbVWZAqjX7yM3uBS GSaDRvgdFbg7LxvkMpzpe0fXGT/wA3SnZBFweOEha+y1ez4rkfYr17fe7tcS05iup4vD4Vuf/1RQ 9eVYpXw/K2iyJnS/TBlpAVHLvtXsgXrOTuUR3VXWaaitrLtyPW/dY2fT8crVJ2RLvoS1boqfujmA hSFK6cG5cTDowC/dY1evdQBwviLgObiXB3LoroSG1ukhctVAv2dnd7YoYaKGm62pfctyD+mqLHu0 WSFcF7KeFqx6m9SWG4HpZEMK215eSuvbgxfoOYs+9rBG6kixsTKX8tlqAUBHGHobClDMQcxaRlq2 Pr/UGx+y63MMhR/TD4I5cACKT6nrdr6VlHaRrjP2QBYC0kgoxWBcKybUQC7ekaICvNIPRvfPx9Ef RQQ2Be0d5nGn4GopMKuasKKSnD+jdHMiKxAWIFZimAPCIfB4P5yKBwpTnBDuDvWejmbc4G2e4xsA iRkmGbCoEiIPrOfkeSasgpRBUl1+c9IN/LpoDnvUmHzq7ZDcnaTmWrBUi50f4oZ+R/fyuEkz/+lO pJMGiChDrZMRy+Aoer2libbOIaFMYcmEjZaoU/1vLNRrF+bI46k7hmKMjZyzPIzrsBaBfBJORuXq H8aU71AJN3hHcqst+p+IKR2n7rf1XEOwXS1haai/r3+4P1U2+aJty9YhuH+JYYu81qLsPK7hSG/+ 6JbpwpwEN5+jCxwZhXINyiRcl/rgBl5/HjA/+scPYUt9HODzxg015h489ltwt34kS2H/DPqE1DzN QbaapnvBb7S+1rJjDI0/V7M/M/E74l9fGeoOeLdAc+1YM2JNHwXOLMwzTJMhzz2n2jZhRIvdso08 d0dR+QaNvlhUwFgwAC3F+QsaltJmBzdXPeg+Zdj+CvTFlf5f7AtEJxqUZq1s1cbQv35cvmUmcCES ZK53i/J9TZy2/mEWx6OVFqJe2RYiFtAdXFapzig7tHJ8clAXp4zFV+JyWOhVr/eEmWR/g5hf+OSk Rt6m2IGD5Rpx7yMRYj7c0P2r8ojukSChhKWERqanF4luuDu6EXBya6PA+ydohXsl9Bzr9FZDjXr+ 8r07loRI5SEfXR7tamSeTF7QMA+tP1UAmvzonol+RhS0ACbtUyyoa11SviPq1q1SU0vDozdStAQk zJsgSrX224Z1c/DUwP2n2OeSvTIb3+yjJppT/mK8ky3e1Ax/kgzCT2bTso7KAinj3g/CdD9O2wbO WCmFas/ZNtMhImSaoeYF4LTLl0y8ff8Ixv/n4DRW9r/6ydY5JcjHbmEtORRTiXxWAedfv6AaYrbk /XmqnvVh0l5twiiJsHiMBgzWYDoJlRtjqrCctIOOYxt9dhko3jpW8M0eWs42VaR9Vjys2jcwJEQG pzcKW68mxLN3dRwGqICQdeO0+nzG7eZh5iinDw1Lw5Sbk4BUSWdcgaiJLYRZ0uWrf6q6XwXuFkkW SqxeIEhWqFAHG7J/S/NMbAJjB6MMjpw1k9k5PUVY3lr/JaidmZJgL0GuAdYcDoAd49/zkVxa9gbq LMVTB2/l34g/JM5YRjDdjWoCy1dQelhWEkP9WVUfP3N95aYxa/hZQjuSj+iouebLKj9Hw1+im9GN BU919SAmwdJCSPpEH1u69x2Yb0oEhqdCzZFb/hhLkEEcmnpVuJeVTX1B59NU8lmVKiibjmMB2tTq DuYWjw2SDRNwFaWr9ZmqykC02pqKpc0eVTzAMyzG1Z54ELLt6dk7Gq2vned1Zbz/3V8l0WvVvsPz qwH+woCRAeoMr8jrLhQ03XnWvt0fSdSoy/AaC0lJOMGNguaraGiCa+0C7jPrgzOdGCy+qES74uWP +ZbTabH5QFgMgP/9D0ItbYtyJldHnTe2GxQ2axGSXUTD1wLwcjpfPO/P2OBlmnZcZC7plG+eIdC+ upVDzo7KLdpmUYVf0RdATyjN1D7AuXKxiL9Tf4hdQgYa3sBkYqP8pBTNiTSJypdfwB7lBUxtPy6i Kwg99QFP9A31wBo/bo56mNn4SUImxNxPpwV1aPuWaNreYI5o6zjDzgCnropyOCtUraaCjbQIQseC P3EvrA3f5Z37QXe/1BX5k8Y7EkKQl4+TeBLhPrsM+n8AY3Crv2Fk/8KSVodxrtijP6FMu7BEjA8t CbT6Xc0Rsq5WRjp8jpvwj0rX3DM5PqbBV7RCCVU7ii75f+TTLRu5W5eg/fBD7FPA5jx41VfT5Tr8 Akm+GrIQ1j6hmr2Av05leiydfpJCwn4SuTaQQZlyVkH3eBljiQdlo9YssZg1dlGxPOUOk/RV94ta wJhtrWhGzqxTeTLtwE3RoPwmWYBgHs3Rt984RK/wkpcgtTe9WevMpFpVmUR8/YB+0zQguisHFABu Ac+A4Aj3K4hKEaBw2UyWwIFw32T0BDivfbfK2lg5D0NN+sS+CpqWgO0cYGwPsXqMBZZ3y5oG4XrA /NFRvve5+SXAwOTzfHKeIoQMo3AhUCoWPsUWuZY3YzqdmOBMfVJOEVcxAadvQWLxYZQk9RLfc2wu 6cTrCGHtInbkDWenm90XOgGvRZh+MfBmf/d4HZdlJJipy2kPHcIhDsp39km9w9A4089VEx2fs8GQ Gu8ezs1I8OCH1ZOZeKje9PN57h2xfQY/A2zu0uvMQtsjxU42phC7GdtjDQun18vtW8mgVG693Z4H e7cTIErGCvGuJj/5hWLkUF0C5+lGYBv1Lv3zCEyR3catRuFvBYyBA/7Zxbr4w2JaxLXQc/TsjVJD Z0TYYjBoF5Gbt7g4dZNowqwf5JdXNN7GK8R6MGlzLx7GU6pg/qLCC5YAFCj+66NpFN/nafg2KQcC TUrAOo+ZCSUlNsUy6EOlPd3J44TpqXd/dzhQb02ET2pP0uJtwDj3BnRNovyooZIM91nxWndnywlJ UAHSu/ncU4AcIA7GVDd0y/srPIEAx8f5DZOwzuxbOeamVVkJCl/tfeSIOXVQiSx7PILmS/CsjksY mesLwm7NxKl4LMi/yAnriJ7XDJQpl7OUQEFogBvMgg53neYbTkCuHyTBfnUsC5+w9HgKaXzmIWqJ NcxiV7wziuX2GZtmK2EORBoe006/KaOGi78GcHR4e1+hLuqK+2ANVM1a+jDy3KfBWkLkCeio9tib jd0wdX8gzCkNVhFVzrp7NkqX9oXiKRCztCc3jdMBRIqTn0ovJ3WpoR11MCqLisUataSNXzzpbGU+ ChyIEMECK0sqABSL4k+0BeAmRipHyncWUo5zOZxlf7OpwYExUts9c2sY09L4Mtd7z4C3mIGLy/rc zdC3hyquSq74vCP7dqu0qNyP+yivmsxe3exHtk7inYnjEt3FdGMdzqwtlkXMueJXUnW4GzCM1qeF MBKxBJmyASPIjzemPOsNNkhP83gT+Hm2lr6iHw1b3kudIOtnkV6mVfJrcxu49Jtqtm5/q22+tj2v s+T7b3AK1WdN/M3z+ZGrZ45rD/ScTjb+ntESF+M7nh9UqSYU2g5stmz+xRj3Xc6fkIAYeF5Z9iaS FTkJV+bU1RnsuddVf/ha5vFTwIgcENBYVr3dtOVUc688QvBDhIFxb7c+Uiap3g+3tOCmGZThf4kk g2XFfIX8ow/XTJy5uh+2qvCzZpgKnyjVzdEFhKoJtSxYQuAmWV/QIUUkx3S47/LusAzFIVIKiuuE H02DzBVEe+OrOws9cDE0sY6pTeXoH254H+ic1HKDnxMN5a0vra/i3sbZ9nFD5+CryZo1sA3pS+Rf wLZM+jcd750zUIXfz+/KoYwd3ACfBj/0yU4gJCAW2Oy0DhwV9mZ+cZUsYtkM5v/Jx36lOjUV8Ko6 5BRanDH9y2qiWk3umkk63PdeAbyoag1JU27mjndg6Kk5HDCGfirbJRwanWbP5WaCXeZpPPcogadH jQsykmguUPwKU1DQpARDAtz+vKmW+ZrI4jOUxSMG2T2ajWJw8tjQNhvADlbNW9WDY+U45K/HdJfW I9EMlVVjHjVbXDOKEAJkBezvb+uJnGi6cnDI1AW1/MnVaQbIHTpsRHUGdqrDwDPMrKg7rTLb4aeD cIE3lMhfg3j51z/uZ4vf9VkP7x92g18ipz8Dwbd/fl7xQDPfwlnYSL8dAK+60BmESWaKGtTtFcOz SfQ+iPwzc+S5sNnHzPwniEFqToFJFLApZU4GQL85UbdJljIOyo43N8ZPObFVJrxlH2JHckYFQcKX nwS15OuF9sSvQG67ORD4MMNS0SlXp9c9A8S4cJGcF+qOwgAmUMSwDIX+JQgZcdAgGxnMehr0YAKL 1GCqZ0hhizy8JDut5OhtEzXlUK9wGSMMQtVqFDXcdbM29pVwH5tNM0la7MM5m8sFXpmUsyDxbZvF EN4U2EfaQqlalZXYq1/EOXL6NS2SY6waejnM6iyWWWMCFcC+srwcMOlDhhA+4AOD/nPbOsW05u+A bLpCbZDNanMqUqZxiIeNdNCEfCamC2t7Mkuw3lGvsfm1PPN2oUxAN2UckF2UzsWo83DLbp5t2VVK VRPoKIU/HXQQQ5YrokY/xcRn00EMnK5la/j4JYTxEXp9GNHGAR5X/ChRXvDIjTh5y2kj+XOaVBCc Z3MUCawCmSRo3lfPkUPYNX6ETYvbV8S8/Mc+TVkuVVqRT+mwNbOUtNAgtBt6FE6/NUQVUcJoUAKj sltnpU9q1j+sF+/DJfnDm89HPfpw5XbZ2YzP8tNGOeGHqOtm8Za48gNg27OsR0G9mYBOH+QnnUWp npmo2/P1ikWYUbqoRu5tuqSGGsDRWI0rSw3F58QuOxkGLeaPirdd/iBr41CCwQ0uZk9JJ2ljOeBZ ZzYYAW92wQlyia39pTd6/ENufRymG0l8A4yzSZEnEHRI56UYw/UqyF8dgEXvpZyrUyK042WJFDEr t/Wxhj97FMe+g9wqo4Mv4B1X5Lqi0Z/eVmJdh6XhddJdiM2fzLlNmr84+y5vd5MzEfeLwHGNCC5r yQNOu2Pp3XzcNLgOPkAC3ZefLBdmiPdH12DSpR0/b7MerwN9WvCyZJgszZ79v8SpdGOzdzd09mIn CTN8gvatJIz75trhaYaNIybbYVVvDNpQ5qNewkhf9E9K7JvWl8/Zw8mSkjQdRITSp3qlYmRhbWCP lr3+wrtvXCXKyKibWnog2NVSuIFE0Vc2Ab8blwX7pNQJ3+SBkpPQ/jaFOG/+PYmHqL9QStwQPwjT 7Bhyl1Uu1S6HkNzNGyiCkPT05pb99/9NR1eNivdi2UxsE+80N6T+o5C1ySU3EO/0Xflm6Kghfev1 fQ9seKccC0SKSklQphX6rOM4a1zJ72+y68C2uierplPAdEnFJF/FH2AQI/Wmn+5t/D+mNi8n+8Xh tKLucvox1bE1FzekOT778gwPXROJDLAIZ27YZlZRS42SSmI06sFgAFqIf8wpLS/2nWVzpDuVe7ey pTIo6+HH6+i/5lCWPjRntruVt1pZtbDQc34LN3gv/f+7AwmGu2sOHE0FELM/K0XwQ3v5PAJM+7nK BNJyQQhWutYaSwfm6afdvyuwWtasdv84y+d1v+PFRt1E6Ipp/bTwh5u9ukhfhPrc3fMaNkZdbTn6 m6dJpM6gxYwT1fHtJpiC0suwsVWI9nmvDslJBvVonilaGpGxcWRT5uqfiAHgOMHRAE6J2xiejtXL sOXv3xFX7M+0WJvWZVg7k2BdPv7ZMmCE2+7IAVKJrh1O5ZzNCp173T9qokAqPNq+rFUOfdxIDBgS C+admcGLkANTq5XC4WHhFjjPHRYiWhwzv6cGRVqAHWcV+FwlDwn8y8mETPaEmPeN4Ex/NhlrkFxW EYHm2K4oIK3vLLYUV9k9QiQzJ/vQ2+6Oc3g8pwY1kEXt8XaKdcI04ibFxXjBh3t+CevsHWaG1M9g d9dJoX1mlMrITBJAxPrRQm6DxF7GwurK9SIT1cMUKCOruYeNXElskk1iMtjk1imJaFaBdTlAhaW+ pMjSfCqOdQn/gEZDKj/JGxBUkpLIgJ7/p1n6bp9rJoSZ63nW3963b6WTq9wg8w1K9t03DAgUEkIY gujrEx323hAAj26mc4YylC4jLIPaSRy/9riM3NLUFHo/vIIp/IiSXFrCiDeEMHub5k0aqGBDJuwc +Ox/p9l4qyy9io5BQTk7m8hzwI3P9jd9H93Ce7LA/DzUodLzfwV7W59WQ8knjWXH2RH6C7bw4HUj cUfoUFNJ7cZYuwBZyPNtzYQVHiF9wvWemjp8oD1dXS1HykA2n4/18MtFzNaO3MN4BteMMnLeqw/l oFUWWPAyDSWgWtbyQgT8onE8uxx4VzWp5xl+j4ZfWujA0EEmHreuwcPectkRm+uifsEOteOGVz5F ZdYKn8bkG9UUhackv3DnHNIypbY9zlm6P2pH6LI/ye1oJ3yzCkmpoPxZblgisdR6aN8XD/6De4U3 Yg6vAV/gv9Ztmjt6ft0mgRst62f6KwHsB3HyjW7KNzOvlO5etGUtXf6d1Ux+jDj8etfYQmJe1PHd 65uPLFGZ5TjrpOi8choThPsbJhvcfC6fQ3C3KbAGtSacZ4BW2KHGJb+ucZL5Wj+VpjYfPxG4Zw4R X8r9uePwzRtEaIxZSUs1yoPOXYU3SlAGdowXZ9UKKHLcYoWqbibs6i4YSXdR3Ujn1QwHmuTEOlB3 bD9NhjxX6uBMTsu56htVxfcCdv+Wae+W5njEdv6QyfvWFYcrodfP69x292yv9ilesPICRQVhFc7g AayYK/zSDL1kfIlj4+Q9ei99iHamnxdFAhpUdLu4sC3p9e5bwzPMpD1SaWnf0+3sLvxUWImLkKB2 JPAATRnmNNHCz3P2RBaIFY2NOlXMGmZvaHFUsPJLcUepFT7Rpe7Hgs2wYlGJwl6biLZeX3HcFyFN aPkd4qCDEqqrtRnb6aVeaACbGVWNrdhMvgT/JBtpHm1nemNRjDB3aNihJ+4v0fVKQQw4qEs5wcI4 0zCvM5tOoS92KC3edCPtRSwgsIkq5WLrNHzlxbPRqHJo+L2mwzWug3y4lnL56GPXlqCqY3HHWhe4 TqGhQRidlvRlsscrQJyH2/g97i+/L/iHth2FPuqP+Gn5EoK5OYS8Zl0sz2sUc5cMtUfMlycO31ix 5jFkM70ybuuZXscPJzMWOzKT6ewNdVVkzGDZJx0N4/jN0WRWMaUpiYT1yDkOU3oDmKHGIhsYc9Y4 Iq2/3tylIZ7a+AFYRJ7S4z30VWGw0mCnuaJ3uADXvBHpILlvGqpwymjK+q3YxqFB3C/V63Rd7AGx H3U379lpc9BE5Q/TA2cMzteMNQ4CJGUQG1gw+tN0/FJ/hTmF7Y2cUtrzkOQIpL1q+qkxHob4xQhR e//iKlQvQMRp50qIhOQo7BHULMBlwmWeNmxWfkF/OJRlzOqcMrMQOgX+aepjvhn+20heTLYD9mjJ D9PFdhFw8/nQXc7t11qbK9Apj7GsvtL9+hJOSauS1phszm9ZMMXfXg7tpmDRspcohy4dzSdiTRa5 4jPgdyVVinC0tZ59nbQW4c12Q0Gi21LYrqXV5tr9qHBy5hOcdjLtFeqzZgggkN3Dva91UoAVNHgs kxoMB/g5gEhRjX+JKA4JHjmcb0QuDMXUSPGwM+6MQOuGEK9r38m1yMm8RWijOe5YNUJtMhxdTnQj 0ZgpcOU35BNgSBqcL0sRlJY5RMnlsnSerYCvmwrLw/pe8rKzMOGkRtGp8TQBylQnPKGQnGlTzhOq Fw9rVZQQKnCL4esl3g15WuaZnDm5BoH8kjT0S68MDQICckp2nc/c3aPDxf4b32DwUKQSLo3hIj/S W1oA9WFkNdhBvUaACMk01rUzI9tBcnJrbEI3VMRPvI+bTyHGvLtTlk5cRoB51RloeXT7ElewpQqk I3PK38ZK/UqqpSrVgiK5rMuDmr8aa6imQbmiL8cZfWvyZx+Sc7JAxr1yCinVBMB398BjMK5vToW0 ZEeMWzTL4nEMxKTvO9NPpkMgZjol0yBayZdeyQHtfBEGhJvczomjGrZshfvOyQyrQFGOXi9HFWkg mgz8puTJoz6a+DuO9K+CEDkQsdDnxSIXT48+wlk5GjWxif+iv7+D/ce/FK+fYJR9EpLFmYM34qiI VE3Gymfj2cMH5JO/5yvG8c++KC5IMMXZjUVFRX4PofXLsGV6tPRmKOvBVhQCuPziK8BtJ9BfnLnl eDMHPtXu1ZZSvCGtwYjJj0P+ba7KIOEslo+SgRYORXIQLS2v+TtNv3wpB1DeFwk8DhH6/wsuMyMM zzlIaMMO0JfLRzgRiH9GtIoIMUDmwpzk6uR24agI1Fc8itsOkPn9buJXM1tcya/0DTnlPTuTfPD4 z3QeHu0osGAPFpVYDik3ms8ukH2xAyFkGhGFsJ6AwwOAB5JgDCBar1BeGFMZIPA9j6HjevQ2E2v/ oVmA9lOiuQvDsNuK7HIHN4Yfv1MxCKKv+mrhcJC+2iSO/BRr/ZU4W/VSKVlPGum1tpcbElTYigDX IWeFmeHvxeJk0P3ZhJauJCSZY/KWlhhrfH30/x3v6xyWFHF3VDuElIw/SyPwaYHdJkRgYPFhgxMB xZP8P/K91VFeFbIAr73zbK0i4Z6PitJ38OexN3Jmz25euEXdDDbCFpwKjMGdzZi3zhW4DF4g3x1M PUblgLkThuOFFlFBi99+cOmrI57Swhuw/HkYufQdgGpyZHz8Zswc/3OL8pSmzGoqRtuo2FDKbrsS QLjC8K2Vu3gUZLxOAPm/L1jWa7C1s9IJ0GmdZWeK7HT3Q6l9B6wxqhwP2pNhQU4Ep/ejLfjp9XVd bIsghBG5h3cnuncwoE+1y7c3v8hPGHODLjf1syuQFUzicAI8G4yaNLw9+PuQNaV5s54Ezz/0N7Kz dm+jxcimpiNqLqL3kyRrufTlDjg7oSSS3asdjFLq/9g+9+AHjrXXMLK/BXfuf/y1/9ib6RfbkjcM lIkDmtC2L1f9DmGCxt8AanaDfzXNrQ47/PGkZg0pe9QOkdQCgbQ2hvV+fIMaDAufOcI2C9Kd80+N dVAIIfssqarufd8p+VKUUbZEN+odqQ9LpIpZAx63PZaQo684lGoFdTTZwbfmfT/wCd+9kyABvrfN YOgpUTSJJLBEXdmFDavzwnxaZrzxvCwgeyISKjuf74geHQyyJj0U9TOKaEgEX0Q7YjzJnFR16tFA v3YTjnnf4FVnrn5JIWp9/9MNJ1zp8FnOtQ0QE1WrtP5acLvmRqwyqoscUHdCeVj93rUQhPC2XC90 Nk1ZYdnN4C1Pt0hUKzD6dLE3aaZxUsNCpW+znX69QlbCDShkbFCLuJ7jvTAG5sU1rKmVolWOkXCT k+KTZEOtdBbMMf8y3z0GsF4JMpD8V/TB/8ml2R0HztjoeAmf1bdp+9iS+lZEoNoKWeUkmYcTMGbL ZLwsVFRkPKeEhwquAChhRZjtSQjkFl2UoOm6GuK/ybH8vFq6bGpLWbVv2RzFeG++x6YlUk1pxtw/ RlphsYq+nUP5NyJCsI18sr7zmzQoLa9CI5sOYfbILd0PL6YOC1GwGY22/sf4F2zixRqsveOCYx1w 5UA/6o/Z7Hi4aXK5oOSn532tqA0nu6LoPjaxQ6AlGyfMJEumbTYGnEsZsCJ4Q/WAWuLoDi8qUbRm Lt/MwLZeHp9fLFod75cIQoS0Y82KQqCGIHqZHNXYBhKDA8iiVgVEWXehj8cjv0T1XRcioPkOAFSH Zlc1wXiB8kMoNg2na/MPPLHawjZhoAXB0voxf/FKm9HiAZBELJBlC+v/kdKnof8Kk+sH4wgs72Br e0hF06WFCv5/IihEaZk2SfO7FPyHDdzdsqt5cGDwd39fRyddnD0JPcCybACXt8hX1ElSNYo3aH/n ipONaE8NNuOdPakyCzONejaBOk2z9mwjgyk31DxwsI1oM3sCdEWvsiiOnUnXsu1J8BB1CdQYP+NU 0UsxQ2mdM0GHwYwxO0Kwkxx9Y/mypOBKIitEfRp25VsfAWjQM325GJB5ufbVveCNKZljoI7Rd6XA LfBm92l7ih5AfUTFsBkPy/OsukGYCYJ6MwO39jniBGAGqSFP59NzZRgOrlTJe2kg5mV6K5qe0cbS I+NnJ1g1L+HCZvDj8bfM8hA2kJJtt6bkKn8ypFMsKIkLowmz5pDX12srH0olyeaC86UaYCqrrfQ7 aBmBhjpLZZe0s2kDgD3WRcXUGxxpt5bJUumyZSMwMoo3dN4cbwbRLH025vhXwRQEYpmNWJH2/wB/ /X8Umf4epItNgJ3bwGGZAHwTrGtN16O1lTStF6wP4w/ArZH3NjnhVKvcPps4tmUXC6QF/BarRNIr bPctJAbUKnGHosfzoI9VVcdwMe9JIkSyObARA/OeqCViNmu6nHwI88j0LduqkzUocCZ5QipNFeIz mnXHMaBg7zNXAaPdbFGKZO6b7FcwAFQsGHX6GqFC6FWmtNvKsgquH9N1HoMFWEyxIFzG/LIVJpRP /SIKLtK9Q9pQKHfw5BSr2XQsaeOBZgsWOvsqTY7lilpio7e1XQTfm+i9HMlrDr8fIAX7EMou+FDm 5/rV+//qBmjlfnotQ+k+V3oWgJZogMVriDHs61BN5kT0uKJWTXfO4adTlWTvj1r+AHJt+t4OuKSq /6BU2Tcv6v1psE5MRld/AtcO9Z662av1hq8d+AhI55c+VoNSBWnnMhtM0hoiw790qG9viVaUml/x a1IkcXP2V8X5MtDituBqsL2Y8/Ym8ubQxtFveOPOij4I6SPaYr36QWezf9IEjapfy3nyCHqHeF0o ujarYvST7giUMiIsSPwNViByyJU/1MO67hlWUphV/wZyF9FZRMKs89qelWyTTetu5kP/XP/ox3Vx JBu7qod545tRcShhDxeKHHgsiS16vqhrand5UDnH6uhC3+fggYahD/DRL4zwo4t4GF2rciP7hSar SzwvahZIHBqz71VMtK7i4w1EEPwOFCA8gJj2iI5WWzW5doR5NTRBtbnFRdhA6EIT62qe6EOCpiPq TxkT4CLtleBzHQ1Ev60l2a8ZD6vuUklt58kQpsyWnrytDEp1YW5UOVCUqSFHVfkPHVvBv5wEKmaa 06TApInixYYooGYEtTDl0GXmfbqdQ4Jy4ykf97fYAan0VZ8k2wkM1cuhBA+YZzSrU14FC7aoWRYO oCB9GrNd91UebSlJsQlORkhWh3BNg5bgFUC7iKZzz1aXbflyJsCMX0f08ZhKL1RuEY0M52rVF0PS ZwfTYc0Z6LglD0jHIbS+j045OP7urUbp8DRWWHGsXB+30Wvme/XI4K7FS0GyJ0zj3ZENxrvOQKQ3 PSElwZpjNrQSrvw6ISLwOKTeyQSXXvQiHxSLa0XgCj1F77f6VnXOCMiiOq9sSXmXy7YF/cOXm288 ylgAEJyVpuS5fIYEmXY/7gOFQsGrgh3I1Q5E1mMnJZoYHIc3wm4cLAifqeBguNQIVnDFw/RWVh3H BAhhGA+PrAFI+Z/ff3o6l2bbkVe8KhnRwIbr1RTFcRir6cgCb/YlJE2GtXVHbx1LdrNwCtSmxMlB HjhqxHRIjl+utNFwEHdJ6QC43IrjpWNryW1Rut8o62xY//8nRaJdcx1YS1eqyRHEZiMpHBkgSsU9 pYQK14ytArD602pR33QFlCTghwmFbgfXbhLY/DxhH2SDx4ruEtD40Eyl0NoM8oZwFDAWDFCaJogE s3mz6E6PY6McbTtwdiTGY3LTT+a5/R4X0Ny/paFFtrx8YBwnlqq+hOwhH/0hDzu0UN1vdjua/Pzz K5P3FzcY8XgHb7JdssTWSCHh1qlaoVX2QYb52Cza72lI3Hje9DeJiNLsFhTpnw/iH2uWvIY96Kb6 TUHtYMuDmrsvObH6OjYsof2FMy9fKJ8A0AecdcDMKPwmUReAdb7FrZlPWeTcx9G9VitMgQFhEcrj s14gINp1uEH3Hav9PkyZe4XHdNHonzjbIEqxfnocll42a6YPTTwjsDvjmB6RkxGPJZKLmaRW2n8E PFyfniZxZNCr2RR7KW1Q66ThSyC8gWmXGXfR4NAq/3RHCaqNopGd8XhNlT+CWwjNjgrNm9VKCsNM ovtyJb5YWrPRaxbimGZLF4s+CYTVWVBRVFTeUJDo8EsI4cihPi1i3zEvG+5XlVjVZ5uhfVV/ZT8n FZFsQz/AyfRd9GNLmH4pmjcsJqobRq0kp4E/ol1Gl3Kbp3TrlW4wn5M6oxTRFzgu48/+qhTPqFsl TeESXz4+iBPKFi9RgqTKC/9bTXC/GWHqKDhYP3ik/dbNoVtnVfMm9lvoHNHKo2b4fPtL5zHTUMAm kAMKI9onPfc4Rkt9UMZ5jsLFUxI9Uo9wkZbAPuWOeHdGuFL2W6Y7LzneGHTJdi9ruaJjSluPUDio g3VZG39OmMntikpve4aknP59/aoqcUyvAgOwBGNPpFrQkVojB6qi1BGOuAXQbi8x7PbYHNCQAauS OFfuWZUSRuF299Gk82SYjMhHryN9h9V4U6Cxt3yd14gB8jnEJ7oFPbVb/VjxAOgxPm+5g/Nd3Dv/ cnn5YjdqMiHWYZiSkbzZ1B5Oum2CO5c1ASJoWnj5ecPCnnhDzNIsrxQ1J4zWstqMTAj0P5PtLzMe /ZtoRshdKjbWzKvRXeLtrtGCXSYxTAeqGOoW75GMZtWX23m9N7yW9/yQrP0ckinTXIQFSyIS8ZkJ 6UiFwuyy6bIuXP5OSkaUOyWNaIsDbvR/NycIqsNRBK7S8w7U5pRFqqX1O/lNeyw2LTw3bomGAKOA VxL5JHNCUP2kUmlr2f9M7QpAOg45MjXmh/DoGFd/5EQU+dolGvf829VkNiGr7RhdSHregaJZkTvJ PA3u6qIVKVfnuGWDlJP91/JBTt6FmUHx/gsO0hnxdKZinhB0ARBeFNN/tPjxgQNoVQtO8MA7C679 WdsBIDw8eLYPBKV94WTZA33gp+ON3CkywP8rBfMxMrlTaD7pm9aUalD2LWErWrSZA9Gdfd/qesDB VCV2RCbYIkefM6Idexi4h4stN6D/DKvJZazm4hOOzOZrM6zdInuKq7KkpSnJ/mhMXsQ0Uh8++85B Ia0ZxQPCYoftXFPW4llLtKlCf0myeCI5wTDlk8n6VcbRdanAeJtjyv6TEomscFVys7yIcjzdqScA 5+Pi9+VnJ90jEb+Hnc8ytEzBseCw0PNiCZM6EEMtE/Yp9YFM3jb44lg0TbzWJ+AmkgO4zLrjIobE yPIZLHngu2KeBNhIUuZiVMmsQ8hYyt94kGX9O36LRgY/ROY+K7Vbt9wrBA3/C7F0LT0gaqYF8zSp Jp+0Tsx6wIJZk2zoVVDWVO267o4xXBSb0DBdJLLBRGMrsdvwGEAzR2mqPieSEAggpkSOtSg4xNRL s6MJdVOTbx9PSAbGcw22G02KrW9UZuhgN2W/DskkdsaIerKuVDietrTw+vWhI/xPErvv+2qt8ZkB jfSpbOzIX/Z5mx02vfVIE39b8DgrQu2Lsgbyta5VV2DMw5BfmRxMjW3tePPqKujEa+TUi/6UD5b6 8cdhAZXXMcWbv5nnzewRDYVXXWpk8H55CyJbnuu2aAHMuGhHf25vZ6ZLxpAJhU6ekReBEdEg7CuO qlpHRVbi4yqpDqUh4YB70SMCNZM71lUcHWgzI1jIbmKr6m9sDiMfTf1D001ElfBAAmc12tfkNaC2 i3njSpltalpWozBPD9wfzKG5gFziOutB5m5BgR/8uaUDt7K8dDauOWH8UW8v7LxpOTWU2tWRhL66 2hCapC5mrwr1wqhDDCm740LMfsjHzyYW9DaLJ1YgScVQVpEgE5dtKWaINFANM90ko9CX1GhkIdNn KWHdVKrkwTPM0dy31G6bt1edI6WshmHghR8wUHZ9S0/N3RKzojsxYuP+MG+TCghwpl/Z7wSqxBHR 98YAjH2EqRTicEplGushfktOMhzoPUiMkNeRow+Nu/RYZ7W8ConspxAC28FxbXv3gLJBiSk5nVMi l2Nl6MSUTaUp5bvlfEdwVJ01LtMhESLtlTwoNJ2dIhHY7BPJWcYKh1TM4Oly3ZF7ag8M40ly8i6D Ln8K+fsuqR1zpwdCPhu6J7w9qSuhcpZ4uqaQaZezwTDMZhg6bnTxcMOJsU04n8FY7rgvR05HH7Pf fm86NOOZ3NhwzSLX8vLVMsK8IF8Tthhe2cG2DaPrF6Jt/2/utZTbsebJVKjbf8yWxPYB0Ecyc1Fz EBQ70/6JcvwCl6DeWiorLgVcntCqfVurxNa/2mzDu9avP++UE3iU8SiuIJ1DjCcoFoQiHy4YFr2x bOSA0Y+TRQdlh2fVYZ46sPq2XQNQY/TXOGl4pdn8RDpKD5iltXV+ZVzC1mCRSVPYgX8qVe+bQ4jn +hJIZ5Ri/o7dw4ax/akTlkhg8mdHQNmUq3p3oH5Qoxk2VrZqGpzchfrnpkDpAg9jI+Jz/mL3lHDD e2XILOA0YHnpWysPuMgVy0hiuaSNL6R0i0/JzYmxCuZLF/cCDr9tAqao2HwVnNKNddhaH/C+MZvH YwXBPdHpVFVa7zisvVEm6vYlnK4CK9BxajBQbJC1kxqPxIidkIAU08oAQbYXVgusy80+IXMjKvRg UikCpRVn5NduG1UeeHg69vym/ZJfrFJIemGxcwV8TeJxn3YECKtexPdTBXMM9PZSBDR+FKzp+/Az vOnLm5uSazIxevx0++0m6Sdrw2LfHFeOqatFj/T8/ftOsQnpECjMjhWAKN4iVsUZXRhNu4CYPR9r MsU7itOzhQWUUWpkBTu6oiA5Ghi2bl8BZYYDgvG7YZnkMGEi9jO/aHF2Pd0p+lxiU+gQriM2H8NJ sF26XRfWzBbIMXuretTwR+q1M+r1VwIdUSB9NC8P8LZ7t8rABPq4ErrjnPp0Gu7Dh44RnOft3CBU XICsD3gDTfqDt9Nee53uNNIERteKKMsBJmQGqqE8v5DyqEDV5UMi2x3gBUwC/RZ10SQQotnUSJup hTpI1d6pl68BDKR6+3dNC7riZPfpRU2wcKU1eC2G/QWY6XybOfI32dUm+gcxkjbSSiMyyU6F4thW yRCRHyQ1JflJqQ8U5cZiB6lDx9iWbyJ6EJXZOnq5CcxbYknSXGJHNlZ9KJOeOY1WHl3hbZX4X26y RxHgx/6lV19lx+pe97IkpN/i/9Viu6yrfRry6l0r5GKIVK+pRYF1ElW6P2Vp738gtK/bG3MDvoIp KX3inLKj498RcrXp9Ee4gzFHNmFrS271+Fb0ro9shShNuxUeGUaDUk7nSg2R7g1I9CZNpczXhfjX Xh1ZICPgQnOOTRHjB1AZd04aWXmczwitOhDuYS49lbjepjIT/uuZR27nXWDip68gSyBY7+Ud80U0 Pe5yTxZHRjj2gJIvvisAaJX8AhtdYANulDsuNBU/HaX72o4XPmcx5eQARI5Hv+8COx54Ne2RCUND ZhopH+tFudBjJzTOi9Ro9nW6S9RRq371LetEP4eNU3OVExzycBgWnmVnRJuKfVak/X1TgdFFeDsm YR90KlnqhRra9/OmOjfCwSURewfD9veq/XLtLkMcfO3m7fk2mn3YAbY6aWEiQDK6AcBWMhD5rzVI BHploVmUWS0DbPUQoi/zq2AT8NkvleyH2FBIF+226Rh9WmlBtLsv+q/7WQPuJnB0Y/f+I5FbkLcR iwEil0B8dUL1DMT3jk78LPfM0ieFtdXHMyXolGnp5jZqMEEqIfLXUbVu762r49I5Q4zyYcHNMU9T UDlby63Gt3FLoUjAUeZNbBeQvK/LjAgjgXOHsphrhV79upVgegF8cutsPYJ08cfpcUDcgFDUEPMq Qzg5TlbVy06KJwP1ek+om+g1eHTNnn1wzIUYZwugJv8kjZZi/0wqZmBE+/53H8Xzb5AYRO8MJpdl y1osz0i4bOKTu3TK1fZM9bZN6dZ7T0q8n5zK8W+SOaLje7omhPe+9Bj9f1Y0TxmN0nILFReWczNL Jtcdhc5OgmI4vkdfsKbFDQvKjKEtVZW8yfUiBGAT3qcO+/d/RqaMMO/vrAppGXUPSb6ZpLt2nTIB OS09qSmFk8BS9lkZFxUWl94gR4si0gQE+rh5mwqitNQBl1s6z2VjsXqLN61JODbiW6/TtMQGiZNH kxGm4UOTqrhEM0RshTQ8ELcOG+CvSxtrc7sBk51ZNosKbZllY/tCxWUIHgBZlzn9x7CJEyVqqTIE H64fszAn8c9qG6Yu7vSGIBkeha8PXsFT3DwiXEmdzSzqLaLYIjNxocSl5fesFbAY8tnnYWKO9Zdr d4V4dMCtjGCRg5U/rcD1RmgfpF3JsaZlNPbWrh98EjH9V7kkprmyQ5KYHaP4dMO08dBePBnxDhDj wR2qtOIqJNAASVPXCFkJV+YzzIfbUwuktT7wVEZXzljKGDG7aqsQGibEHv7ulRI383MIzoaIktCk RnkKBDXINIJKxnEBhmt/ALOOFc6lBJ0X2VutsoA0TQltreR2iFiMSfbTIcCVtg/a3RLjeZtZGZ2Z BoDkrVEkkfZvsATImbjKG9fCM4GGiWidtQFAVZH7C809nGeNGeTnumGGa+29wJbpuS/bZw4Ox4+O dVbMkF5l88HhaJrSc10c7m3VQpPqYndKqYxAbi8zUi2WXOjKDmUYhF20aNZ7xXwPFFs7SojBQ6mt wVz0iRbI20H/1L6FfdXNRQvvvR+/7ChlAeq5jtSLE91arBbtxyNEdCc+CFejjiV2zRbi/gb63OY/ xklPlVpvbFVqGa3AGOhXbwlLTm45hNTIxvlbGCUZIlog90hnaS5/GM4FKV+DiSJ68DOOX1TKm3qF MwIOyc4pvuYffQWQtZGKzyelHZbZmh7WNeF1BE/nFaB3tsxZTL6YX0X1eSHnVgx/IuEENGXXdtVJ ZCzrPnK0qdn9SSb8cGWeLK5cI7hFkLRiXJsB7VmMRJQZgCswgFbO1GW0akj/BgKmOKueGW6Qd49E 0k55I6kK9CYBC8JVFJ204z3CxAzcAxRdFRYB8SI4IALnlrTB9avgiTIx45q0+++Jkc19OHDqaJJL dfgjv/UpCPGI4FJDzP6sat9L6xEOnfWbRdplcrZCe1bYTikEM975KXzu4bFV1aPMo4a62S6jVmKe 7ogmZBhmYGUSCxuzqa+pjbdZnHR8Iw+Io6gEArpVvTrWJJ0QkjQyq8R4o55z6xN6yLBYYjJFpKUo SWYdUYZr92wPzKz6gM7670u9hy4Sf02eMcevPyqyR+NU3/LBC+Gk0LZ2C9MVsBKt1xHIN0exaX/Q 3mH/grHmFaDnIBDsou9jod6Iz57zwIDRPiCGE1PV7M90D5RHNxnytShWUFkeSVHE+wUxAXsFWKmy UV4Ty6xdI8ckZcKzweDQ48rgFIUkY2O/4gbnDNtCsesbG94RlpppkYR6Rfln/tkorfeHcL4EiBS9 jrV/KQ34z5bObOogSdXGJ/iVP2bLU72rAUP16UlIQLmTMjqhcTc706bMZwBx8aU9saWBH3Ad7Kr+ Ijt96IU6SfWgn5Dl9eRHsaH4spPxlPtUpLy9vs4cXg+NzGzXmtpzk4XkP9jQAOyNI14+p30ebrVt 6esBXQqWhogqI1rWiZwDPd/AbG4xqbWPYdR6Uhe75UPt6sotVupQEReRNAZ8yGyhbm14ygfTSDIU JJw9kEgAf9fRY3xuEnw1132wnO67Jkhye3rQItEPN0x14Mkyd4Ki6w+lXVxWhcIzAmr91Zic6WtJ auPEOvvjkkbI8PaEkVyyCh9B/eMcOjZc16uVlt1RUwwoYk8PGufjRPL48pXYDB11fsNR+Ssiov6P WAUa2AFE7N/lB2XHlS9fknkG3Qo/ySi3X3eyDceLCC/j45Wo/vR0MJtYGxscPhvYh+WwnAwYWlsL 8ai52jAPXgoQuqTaK7tIchbkDq1ObXr7A6njEltRZJgMQ4UTWV2JuIIu2XiYs1AWpv81RJqLKFuq tatCZte0e205c/BTRxQeTpmeHM4TW6Qtov3zNglA/8dfbHdt3WJ8O1zZ6yu/AkiA/LJAxDOOVYdc xCwPkuN9nz/IDkZQZBamH7PFydro9V2p99WefV0uKMHgXWFsJ5OM8rAjGk9lvlAuran7pxTNwRbF 1dYcalEoJwKpSRWYdtiY8JBeQQNODS8dp3l5rvpN3uB+o40+yxolQNrgF+hHi2U/SWaVRZND0ypF tlYIVhPMt4XgAtm6gYzuxKISFhv7zBr1aFAFIfJoJM/0odrOrcK5iyun7sTqtTZFFcfJNyIwLuHk YBzu/azw2KKA0+p8180Bjs2TyhbLmGbqsKY7+FXSGr5r+h9C7CEKJUJfVMyA0ECD3M/cxKYnow7C 59WJQ4Yc0aaeLm6igQ0CTMWWwhn9mRbg771QSHCjOw/8wzZe5URgjBOTveB7/WhhKiBiNmFdp4r0 VU+YU0vFIqcStLSSFbTzirbhnKArqkmaiyUTmakxTUjjJ2tdU+9KmR30g3NvQtXxY7uN7zspauk0 d6pTiyMSyux6U12mhczzxe3tJmdfGSaTZTPQDLBXGH299ggdA7lUhAZQCp20CHV6osR68n9N2ERa Uw+HV2OJo3bLws6CiNdDnpBQWkdbNS3/I8wfljYwCtAwm6tqz2wpMOZNsxSYsK/19Q5b4We2JSIW X2uIfpL0SiCOElf8EG79niViAKrNxEHAbDeh3a1rC9Wyjj7d6M2ui4KuBrTrPNFcB8Vc8uajvMZy yxq8/Y7VCddPO5tQyA8TeiXg5eYzsGMPP7ZeiBXBBhPyehwhzxYaWmV2clk43YbdBHAZv4y/peuB IY4BBVFQBaewDZaxRVyTcjzOsEBV+0f6C71ZT3+qXh882Hnogml6Xp+MzMnSw+OR3bMTWon2BM2L vlMtuKjANk8A7BTJmhwuESbmVuJIAyMQmDBNAkD12EdXkyWq3mXgUBlyKuu1e2eQnZAeTFuRJI89 kFMi3yslQhitYsJf5moNCho248vdZP6i16wgPO6cT5q0UOMKp8zKWM78kiaFoZx3JbMzpaRq3w3n pkBrQJBi+l25YMs7kPXVGqFEWIkjsIgr+1wxz43jbjnnwg0ZFPlnN9ihzCM3mdlmdY7sUmMlZM3x WV3ZVf4pYhSo3OIk+Pe2PDM/30Fj7gPoGvA1VcpXYQjcOMqv0e2uqWL/sS+ZGBhCF0q6xqFJIlil uxnlj3vgNAGFCxDo5268G2qRhCoPlSflhfGAdaJx56Z7ldOXsfkqlo+7bDHH4481yb84uQIe6HTh cpBjh141wznP7v5szuKABkGrZQcbdUe2kp2H14TuV22mlYxohtkOtTK0tiDhT1NsivFnm3HDFAYr CFQdrP/pQnCQQoxL1I/3st1qxOOmch0MfoVu2jt3FnrW9lQkMd0G+wEgy6nuJ3tE1yltwWFXZrHX oF+jOlXpfAOJV+Bgq/Qb3oQdjTFzkSnEb+SrOaF1O+ISA6NCqkUDsPyxQGssy2yZ7lw73Z3xZHQC GlOr7VXcPs4opmEVTWbmMihwk5pZ+pYDogsGwwctbX5tti1Cp9FDEWSaedsy60ShSAiy/Ik07V0E ejF75Qh9A4Du2M0VSw3MDctXwpmoAHL0V/F9cfVVzPDuTPI4Ep0E8TMCsH7FSwHbwszsS4ouwTvc jF3hLh8i/hptF61JjINM538qvOwaxtn0jRkk91JySOLX7wrKp7N81q+ByDZVMCLxgznxhLVZZYlW Mqonpq/Cm3kfRbhGdhZOoUdenhUYv/XhIYMuI7hGmMyAPxucyZZ+PIL6nPs44yXEh1Udj3iPihtr +aIaa0r3edlrRVu11h1R9PhjO6qCOt/QAzlzLcA+YpTHJizKho7jC4UkGjwRF8qdzSMtJHTaATmS VGhlcHeroWgTHC8Wd++xjuwup9DnB8Ds9dZfy3AtIVekoR+MIhnoKL4HBadDMAxRKtadwAJt76DG oJjz7S3YqDpGVfUcN6aBrL56DtsjWyyySowU+eQkuCSm2sCbsGgKYdcDU+x1y0ZvdWO68qj6D/zu DEe6Njb9pZ8fSKZE1a2WofI+DEML1fXoWedLmmy18A3MNvdQDmOAuBU4Fu6vmMFjtZw/gPxQUq9V t5bE2BXKs5OU6dgGxH9bAU5/4DmwI5oC6fFEA4yzxfWjMp5Y06/q3PqAHYEF/UZnEwAh/ZKgdnNH hJd111ZDSYaIFDSxqPDpqzvZUIqfBEEER6aCgKWWdaTmA80/CkrvC0hvdACjgRlISIYxz0euaxjh rI3aW3gdQ3jyj8/Awiif+7EIRmLPdO59gzVXp6iGRi4A97wheuBAORDbi9YgYPlCI5l5k3srQOCb 3X64X/jOCSbU2eMBUyckoRmDEjkP0rG2akwZvwlFaiLq/sW+AAyt5yfaDs0T23Vd5CxJFVAFzfSV z8e6XkGqGFFMle3Df/AgVOIymjNZXg/0V/3ltd4SRoNOyhizUmZ7bAukKzWuBunEWez7NnAH92XI SJS6KkrOZNxkxlqsoet8ju1ZFCePuFZ0OtxDWhOCuDGJs4PCHXei/LXfew9bpu3m4Un35XF1ZyjG x6bRLj/qG0FPG2HPKlZpreRxLTblhmrB7Q4KbmFOTXiPmFZEQj/hL5iHOndN0SBmlV1w/gTkZzvh 4saenPAvPVPnl49xfYx+Zu6eczZc2w+AJTOPk59BZBegIgDhrXMaqB+G15t6RXUTXRVbTu4VDeUI qlVMVFgC5uUnDQfj/DZko0ssk+HRDOqH5z/wULGlZ1w0AXRy/Mi+QtZl4eLBufQFGtLgIu39QUEK zUR/D0M/wjxyAumTQKA/LTXwERlZv+dySL1jiQknqMXUAN2HytQABqctnItMeCMHnpHXV8pftuYY Lgl4Ceke7QzlOg67AGp1USvC+Y3nOCPWrY1cRuZIiueYBv9/jDmYK3AijusPfBwgzB13FwdLUmRY IBL0TUbXElyaVow6F+dgSXWBkai9iWubHwVZaHXATkqCAYriNtV5y0elMQE9/X2lxyvU4CFsCGqb vP5dv7xneszCPkk3A4PnQgYsuOmHCVUsgFkedSqvc/nAnRqPBjLnHOendZmC1K+GzDdQBQxazfrb 89U+EHepqpdG02tTfd0vh+5wtv1N6pM/mFWawPSf7P8hLouOGBJ4ueomrEA4CAyAjJ7nKskHgtV7 spJeQlsHwwImqFZhoS8CJoF5mMPZ2/I6aB6LjlpQ6yCYVLrvqPqROe6kFS/N82Qvxa3JBXgrrh3P F30SAaX2z9ZpJjzepI7zAbaNMvCJiDHu0Kz8Dvss7F2/PGOF9twlVVGRztjWT/NyUXhYjM4WeX6i 6KETRw1N+zk8SJrFlarARiuHnHWQ9Ld6+2crxK502aeqCtE1bSg/VPCgOKrFRGzZhUBzgC4OE1+U VyIx6ENvu86Nh8Z/nUBNoyPBweTa1kT5uW1vhT5vNvFPYi0H+KPmrZoHQjT8/9eYC4ec/uWV3W+9 9jtWcctithtZXqM099Bfe5iov55T827/KdoIvCMdYVSaeHlue5Up0YLn7yaFiPfZ9mrfSjDsmxpH /JYEa2lvx0Ecw3sAERYFBw6PFFMinkl6agi7EDr0ETgiok9W35q3sQMaVbZm+MaJIOTG7AQD38Mm beSTS1ZoWVV9Dph3rpykJtPEoWtAgptUAqY4BKytXUN8JQfKD5S33uIxvIq4qHc0fDIH71Ki+CUF q3v5CkBB5P0QCxNd1Fc8Yn+t3fJ9OPqxDdqbinnGoSSnx+VBswFgayjXD9o2fVk9S+uVeHDBO+Rs wm83xqu+f4dd6ybYxVYt/OKi51my/zFpAPZm+7zX+Cv8IAynjNGiAXvxqJTwOFn1JiZqQRCdhRB0 bNHx/ImIrvp0+u1eFsTc8wwlCcUbieORb7b7yFg2qwNOdGeGt1YNPi5Q6v/B3lU1cQiy9J+XBFuU HG76Dj5piCU2ynUi4TbWMR5OhWUegQ6hq/F4pN6ja1Mzn+ASy26y4f/PWwS/AOnc3jT3+FtyCcHX vX0DdEVhyNSdPXh1qu9q5FZx/NzgtffNIvDNFc9ewcj7Moyiy13h6fKDcM7WjxhGJlNIRzQewWHc bYsbwZ8Y9+HdNDtd9YL7pjkiTO/zQKojMNyWakPKT8A3uLtvNZ/Ea5qQQQw4eOnvospG+bXHQoMV 2hPQJn1E/Gz3rEVymfgFqPX+3DV91Xt07VaMcVMEOVoBuQXu2RQ+1+PCfOO8Fx9dwz0XocsMj3dw T4Rl/X41mPsdMwAW5MiQM8N9WrvfmOu5wXavYvdk2AhAONA5ugC1QCeT56/kR2Z4UlJWx595GO4T IfxNr3wZ8mEGD5dEMpiXSicFK67ozGyUzJxtdkPQPAgJC3+0CNg1TdUIEJ89ftSAL4CRjPGxkFz4 BOCXMf7sd0bvBMkMcwURx9mszbUhLOHzP2k+bg9iZe21ArqHYdhIw8P8Y+Yx/MlcMrbuE2GnyFlX z7S+sjkkqEeLlSwgdX7EhjF4uOOmnnvlPLt+pCfKtv1lGO+Q97tGXOj3gcAglZDmynDs5IvaMO1d aaO+7G++9XzU+eAw9XSnmAW8RaCDKRm20Y2kVqyQuSDd01d+PmptSYIYvc3aRrJ9QUfMDdx7U3p6 ZVzvih2h4lmABEk9bTwL43MeyZ+Yyf1MJfdbhDZHk1ECZ5wzV5vpPT73RdqPx67tMnQ9sU6A5KJg 1390CQtUSzONlUCROSpwZ455/qndnH/TdcEHnwxyi2FwKViRjgMpV7UuMdKsaa4d6MhVwPiadrIl KTscFgG5QUE75YduZa3tFGvNrr1M7fmxAV4QVE8oT+KgtoUkyJIS5moZDYN0sWYSauDlMzryP25K 1eOysdYsR3A9h1F3A6biFj8ehZdcjT/TH14oyfGa07iMIp3I5vdtuf5HpbyXvN2sf8sBAaQfO+fm kx+jEF+KOhN87jFEQ+m14WdxrCNQpwZS9PO8A9653S+SII+M2yGdo+5pHxafXcW5h1OajygsLJP6 k/KnSwwMqM39le8WUGAWBwY4kEuAL59UjI1ajqVwNEOJExG8gFm74tW5syV7DgmtxpPXcFntmPwC qPBbI5LEfvO89jRIxBUZTBR6uj0d4UsxPhfpOaKDWU7L15t/GPlYfwMHEuA1tCfrrbNnkJIZ/omv tZ4WRK2ma5V7HmcAkNu1gaCAL6PskFlGqKmJmXBH+pjcNY/j3dBOjIiClbQ8DsyvYb58jsBKuiUd +kPH+kqSmfhoCQNwGUW3B9SNNJexnQBUv3jnPqxuTDSbCukEbrjlpJNjnJSJZCL+Y2FVELV+w5EQ WD6IXUQyHIAkYKNEYUnwNf0CM7vo2eJp+7XfatbtZa/xinFdd8Z0mI7mv1H2I7I+SPnr4Fg8nml4 4XSh3r2roepzB7gV+2nwdBPGS9eWY+WYWWsCjlG2cvnLG3bUFmN+YBvU9XqmJfaRkeRdCyPy273q ig+uY7iiyX/2kacPN18MFFx5JTFs+Kj80sd8g7WBxaPawW/ROpcfwiVEO5SQKNJCgXBqXbveQtBh WIV3yKgI4JlX6O6CIjLyldLZ76w1mtiYnQnbVRI48iu3wekWGsdwHJDeva3z9ROlmU8sPebNGDUe PAfOtdWPdhSGlDCncQPBuL/7Q6ylB8O1cSJtfIWYPIyw5MIlNO+Ld2ytogNCogcldIrAiArNgZgj uYHSFNDMJRhH5+uZaYsL6BCc5/NHyUgVFKTaXB8uO4g2CxgmA04hUIqB4eVvDnWfdm1jgyo7oyHn Off9chhCdTBPV13BleY7a/tXGNQV+r3uw98ZBeSuOR1neQ0/1tsAtB/a4VaJsu+NgZqpYsQTKeV9 TNOqHRsghSGQW3vhbloEphxElo/1omZxB+l/eyG1RdgMw4UE8wcBOXlpTX20YNKrp3zaWju+Xqrv 32Oyqbsc/7Jr43GcPjb04HSJszVMs9VRczkqAr0UcFU2HGA2oPgDX0sHsIKURGXHc7gq+ZI0bOvi 0dwN64R8ZnTHVAKRom2HCI7hj95qV25ASGRDO0lMvMJrAYqkhmnaS1iSA+U3GMj8rh5ZerJrTcLb WmhOrdr3/tNLEyFi58lAN9VbCNsSlmJI/1IsPBEexvK8BufxOYjqEytk9FiLpSyhU1x4y43ntnoF PumdMiIRXpW+uiUrF39BX0qiX5CQzXU/EYvxg9vWkKp/4Qihjp7TQovnOLZ+8jO9XtGILDHxh1+X xyBQJqLgosuPmc39ttOR5N7aSLXWk3lxXrIrH05u+MQbisllJw+HVlEV+GfhkpgCaHjl/x1jiaJv rewlQhEntJHOBAM7XhIisvv99UZXC3kmIeaEj6KVL+TKvYfHTTjXf/jSHCI8E+jZ8yJ1803Ddye8 syV6qm/MxT/MbHIdB5g0/4An0i1P/H3kumg5XAxYexKW2NUzZ4ztBiSHWxTDAU5i5TVejoIX0DJs YdzoxjzZ/DwNURIoG3IgDSuFQ9usF0gQN7tpFDV2/+nZv81PG/Lr28nEefhlBkNsVwGqllQ3c4Nl EX9qzzFAS5ewcsrzQwOhe6mEapk70H5VkaNYt7Er+diM1R5/vkMSwynKV4YOvvEEd6eWuDEpm5cA CyvZy09ZPF4Bc1vb3Hc2tXnyK+gC6P0BvA7yQJ3Nr902DbT3RvnVFMOgb8FoIwF5oPPgtEiR9/cQ er9W0ngsx8TjNksmOH7i4ON4TIBm4I4ivszW01Vy8t8BcSDkhhor7ndUK8UmJbVv/87hWlXHE+Em 8QXJESvnw8rS+SkVMI6QelPjOGcJBCY2b/XcWjJyyrXSeGdX7VuvjO7xOmlMIzbEnAICw9umLnpr b6EMN0Wbghm1SXYMZ9MNFN6HROfys5HAFvta2uE+sPVZkxj12y7F0EbO/B9zPRU5fmcXdm5UqqU5 5Rq0lq8r/ZUpKxMPfAICj5XbI1bJdbCvduUKRBUR7Ht/Ho891HXYrgWUgB5qdRbDp6uDSndk6QvX AQDRRzZ7is/Vwy8rbFMIUEPsl8p2U1b0IT9/Owf77Zl5i8MXg+FAXF/OgKus3D5rR1+whqt+6bj8 dd70EfzfA2WxlxH+B86z6wcC9SV48lBRshhP2Ahsr7Ca/BbNQbjMeO8cwKtXPyAkMM0STBYsAGDt wMm1I4hFyhqMbInJ/v7HQn74g7PGdrJfv0y3tx5g5GWY3mm++sk8GrO/lRp+uAaNmOErjKQRro0Z 4swuc4fbK5XKalPBizcp5EWEb7JdeNXRgetz0uqHFCmtjGAJvPJFsT3ydAyzKU8nRPj2tnRWPhPO rN8NLZHTap2yUuAIVfIgrR0/ZfU5tHOY++8b3q6IaoDW/C6OTbpg29aTGwUty35EW0SalP9BZkJE xFnHdYYILbAJjKBT7ok6LA4cqeyyqhrftZgEZ8AmrP37kby9w8+zLkBlX8hh52z4yIHl0UodTStk NCEfSp9CzPKlHHvPRu3HDf91fme8UTnb5CU5goFPh40lnAuHcGO/OLKDkkcNr+FvcPnHDTJk72GF Ay2PHndSsMTvIU1KszjehK5nm+AeHPXz1k6zTlHwdgE2IuGMvCxZY0qaEQaL077B2vr/8uCfcdB9 KGbDq/xDCqq9yyphhsktTMarfczvt5uwn8+frcihirBq7VcL//rieSQl3aETwhfVRSCxiCF2o9N+ NbpQC1dSIxQrJWgqzq2gIYygBkr6wYULPaJG0jbnqlUgdDl/Y5kYuJ6rcFGBx6GfeBVtkkdlvyfO QHaGh56orWuwcr3V42NAOyTcDmgeQT4V0aGXNR/L1TNrfz/YKPeqHUg48Exz0Q/Nx43+fw74lk2K fsaypVmr6avL8udX3Y0tg9f+xP7gwzojTDNLY4UHGPFh1a9BBP8kCINJasSNkhvq0T6mHBzFW72D rTkFXSyhYdNHMkJVAJ/bXCBxPC831ULscTH5T9TldziYP5/bYbsuqiEunD/TTCY/ARj2PeksnagI 6al+pJ88DwnAYLtSMVIT4mGNbILHw3HQwFsb1tJhLSORqXqEfNKbWptVK5bf0yeD19zvVWdiu1FC W5XPZmfG9tNbys2EFoYpqotCpbBwY8gy2cOZ9SqOiOHKhWmvGmmYSpxaIJokJkHAfvmfx+FICVSa QWeNDpydwayO8wMsxAimW9hoYEfp1pYa7nEzYZAlEBoIM9WsuWjO0b877M/T3bM8v19hwxwE/bHI w1mAHUYvMOFXvbc1TRL63+e4nRkms7Sn7qyRT7e3O+Y5HBi0pO0HDAp2dehGaMPlM6/b5rXUm7bk NYFA8IjJw+r//uDUDs5vIBTKxK1z8ESDCXgS7Ozar4kCHZMl94TPCwKbv60TCsQKIgWaHln21IKM sA51kvcphSt3boSY11uduv5wLliPzkw0vIE9LtIhvongxU2cS9GqQnXgBGG9hsz5ppOKPy03WWPs aOe6OkewhSBlIN77xK5TEYIfKFF48FMCl6fgUcwrB7XHW57uVUUU5KFCqqdTdcGRJfNPSmCvtHxi DNnVVGNz7jk+2ijNj1RnsQstiD+h725liXG63fATqsjq5sF0byvfODR6cCLoLwlGcw3sIo2kWbS6 KOcLxmriX2tKKOdS4ADkNqUe2FduyLNqvvGlRkIQlZcdlYxMXgOZ4A4XT5kaTbl4FZQzOjNsaNDL LRx0CHpmkE9e7A1+COJDgH2K0onnE1JFKWxXDCn4VYx7rbEvcdvCQTS8sB0AJ3AqnAT7NXV1xgxm 0SsFhkAbzs7PkzcMjkIj4zY/AnFi4uoIOvWjoPxNN3bqZXLDeGhnvZC7eSzkDBXgNzTWPAr//vPB CoKGAAVzwJXzgl/0c5C9L1Iew+9UxAiq+PEBgEXmXGrE75N0jRsOvbYyCDWrUUZ9wK42UxJCeCFh mYR+8jI/dw0rCcmaj9EqWvbXarce1FqKe1F/cLedlS5xcWwbrutogXfRHsviRWw2i0hGbIJ6C20p UsITOqVgl3s3QSeFpm783Z1r/H+GTZG/Yx12xgVJaolaBTj1tpYD5hy2AJk+VdwOhW2d6eo9Ofgt 9/aNHLOtDoc+AnKrOnwWw6mmyLYwOLrN7vmxCVTnZmXJrV5k+IUJSBC+yPSPG/WRS3Fntfwwy8ff ZKoOo2RjWF2RAtE7fGA4xiEBzJXgkFPnJUNclhvumC37REovLimYMzeN3znn/Snx/op21eyoLxO2 2eVxyb7ZZjbX34kmDl77mwUTUbx97uJUwlQJ0S3GPhoUS3UqEkBMGju4V5m3AVZTjym6OZRNOaXO OUJCSXD7CVZsEUYhSC0gDUsXxlFwJQs8X5NcVF43jrwl4O1s+jOKsSOcyRN4l5J5msij9TSOr87D iXy3jCwlUyAsdpBo9RNXpG0KLye3qtGEmQlFh/F+EV5ZWxK2LTVwjPsV01Lsjtz+eKzbt6dL44ri fpjHB/LDocNZyV0nQiiZIDihZhB//Iq/g+qxim/Md2CPlSAjk000bdkBsaf/L8vQ3pQpsyJD3vRX iIGLKYahPct7Sk3267xTcBnFO8Ocg7xWGKQVNvFLK3IsmyvDIFXgOe6ybM75sy7RAJAm2of5PcjJ 6j6YzqHqP6H9JJ0OOJXkMuEF53FJvHyuGU0IPzL0i6eeuOqz0gu7AvNKGgLL1rEuFo1EGhr8EFJs vin0ntXlp1Nxw9maADHeKvcZccs+oucIo8X5XirtIZJ4XnyvJAf0wW5U4BE0wVEDA3Idh1mP28WV oZ0xutm3khSaWwAuf0nBOkKVuMhcfKn9BBFXRSCMplIEOkbgK712/y77pilt8FczJVKxpEQ6ujug 9VxeTypHcvLflqI3B1B5lBa2hAW/j9J0tP0wLw82yHsyiOYtvXptWVQl4fNIqliQEkQS2Wscbjls bt/vcQ1qk90GVL1d0EfEiWZJs4kK4hqeSHw71HSBhzpraP/RT8aP5d0hEAPApfnQtV3etBT1EHTZ Isb/2LIS8HH2FmfpvKj1nHLZAmbkXvAVp3D8TEccLsX6IUyXCqx5ZqWcBzjaVFt9CD/5T4Ry89G6 71iEQ1zJ3KuBMEdMR3IPT3RLDN2BS0rZste85R4+AxblhbedHmWdJ0IEU74Hrst0gRdRlfNIAwpL EH283wrDN2hQwALLSlElJK3qc1ejIHynNcVLP8WBVXt3HgURvzhftHxmoQ5JGl7QvUSRmxNWB/E4 72moOPWeglfRDPxeZMdRvl9/YGZYKhcCWFXln1PBICci3HxAPS6MI3vxLd1rwRPGTZVnRbuZBdvt zIsgrgDtyTyyvQ3SrFaELy7dy4wso424ydzzzwfmi55Kqqy+UCPXcbJ1XmfUwKY91akTgnLTZo5p e8CocguwHCXh+rpFMteqa7vKiHoHkAz/1423E/DowaR9NySvWyOzxEDQYmCDwbiNyWZULaDks+wK 1Ov/0Wb5yaglIXAO53l8FLnyBiIpHJmVgCFYzaGCKfidkEcHMzSIcLZ2pLBU8MzQbTZv1kOAK7uE dbSrDMAWG45qd1i3P5SoaBWKyVY9IFqK4wD/BvsSsgFjHi/7qJig3wd8UMHm8ldfaxbUOY9v0SQV cjWTlcbWwdd00vw8mtces2E0SD07/fq0+aM5Fejuqd9dNzFizP1/NIHDxpoaKmwd49+EOjJo0Z5K f1g+BR7QX4L8jlU7Em5H8BHJWEIhFoluFfL1V9coH3eKX62Fhe1+Li3TCNfdSs7HTnMlzl7YKuhq P28EKpuy2ZjGnTq/nHaPRo6yQxT/GPtkuuJDsazHR07AAN5lXDLQ/Vb34+Q5w/FgRGFUU9a+Rmzl 91eZl95EMKi+cKbDR4FX7JaAnFUj/zyWiUDYhlYNHxkIiH4pezfZ1Hp6xKEi8SUN8C8ih3mHptZ9 bh15DW7g8EVSHhDz8uMmpNU9UdPJssA1F7Ktcsq25trTXf70gtRvFnJEo4NIentgjt4mn0qDKJOT 7kYPmTa8rUFgIpCj7GrVNtFUD0oN767ptFMmaTQo2FCWMWvDXS9vsxEK3mWUlwqqcFTuxOess2+f DJSqmh6uq04Z4yB7P2JQzoT0f6JHej4nQTR8QveGqI+NjGNtzqKKH5hajtb180EfSJCyBUid67Lh lNHx1wx83NwiDglUBZEvJrhjeA5WSvZptqkFFX8jY09Xl+c/bSBTqPrpk2l1SxytAtH1jRyYo7jq Y+CEblJWbY3BqblPljKz34LTfD2vYGqOeMGLnH4BDx7L7kA4t4J2mQE/ijA0NsLb8cgtiyazhxxd +WVtnSmkGe796RPXRH/oRJyOTFyD8oAln5LKfD6EzwoFAvGD7CrFW3FV+is3j7Hp5SihvSyoQnx4 psdaJFKrbgGRqty0c6U2mSVpAurgN3IvlVO2Tfx6loK0zNd8h0kKN4G9aU5vSzpubkm5sk9W4LO3 XpmUS2Nqra1TKjhNRuFE38ApnGhhmXt15w9b55JiHxdCsCLX6Vnwt1xh+0jmpSvKmbMzntgP0dSD /nClXl4OhUURw1f8wIzONyeYle4pGc0sYCMu3CGNUTNJSq9hLi1iz9DXAuCHaPWsLwm8+Vx4Q0VA +sQq8N9XkKiPwSaGKUTMvEs7VVUXYwI4XJ5av335npJfrXV9Peo6luOisrXfMl3itSzedxs8ugHN aUpFxsEtMD69WX5k05o5VsXcZKi2ypzhgTHqis4qZi5gYAoIY+ZNtBAaOsTcUxeswWqhwOtaPSr8 a3+vjjGcyaqDD4fpCPZSuN060iuCuEHSpSiNJzS0CPPcTrDSTNJR971Hl5+MT1b6FqUkz21m23dG njnMFwqoN6inNEh/AHa5ZLiFmZm1tOnJbjOZaiTLpy05HMn/OZvv3slOaWCK2ErkQWY2v+oHerlq ApxR+eYdt4EEbw7TBEf7288nfSzgP6GAIoiR20fq/JtsVG1UBphVSNEM+hWHAWLYnRcsgElfPrZA 4CFrwhjF484IKCqHE99Jcgy6gsImKT4dTDgWQyuIPZHhFgDnpQQPPDn+800OKhj7tkGoP363q0He 685h0F5QHUFU0RbMNjnK/EA4+VMM3WIJUlAQJ0UckR/FEU0XqJz8I870NWlbHRCaPkRiFschSIIi 348+7508k8rigGLBwBCiD6iBDPeG52p02rNHv+4EALTYT5uXJRIYksV3ACMKfpL3LwAh038yevnS eYMHtGO7dGEzsxTd8rZJCzL7n8fZjZ4ir4XrjTP/d1Hwx1Kd+6aTqSLgRh/R1DnFFlUgug0Vxu/5 ZZAXeFaZZJflLjdEVy5RHyYElSxnX6IqPlhExekkhLAkvtcmyi2wkbjqlNq1nPkHx6XqyvzzD9pX yXGdPLn7vTvl2L+pOauAiark16nHaBeUjWxkBWKQt7dc+erwCQHsbhe3Z7w/GIm+mryI8HXritdx Gg+y2JthkahvCFa1dxpudxGDTGBFheZHLCCwjLHOY5Nclf9cH+p2JQSTxQm/PAXb5b9ZslLJlX8z deWkdln9A7TGYeU3KiRF1AsyZfkJrufBZnMoBHw7j40bJWa7NkyDfBCXk2vrRC2S1Yx6+BbMpMAp jFIh9368fT3r2QAHwDfFRYj1h8qwlD3SwTQO/KxnMxFogXwJXoBVGVzgp0UD2UjJIcMuYC7A0ZGH 8rTPV52axEs6MWarw3E4iK984YOEeIn3F5fElot3hhLXcgNnSylpE7prIzNYyEqcCMdU5GYJ4vNb /rb6hcxY7hzvf0eCjEVupRBBtcJXN9qaVi0B2CkRvB9r47ilejZ5XJ45ybWOx8Qr+NnNmmy1F28k Z9SwUHl7GXhrLKqiz5a3MBQAw7aU0jusjfxusbrJ/4BVCV561FwMJxCh5Fc5kFIWrGCYS8B7EJEj oViYmQH4WhzmfRY+9JIlZfZ9Z659AAt4HDu3JuRfaujJrQynjPjB42XJqiijjmpqmhK+6JdOPqFR CsMKJ/2hHEGohKuSd7ff1NNaD+UnQjvSLmkt3tK5NhYbjR5AQ/YS4+5i8em0CMNcPJojy++kjE03 HCt0a2xmNrk3kSlcaIvU/cRteh4om3trSrTptwVldytPGtk8KitxzF27u7IwylpQs3M+w60zkrjg IcVKG0Sgi71wvj/z0Uhep4fVcZCGj+EM2rWSEl/WUDRKFUb4JaMFUUIbE+vuZICIfRnmlNA2jViQ pJAbE3LFZpIK7GFANPdboGL1Pd1g1JYkMJIPg/b3isv0AweZFRL3MoSnFnPj2o5kXuTdSB4uuS+5 6LjpvANJo3awNzjA0aB5udFaa2S58f9UCmb7cXzoIqgBIPtGL5OOyyL8CV4fVs+GtQsl46YWkedL hd3Jc8afeWDDC45pew0We6r6Qw8Yrj6wcz7hYil0elcvjOm8ZuRAwyNBRuArsPzm3FORxmXYseo2 UCuDzDv84R3pZ3AqvSakNpbbW51ChPA5Fr2ccbBEA8ERRPCPaR0GS+FDDUG5eciKFNmbGDwVH6Ft x3O2JWUMhG9jx/7cRK9iZINgYT1/xu1L2xH5P3alfKJwn02+VKKMMR7D8N7qxeZOkHYSLPf7ZIE7 TtyyPHFeSfHzlMPos+SziGulO9p2AO3pxtPxpR0IM2hc5ZyGuezECUDttHaYRMlZDtv7CqhwjL7w pXI8OXasaDVrA1pR7dML1a++iRP42RJyTkJL64SNYQzV/Wt0VTWMHOUsvZFgUKGARlirfpmshnVI Txh7DXnphGafPEIEgWTsFfXI5/zssyekPG5+Fd6rpNOCGXs/3c6XlR0ik1rlODZCIEPuMEGwpDBG msMY73quM/chjYwsY9o1AhDXCei2HfA+irGjvZdLZpXcxAnRD0FlOhluJO0qG4LzFZLM4eAMiG1l NRAlTHxhhGoXyDVAT8K1WDRKtEibRedZSxTxvyScv9tImTHkF/zCWLUqcoNgzxWYhTDsLUce0EiM KNxSp7A61SvEdcAYKJWshxT3nDS5E6BVIuJjRGAFR/KUxEEyUT66PhaGmfr+OcLn50xAmseLKEHi rFkattyL0yP2lBnYMFa4X4gHEVz9hEUj3qdCDnWK17kZfVDUm85HHnjvRUfImLhUDGJmEljRUqmG piY05Vsftl9TKcEbZxfubdw2UhW24NGCSxIDIUINuRXLIW54segDyDqhF1h373PkuUOegniUY0m4 c68RgvckEYKD1dwsFgvIW5tdlPjtQ3BYqnI5pK+HQARhnLdiejW6wx/duf480uB4JMeRg+cL3c1A 6lO6RngKNl2lRqFA7mjAIjdNXM/UUyIY1KXoO68kMsYj5NqyjopABCvpOcMRAWj4hqNlGLkea8hZ nrZ1JsGdQkkbPEtoXgAlYSwU7Epp7pXDQ4K1sEJiyHyopAHlhBm5Tn+VRmrGU01FdgawmBA3RC8Y S/lWKwgJAb9Coioy/nEDxO6rv4HRnfrT+sipqAm9dfuJwx0WJSXWthq5U5hn3idPHD8XFyU7nV9y h3FHyqHwIap5KJVaCky0fa3IEnEtZjVgVNvVfQGmEGahg5vJUn3/KQjTqIHrMKRrNRuuMiOpewII GrXjUrHCQXhBqn9lPi4gyf8o0GbkUhQl0xE/m+eoSDCCkLeQZcI086lpEjsxj8YunRMk53B1Ho8M 1NKVFbL+ElSX+X7dRAU4VzIDTTq4MGo4Yo9vyGi7ZbfA14jWzUMtVi9RywtbJlYSuNaXj7T1mhQ0 EFz2iM0e9r7EbmNk/1rWMA2SXZq4lEglMycCLtLGcHkk9vzetCIxzY4tdldVN+8pCdtzJV6u/lMy vM1EgFDBXoo49DhvyP13V7nsh5c9xhhDWr5KUq1qG6gq5zkYoDUSuQ/BzZjYPbwhhTyVwZepVYlF phcuSWcW9IraylGXG3LHAdIWyOQ+jnPidaEASK0RGOjlCQkbgwH3FQFueB1RKw1l8/eXI2Opoh1Y OhsgLKdS5q6hqfGAc5Yh1oER+UBQuWWk+l7WGzwCKE93IsYjhfow/86r8EO88p8q9wqhPQqbd+HE fECIyYwEugTm3t90MUD/3NO8JYMjEeWzQoZboS5FV/K0etqt1VIMOz3xxgMLU7qGakhi5OyO49M0 QBU610lm8ytN/5qLWPZBJeyIaowpSJPQQV8/9L9gStZVnz3Am6MIw4hlYM8sCUPw1S0oSyMwZa4p mOupcVm9v+oweSRWX+/BMYHwb+jqNArGpUc1DfsjRxBdofilijUVlRGPxrGyUyjuxX9FIzLKRdiU meb7YUaCl0zgujzCJPX8nE4v1DnKKY5+YrsVup3NX5FxnO5meFmbsMRfawwrGduBNf52LrPjTYJK kntfWXtSJIfJEVZyV7KqF+svOgRcC8egzGf5oVhLuv46zQYb8otnXyhQnJCqH6OT0YVfMFrEh7Vl TL1y+NUUG/ODFmhU6rlpAGuy2SqGcX6J72w+IrexXgimnbFRplSO2VzptW2jVKG4KEzAI6WfNjtF qyBcG2sVhTdZk71780I6Us5m0arZe7MzmEZss70uf8WvV708KKSpsl2mrTouby8Ux8e60iBLzjw0 BOFuTIBbqN2h4ncsmiyPz3lhID1IBFB60h8Km5X7h9y8cRWiXZlkVGhiXGhNnH4wGc0TbPcaGwIb pjhzhw8Y2MYM5bfAOZKiP669f8uDV9asjn4dXhml4qK2mFRUsEiwTV+I6N0qRfMpRVP2GtL7MSzr /O/6p/2adrWKE+eQNml7rQsX6+0MwTDsC/EXLbe3j0GjSD4KAjs3G5QHuHePdB8AuOtOKMZnjAIv mbFdedwnShS2/qemYDbn64tCvmld45UyCmS6TSLEUtUAWg4K5AcqLbcPAicbn0gu7km79D5fPHi4 VvlLiGTsWH+yxB48wkjGUJq1AjYA4UNdIelMhBxrG9Pp2yOKgq0T30tg7Oo6/SKnJN7qx4lpbt85 aiD7VATs6G04et99rZ5J/FnSlrbeuwZDvFgSVnDL7iUT1XVgXgTUMcsmmtA4CZwmiReJPfh7Hx5V Am8BAB+XuVpLm7riRROJGJMvXjQ0kpBQu5BLFuYf5rA5bjI/aTYRwWzA3Lc9j8mfVeBQ+D7k7cuN 2lFNTBh0+z/Ux+GbttA3Z+1IfYOZz4nYfAF38akCwcRV3FfWHqTdKaAnhnBtIIYlajd1o/IDl++5 EKv7vLDp25ShHsgb7i3DNC/W4/5d94E0CXpL1/k7snQGpZjLt6Q0+yUaYlitU3qodXNdHs5EFl1G 4FC34eBlt06AXd1NtwNLiWONteHqSqCTB+f7yfM+c1Pb3OiBkxy8/suAEuMnCNy4O39zF0tu58Dj 4uOgajG05mbHKDoe+3BmF6MMHuKM620kfMjv5hhP6KvyY1KcTyS5+VX/I3tQioT/DWD1nwDWahUc 3+fPHzoe/n53nc9NyuwLyj5nhX+L41sTKJETrTl14qhBt+pGGoBEgk1ZMJHbCKyudOFHVlqKfttw owRQPeEXVgVQ1kHWizwHhHhyMMakMsJ/j7vw4izTfnpkLpBwA9/Fp2OYNMYoAaoOETeQY6DgI5pI X8SLtv+EiZz07gsTPMeYgC0dIJe+Hq8MJKgKfWOYIIaw8/NlQT8aLd+/IU0YknmXxhMAPwwDIXV1 vq9U0Nwa1Mm2mJoZhwFc37FhJWwy27ETjRPKFcBLlZFIwQ9eyX42ujGUEMT2TxZMk2hJHhXhmZ7x s81DweHHLF5zLxTIElZMK+vsOvS+QsrDFe4T009zU38Q9uvwQNER90WPL0W5IdqDSKra4CWekaCq bnGlJdCRiSdbjf9l7WyoBVtYjnYx2dSxebfj77MyRKM91TQotZ07kXNQpafQEC9WmQWY/GDL+GSf YlctHZPsETis4xNKBa617/Ptljy/copYDcuROd4nA3A3/aZ3jnnEkrrANnz10WQz4MIGSekGYeph 2JkpuedfZLW0KcAtCIiizxgJMgCZPbaqgvx8z2AnGVULi2d3SK6L6BWwItUl36e9QY4nBggnWzEx +bJ2Aj2Ry5Rmy9LqBQxxmx7c2GBk8e6OUligsxubgXGPvLGgzVFpgWoln9Y2QIxhFTMHwn1LoyNh hr7lLzwas2gRgmBDltOUFR5tDrXhKQzfsPOIGrGhiFzmDEtS6W4xqo1OXxhDAyuKv7kKwywRfQ6G R85/K5Ow01bS7yrQ0H5c589Ax+8NEmMl0vOqC+QvMOLWwunVab+Tgn5W8y9OoqG8b/rwpr6xqPWO w9X9PiZgujT6uYiulbKX+vh7RuStVOyCsY34ZDp1kp5BCpZVhFlWxsjM1judpZsmZMyZVTvkpeNj m0qjILrjaGymzJm70kRs0As0g0+o/MRvr8zOzVLm9jLKPbbftc0Fdru+7nNQexHlHCYO/DcvgX79 nvIbNLRZuuaCKANmOwcXfQI3jUjVzX/VMVTCiznKwlYS68cBUyjb/eOBQbFu07HM4tcr22TO70Wg nlouA+4zGzZ1sMARA3LJ/2q0Nbw+YkvgBNJ1iecQdRxK4tJwHCQze3Voq+5cRjmo9GseexBwHZso tRa3N4xwR4R4IBwnFYUoPG1XuqrI8t63pE9RbOaXoH0k/ImeFsCGjbnlNGFS9cq0mVIp667taf2D nffHsBWVVou2XjwST/BceNe/ECkBMt7VTmo5BbIkbt6xSyXl/zqPmLEiNOU6GBks4MDHaA4f5Fk3 2Lzi9po8WTWBgHa0GmfY7SUuS3QXQNCAnvjEilZmDvN+KjgrX0mdt11jp9ku99odzJmUP2u8NcGZ sRkc12sljEepCLzgHHklp96wcN5ZQXYSJ7EGYL1Y4mfQdWiEH0yZ7f76n+2Es21FGXy23k6bK6RG gLSCNqruMexWy/AMmXMyReRxuzUa2UovQMnW7Vpkes4fxWKbey1TLIa7VijAu9HgFnywwDPU4ZeR 9fGwmXiu2ryMiD+s+TSHldqqXuGmxkfOBj9nrFuXbuMCLIiajTTPtlcFhMGQR1TncJAo2Z2IZEGw KagPhutphiKatpzfFviCsRBBSTtzMemkjJtxTdBbTIhKgkrrK4E3CtOR9fmmRvabAuu3fH7ttZyN RbYMeMlnugk0t5M1ymquGgnCKYZbergwS3gWU1GIQ8cGAoE24vlDMzVblV5+81hxpuxzSC0O1Qt6 MAyMhwWRzW0wfbt261WnyvtC6ZTfpL9QjhzsAxvUZMm3/N1RYGRKqipImJXVLFxV73fvugMjPUWa idbPbZSKfczb17MEbfrnxvfRBLp5Dt7lSHceMEs2OiXVGB8t7xQ7oKG2HEpxiS2AkY1qB1iOQaea uIQ2jjEoFeOKssRSlTK5eGxcdw9WStOvuYE2P7Yy9ylGmgeoQ/sM1cQofkDgB1kjSNpxc7teMjWa Xh6JC/4PzRDxliJm12ENBjt10gaKAiGHcrKJlov0Fz7/7LC9b/oYVCp+Bu+16k7Snzstb9j/bq08 IDw8Uad6uHjq+8xHDykv20dlMaa5BaUvC2lFIgCjTUb2h49+eHbcftj6bVRg+k9yskI38GGRxnBv cdgFqcpd+U1jywS4gaoDnalxJXl3lxKmahvLC1pMlcqj2+EYUg1AYNbvLdSkZ0PUYuLTZwRGCP48 2qY9/TBq8ByR80WzU20s71ETUxwB1uh9Fdj9VkATtBkdSTCK1lp4eywQeagzhmm9JqCLV0ZxWove 7pQL3FtNQpKO9kMCh/zOKo9dIPNC14a13lXa5DjB2IaeTI0+NGbBXruHDSjVQAy5KkyGuu4tgGtV T3b8K4xE7bnzqxGhQkXVkbx/GAgWTGxSTw5SAyWj1pIX2kz1z9Gcrsxz5Mc8riH7amX7gLkFr1x+ AoswFjikukw2scNHI8Z/WxpQirrThhoi3skA/1RWaaSnJ+RKqK4YUfQgBUYansGtasfSYTdbnE+a Zt870DCI8U03aM3AbEgIoOyCYdhlZ0c6kwKHhpVgv2KYplUiysl94u1Og4rd4MhW2sVpDMR80seC Ps2LbH9yrpTgnflvBf1RBJ8TmQrSp843aEYCmutGC3myAG1OchCpMY5210d1FlORhNtGD97J1exx MJrDlpniaXs6+3OFMXaF7t1f3rTeswMvWPhwxSj/OUx9G29bjBmKtNc20TxOKoFCMVfZn4mycM/O yzPhXjxHtDY77rBWnwLSNKWHrQpN6QgkndXSwIdOEyIgmnLNYqwsLDqVe7bTKHdSIRoMJZtc3GkF uQL6zN9WuolNN5Yuxnf8Cf3wA3MJOwRKVpFkAqgUHPykbdbxq66/3jJwXQ8+WQjNGPbygDkjbsO8 yEyacGKKhwwLxod7fgUoTaYYvIfPvjmeHkkQlr8wCXVk6EN3ekey/8vNk9wXU+LMoGOOQ2lWQK76 jcxME/GXFqs7460aR1nN3A1deJ1NPUOKlTprcpfrm/Fg+WXJnzkOaBUozRc/CYgMjlu4SMQ+Hjdu sow5pAmOv+TRpeoN1UFlAXk3pBpsL2npPeVuWEiH39ojAAaLHjseAA0HEb6HvD3t2+zBSQ4CmIKv /9P/fO1To0fC/g0Mo/91T8Eu49u55Kkg2XvPCcZKKs1rPGoh2bBYSUr5YvKyH1W9w8pqHp58Uu4j ObYD/l8eQweuZEYnt6qAGHqejHfNdoQ4O9wFkxQFN+ryaL2047heaOn/5oLW8W28LMvgOoek7FfZ 9sDKx+Kn16vl90jCgBImpfAAma11002pDoGIJQpGn2747jGh3PfIxdXjsdT8DDSbRJ8s7IkxXDbw OnNy0B2cW9RQ9UW6y7ic7y2l0TBbL51yvdSVukC5Bi9KDNG2pCBsWK/C6xyA1RxvyXuWZRzJx9dI PGMHRujs4sb88jecC1SkEaJw55SWTLAewaL9C9qTFuJhuQ3sbnngWnFvZrN7/2tq0dDZAnZo9FqC qUOR4hsVySEfQso7DF0+/MuTn5Fw4ZolbAPYY+ILC/9GKxuKRuyNthDVwVBkDwgDSnUogWzYbCPP H0xiJxMfhmLjmz5zLcTX05MIudbIbrhnjfsOtKj4BfvV2o+u9b7yGeecPBru2C7PLvycoExj9B2B pInO4GWXZ0GFTiSD5IibYk6n7VfQU35OfGXgPMnC+uNd8X/D+z6CMqAYLjuEeCF8F3sKs3sFoAT8 ndJwLYTMzioCeAhXLIkA683OJBI3VKqLZI9zC5PqYqDxOl/Q7cWvfmvPc5+v+bQqr6DGvQ+xAB+O 6F73vcoiunjvH2kVraCgHwlczMcMt2sOUF3T5V7rRyvJI7HvEr2eoZazElZxq4+70ukQS5MAWzP9 z4XMbCfHHKqCCne9pCNMo9b1yySe0o0vBGrlcylsSEyF5L2+5d2mPP+hkbtuKu5X6o/zQwFLzIOj pD9Mwh7m+WspZjWaNMC3JL2s28FCyfr+goOZFTimYUxN/SourYuTgwHTUY77EKCGv3a+aGHa1Z0t +MJxHZdNNcaVz69A6eB8FqTRVigFJiqC+1tx4u24qyBeYgT4YdUtkWYUUa95R+ngZANMtBNRCQkz G+OZQX55yu0+mUwapDBOrJGGVGZjtQzamXTyjp18+lPNcdyXOkjWUJk6kkHzlPXTkTCVdSsbu8CI l1YYajIBfWdJa6qYIdu5BtDUtcDoaND6fPCAe3WN0zUuzhJplldrH0UXzCdqUmx0DhvACyvIt+oS zKWDTVALqZS0adfQ7wGzXPNPu3a3bS2JjvTfNwxIf52Xy2vh1lioCePMqbrnl3yCr+JtkAH7VlnI ffy/xDqO6/kwVkzlYDK8zrt/bjdUfgvEyQQM6WPgGs28/J52zxRPanOfts1wIazAj0Ap4kOl9rJ/ okMaNthcAmR+8qozIrV9f6eFovC1IwbULsiHPMOCrzvjsI/tdVqh1IIfIHCVQWWx0EwalvTy4kiH /t4v6Nsh6E9e6Ev7OouyQKstKRme4yt1fStlOe5+Hx8Nu69HH1uzzk/qwlJtTZGQCr8ZmcuHxH67 pS5hyuUSb50aWaBL+yhTqMx3nWtGpEN/nS3BlK6AoXvbTXy2OWBhjoZonzNd78HwxdTkKA9Mq/h+ 18Mt9sD+VijS5SzbTv31tdaru1phGo/+dajjcJlU4hG/xLoX87nFjzZYJZc9gc/DBUzMTUVbLyF1 xPIR7nGqSN95rp+E6VaTlFFayqY24v43yWCYgeNCzvMu+xC9DGN518nyl5J98Mtf/XuIklqwEFDD xWzl2toGH9ipzYPSc9lHD6u/UZz3mfYMKDwAymS0Q20J6eZZXpXJC7tKqzB3tVtEa1QWqEetlYC+ aBTvdZKmnLHi8OHiWjKWricCcaNACPiaPGEmbx7xXbH8akpjBHjk4pAp+W35iIeU9DZRWEe3sQAd wiW19utBDwbzU7lR3d0vbgPLEttBTNM+b0jNNOdN4n0UpdggTznVNzGh7wS+hZ6OlwKEADXhjMi9 KG8Yqd89HbEkBLtemUkK+lr/3TrOnptmKDYjw6FpZpRMH/DmqH/I00Iag5M3iklC4qyftMLbtIue IF3Qe7e3W/Yv/BhDGV3XJlSGOb2dfTZbRr3VVltvhGFDbku6WJ6pyriraxFV9i9X4MSfpR2rwEfe Ai/DmuujUFAefxhK+xdbYFVVCc3+Rkl9AEoAM69qZX+IOj7MPs6Fi3ic8O+ehvHOWSo84ZKFtSYb +c7RQkdzF7X+5VICFhSE62PF/ZQVp6SKPQfYuklHJ7YZD+/49fN5mw8nMg/hQqPMirLOzmixPb3Z bTB5t7lta/vOZydJvD6QUoOlOY2u2jv9vLidcTHXaE6QRRpdj5xhC/C6X5JaBzQ0tWNd5f97ukou GIOqf24zUgBDlc3zjSyf/Jkp/58n8yxx5ZKG7y76iORnf9rahXBU7B8kKYAja34CmQsunohOtmLk xm7kRGiMHjGXBc3vWKeUE5SnBpu8KUsBKWRsFWBisdmVgsdUyoRHTMsnMztbx1QE74niuq4RmJqf ucPYiz7JmJVAsrTwy3mD4vkYi1rbo2seqbuRngZrs5104IXUVbPOk47OcqpK/bLkPwGxImbDFQZ5 RGrrgoPfA1CPWarQTvhWrrvpMDkvnegv8WUzgGWxNeBY1H+Pmww+gimEQDpkCvMfhqXiriMLEWrL CE44N+dUv5YYW1RuTkeBNbQx3jUbPiJzUX0uwoAEZImYp1DOJe0wP7awx0K3gZ5RDARqU0mMDQgo zbxY5t6BNskRH/QdCHaWiVuIHSzKfg718WL7oyW0SD+ZiZ9h0mumpoZ5eA0Y0/whfBot2QRYvNxr 2bqwhUhlhZz1aKZV36Bx4qX3MMft6wYeOkuqV/4MuyJE9Xhax5pVtRl9kIl9VFElaZ7n34+QMyt2 2cxtL4rNM5rf4ATdOXjbj2weSlFudlKjZ/9UI82UFjm0JcgzQwzyG3BCFEiBKwNhWOZMUaNIPBMy o4mikriqfu1uNLeEBkIGI5Ph5/TlgpfM0w4+IVVZrLXdUZssy1OXQOUB3MTlydAZQEfnMvjB7qzC 4/0lZUCfgJ/8Ma3kHetRDrPNRDsTZHQmRMkB7tcuEXWeUh4s21AZhsipkhjjbOkzAZTrXCs9exyd 6l2IeUOU4acdA5RLHg9NP6VVe4tAm0IkmcsG+ZlyXvaOgfkKC+cf7d1eeYD1djalXZ+hnB15Lp7A QulATDJhdq61QUWZL7LfYr8AENpbHx6JwwXEnwxe0GhvbuiKUUAc05or9WFsDgwNU1h7QWMcEjYk M9dnVEPmjiG/u/oueBPRdT7IooiAKy+iAJwCmtmPaRVTZQ6G4hVBKiqKo/RhT1EPTBgIPSTDsdMJ /RDHJDpKfzJ+a6aisvi0pFJVjVDBiOc6VplMhmLKBnnCD4rE5pRhqSD6AVr43F/1Btxs3YpjW0WC YjJObYSk5Ikros4zTjRf56Pb5qx5SbRHcvkJL5nuluL1VFWLxjtz+evx6p4R1d8JTHFW16appTiO ZsI0odOpwYA+SYdKtEDEAtwh/t09BhzMg4cXmMFPICeUZ40Fgp7yseyden75Z19pzROBM1Kk8d6s C4C+iDwZCIenU+fncQx0CF+c1WeYeQG1GtB4wyAHjOgOqA5zQ/nKYTGL8/H92FnxhUcrOLhaVfVX bMmTbrxLrBW7n2EpgNefhgu1wsSG2ucaXu2PLSKpEe448ImiujPv5DZ8aEUO0pHFTZQ2asSV/KZ4 A5n5TwBsRFaXpWmgOhLxGb+6q940pC0fwlzUqXD0BOSaPCvq/jLGBJsDZh6Cdxx5zE9o8QT9Q6q6 UWfZcEcARMqdmoQ+qZOVbosXBYHnl3u9kANZ43eJrBvCOz6h6MMdVhjU5U2Eskfw8oR+0BrVOE1R ubP2O9apYETVy2Yx129IyIuyVqcGK1QdiS0BKoV9YSKSxXhtGpNUxmHIut+i7ABcaHWBCwxs/QaE Mj7gdgM8FFm3CMyc0cCl+0q1boecED4r8m8SF/oAZA1tja9F2rEg+Z3nIg03/tYomeLYPs04DT+C 8m+4j/qr/nJr3txLMMQkXvKBzykKg7dOs865+74kQm1fZjBzKOOJMLqyOShASqKLD3RWyMBmr1bx LVyPByvW+oJzZ7TytP4CaIG7mbTyFKFIKVcweolNg6EiO9ch4FAHoxwVPZgszUKoYRV/X4b5cMjt UE8J3phtzDuvqWpHMLqyB6TKMU3NbPTkvMgGzvSo4+qgWFcBHjaycpZXnXXyVe22vIYwVVBGS3q/ 13p+kxoJUtN+INm6LISRR0WI3nzw+lsgkNDI4q1pBU+1I0GzaAlEyRvPOhd6jbNKsCldNvTElDQE emgimjxoxULrV0HCQUWlSKwrbn+wO2mSlEoj+R9b+7TjAuzKzgFIUZeAbczHK/tLUqf/WE66l2e9 zMNz7UJ7w4Rutk6SjQm6gR2anuY+sOMH4QAZibJnL7fr6WNKRh9g+VX0xnPlqm/o14uD0sDfkVdQ Q621R9nzv40vY+GUencu9fVY1DoaFUJ5E9pjdS81omCz77grSqv8Ym3m95i+XULlInlNkjlH5xSk tKTlFq9mkb46kse4jrVRVJDs/lUKYKoLCcnfzzDjxanWsRedSlikV5yuNEZhDQo9wCM8seC2k868 YTfc7jGd5MrFYNYUnrSMQvsBDBCw2mIL7/vPPQCjy6goA0y4Urr45Qf3F7ektbhAIT6C6x+JwUcN rpTax6Ru5YwfIziakJxDKQVfqoITPKleJyB0s/an49eF8SDCap+a7mh3Ap7t1Dgqa2eijSi/RtqR 0s22DtAwBwcdvA== `protect end_protected
gpl-2.0
0bbf2d8362fa406eba5d10ed213385e0
0.952913
1.819346
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r22_bf_sp.vhd
3
87,575
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WaUMYyOCrJ3+e0D+IgKRm7y7D8B3zV49qiAzywvvZnM+iiPmkjv6jaaleJhuUHr/VVbJ0WVREsFN C3aocuAIZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Vg4kt+0MRKUFDd786MB334oscjgqOf0zx5x6X9lD5FSNEePK+/Ljwwf4Tg5LDl6RNtM6Wjqch9qW bZos/OTOGWCccZ7THphoHP82pYF+16K4OALfhXWSVLkx3LM/Ee80BPMDNyNr7APabTz8t/B2zYqn gE2Fzu+5KZhSDvDOuQY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cWGA2skQ+xHJft3wojh5pJME6yNH9Bx0EVSst3oo1sZLM7ErfioFg1z+7OJnLlEce8cKoYEGeLs6 +gNrKbPWpAp0zSJbuZ0eujD2+nHr4SOW14RicX6Nu4YPY4pKeTUGOxYbcf/pN8mUp+z7mhKu0nUZ qy0JkoLyJSOPAl2alYiDOSzP3GT91SGexbMF9mT5Fm1atMmyZ61lPY8i9/apriMNWgJpMurcg4k5 8kmIZOaR5Gs61/cjT91VyfF1kpg8s1saZbH1Ex+7FN2BQTnYsIrNGQ3JLzMEtYTTf0uyoMCsboLY M8OBIrSAYL2DFP7LTiUBA4q9vMUdDBd7BJdJ5w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Tt5wGX8ZsS7GiZjUnzWwuPsbxkgszeSP5BClrbfLjB8y7WpfrQWBbv+fRT2g91jGODwdMjrR5tjE 22De4WKTDixAzpeOgcBX+Xc0M6RsGaVHzUfK+JkGDEed/WmLKMZxFJ8R+PX+/nXpeQxh8GlrVPhk X8G6I68iwwAP5QsD1po= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TXVckh/ZsyfHWatJ1CThmr5yme5DmLI6BHXKq36gZOA+wSj8jrvriqKEet/Ythi3nXcnflz4qD5k Te79tj+/VmKfxHr4/Y7bqNLGV5KSa2Ku8YeOtPG076xafNifzK5kPQCa6JjI6WTSjqyDXqwxvUq9 OjO7hhzprnUPFZVgL+3QU0Ig7UtzmeK5F1C2v7E4rHsaTRM4G1HIf70fHeMLBPgdxSQ+WpiwkSmX znFKMRsGbOZ/xNa+nhB6dkzvRq6y9grRTcVmtPVzVvm6vE5iYlpFXbpid6zc3gm3VAUtbaq8JGxX xv40pN378Z193tDDnmJ1MsI9WB5AogwPu+Gs8Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 63088) `protect data_block UeK5mRHTk1jIEhxpQsLsgMQTqwnauihqQjvo6nZSbT44Cq5iQYndpHQb61wF/kHYzsYPlplXQgsP 2tYBfH9kWTdStVOY86Yu+HcZcXQiELk+pwEf9qkS3qbjmUeY3U+actKDRPXPTAOOgNjqzI4YMZw/ h5aV278xIXTcB3l2NqX1IvoFrLhQkz3MWf9L5IWEBen2D6KbFEzwLg+vSG/baMERjBL6zlRTOq12 xt0qc6IoXVfypsQ8BJYJjPHkBMQkXYM3aLjS1nz4SJrc8A9xD4KBLMWfhnEiS5ef7MQNpZabR0Cu iyem8Lf6livFKrgNfzzMC/HkCWAbSJyiGc77JeK6ZCMs7iBupNOZVkzOW5E6pstYIK2QwvFZD1Aa n1FC3uhmhUmaQCXWuLOJUS1JVcU8wjpjmdSHTkRvK/AO3ASsZ9+rTnXMaeGGw6y8nCIL9IdLQlQK kTa1woewn/VYa5aLg9skQhL/TTMD0sVR6J8/xuUWF1XBGtaRWtywN20SRjx+vxYA3pvsUwgi0FUT J6rrZMV02eHFXV5qVBAzEacRboUJRURj2LiblyAztRk+MRf/3budxq4aHg2J8RPSWOO4W9mZF5Op 2zHNbAO+koP+Vx03O/QIf6nFpFZSkzmKOLcSuPxxtJKJNgn8x1XvYpZZn2fZ4JlIOAAe3J9f61vO uNIhN02AYD9F45tHiVJ6GytSQ18jo+eDsQ6cQ7lrCqIy0iES6VHYw3+s41Uy244cWcXK0ncjvgom OSq3JR+OeTNLIX3eCCPJCQ2JlIwDRvhWt0f0Ie5Et8Awznfn1EDXvibTO+TkL9eVBQnjo8LnK+Jq hOKkeV++8IpaTpJc0FGudqvgAsRG0s+hgEJptOufkDZXNZGBwgGq0Fw/mdkPDWJbD8ufknaNmdY7 G5T4sVx5MswMFnzinD40RDO3z6HroTOKnrW63c0QesfgkCZsPFzuk7YCWd4pK9FsZ3Ls79m6OaNz P+lCT5P2HQgaGME4/kUS3jPWHXyKs85PV7F0ODuzIjGuBI9rLUDbCmUEVH6NpjKu+0Icw/XnTcmj 7kPo1Rp6QWfCxVUMpJXwKAaeWMKWUBdZu5g0XfkQdUCmUWzm2yW+TvJY21hIbSvMaHaJ0/WPlyB/ QZymnJ8P+5DAOeG3V2o2r2GN6ormYMK/Qzbu4+6c1L1z4PxSc2rUkHqpHya2SCUwdVZrl/j7lCCG 9R428nK3VV0cNspO3cFSjWaMk0O7k8C298BRvKWCBcpo5uj3AbvYkx21u8rkcM06D3fyimraASVb kdGHJAILL/FGru4XQk4o1evo6ub+afz3keRDA6Encqyazeh+SfYpb2VUN1nDLDmhEwIXA1/hy0E+ XhGwgBkqzLPrkvqq312cpsWb2tMIoLonIrV0Ks/oxM5TqiX6KnBJzrToPIIzMB2yQoTl2Ju/wZFt LUJ7TCmlBkSPu9qYW1OQvcvVX/D7Kn6wEoVhHfZhqbi+Aza83PuYqPrWQvjhtjtI1eZnNxk60w8c LxnmncAxlL1yh4nCggwgA4CkGExVOOqzNy5PHeRLDfU3Nzfr36KaR6+efUh7W0i9IfEO0jNuS8Jt dHhRGsjfi0DK1FrZnIOp9IUzgqPiLHAOC0jawxNJprCqbW5K2VDdZTvJyCgM5AMMc+4YNrglB/IJ fES7+156Mb0qznA5oRYyHs4/T7plsKDzZRwrVHqa5hzJNHBeu0JeNNtf/SNtDQz8BBkjETu0YZZQ ZGUGMx0kVW5bywLHErE9T/J29HeCAt3ljygalTPhXKu69ZsJr+OFFDycSWxMAQZiA11C4YscTy/c iXINofC11Fw8KFQtd+xHz0paVDv0pfHy542Ne1s1OozygjcqnSyjFpvB2B6xPHABJf18NXqp6Tdt TfZd+Jx0RLZZPQXrZ9BBnlQskzGoXEhqyQhYyw/3tL+ncKcKbFY37PFvFAA9VckgCT+66z0BzwZL JvbqOgZS9KYlpyusAmedqYSWZKpNe882f8OM6XNljrDIajuZVOJoK0Vhze951ntFHKwB2S2JU2tT UJZUxrbmMPoBMygbTmZeaMWdKg3Chhlm6H4vf/Silo3iI0nlFP/3XzHWTsBGU/topMKCMRAl5axD dAmHWNL/LFmfPqrTLztaFNkaruMZ59emjN7KfpGoMwZa+EVKE2jS5lCU3e5AUkjKYmvzCIBVgpar 7Taq1EtnsDkEXormjwUyThaASvq4L1Ov2gSGVud5jobcXGxnxBHll0RrdDWDqBy97TDtkWnGWW04 ZVCkVm66ad9AHNsVhM7SxLAoyUjhbyoBBxS9owc/qkWzr4urkrhWDUk9RpOW302jPLV9OeJAxn3Y pzBPV/bYIdBPmslWrTsw2TdzoGQqyOLX/wBOZWyb/5/jZpl6PBUqjWHDYn2zba52+omml3ZOS2YK 2yrj6oxA/wyyVgf82rLv2t5fYARN3VkZkqfJqK6a2btoPnZxbkK3z9IRRPETG0OrgCxvB6R8aKi7 uJFZbxNsCm/3KPw0tOjBQNAtg3lu6r4rHjO0dlo6sCZz8aQbpmskXr02AdygNlAn84caKFTRnZxd 9K9Fcz5E85wbi5XU6ehn5jaxXpO5xGfb/k4I18cSh8Dkgdz4GcqWAVqxkP8KjtFC9VqWg92dGa3b f18QaCru9wmWKip6F0aQNuH5cqRdh+XyXFQUxSGRIDoXOAQB0DJryriQh0FnQji3+2hrkaOCjhcm BqAQyIJVX2zE9x8uINgB4icFdTpin1IRK0L9lT6o3SynRDHSNIRi12iO5LIDfsbP9MgTR0W5X0OB pEHFN3PevgMuKnfnGR7DClJ5scDeeurR4u5CvwMHMqltub7kYxvMbkjBefBLhUAsQ25CEHmkfBon 3oqP27oMtZ+5uGafhlEI2Fveexgdu/cVed3q0HqzN3BVWDzvLQ7MC1rqyNDRi7CLWBqc3LxMKMLb ii29Jl5Fe8fO3cmiUV8SQc1OHYpkLYFShrJgyXmV8Jxbwx8h3kq/euc7c5gDbG2O1FVnvc5aHPb4 Qg5/MvKCjf3VDdsoZwybFKBSb5hd7RxVvWnYBPLEPMQ9ZkbBGFeY2IZCVYWSYkbU1grdeWdGM1Wc b/cObVxNDc0OrUhip6s3LNAhxl5gLgc83YNIDq/NsaiShqNPTM6Pi5nrDNC9J2M9aAk0BKzjR3Dm 9uyFKmPSdrkdrDrYkbq6hGO5OgEiWMSqJQt9PLLV8rc1SGfq5TZeePoYIOufmYx6dzaPpN5BtZ/E 2QeVX/qJdeVV3vVLUHElwMYolbnNZwJZ4SzCAS43aX+3AkyZ3dJA3H8yipkWkgssiseXTviP4feb ZAw9KLNKQyasd0BxSZfC9u1aU/4mBGCc7tj6OBKrmk/uDkxv+fpH+NmcveModCqtbC+Wt+NgFXKx JnVz8SDBcFM2cOQYFEiNO13bIudiuYYFhu1porgOTiuI7T7/o1CK4segtbjamo6D1CfhPWFvYhWA JxFPU6809TDpxsK7fgI3yFh2ImINzG0U3UhwqP3Guv7srEOswt3/56S0Y+JEAD66K1pqMTYCPrTb 4DhuoKdU9+w0MJY5eN22i2BLrZhQ70pkVQ0xN5/JJyY9cvWM/zwFuDUxg05g3sAxcwaaW8Ydnb3n OGxSmeEQFNWOL9oBWWqTwpBXFM7eTU1LiVxO2VqIjCgkSH1MzGpvhoLrm1SLF6Xs8/RXFPO7X6Wz XRhQu2K7eVMMwiI0L1c2K2boM9fdERaNXWbRtpMVv2QMjC3Tl3/81Px7qtCbTl6SSwlYvZrzRv04 mTD3sXvY7pjzJV3xa9IZEGxr57kopd9MUp7wWaHgNZqc9nAGdNt/y4ohQmC9fb3ucxj1rwPBO6Ur WMiXiBCHm+tPrrVTHTlWfzbXF4eLZ/FWcYrahfFeujE09S6Bmpj9t+u8KUGQypviSLuxzQD8/s6n Otc8X9s92825koiQwC2raH5J6+vCJTCsHHYz89lLYWYMJj0AQNeIRXARIumfATeK7nfvbSfjxE+V VALlxrZExX2zU4+d/kXoggzmt1UUmSsQHSAqr8PqATDu1ug2+lP7XgxOdx/dqmgct2OXcCto12v6 VK/J+s2DH33LsJqvEjSkvS52lY/mo460IJmMKQTFkiJzjmoflKNJihkoco0dcOnE0hGQZQ8DMByT e7hMH/3EsgZqn+aVegi8ljZq7yxiPZXl2SqJn1LbQYWQImtyqZvpIUMuaF4rYgHefkDdZ9IAMsI4 JupS46QJxx/NHe5q+wOynaNK/0ZzniBOC63ZM28ISMVDOPwr5+Q1k1emlvwplO+R8VOMmSqMjD1a NxUB+FI6aN6yx9Ed0DzLoTocXQYJS9MFyT+VFj0OK5WeztoqfyaKO9kTGyZBAjlvQ8Swx8gx3oKk Rl4qJJFZLxB+oAB97O9XNeTcaHR1YaHPC9n5Z0Vi+YrqjRp9Jb5Ctt1POZo1PEm/hEiMuCHbS8JV 0FMOWPHqr8q+dlaCeJofnvVfwvoNTv8zQREMKh+p1+qy1az/nX7/sGlgSduSYIv3Dxjqi7VYa6Wn EngLAPqxsEF8rQs9Vg/8RjDEE/XB3oRnelDRTwg5atARaX/+xhZBF4+YqAAVyMfXaXETMlQYWEVT Ha3uRR64tm85eVME57LQvA8Jl6TXIQMmdFA3+A6CBtJRhdG7zEN/hj1dynPXefD6WGQmXeY+j3b0 HYCtF4z+mm6NBey+RG8WONLP103uGfWH5fPC1VB5KATRDwL3JwqzFcl1/FVpSj0D+vAMNF9XmcyT Lf3BszNpwQGCHj5h+QDENC6cnyCrtbHzIfYQ6mhLZCcR4Dj55DF5EdZjHqnn49wQ0cDkp7xrCJdH R7i663iCwQNR0+J9x5HzfYzbg1w+WjkCuONSjFXu2p+PYncp1yumtgZYK5zkL0WAoPzjeTK/pDoe TBM1aDngnx8qL8CutqTN62YmLK9xj0VpQP+4aATOWeXJTnbdgIyIMeyBr4IUEIGq60M3pkh2oqqk 7bzWZ2OsK0vihDE/E3ICE/7XiSGztaigLGrJOSOodKp9vC7qIYhPsFb7kqt7LPtyBbBivzf3cbZy 6jq9OxBi3zbwcW/kUOiY7vKjJPGVH+odD6w3s1zS+qIgpwp4epyDDRNwhtfaVc6IHT6yVqYn/JqT iC3Rcv47Or4L3JeqcIXlRXb+FT3nT2NxKYmTNeJu5BqSByyxrDw+Ximfsy97NQy+vH9dVrIfq0r+ rvjjfWZXEZd4ll/wHiDlW2JPJetTIWwfxVAAZ/Pv1fyLW+zcEi7lYkxxIzlXASPEq0CY3zG+uBNL /NaWwT9vblEMDobj4/tXSgLpVXLn8Bk2tWh/CGD3zBAZ3CNDZisGAG4TVnwKcD+p+B9ck4RkQnTh bWmLbQ9xDrerKc1eYqHTLr3XlvWZoF1YJ2B9gYas7r9PcScwabpL5q6VIOeLeeiI0x5XrV4BZUqb dWli+orGdjZQrvUL9QmTPNOzekC26u1zIuOuhDBLGHHYwnr2iKlY+gjrrdOZ6nUGIDwOQHi+VXfy Es7fc3ph7wQK3Dh1bTtO4B3yLosAlVymOzGWDszRH6dccgiIyo73ACsil5LM7bX188MtpbBQDjBJ ahMcU+YS5UjZPh/awlGDTuIE7Z3apR/K0sgkchpgt/X8IKo8hHYZ7kdeC/bMmU7P9dp/V35wStqo XPw+MhRxCethUwnz3MHKum5w1I6k7MFLbQpfnlwq1cLIT0SBfZ25aS/NBlWWiOAfMXqbP70nOL2K soP/f/5Q1S1B0/ZtV2RhFQpWGwYHokq7aU68/MHIObzHWqbjJmyFnPwXa2el4vbOFT/dDITBrPCh a70MO8kz7s2q4YQCFLaonA4ASZ2+3E3Nm4CIKPkVjRCBxqmdRW9s0VDDsBZys9v96Vu28BSfZqPx ET3NM6H0EuyQYp9M4aTC+gWD23Qd+zYWKSFfbaMctbBjxc9KD98sMEJzt9dkWQyTK8nC7HGvSi3U MQkJNzSPKk3yo9L/o9hg6erACq+UankwPAXcjhmeu4SM//tI7dEHP9tgy5ZzdO/7qjuVAyPAWXqa 1HPSILu+EY5q03pUhmN355IvFbLHU3cX+jnhioSD/FSjPIiP1L7Zk0p4zD2gCnhTmyyDEfpNM5O6 FF2t9+ziv3I1CcOo9AP1UUuMCqt2EsSFVX/lONg/wInHPpZ5Y6lo5ywc1W+ccPzuyYH/CBN7epof mlXQDDOHu714vq/yyruQ2ic92g+QAxE4brZEXcJNwWIB46phzWsNwsbzkzn9aCE7ebhicRQWk/4/ D/Ktqo0u3dVKHhhZ+KeeO6Cy7gzyzu9MNqb9ajiZ0GCSv2Onau0PTUJI+2Ew7DXOByBaD/1io8aj /7iLI4/N0djq80XhVAfnnefXU82oCnzj2A/Qsa+ux55eJQNBn2j4Zm3LFxyPx8BCVToG+3GSwYAZ gInsokZDVG5IpsWdXuJXUQgIYkbcbbkmd2W8WUV+IyRu9nNBR1usYgl4lkbcYemk61fLcUP6OOUp njfGRqz6RRJIvGZUpJpr0Azt8hh8HYa0BfFv0guH3IMW9kPUDC6halyKmjBQ2u3EG8ymr8DVqxzQ l1o4f8Iefn+e9VDG0QgqfCBcsXh6ZU/AUI5RRMMv4z3nz/sNwHnaSczdlnBI+JR+ihxXfPslJw/c HqOIPLmyTQV8MWonFvnto66kMjwfHYDk28snJzl1R0L/M4Kw4vlTedsdrALX1/Q2QCft7cT9FQQI ubhKanGAj2OMi+xJpCVzNMErMkgS4FXFdxycdINOKRT2Sb6G4y6aSODoJigidlTYWIaSCivyoFHF jWEvo5xHm99OyJoVWu6rGbMmQKgPIte3IY+X7cqBZeTcKinlqRwTITzkGzbAmBDmcVhkxPldJ1V4 jPin0GgotKuMPkZAeipiD9llRkJhbS6G9KQRKATVMqrp0U7owVbwEUEC9aMakQdrKgZyXUqwkRwA SJbO2qYtj5hAFdOASwY4t42hFzIqOBqsGGn2hYVLvaqik+DAJ6Bh6L4qS9qVpFgxe/PFj9gJcqEb Lxm7db4ekdp0tw9iAAUR2TzcoTYrijdEBaez4IgarJgZfVYqWj0TgFebCO5TfUX0mJx5ovndabnR ciLzT4hF39LZ3vbSvFLLcp41YQpInIZq3in5xnJJZAleo9r12PsLWs8iivf7+0MMWF7QbD7Ro1Ji XkZJHjH+YarZbwj8zfQaVuuDDIVbmBhk8hBqAlyx+NrzAQd+VPcQFhmktSUrzfdrnhgouz7VoGK7 QUhsjXeVQKgOFyilVhm7c4COdAy9xMAm7GS/8+heRPtl6hT0YJ4NZsqq6jZOQz6arMa7pTJ/rW8Q 3T6YNH4v9S5gbbDrxwWDjszVngZQqBqv7Wn3+pOgZ5ZwAl21GiceTDtuqEWAMbzjtWRnV7Ua7M0Q Kszc8SNyOxVQJo8uoL2NtyxgW/2UliGDuDs8TUEB/vhveCl4fabf6Ghhp3VZDpmbLYNUIfRew2eQ yV1Yr7VgOL7wDS99ML02jM1QqV3al20I64ulN1WvBVKj5UllLDl0IVnZs7Dh+hWFZwpgPTcrOqTi ToMjgfe5CYWOxoe0yfEQTV+ZFiG8ElaK6OmzSaok0vB1g9RC+ir0/z4UTYV4YoDbgU7db7GU0QGQ +neM+7vz7n3Q1y/99e2wvQVz5teUGcmuxk0Fu0uJ3G3mFxa6RLh3+PajdND73cTeMEsPHTk3LIk3 rmf2wGQIFNg3g7CKADr75GafvhdtDoXoC+aoeEm1NtAJKBOVR+FXQSjvDQIG6Hf7ZD0VZsCS2Zcs Y/MH7VX2QK48rq6+1BWd1XfG6KuxLVJCCVJLNku8nBanPGiL88MM25BiPldpvBD/Wmyaf+ECiV7m kYU0xlcW1WROdMu8AuQ/FVrnxQXSgFuEGH1zpKgMLBTZHiTVCY9R3PGadAdMS1yvmGzhEhZS/b/Q auc5YmGy4+YokpE84C4xcktKx9W9/hVzfIzSmpj+H6PB3JM6jubK3R1x+7uxKBqlPXSsfNCn6Zy/ phsW+k1JHOoKnOCZyPeykTOtOXnRAjwu+XrU2U+9/44TvkBCpRBD96nLohF3mugdnOznxEUeFh3h eH/nAB9FqWqVOODqiq3aaq2eGv3EIRbW1TVeLgtIO0CXy36Kaxv42FoV/V5J3QuSUr71gg6xntQH 7N7xZQyoWRtJ8WIYjZnJzF55+wJqQ6njn3c3vLA5OPO6oBL93PySyduTgsWvWvTNSia1wBhP9MFi M4FSOKdlG89arxDVRe8MxrsUVB9IKPRhITUEdqAcDjekLWlL/ApLAKl9rTNZA9wyQLBH4or50moI yozbThoNUi0IKwUenrp7VIvcSq4yf/3yHNXOmPw96hzzyE2n8S0zerWea54rQ2fgoDUHzsi1Ld4w 3G4mRWdukhu+D/e04knhST9AoUTfxrnZ2tn27APo+xACNhnX/OSrIB7kj5rpyqBR/zXdUUQbu0F4 KYh4eq8O1ycDPjIFkPsv5Y5kGSWKpH477arb+t9quTQV67a0kZpSzirdJV794WNoQ7UMqyjA7O7V Iwbonbgzq/yldH8cKfpkd/kFBazOjzrawvJ3D4FwbOjsOsN9oaAIiYW3tCdRI0AzG7lWI3OfEVCa AuUHwFeStjSiOf2RP+TBBU+/CiU+A/NPC+EtxL+kauTMyF1tCgyKzkG5TDYet1je1w1ajVjVNyF+ 0pTsVMk2Q3C7xMhT9lP9d3pYTrHo54xsBqGtV+GpQ0SAXvfQaAduYbj/T1FMWfYe8B3uf18mdI9X K6rUK7GzsWxTZ6sTw4w9+Z5msekeP+HWZxr5eq4CilT5G5r+e7VQJtTCGZCiZvZNBzugK7t2J/3b Ak+/ehLvqlFCuLwQcB3FoaGRdEEgqfNywgdn8++CT43EGhNyR8NGq3VdN5chIv6BEJQ74W8YAJCz AaReTGSMAX6O/dOnEippTE4ObsK7nlaUC1CucWLIhKV9VWNbM9xX2J3mMJu0jG0UD6AociRTbwGs f3Y1BtGALDkKBGTW1uVDOnT5O5lQVnr7NMq+8w/3MC4gkCUO6ik9vKaFw9TtDw8UeNMAVPOYIoa3 4MWu2GLDzRZhhJOEcO1fq5Zm+miPA7QbNmeViZjWYMGJVewb/dy60NCvffFJqgu5VO5g39wKXSCZ xH0uC4hhBA6nr9XbdOq0Z1EqMCHpUQeq/EaqQnjg1VacfET1uhbgUZmj7OFiJz6EMYiIFgE6KNLQ pHpnrHJAIDyYZSs2mngq5P+Jl3+1ZNY/X+Qvv/YjRoFFDj9/8FLNNk9CIGWTOQBsOfTG9NFWO4kR cAe+LloRZQB293O2rpAGEQfJZY2edTu0MCrx7Vl5tF7HS2H2RFK70sbqbPDqQ/X3/+Syw2VHduBC QTl15q1pQ9PeflkoHrIVYl6BgEo7ivIFrYgXgDnO3mR5zP/Xqj9X6RmO50+VcM70zlRLPdbC8bQU 7EKyKVlF7wsKv6S+OK7wUuN+NFfamnsGf89fJ+/nGBpnlUqhlmLKk9rnLigrYXnHwbKPXMaa7PzU uWFwQtiKzp/1Nmm1eh8r2/fSTMx7YiuZmYF/z5W+1T8zgJxA4KV9gZhK+3R67qSdcMlhMbmcyFCa nk6DAIeDnfqhB+tzuAvtM5aeteU7+FQ5+aM1Y17cFhRFwnI9i6izf0k+XI63CSukc4+xay4G7+lp DeirYNnkigpLoL7ikTy62dbhf9n+GkoDafJ13BawhQRTLOVImoP2nzWjRjWZ5dx+dO6fGaX6J9p+ Pa2b1TIV5Qo5h23Hv2FxV6ZiuZ+VocKL1Kd0h9tPswd1trK58AQ4eUwEP5M4XPLFGTipOCoXELeL MrFJKJOogbuHaH+geczqzjMDbZssyrEQ7UxVBqWs2kqcAudfWqlS0kYBLJJXHzdAajgotGaBa7vC dchHm64fJ2ciR91WZzVSTsqMaEN/JID/PcyFD6qtasrWIUVuk9qdYJ+2noKb6YfAfMDkZ+nDxBi2 4bf18fbBR8vd41iDh1pPXWW1+a7flXyP9NfWRWbw7cdFehtaM0AbLNv/Yysgl069GDtdJWHQ8LPe DKvmLj9fEV3NF6VQKb5vudKWpzN1NWTcQR8AT88R7E0xmexJpM441RFbJhYn6gvKl4SgXpp3MGn4 DPNTyDslszsvTdVNyhCqGYIsYyJw9rr4viApWcg2f2FvykQlVIwFbK0hcShk5gFRuIUKrhTM1SnD 07+VkRDLklOnjGGWxXSOcBfqfq0Pi1Y5wReHZel/7yOi/7a6Uk1g3YxvtPuux5Lt/VkfONzOiEcy +GMdY1vL/lqUGQA5bGR42lsQH/bad2In7xjz+4+wD3HhOmPB4WSwjvsCURZ8QQDfoU0B8+9C+CR1 +wSMWLbXVqBv+U94Hfm0Lb6lx630V1N/Z9qebb8R+COMAnbNJ9rGPoSQMZx2eSIuQ+PP9im7qRwR oTYkScdsRvTZynf6VTwvB00FROzx5DUSdsdULu8PW0pToVliFOZGOjtq1Yue16u22FSdQDHfRYTn dOVW6Gwgsyu+EB1tTJgASFLLnQImoXB8rjpoBDCfSt9kBB80piT6+5kiZpCcUbEt8BOMhTNv1Xhl 5xPVKZB8u4+tSA6rNjl4ImuPEinrVyvXvjjXo6UCawmWkzShClYd5AJbVDfgjma6a84Yaz6avt4L rsjpR+8JnzkVyq3g618+tWbJmIkL1A18fVQJRYBofYsxNJOECH78eKXMHl/J7fwyi9RxNIlTbKAs gwWlGNK3XmQMc1xPrcq/aPZkv2hUQfsZo3babolHqFq6ptTMq6UFyy6TC8FWmmYF9EsyWlTBZ6oQ qhJYT+Uod3SVKDYGwecmnLj4xhv4soRrHBU85ZK5VSHZQexjnJTbfjZwkQRHW34H8MYsr7fwXtKu 3kSZBYmZKqvgTogdmrLarC3MQda9y6/Qa2xuO/GxGNcMbTQvTAUWzl6lCDxZYihTVvIk9W3zjYDj EfZzE8+OENyJn57dGMHATdlHZKxcMbdExyLyBE/1lcJC5Wp8hA+FFiGcxoWagQLVi1hiKHf4v4vA 8ORGE+ay8AKHC/NcPvxBNfZK05rcZ3CbnFEoJAImS611eN1Q37jS6+im8TrmL52Oj9IJWFpBK++e 2Uo+lxk0OIg4ZRLDFIm9+vwOef2OjU+HF2DrgT3JPryXFYyT/QygfbdDPeNp1NBa/bgX1Qyv29ao RH3M1pcuG6YI4W5nEVnp5flx3f1RBcjosXcJbTGFYvwoimp8ngmMWlufOljxFyRNXz/aMIo3HycY gbqMgQCzECFIQxecrIDZa4QmkxJK96IBy0beTHuvi9y2K90T+uShEaWo7MK/D1D4pR1O6hm0cBSr j5+1MemQ/9qDX7o3ltXqsWJjxkpogjNSXd8Vri6v3Lo79WQAm8inMeCXunsG0hQ6JjfiFe3dXIlW Y6VE2U6cEQsbMxl7oMoSGWEpr9FUMyZy9mU1eRYBxvBj/ks+V4zyWzXFfD4rbhd/ICiFJBiMxHXR nPRTaEtxkJpH4fljfK+3ZcqHe5ewMdvB5wmggxlad8rO+Dioc1Xj6uZ1jklVwI5T2q7e8ZQqTYw5 Pf+P5Wtykm9Xf44PJhS2/TzQ3svBvRRgt6MuUmeyOP3cZsO/8+PfDCRgeDeHxn49m1dJ9c8JY893 hdvIeFlopYYENal/0XnrtxuwiMZaPWn1efOU3M3BugwNAZfDT2oWK1vZgvKEB6xHK6+W7Nv0rMwt +V1mjDzcPOBfcF5MhAfQilDo97oSzsbAFTuivewzOuDbMimUUOUN4kxQ7wyBVX1pL+TuwBxMeRob Z22gRsgn4DvCch3RI76NxnPz/9VbiVAj8reVWZvC5lhP3aIfjW6U8qy0Ol31VTsNe6+30/Mv8by7 ETxNLEUflhzHnFFuhYKqo3a8Jla7EbjgrDq0TXjT7oXD6JXekgf3F9TVIEqekaVN4x6AK21ZBKbB Ini1JichPDZLHMwyrlzCKh33gX20dwIzAr57Jiad1lLtNNpW2+teRlBBzbRD5aHH2MmO0Ap321o3 e7czlms1FuHGSU/Sr2ZI32CEylAG0DVfbkIGDWnDBRJ0BXa5ncFJ/YHPYBz/6QNtjQN372qdelxG R0FS2XqEvVNCi8p/5jgoNCUzShD9ZK0Gbgx6zBtHE9E6sJJks4QG/WRja4mjXVt4ifxngbZMBYTm h7NQVJV+QJ5BrmGo6r1HOhB5fpLkvBxwcQ3v2c69gk49WGSRupZzfcjzCLxFyTqRIa61WYYkLWJp eCYVa6o2vKrL4zat51bTQPMGlR/Qgvtd4GX8EcZdTaXXIyQwv6ZBpLE7vdEpj30AXKZmcp/Mlbif PmMqNPuPn/9jCbk/kNno4v6/AYN98ZQGIq8QKFivRBY6zaG2pFUZMDz9AQKsa2GJia09R3vbCdL2 DXdbYTNkxxVRWZMSI+v70COm3bVmYYuinCKUWBeIZMifCgmoGIwTFAnR7vvelkkGSFzxrdWwK1GS QPexSDPlwJb1VEFHX9eb9zpDDOFcNxRxt2gULGjh+UT8Zp5Bog0/ONUfa+JEjnIXMk1MCMTh6PzK 5moDLpT3nIngsK58oVhnOXoSH0HSO+NhUbovGf1vuTNOV9K0RFVpEgoB8jzhyqt3ET5+yUUi/dib TtUrJ+Ng8t/5R8MnuMZWL2cAJZeUBxrFdvhnTX9Fl5wExYq0B2t1m3QuEix+Rf3NjizhEH2Z8BOR taDqT/QuTv1EUckRXDhnddx9ZjTpCRWPMRsKlqp0CLbuqGTbV1Kf2RPbZMm/aTq7yOIe7mCBwyIM Z1qoRc6SksDUUFiXlqFxuMdCIRn/3IOq6k7JSnYC2HzMt1SKWBibgppSpr6WIOTE/UxiGYCpSK+O NMpmJSMpfrgG7vOcAMba5Ou897qsFBY9THrkHCk9urBjUpQtsK5lJtY1Pp9yJMJi6DmfATH4A1Wy uQCXteqd5M6cQ/cZJh9NddJq9OzRHxJdhEVO10Xu7tQ9Qp2hFRFhIejkJGpLsyvMexMEFGZYOOrt KpWIGgPAq9c9ZHdNI0NHRXEb/MW3nRGv4HNVR57UWFiWwSHT9qBQExYJzjkTJnSboC8AH7r+70/k Pqea0oezOdfrNTQXDWlHluVJV+XBJmZ6MC5JFeJTvcNGcog+T0ZAImBO4/Mf4CZ4BcmG4YfdkzNG npqDRgjD6qoMWsTxNCK22ChPgiu0/llVjx1t9rOLLtvNwrPaB92TSIgPhUlINHPDpAWKQHCimraN 00t0LAzvVVWorD8zb0wU9J88DPSUd+HoCWj2fYX/A/0KjpAWzLPoT0CX/kpBN7O0mSMtt0pPhAZk f7E+7OO9TrHsslF1Zr3VON7aV9Gpg3ZNFgc6N2u7oRj2L/RCPfT2BZTfDVkXCnAJ6L68BVKT55Ap RW5rn28muJKoiXujOLoUWLZcOfC+Ia1ojtJHBjk0kjZ7FOfQAD3A0JvtyTE77ogZRanaTYVPF127 Y2iuTuc94EpYG4C1lWdY/zEIQAgO2zjK4cVA7SaYZO/ToZhCCjS/9Jpw80jMpVKvJMXTfgtuHfuX js/hP+Go1GJPeEPMUNKoQRXC3tdMNo1MWTiA0vDHta7Zn/MpfmbTGD5akmAft+jy+M/PMmpiZo5X IA9BcfV3Hg7MJ/a5a6ICBkDL87BuRX8KGyAzyiHxQW6paZyPdZ4EhjyX4UwcqpscDERZiikyR1Wy jIVdGt5I+YT5yMSvieB/gPANRXFpQlDrjkWPkyoyJtp52bPJ1O8LM0Govzre1qegEAkH57XiFwjE jcL7Mv793HBahALIBGqTwXlDhAI0kngrr31envs1/cPELBOq59j4eunNKkSi1YAj/0iV/J4iw5Rz s33qzLhjd2EFyguUCtnwC7uw7rNaX+gt+7RnrZ5/oVXyGhyHXDHl1K/l072aK3ezFQp74UuiGOfK SobPwIj/UARdjcuj3xOsu2RrWC3A4zgfD4eRI/O4XNAuAzMUxZEp5WMO9d4OJNMIQZxh07Pnz67j 5LcSTcJib8DyLCOIVazhjf+q9E6lF9MDPQA2QI+zv6Y4AqGBxWApNIXKNh0JLIUCu5MBcNyi5Jda hK2W7Z5wNLDnOvjj/gVjpvHgq81gGRI/SdP/+hWxqpA4TD4kcwzPmPbMJ9IJhABoZ5vZBg94YMnk vd9fOL+6uFaUi6radq4T/nLUZGeu4KKHzQ+UwTNinfDE8kN42Hr5UC7adxWyHG+VE5OZ21WVPZzW ShEr0McfJwqtD2kPSxEkpvgake6Dz1RTGSJ62gzWKuc7EAT0nK/RNdNCbv0gxCdaTjhAM2CwHqlN w5fPVy9mt15I+AhPdnIw6Lm1t11yBeMyd577Mm8dk33lMfotQLVODITOB/0oMXB9a0OKcmUY/MB/ JZ4gRefCeEpeNPxpfvOiWd0iAoluSmEGsRerphIB5ps/5so83JpuTUOurtFrkCww1in22ZhOmzhp Z6BrHuVo9ax5rcUlxzKekLQ/uU+PV+TB4hoMT0w54j4NWbYKTSFfvbZTTO19sdPHpDI0F72jPZsQ lhKcsFPr8W4Ac0y7suw4s+JHc0/VnkKeHfQ8wN+VBKTqKEe9JB+R9Zo/cp5WH8uP+3aWx+hMsOBU V6t4aIWZeMw3WrkLYt9B83bmMomeD5t6zn2Mk3Wz4463qPCn+KB+NGviXHK2lTyzCs53CbOeosFI A4POHPniznh4sjM4f1oEseYWhurLB/zHY7bTAn2Lna7MsEDlywhCUYq9bHGdvcKhEp5/+4kdyPcO G+7r7L9NGfGHI1Genkg3pzcsJ0+fp5+eBngHHPzyR8xQIhyxtRQ04Jl+/KzK4h8aRbnKMzDZiWAb kgbtoDOio3v961QeWYVAUOb27QAHD0ikclBtL/HYvZ99noRjwDJHPmA6q7KppFguvg+WDHbl0wjn 0f6cpt7ua1C8qIcnmDfZ/9o6pHdk0jpPBifHMaxX10KKxmyI+hGy+gqKK30rRwUTOldGYafh5hTs aYPcIKDXswrtu4uTdVUWedr52K517T9Mp3gacI5GQYZZ/VLj7Deg9IHYqHutrki6PJ9i2NvW2bR1 7a0sHCmqYvQ+zKo4t0mRs69minaoLo6uhAFlkSxbU0Ehs/y+bL+tUVqw7HpSqpF7TMMa5gXnJC/k Rrf1p4wMMWBx/2BfVvJFOZtGfwkcKKJf/6OKQkDIuJL3prFwBmx57HHqiSdlJ0xYJZGvYTSEUbgp 7o2uCWR4KfHHx7tu4Y9g4Q9t45N+uaHhXuSKXhQVpykaSDJQmn6/bvuH+TShR3F4QIKiFvukQlzd BxmHXDF/5+syZpmflKN5HvSrNHv23CtIjh/85QFV74hY0JutuwCJoY/p5jqPi5X1r0LBgZF4llQ6 zNAliEh8AX5Z+8LyvBVSggqRP2kVwAsNs0x+m0GnfSG+I6CdDoh9vAmwokHaD5JJHfkmxyh0+nhN r3wmxFBzRlvCmAUb+/uydzY02YdmoACIt7iYlCixAqOCdPc5Gt5fh8F5yuNfJKRIE9R6hYOjVp8Z q/so9MeKF0jNzfa0oI95CLeVWEmBFEoEHEF406Qhugf897xXEBNjaEgciXyzgeJNT6+ZDcIgm6Cw h72kumAagv8OYyJXjzHOVISN77fYNCDHkwTB1j2go08QSq9BlpccajQZgE/4J8puMLp3w4hBvAR3 Xu1WAhB1y9xeVqyOaF7EPX99aFIvoN/8G7cs80+zc48nDw8p+wz+fnT2Ivj71EveP5Nky0qRoarr 8i188j9gTWuhEv4Cfx3pqHi+6dlqgCddBG2FyrQBqZs237J9yO1dayhqqLzSv85T7lN/RQzXlLGK VgWvgc9zDWsBvPiZQulEpUknexvTk0f4nywuxkriveR29gB3Rbkq3vIPv0V8P9+RK/HB0e1BCXiy TYhPJGdzzDGAVnIh775Yvd2ztdyDKp3CpYbAGJ25G+a8fy45gk40nPwkHLZaQl+c1ndRJ33TaDY+ dga09EGrwKjU8N091s6spMctXRyqXZna3YEmdcd3zPq0cCDd0Zsr6g2eh9k464bjKKvzeXWZYJ3q ch3DYVv2RMnkei79lYXBwoMzRUxbrFwlWuxcFhmpKywtGDv51RCDgt2IgjjdxvXlcLmiBXQW1SGB LPMNZ5oWRIKn6tz4yQZs6KCUPjRVZpDAzz2cQU8FY0C7QXMaGmszDf+2hFTKeMf2DpyLqEoWB72L 9aynB9AgdSk5USvxulvJ8tquSqgxU7dsDDBC2ZVd7OHaAZlvjsk4+BADmj6nT9fPkzfBeVgq0Rzj /ccgaU4yngdy/KMf6mSGo89khT6zp6L8IJkbl+fMNMEGFMDTBZ6p27UlO2sybIyh6XXwXLaDe60Z ph9UJWQIFrQDdiZFa1XY+12iLJLZR6Y0DJMRz3QfCrXrsnkzo+wUOR+RNihDfqxiqFoXsltV4NpM 6V1cQvG0hXdiKfdFufCXLjCFOAN6TAwKBSCVpBA8OW8ruQePqURjQTIUNGcn7FDU5q2QRCCyj6Ml /aRw2prlxJMke3q9Zi+CWs42To8mL3Q4RMLFMe8yqMkLq3LOlhFjoU8zwUboFumw7BPGK0M2/m/e 08UTHaxk5BlLbJtJKFLyanJcSJrM0YZ0pOBPSiibiNszgCaYlaTh1aphSJtSo/TnzwXc1776Mgmf VZn7DyMqIXK0Vff99Xvcyu5jVXpwZ9ySDeBn7Bo5zRm5fVSApZPdJhuBxy80l6bSVfu6laB6C1/l Ti8ug2gS/vZOVv7H6Ti8kTGSjchMookcMhvInQl/sASEaZNpKxkKOjsINyrQA6aOPTADeYvDxed7 CL9T9be/+k3hfeZaXP2UaB4W+RCn98+k7Sw4Clw2ZDO+FK8LbyCLA5DaSH3FndXyl8rEiU7MW+py fUS8T5tuXComT0Rhm4b2O2kIhD4qd+5a6gmRPpnIFvCcGbOZl3apgvnEyy358xY8UWQLh6OY+Gui 6Ov0rXREwtldeHBN8iSKs54euzxrJr8HRFm4hI4+xy2OTy+ozNPbSnRJ0DTVg1Xr10eYTz11feer 3vLwKmLMOh8VJX+UMT7Z7K2H4oRv59TVw5VU5S80jdyKN7HMwXcTa3ok4LZlj8+OGR+xeABjNjwk Xy32rDLee4nyc7MZRQ6qoKXbBoF1GGPOwvN9837I7AOZLU/aL/FP2NRBsEG6eNYaKkMRk3zSA4pL /X4hgmaYHryN4S5yvEXG5u8qlQQGwIPbvoKLa7aFJHG7eNx1ifKtkHIJt/s8xHZQwqovXthVFc9x 7hmHRuy/IwAwCLWNsQB72eH/pnluLCrB5xnMZpeMP+jYBrOjE9hf6XOYgYaDUX6eH54+DxnRl3ng pJflil/QPuga3TlOT9W8+92rcoQWqnhuRgZthhAyp4em8X5H7R+NNHwIGwe/eB5vqfSXq+7KNVZm /0bRPbmKk4s0ZlZzyYbjeyjm7W4synjvQEd40emtwppjlK8FvuRngLwbIx3APCaEHQAT5AusOTii hsqSnOPh+jQkfQv1ILyFP9vWD1AzRwvAaoBahFuWnIv/usVbpTZH+FKOfYsFUCePZG4OJfDW9hjq +x1dSe+T37ULg5Cc0monkx75mGYM7xYm1qfox8n8JEeBzd+BIcKjmbohN9o0UO0Zue6pRj8k2fAy YesULnn3v6jvSHfHV2Z2XllMb2zu2EEigJhfkCUQNUeDCopz64AmLUSLHqOcfdeIKubf5dZ8T7Nr ajcoSBeBofIq4abDaCwFO7d8ugZ3BSYvT8Hzofm7nGLZ4cbhnBM5TUBrJYRrPV8oet5prfQg9SjE DnKpMXODT+ga0XF+cVVeEPfFE3TGQby4rWK+MUKH5KZOjuRzYUKZgHqhp9UExHyIh3WYl0ImCpfS II/o8Jd16PJ1hKeD3l2PmWD3kYeoLKEZflgwHEtjB+CvaCEUg3LR1vluAGX+MPRB9smA299sulRA MsH3ysfoem8tQw7rEqUDGiJ7ENufHg9WDz10IMRk55ldqYsKVz0KnjVlrlQUnYKbX66rkU1zKsgc 41ux9AibEE7haq1qAe9pVNL7/4SnwnhSkD2nyavzkGba4l8SZPJyNmnP25FZWYGR33AxuOtO42hD mvPY7N+WtqFHkEDmnEd32vL8YBaIsdS34MHZrp8sSNhHJTK8fiBjABJwWfz0n1LLO/EpzLupZ3YA /qBltJ5PoT4rYHeoHpNrnns2I6Be5FW8gOj+RwYuA4c6BxEUhWosw1ZbYYbm3zJoeKMLO0XSBFJJ avA/zy0rjjuslsuJeFCoRi+gyNS5vHS2DOIQhWt9SwSgGVoeCK6FVz3ZRwlghY1dpfBh+2+GbpIE 1AnPAagG2/7qQPvh6ys2wIgYNdGDddM/RUjjMtA4lKKna2TFCHxohL8YHDvb4UkgcHwNJvA/cLQe ViExdEqX+UXZqaR3YGAS7OnORwBKo8fM/4jLA42QIWQRPt0oWzvsZ4a+atnR6m+Asei/+r9D4NzG EgZ83Ep72FfBpIuVSSPS0mtzm78puTvhgotx1Xj/2wcrFtjjGnDCrEeFWwBLcc3A+aEKyf84y6OA TmBXTTaJTQGiOw8LHGHstMYgO5w4SKjG9+7TxFId7nZwlb3VyJklQ6AN/+U71nFfQUBXmbsp+85D V1hbnbZObOwMfg5atTFC+Ye1qkUvl7/mFcSfPJvQxcbdukz2s7HxJ5pybC+scQ4zXGthsrSUAwDO PanrDz4lKsJMykprJDxebvlxW0sYWZ1XwHboiB+7HU/bsG95MIPRrYgxIY5cspvmVmbYLCrHTV0T lOAONKUFD/d0q4P23kn4iPqBthjchEaeOGTjAg0I7GBel6CPKAQXWuh2BLi9sdq3jtrI9H+Yw1c8 KX06/khT1aEebuvIXS9I3tk7KBf/ZfPmQQahXP/8OZ9a+PwH6syIRfzAA5u98b3/Z9lhiDIg9Iix zdRetDI4QXTPNyFIuyI5cwQ+v2Gs2kRoX5mp1zQen5c8WluqvB9NwzhLkJurGENBfyFKyH7HHGVu rTegIYCPKbz3QyPeWadwtom3g/Ndqx/ggsVlcUOToehCQBIQ168WxJAoQniv8pEA/FxryZs+Dl1F 9gMkUjRzvhAr61IRFwaws/w+itjjcTQsPXQyjY3GGxZR4C7QA+jOz7XMB8b+zI/NEOB4bIycX5Zw D+RrcS9lIm23Pe+OBYx5GhvE2dEU9oyf6tWBw7OtEn4qIzcYGhM3RYNObbVa+dX0PxFe9mWx9EyF L9C9luv8npi+EF1s6pY/9+ViQTLPwLw9RjaW5PC8E7eDvYaWXgv5Plto3s4QZAJdgEs7SOUL4jFH 5QJnZUSazSIcMhZnA9rZ8/mfl6wtOvtj6lttIbqZTjP4QnuK3txa+aYZZUpgiy/klpb0OlYkgoUM UHc8HiiCpqaP7q4LifprFPYZ0pvztO1Gi0MU+W8lhZHU2z0tbbG3Rvkja1jnDz0E96MfesFFTIFU mTeo3H051+6BUlvmX/bkyVyJ/Cjq2BrM5tosezSGw93G0CSKfa9xaP/eYXF5HxzMdIPSppLIBmgy 08YgU0QGK62reWYMG1NQUG9XFOn05F5s9/jkEHsQpPEaHnUgPoJL9eckzYjf/XL0KjVBxtdjzEfO DWyLKIbTq/SEVG/NvPWuLSCiCXbiOrRElUw7gaAIQko5sN1kJQklIP/rwWTvl+TLKFf2WjIMqpxw 8nbqHK3IWwZiIrLFnztNFII2OtDQ9HyVqfGMOkSpcvCwqOPD+mGmRhPg9rDfP6npjlqeUKDQd/qh +0SBQ0I3mXJpFDevZrsss5oYcbQQDkvx3ckQStjL4DMDVI6pNx8Hn3sdE3EhZIdz6FfeBZdhM95s n2fnL9xX7UA+Um2r+B+cvxr9ZEZwMN0CIm7Uui6zL3hssMDvUpPqL43KrfzjWcOeNGgbrXQ0m70X 70cC3GjYN8JavUO3ONgpz3jMp2yg5o0gh2nrJsuTYbeKR+7wnqRkp19iT9WA5DfcfYS3RsDZ6jO/ 1Rzy/ZQH9udkD0bErY4VTRGxF3NSGCqm7tMHAywZBfZltXEBhAJLrzltdXNyzw0dpPfJkpxpOWfe Br7bDMav9QtJERFXRpxHyEEShEbiJ4W2yDv0WKAeDXAM/XlQiQq1XyQ7A6egu3ONRKsaGQeoGRC9 jXkUfPmUWPxPzQOgD+DrR01q6dOhim3LtFSJvL4bk6WBZxbNYeKot2/XvQXFqLShoqSe0WzGWuxY ungmeByjV/XUxYX6h5wXac8cGghVvfvb36wes/Xyt5iYLroAZcPWyL8jOKVOKqEoNn/HV9yPaExh 1vJoIDZ/ge12NntW7FuMg8nIAmgsUdO2fIkv19IcRi/JGgUYNNN86046TtzqanHlFmejclLI4pE4 BXYjtLW/4SxKNl5iees0AU7ASx0qW3WFHXDm1gt5qggiSY0KyzHQTnHhUGJw5bsW2huzY6zrCrG7 m/qOBAzf4rx41TlShdWCzFt5b40zoPZ4h3NXxubgf7riEmIiMso3J5b1pVz/U2gyU7nUxOWOCujl sCyrhE9ricq5tUXrEVdK1skenuA0ktNb1mXExT/BxzDjCL7dASIgcvE/Rzd2ye2LY0hfqVLVoUDi Be7ewB+XIZriQsO3fSqWJu2CsnsKEwo9ShG+3pNj+CUaiUP4vL2HWOegPn0d+qUPUf5xssP2jF4J lXc3ywhdi3RaLG2imuvPjaemR7z2i4DYcLInSCByb4ujWiK79VOvXQcbje1pqp5xhXO9z9yQKiPx 0rqgsb6t7miPz+5QAeGKYo9M6Zrw3I7xUtnYU5Aaa3J34UsxPn4UN9r7Qlr8+caQRPojGlAOuT2E Ka129buhvrsyPM4C2tL6ThARweA5s9gLZEXNbOLVK9L8SEZ115uBUETARsGprmrMB9NsZej2NcAQ EJono5QbdA8X1JmG6uzac9fcDHyp2juNFhDS2PvokNASCmX92F+QYfgA9R9xnNEVywOhjnX7AGWy 3hsKnZSLn+VplTZst57tfXPAXWujUkVMjwms6YnwgGC354iPLRWdmQ46CW6iHT2Z7+2TZNQyaTrY nqpwe78pPCs68pju1pgCJEXPL1njS7HdP7kU5MO7fJreW+CbN19gCtK2E+lamu1Lpzim3v176nd5 ZEDLgnc7zOZaRTtkjHkR5P6t2UmHtviBjvOBzATt6r9hqomCamvxAnbrfRd3F6jpNAZ0OeQKL43s gZH++bAy2CCHVBNIZsS1DvegQxJCgHtj50VrHqo3aR1Rfkn2Y6GXaTjX3uuS8cSNhMNqfw4CLqYA nCXEomk79i/tH4AEd0m9BFcbOf7Rlw4o5hFwYzs92nIOG9JblhAnXHN1JKhRVNJgCW2GeAOJTSYC vIWIyuxL7NBO2EB4QQGoHSRLoHrKkM/4dz7JrVNI9GRZ+qT9k21xQJJ9wJJQaPIS09jvW3GLRItJ +lwK8mVkKObpnquEjenipF19+eTmwFXJt63EFQe41KVAvf4kai9htOXqq6nVVVCamNaNp4/s5p31 EQ8BsIdUsvStbGjoPWkb0CPZZ1LPJML1/Vz0zeIr579BXiZgA+aGRAR/z5Bh1xASy5+OTgVCCyKn gsIliv9GlLyJb4+Og4Dl7qO55hPMTPtcupjesFDmNpgqnyuaseRF7izYNwVo5nJzKC/4+9MM/sYS stQZI33+te0Qk4yYeavzYdzX+CNWBYI/Cei0jj9It9pTGikSXDg34kIsbT8dtTBvOGsSH15dkAk3 z3nFRqsasSlnjuZaFQJdXqYV0nelW/O9832a/l4ZU2aSxUnyntqBExpuS5T+GaRhK2IfOHX+QxDY YGHHcMk85hBXUohMQmQL5jMw+W7Su7y3zkbjQ49Fcw1a7lh1Qo4RTdymHLZhUEUMfm/6DJ3pBD4j besxHbS+1eWY5q9MBU79TvVLyJ3OO3JEf6fkmwS20qCQM+p0MADHGm/t8zePieTjdIaUTVysBeap aQaCwNdkXiWS9YKvkMPnsmdIX5zLdU9SndK8fDnunWlgnT6ZVdw/0s6NxGB6db5jCr3hmOZN8G9l MCuZh5nwwom1mluPEGq2i5KHGpyUJRepNBfwi9ZuFe90QFeEixa3pWy/fvjSpWZlG2g1p1KWd/PD P0i5ldwetbcDH9rrVc2AKF6NRvo4za46/Xwrbi/TRQeh7QLR/4Ti8PRDgQ+tW5f33pQAEinIkdpI ICMISIm4bX8V1Xz/MLM3ALucoa/7H1VZUiQIRO7ON5ctumBSEA/HDwwF8rRwB3fAAV1LiapSjh1m daB+AKrXKODWYlCJvsrU2mF81ecpThENNakm1+hMTp/u69VvjPMKXWpjVN0dqkA2kNBcAf7Br7Ia C2Rfk5dNW3CH8sFox8sMRUO9HxKvA/QJcleFW8G3cPKY8yDt9FAGM2gJphc9UmWHiWRlqrIUxci2 ANMzCuhQWZNe4gqLQOWl6+WXcTZ4o3qRmxolAb3exKcs9wKbTB5zQ562I+nzRFf5NK+nazrtKi3t PFLNoS3SlT6hVPsyEsB9/C04H/7wNFbEiR3MkyujpWVMU1d45vvptG99oCEWkgCH/mta5XvVz3us uncMx62f8FtJEopiASj7T41ys0vo2jDUpfIfWJOSoOBi+yxnTKUJoqwfIU7eYgRa8tRnregU1Paf cpaiXHon3ERqxZXERYSoHAw2rX0QSwQc45e4NnhfkfiTovR4xsfOnt8uxwnThWKKW9g4zjjIxHPu KBRPHnIhGxTAFjVCtC1O0gxdBDyWUohTMx7LZRozwqHm666qg3iiDoUcXbptqX+iq5p/2EU92Eq6 Kky0fpvZwZCmo0hSRaJiTwtyR4AS5SNB8dnbrtFiOHeaoWd0Syco37s22AJcMsQB0YdNpT1TRjx+ SW1PZxCdxhPo61eD3P6rBk6+LKrlZsEr7xFkoSHo5G9UXkkGiRihqCOBWfz3s42gjV6H8ccMDdhf 1JH3xfyBqxE9xLg9nYitadAXa5CqQXt+d/M4lGF7apNAAQD3nqQE/GeXQY1BsgUaEbQUi49O1f5o zqXUjbuECpUygsPzOw/x1ZNxY7U3Ban08SXkPpjYf6Z31NLZJ372ZIkYpNU29Fb/Im/kN3Y8MzaQ 60lFWpl1vxY8Sll5uNr39Ml5FCbTjEbWWKowfbLKTC22dPIddonFitst1ImSn+AVakSrgGtGjhZN RY8DoJI4goYsDyB2mRLgMbAG3a/c1DcA86ct8EQgNwhRB53S16gnoU/ioKnAwl0MBuu8bZiT8513 bmpE/0IQ8QD41P1YoJJGHP5JS4KZfx+NYaVGLikGQgdjaniC7h5nLKLliUWR7IK3zs7hXfHOiiE0 /Fd50eu47v6AqXO62CyA5iSqjH2SvYpblpwVolgtm7oDMKK0LSX4QQLInFedS3DI8D0vYcVsBNRi ShuoDD1jr6XeZOiY5c1+mQHdAIdM60aWopIbg7SAoVR69t/M5wN93vK6atnZS0c6bueRqymySHt9 kF8u8Ko0nA51ESf0GX4+9OGWWSihRFYXIwHz0pL5Gs4FGqBligttoOgdPNnMbrKzPVloOcUs/XjU ZZnjEsw+Ecxh0bmYOxgUntSiIPXTQQL0NvpWntQTN/+DORyptkU4DuZNH6caYjInyJFsjLc5kwQu /y9WVVjH57t2bDiGDYCn3EqclLDCgXezOn547vK5LzhBdL2gFZcN3GLGEMoITZJC0mywkLTcZ9dX RU8IDBYX7W49hsodOxchrICsTa4KFYGVW1ftHZwjoKZK4DnQvYkyUGc+0UyyMt78tvfuMQXv6h0M i1FnI6Q6ZPygEn5gCImgoJzBiXDQVAalya31+QVIIT9X2dW+IrhCkndUiMoMxGl0aGWDIZrlcpSt mFESD5MwQjK5ou4Icom5WhsKyL15+IPih79hKJqelF9l/m+15/RE4GGrMzYIyF2UyxZs35sLBnBl 5EUa3lm6NGFmZSbUeCDgIOFOs1rWGRAj/tMap2EzqhAorlO0Q9BJ8G54JxiYj1J8PuWW07aMAcof dJnuR8LLqxsj6XgvvFL2h0pF8rrcT19ikNZaTn6dA5WSETZhs30/OcgVhaopQM2AId1LMygkaIgp osAyQHRtN+O54exnni20S09HC5nEK0jaCDu5Hxobp+GECYp++AL6OlOijbENrmsif9SBnDIKicRu T3EK9342ZKjq0HEmHW6YDqDTYzn0Li3m3jhits2G3rTR4XeqX1937JKZpKDpv7leM9SZVjpCO3pS hsNiQ84QZ91HtCR6Yt06d7/ZO4Fyer/Vp4miIMkPiRytgs7KNjVT8rrs3kbf4U0XC7PfsKsoHl/B Brw8obp5OGRmke50urrv3L5SFnDOYEWMR0fbwSFq1Y8Ghjy7sgg11KFXmUZ+fkBD5/gCJrALptd+ XnY43jFzjbt1NqQx1dJRqzj97ZZuKydFRCiCdop7uRFiOpln+1oNJH8JKWWHLIGI1tmjfK/4YB0n yK606WraL1sRfV3twcNG57bGZlq8+clQeOiM6cgXL5NfhNSv1fLMQ0t/Uz47W9J1MRZmkPG0luWR enGKWUTqillnT0zKNwYVSfRtIaQn9JCgOv59wGQIDMknfQicaBHyIp04fnfAD7px6ME1IX4Vm9WR r/a3+1BEMne/go6f4031GNW/GhL46qbJlov2EXeB2bjQOxqJXe9tis6P3/bW1tJ9xF1j0RC7qGLo pXmGGgGWN2/mxrkj6BmlstKLawIj3tBJ6L8Xsh5wphEHMN//PyS1LdhRL2PoATOZfmwb7TDN/Isd 1FZAXWZjm0E91jfW09+lMjI6++5St+W+H4IxEpZ9qqGIgZ3hAjYQM7963vrlW2QfL0RN961oYDwQ /kuGmyadCvrtsPY2lQKrpp9Ma0dsILQzDgkOlkmvCq3YhBz7fYfzlVSMPlWsMTXnxxx/3zrSvsTi z8kBzmwd9ELrsHxg6xkI2AVEogqDZ1Y2dHLMXlQ7cnkZAexwU91I4s3mxE0VwuI1QdMyvO/lSGIP tl667udQzqeVLw0rLP1XYxsfwg14UeEncXhjZ26kfrJ2YMpacoOwsxZyvY19zUDmkTrgyMME3q52 i2Dn+wuifmS/BBRpxad0PP5ovsGTuIIKIUv1hJubE4W2tpLVJ2j6Ef0UcdkfRgtU1NAV+oAsoMrK sVqn99tQ87zrwNi84h5h69fRpggHIgg6mSKwo1bALdNqm0YggnaEMkwDDhZTbJA0vw00v9oKfQCE 5f3c1uMZ6ZcM7sx668iZ960kah26aQi9w22I4NHKxP4DPZqus941oEGHOloeMs65+/dCOv3l3m7Q yl9nDt4lLv6NJCyzF3qUHAPBVZIaNMCoh76xuYo9ct4ELTR3omlu7rm0EM2y5eOMQbO78LV+K/Bq kB7+v6k5vaWRLG+iKH1JF5s4/06uyD9KAMknnshfcF78k+I9rCSNssEQG0QM4Tyd9zsrrG8Pe8vY 6xztDM4XXrlWlMDRSPX0EhORfWmGrZPdqS12669ySEGakVfjc6U9Gzjoauycy1mlY2cfbBWT3+Ws ZPdb4RI8jRbMdBl6LALICQu5nYbrhDy/NS5uUNNdYAzwK1LdNmSTj53id3kAxD/aByDsuMC330sl KJygbP9MUbdRTWO1Pe0+y2NKp8d99H+ftpisGsh0RAlPMJOLq62vo+OFr6gDr9W+rrjwoULP5hF5 wxYKlukVsMr+d36VakDGHHU9AZlevXlYubdRc/mlhqr7FXweGo7t6bQ1JYQZX8xmCjnFIaHgZCNN 1HqFab1fsOy201fBRiGO16rnvd2G7DwwOOQQZVa6xKISTOnjbmW2fOe1VqolivuEmkjndNr1ZpDN jL0uQuF5KmvXCxfgAe586VzIWSVY6deT3Ms9TSBdU50JLzWwFgApNWZxCT2SPp8S949FNtGI+7Zz o0U7KZ7pxd7pdG8iX85oUYLkWAPJ7sWF+Fx3JRoAxTxTltVg+HhpTQ7x0Z+Y1qHRjEjptVWpTZB6 TSD0D647nLDNqWu/oSn1P+K8hff+gibORuIxrkZmc9K0tndtNiL+lIDGo8fvIUvy+oAzKxjcVcdv feL6dfkd+DAhiYfTHzIaRyPj2pJNNEJbJtyCux8WNk5gIRcGPvxmve0Jsut4gp1Ln8WKlqhC06MY Thw6MmQUfpr9hLE9I0FqvccXeXqKMLOzahvN4eWUxBN9IpHt0Rpe3/MlDG+1xVof4u55lr6H80Td b0jtM2Jd2pe9gxfxLRbUZEd2BU2PQiX3Yknld8NPqjvDVA/UXI3rfM23oal8uZ+wTebWDtWMhlPg oFSETgkDnbc8BbjiZofD2wuM41oE6CIWoweoVPyEhD95siyR3GlefSXCm+RnalVXnpWMZEQTgtsa 6DKV/+fDqn0Zt9uTqtboDbnOb/eEFwAlBlhX7S8krIQQH02zPerlVhqwyooIezymZGrDS+Y6E0jz LAi4AueCXFKzr6SAYV/dCmtX2ZfdbUnX0MH7YXsd5rjdywTBRfhgZBwgPQGIN20mfe4RPCjLJc/I 3IEmcgbOAvhdYXCxX8BYts9JFQftzB3CpuSL9RYKnNSompOa/Rharv3yKmEv+WasEIQ8+pVoJ0/C m7ZqX2TCGpwj05LrI0oNoDtD1GfpScgKctVEqsxNVt+qznPQfKUs96HWCV4gicEJZJJGhauMb4zO aecTqpuHbe37q1+y1Io2NiZLOOBxzSaxLLLq99ufudU8FT22boq5gRkCkxrNehwzV9MiAoglq2CK 85Qw/Jy0O3ylRTVzOy3fAMeBoOSLlw2gSSI57xOoh/epD2e2pVv+n5XqkzxQhgMAYs2ZwkcRKIXR 5QEISduUHTRZjEcjowiVuXLFCz31q/ahHjj1Tyqx24dJ7mPppt6bY2kaKx+JHIXKeVrKmAV4vSTD fqH8AhIdyWnmG7HY48tSK+gsMUJDbDXgaLUfLl7RU2qpM1iua7aaRfP7nEPq7mE0I0W4LIIZ5F7d m4lL6EHR/nXYTd/rfo6IQHzKJSf2Tcbo77GS99puH4LQEQhaRDVFyySGDwvPpZHZTNfkUpATeso5 g9S8wrYlw1SjYeZp4HVc5piQxjRD68HCYFbob0ZmWMG425irE9zTGIJBbWK+S34xCy+Qw6NE3lyz XNvJkdS0Bn7funu2JKzg6zCpiglLLJYA6K9AdfMUBaZPmCIlQPDCn0Alo2oOai3fkB/4CE94ybYK MfVQIkBRtT31jLz2yTNTLPpG7n7cSAdi+IEBnpM6TYOizsOoy3YtyhSPfBvHkEMldYqViX2xGzST CTUIjiOvP+gMf6sAMBkTjgjmfIX9TjXNZ/PEe+NU/dIhfXcqzvX9ZJEtMm5/x0Mph9QP6O/4XauH OuqaxTou0PLhoGTM4KWR4KQD+82vktA94jODSOXhhSjR3V6aqfMxbGXpiSnFhiYbh+UWkc2waToF prPI43vzGrEwyyXY/5f5noB0do75+vysVWOkQ/K+YzNsDMfqPOhk65r0lUP5IuAAcMGDAzN03fiQ 0MHjvkZeyfJRzQZXMhUZlZafD0+Hk1xofEF7KUd9Mz5UDyzCF54Bsp72Rr+hkI22wLehBmnr6AwT iy8KplATfWHhT66CJMYZkckoxXKHva/aZfIm6/xIq3MrD8fGNdYh9ZKvLxQV7940JFrRku+VqsDC sYBBLWKyigWCJFAeZ1EEaWkrDBKOmeLjTV8U7kDx80XcSLyxidrAGcho2Ur5Uuq4LSWi6OjPxQHw DI2bYD8zI+VYNvrsq28Qh4l8lfSNc9s37cxSBxBR8+cHYHZUgenQLsNmwuqQHalL4UQrwdtAtWgg IstzGY7err+kUdI3S0mQTcVAj2ZTjiaMJoaplF1XB7unQHnQLzU6zE1Zb2QG2kVHIRg3c+XAKsqt dz5ygPSbfofarQYO67KWZ75G47JiKErB6KswOYI5+zl8PZYFi7TuMq3B5C4gv9X4+EpE0/5jLtuK VhxOCw3TGohbTfmc5g2NIcmYP1r3UjKMThGaqhKMfsfnnK4zxTErcjxxLdG7INDfxTEuUioZ7EDe ovMuqBx7UWyFWi5m3mjXPgU/Ts9XW5zRkP7j+pktHXKzqepdZEyKfQ9Whk/05zay0+1rEgQZ8w3C AotqC+h7PlNWnSGUWIo2ExbjvOXgtoyaYP8mU9flPR8+9xhuvzuk3yXRY1F3OP02u8OL/aKUXCfs saonsx0pRE1tgxOvMTGd8wx9hafBFBCah+CUoKWdxKej64nNrYwFIZWHobdR7dXXEK8OG9FdZP5Z NoGv61LHUq6qDDlBpB1rAfPCUh6hydpzy6a5/bER1os8Ko+HKr4X7ylOuScDcuQNt8fNLcU0GH75 kQav8J+NNeW0YEVuH4PD4D6LvCUrztDCTNybTE/z66Ltylh32x0IBIt6SVcHCRaRAnjdfV93xAFP eU4JrYACGMk+LhgWso7pu0QfNoiKE157l8ydhW/9uqkh6CPLTuaXIjgp7WFxR+b87xuPyy7WH/V/ C8wg8NipkUSPTK+QUKp95AtvqnBTo09u4gCQj35dyBqBEClg8jOrP6VVkzkcoXJAqrpJ5vM5GeYT zsJ8s6nl48Ne1aSG68897xax0viNeY9b9L+kTaOb5B+/h5XscA7nEcWttbCufYRK7EzHBYLORFqM Xoc7hLZjOZ0y6vRGJHSz9nWSnGuxl74yzyeh+dZ5StVdGTnPXOm4MSiIMUYNPuea1fxgyuICOsYX dvzb8vLhX7EfmZiyLpUbwxlkR2oO8ZyNcdVjNas9By5BtSRjbZtkHQIi1TCYwaWVLs0ewLOMGoRW ZXyrLhrNDXLQAyNxJa/9BZGrZc7S/WFMZYMuApWTJkVWX4WInKnWEVgR0NDv8VFrwfuEH17E1Zoc UvdxUtjkxsw+fOWnI3mHMgHbahbysmN3ujm5K5+3lZUsh+0i1s4li5yqaB00Du9Sa3lKnLNpXRIh D+E+KaTuo1jnECnEOBBDG2sOlWD8S7uvGAw6cL41f/dBeDR64z0CsRGuvATaou09j1YRXtMoJ0tL mdZM/2S4TwuXlVAiyJGKZFzPDHwd8+V6YJPtTl+uGpgv2++2/n4kEgIv8b/yFj+lzjOOdFhrsQKk eCkuFBzZ2CFZOE46UtykU8j/sxq1ySoO+QyR2DGKi9izRbTdWUv+NBtKYqOJa0RHhbM2rWsNQZd3 MN3UUQ4B20HkbSKdDYWWYh9flzJW1d0f4hYI0QbePP+rKnY2c2MgyvbrsxbxxiUrERrpLX1EKwRt UGkvgXvJryZtHXQNiz+In8wiTwBZ3TZjlz5PNY9QOqiImme94sXTxkiVcwUWBopQ8TdZgVJz1NqR rYM0/ZaQOfi53exoep+Gl2RqoUkPAquQWW/yVsB9yoTi3u61OyfrbO85FZPr5lxYS0/2sTsiLOry GfqoJyznHjX+CD65UkjaMawCPARVN9DU+7N2H94ob8OI9EvWm/BEG64Z46cqj76LxeRdkHi5pNVX QgG5v5O2zHdm65pcLJFDOoz2mhQLCB0ou2/1PXFW2yl7LKf0DsRgyNOJThjVm3+oR8EsrBavCXkW +D3F8C3B9rTkvgTvpqhA+4d0+02SvstVVJdT0FcE92PDzRhLT1m0vrmB1n9DBnvoK05tJQexi1Hd PNoD9A4r+plB01w32bSrSicuBTO3a5bg1bJFJwk6ciTqDJzxFxb0TT9Qmo/htf9vljG/RkDLCQQN FHuMGBxgs4ICxYATulQaSh4fr4WkNy8b75ikfPvMpwCv+2XWRTXpxDy4boKT2o2n53BHjVQly83G HSC/4ofE4Cf3QbKdROfGbylRkSz3bSFIq46eDCg0YQFQCmtUl6oniq6iifK6nlVGi1aH1NNLXkdZ Sy6rJ71fYDTw7ATrxPPPzAoIInpFwtKGP48JlTN/8sh7wH/QX/H66ftkVsUAjeCbyiprVNJ0R7Vl hMNbHYMfui3R4WP5bPUdEZvHVWxFfUyIsfQRSIULAAYOtD0Zdsxj2IxROjTmYqkjTgU/51ioL9am LYwbt2wr5A49VTMcw7u2hOuGg0XtSNShCu0YwAZIDjL3Tk8AENR7M9JuuIaYVO4NimZ7Vlo0/tXJ gRYCt8SnAN4+JIYLmtZhGn1vkaAuVGmJrBpTjA5StfJTTiKfQnebCsLU5Qbmgd7bqCuN/K8CYGJ0 GW80Siej4KDqbXcoRSDWIbTWehf+vYDkz2w1jHtkWD1z2HBzbqZgOP31NZ2fxzsrjZZqJ4Z0FwRP s5iMYaPKKJiF/TEINP+0CbXog0xZhpMdbPqpxmD7YgA5Q40WQyRcgVigbCC6SbixDsBIRy2OdtXi oENI9E/EN0L37xPeBM37N8lJTFQM9AwOMBZpOfKww+99W9in58r07QI1ohWNteMeEdxFkoRqHdmF Kse0MD0YnqWWPApY43lk3vYHHHlUuq1fvwjGBYDzmymzQL2Zxo5uBbVbqKKzuqILCucUDyUJXIpT iyDKA0d1OSyC1ZtBxAKqrbpiGIavHvE4RCbR1NQdM7+7dYwvtBqFmn5vfF6d1qHlSiACJIYzg8hK YeTCQNGe73mTsUkqVWAEYPIKW47Xbv/h3dlfrQ15eSplwm+vdHbBZvDrBoyZYWJh/g+jmmuAWiXH Ois/Bu9zqGVbFwyPR/YcK5tjMuEmrG55n349LXc3LzNk2LX8rhSTTskPSnAOdHLlL/sri/P2+gAO 61GHb2GiB/KI7ORPunLezAXaK0LyPa5sYI8L4I3kDZNNQiNHZFC7c/J4c5VwJcI4UqqSLhdCWeeA 8dtiKKFiRkmKldQGaANN0IId1ZoFsrtVKgdIA9D1Pq8F2jfzuXUkUS3wpVIeE3OQNUw4gu3rywLE ybGFmBPcflcWqH7EbfYWmGIRmvRSzWD4QxkZKIXjeSEokjOQjCvP92+MorOpCRWcg2KQhOumEKEK G37Y9aYcAqI/2jPQHJo1rJr5OtUKAFitia0wJzKgOVfjtN28cdiHKZQ6S8KQufpKayM0FqBHkN// etPgy8fbwO7oiqujXGyawDFapgX4ZjjmnoIOHxBvV+wNfxfu9+GhIexUo86rSeKduigNArjxm+1P uJ7fvhtkx+RMxseJzjieCFD3b+/fe4gbjfiMgfLXDc8Qqi8yX4JJvMmVEJ+CEUjeacnA8BD5W0kB 3AslcbGXla38fW1TBdzz+fPuqg7dGJJlNRU/2yBFiFVQL06Jeq12DlvoSd7Dfb10AGyap1iojQre PFFVignvBPq/lH6Q9SDTghs19g5odgymadX+SYscS7t+TwxS7wIdaklJ7O0pcALxi/arqOL6Q8kl 0WMVE81BIfEM/fQJWZWHZllcUv+deiHAICskMUIh2i39H4k7hh8RpkfGPty+FwWjelb0Myo+ZLui HFKsLW2uVxsq0tAED+kCBnfTPb9mmYflNpSWwsDc9wEOY4d55ef0EBlCuY7G2NJ9EZmQ4oQKJePU Bjpx539lsPZerjHsIAxhJCEi/DsAkaQFeDmAdXKsaPOQ72STtGQP6+jTlnkEP9NHGSq9VEx5JIvt h18B0hrAtScZEre33M2oNO6PKB4tOi8lH6adHL/8TL7TA27FlYZJsF4ZviU5PYdWQRYYDnY83I9z qsIpZCJpiIywXLRKN+cBCCbPE8rU3bfoJy7YNCIQm9Ixc+QYCmjwITWnBhxIszWXNcwYVfADP9Ns WofIf9MVbILa9sdDxRH/MB56so4CuxdjA7Te60Yr56CplpeovzoZIfhmW1r0gTDM6WwL2n1Mx4aE MpFKfTrRAulJy1yhBrpOD7x7DT29XLFJ1q4WPtqm7E1lt04SL8mJF/r/zIu03v5Ns6C2UC0+R73L 2X+UzXRiOmwm2N6OqaSx2ueET/mfb8ASYt5fzMGoinu/qz2bDIFtNQFCIp3qz7wJ80YGUym77O4W CNGw+arMlFfMGvzvEsuwD/BIi38xZkHjjryMbHhee+TjFk8epQQZIWZ7kpYZIKMCqmfPTSDnfPrG U6nxIrCxoXqBOmsk3YlndTj6ayHQZbK30ZxlgrL+mld2EULNIs94APG3649hWes5P5KrfNWt4yb5 7XXOwamWrZMIVD/WG3T6f6pAyBxmeCWz949A8SHELWz026woAkhJFfkxLg8i7mvdu/7ec9VcyaXL xrNStn4O5D37D/8RvGvAPQmFiL+dDIc1xvm0kX1SIvWgZ4JS7hrHHqk0mmfEt+Krbz+Md1yBVdkq /Eha3Eekr4+Q+MbTaVUXhWd205pqEin5/sWeXuI+QDKbmo6W/Yv1TDHbRS8LZv0M1qCNvjLw0pJX eCg6x+EFyo/fLhez/Ew3cuXpiXzUyfP6b+Nhcjo5GwwqZ6+az1OtWZX4ae0LsFttyG6uIuSxNRdP jXvBTHEkz8m9oXrH6ynLOfSlwWZ32Ye2pgsF3duaMbrSfCwwE4HXGTAuscTr5NOD4Yq468zc0JVa HZqdG1CfNPeFkKT2rJR2fHq1+UjpBYpjMM04h0dDw/SK500BfYIoLsA7C5KG6aX1uUJm24xDnq92 4VRVzF7GW+xBd9uZ5Yx3Y5rJi+rM5NPQdkEkPRIaEwzEkhVCIEJXLWw8rGvR+opJoT1yE8hddpSc 7NefqN9souPe8dYmXkPib2uhugzoXqkE4IsSpchuruXRxJ8EKBYNuW0GTQPSqwUn4COCSk57fICu 68eKLG7gFE8fcspR5CIwruiURNxq6azBFB3X0tWLWtPjYW8ap88jf6Q4Nt3n7OJJlUEKnSS6FF7B w27VTgmUW/eP9hF7NUwPDcI6xVUSq8XRa+v0JlCipPAkP8ml495bDUs4mpwo9xtIHCFs6UFi9jkc SHpb+kxCbaV2CHN2aOS5xiD4EIJpZnrRf0/RLk/2p4FDkmlZ1aBmMw4D1mfrHlKZ2uFnFoA1z8Kn 9EuVPoigfwcxT7d16eGduQhVZAjeWqqMcqFPaXi2C9smO/mu72dVr0Sl9VrdYmBN8CVW5nJeRXHx KtjStXP/J0/SrtjsQEKnY2Zi2DZQiWagkBYz4j4we+9DeK13OsNBEKfhcEoog1n7M41RYhJplNTL MuEWRKSnHblS1Fai8fLN6LKGhS/9TUrAa8ANWpMLieDn5SeMigBhvSzuXYxUUwNyyktnIgf41+Hw 59f95JFnTrzRGUP5asa/DZEd77/kXf8zV2Y09C+CDrMqxksC7Uv2uO2jbD8m2CQRcHAbHi5soWUx SPwt6qxkN9bd0d0xFVaoa2spMIykeKe+xKPj1esojP1+ElbRPZDvTLWg59m4ufm2BkMxSvhqeagu VSe4SYO8IsnENC6ft3EtryPeCK/S46xXKRdNEMHHfXG1crt/2RwjieNIXdTo6ULD+eJhy9We3lad lAdvkxwaRWDXffzFnkH+BGZy+rL8FwA/FaIR6kjQ+UuK5JLcDg7uvB3/dLXLOprj46kIoSiyEcJA dVvdEKYDjANOjfJOZNS1b7oia21W2nxQHWnijkFiB7AILwSh0r5xCeVYYnhM0ReFLAbVgbkSs+Uh /eM1082XlgYLbEfWf/Z7xlS1t0kG7DCP1bnPF9wmdXvLkyRyDQgVzShN3s12/N093m89cqvZ4TtW +LVS6srbPni5Wh3Tqsietbn0UpbjmtPxYsDJgaI0clyqNO38acVrnGvT1DlyQUn75tSBTu6tge/L 8TtF43ftKmkAwhNfVx+hlV4QOj2nmriBuXmpX4dY5CtUUUrb6kNz5ThArGY5vd3N3LwQtVlaoQTk g0XLNelb+HT5a0MiQ2UYjDRxkGA0SNMaivxezLKeTDW5VEBFaW154EjxoZAGrVllQ8UjYTxFUSh8 9X+mduV1/L2pjQGNVXZGcBLllSvP3RvcVQtS7C8fepH/JdYLL3qtXoyPniAaYcB7M44PzXCawFpZ oARJ+C6GUblutg5EFjdvpGWh16iIgCFUI3Ka+7I3Q2DyHq35qSSyHWTJP3JwwbphmMKDpWJDLinp THKg2AK0M51RnKynowwKlk01V+N5i/6qx29NM9MkBHd8dVf3icAWvypXkQKQJRL0L0r2ws9E0NKR 5oW5VV7UWUIs1bWMOmwrM+6QtZsWq0IgJBEyXI+Zsmh2bZqe/LunmWWftcmvGGvYlcwq9+2K++cN n/tzOqE198NkuQLvT9oPYeW9DUPzphElES5sVihkXRkdRIaDn71GgzgJI5erAphNQyVL+Gr9hiqS Hi/yAw7FnhaueHP85dJwxQd+bmLcbmR8FmeKswJI2AYUY/G5AB26+WIaZKO0RHM5dqgYnurIEwbV E+MSnqxUKtzKESs369ggo7DM41shJxBILUAxwzQfVQje9y/pbWwWjfZHX+hqY4uhFA+CzVtA+jBV 7pQryYhbKAoBaEzRnLLhHCtQ2R2dEUFbFWVHWgVvDPB0OcOKalaSJ9cTHsZwNGcBexoJQDm3SvZ3 HHkdv9VE7Y26VFIlTUSFewX4jn4Mm9ZIfWjE1cdcUAxEspn90NSHChj9X/QlcfY+mEMO7iyVe6g8 ZliFWfV77WeiZHR7rI24WoetNCHch6nqBEH1EOCWo3tPg6ZsIF999cf8MS2O7kV6KQy8oRIsXCFq 2ddp3VNvm1pFIYFTKPVIWtbzSNdEOPXxE43OVAKNvqg+XNxZgN+x+grNauYquA6ORoKSHdWLKFDy 2gLCpEYGeIvRASGW1mKsPpsX1HQgvC388zuLDHmFfmMY+ZE+eFC80l9igx9m7MhfINlpsUl/JBfX FGvvOKemuj4hSZtAz60JFMjMXkGB4pkvTesZFLQ0S2ynDhN4JGr2ojEle9uAZzyb0+HCLnnnLHPR hUm8xbNbb7MHL7as3O68R2vD1GcoaamZ1l0fczosYc70DD/rMbRi/qGK6AkBNbK3H2YRJYiNnkba 1N7PQdW6lngvwQsO4ckab9/ShjOEGcJ0t7F67nGAIXHVRxkAQMZSatt4UgWwY4mawYqfNL6SLgjX 1GbT0C8yg0EdFCOZC9UQprnx10ZeBHt5mIvriLQkcJoB35uBiwDk5fNhD0om6WhVOYG1nQ7O1fvF aoqles8OqX83wsfsMJdNhInZ1T0R3+N+xUNsLo6qudaqs7pBGOpgpBlo+yLEwTmSQEHtOQe2pi5C BQq0V++IWwFQ74GBF4+TAej7mlqZbUQ0lCX+5SJELpapI838TaY/DrEajRM5JPlIXMjcZ2mF9085 ynUPwqfrit0GbqxvipMK9NyFhBTvgjzNjgx563DrfXnxbjdEl5f/MkHBC8MxboX9KfW8FpaI37Ff FJLbQt3RIaqLgXQknIJaAYSXPGJszM6hhLdhv6nfVfRUwcgcu2RhAN+p09/K6yRmmORe0fpw4oXx SF7XwrNb4s0uJtHm57Eh2DWn9J8EKzmCCPdtzNhmQj55swxXKE0viLKoqta4tDXB1m8UNu/temEn bLWbOO8GPPbEpabQyW/6sVUK7hgpEBrfibTiQXeyGMRpwpxqTeFsg+JyR1CG/l734Df8fFtM7/0U glZzIHte4g3zHIT+a8VDyzs+Qwdr0TYJBLrWlTC+Spd964ugQqgmFlHzeWaeBJXNDZTi39ZbQgjd zjwUnYi+3i/fVgVuEo1Bm0teOqFfPAMwmrrDW01pXp8uyhshG52LfLEDUddQ+gWi9lxHqJRcGrT2 oCKQ0l6etmU7tfr44eHIwhKF7CYQWX5+jbauHRwJJnyDp6bcg3tVLjvgoodgIkbL1yvjpPOiT2Is DynaqxMYt0vZ+0I2RCkCiLTOfQQUDHLVk2jFFJUW1ApMOMbbKXlX/J3OvDbT7fErB+q+CBm/emyU dbV06UwqV5Pi/baHL91KR6MEDpvNn/6Y/n6aAdTIQ8qrsVxnd857iSv9htHjCHU7VxJJnP6KFFel wi3ha/D18HY1USbtRxHgOkzMK4Awk8ljsqNo/XApvF9oHCT4JuOKPtpltN5zKirEsao6djxTJ0GJ BS48R4lg9qhtQ/xS36hcvceqQVInYSBgyLrRPWyRspI511VhRcRIzD3LaL3K0XIA+11i4g4Wk45P S+S+l7oDZw1vZ9/YgPkOa5iRskYOUgBC9oHM+aVZr/rQEccbvffuhidMISL5qP7iqoshKgVH1vMe /AUJa3jwJigMouyq/PEsafRWGYZ+MyCyVHz5OGiOOiD7J37ogkq5vefUG7LErNNohaygcw459TUk wK6kM6ekfxiEeFQGQ3nqzaD7SjIl+t/7AbHT7TfaTJbPEm5gDwcLm/BwSrQIKL03ZW1bKn1efCWH FBFmFfonsEpFRicSxFGkBeYeAlSPPAi5xwaJryzwKY1IgMeiKFHG8hz13bQm5TrGzsPoDB9ZDJ7N xV1SG/Fd4VDhxWhhwuSAmLaOFq++FPBX6vYIHBF1Cmq0lHFOnyrBX+yQfxsY4KKciBGUvubjVq41 I4CZ2aKlDcNe0U7b++vJqf0GceznVaEXFQ1nu07Zx2Zbor3jCMShMGkFx699YR5NeD7fTkuRuPa0 i9X9PwzJ/BMqF8V1O045Qfo/gKWwBuFYCggbf7f/TAbrfdZ1+2AW5+aSYYYbomkLVjNlNfWVKn7K Ba/Xz+gAHkwWn+vhi+1DRZUtkCeeaH0KVgQvDAzx0HsKH8zlDsblX+ez8k03wTHBYS3o4yia/G/8 yQfSTcs9jlzbw86xfTPO7okgSqrG5Icr8bCkGvXG9oXkYQDQweGW206XVtOEqdZOWIdWyI8pSIZM NxDjoISRJLS4kaGoKODsa8zih+n/xmLoeGwso+c12P0sH8DmkNOtQXYJkGUoJ7YVJ8i5Bf3MamfG AAjNPGFMqOkRH0GtKUoIAfOR75upbG7sRMkvaQTkJNBY/vCswnP4HT/h3PTegyJgt5b0DKWBCl/T PuNt9GK9OYXMAHNOU7U4ulvOVL12S6rR/NTfBeNiJhYUAROg5wXkeNE71ztiZEb+cbYOmWAB/vfN 6sloIbyFj1NiO8/4JRrJKPAA/GFaMfjWmzpiweTaYkzLWBsYURnzEofKLuRluhbki3ahotvzD0Io fFIVbIsV6xalM1NileDOE3MyGJ03qwwsWIitDK0l3SBgGe8BOVFp3LVoqun2HSeoRytx/WwXAX93 tp4xWiDY3a5C5AvdDFXTaIpYo7g/f8wPByMhJbtc4RSXrcfWlUKifsTTM1M682mOiLBJktezMAy3 ZWC4gsImXysLNAKUZYvN6zqWW1P78Iz5c9MgdQWmHXsKfbWKfC9KPfIUSL9AJy0XXWgoH8idUGru A6qXPAWfXfkPG0Jl75G3WklXIbf4U7cz1rJ9OjywrrSmpMNTp9uPDN/4+GM59YKDn68VzojjT91Q bwYLmZphqIvwTkfiKvwNnTkChJDn4XDqszRRtwB6L9Pg73ZtlPOKjTANp/djPXyO39WkYohwHp4t DwrIqSyeWWsNhC0n80kyPhdF0QRXMccHCS/qhjkbon6BORoO6YN9OiOcPaS+UlE/ELkq+yWbLLwD O6+plyy9SZxbsjdybDPID7eDFnHZ9gaUe9DcLQiNTPt9ICLdb97fjqh+PExbpeC4po2fAetpjNMo bSrFiEYPOrVFRPuXVVb9nuQORk0+NbavF2nGP1DAPUwCB79jiGjxVPZCNLdAAqXaztvhy1+rlB8k lhWhhmchW7XLX1zi9pnC9iYYYZfNIskPnH9GhUYUeooEtzJrP35HPgnBdZYL/vX4mRPrO9p+4po6 neI6Wr+Kz9LVi9G5Pdry0tz0L9HnRlrad+ki2Si0dyA3GuuBLBcQLLc7wf/WthFzunpJJEjGJ6ih dinKrwSG/U0RggVmMvVqcqwtvRDO2uwnThUrKxyE60ADeYfGSwWr4c4nhXQMaVb+vpOpHBRY3yXV xCOqWK4nuluQK+tC7y/R1Cl0MXdu3+wAjbDW8i+Vue9e72KY+F9c9z1Ab3O8dPUMvtGjgPudS3Pn LDugWpuXxjNtF3XP6y8XUkriKxu2Z795nQLTS0upWdQlPI4++Khjbq6YU5DnvFTkuKGb875NVXi6 TlhpUE85BAuWI4YzB/IbLFcTDe1modHpC4WQ1BzEbP4+uhc4QJAEi5o6thO/C0if3vPZqWeGst2v I5ZcFw1erscAJA/JaSsygFGb64dCrkmLW2b5zO2lMW6bwsXDiNoDjDO0rJ48+P8eh+Hv9B67glez uaHhTep4MU65FtZEbVLU6hfCw8gVb5rdGiTFqkXtA84Exo3ubuV4SmKA7ONPpzAP2Vbb3f74xve6 5E9YV7e0hsKtXzFlEigEqhzBlELdvtJhkDvt1IZ/p573FLm2dCSkFiZS/CBQ66AzCXZRWZUrIYIE VT2W8lMZfwVzZjzmd3Nq51hhnU7DULoctkLfTruND5R7+k9ihKA4qBmniEFdyhJd22a7acPzKNiT Lvdpaf5lMx54DVump9k5iMDXlH3OFwEyrnIViUV83E/u9cOYhgq8bvsSbtx+IIax5R5z0HrFeJfy pjeyfJ8QwwgAgf20ytDF5q7/F7ohhjj51sleAcd256m6/R3e28rkjwzQ8BcJsNmmP4kfs/+P1qrW 0H1HVEUnw3s37FGJyL7AE+nD5zfOyfHtYsr3o4vM8lV6rkeKQSKefuHjikOCs1QMwfN+PZG9aeAQ YF6NuUT7oZTPN0Non4skkBoo6fs3Y0oKpLDPwD54ORTw3ZGrNeMRSa/RZ19knIL9ZR4ClfgvON6K AAc5UnuPGzZMRDFxH3nl5ErheY6IY6uN8S8DP9nLShqKRT0tpAnfRSF8Tup9W6xHZ6VEwwUYwH+e eJRwza0dODXA67CWl1vXtGVflLea/Se+uILZEbS4Ru2FUJ16fHiFtaIhesCy3oVLjkzvWHZURbn1 tXeN4LdBspO6Smv0s0Vq0kEUhYWuLl2aDLd1JK5oO7lFMA3UQLDuj1vybvyw7z+tI1pygt91PKTy ZOIb0CAQa46xOfYWDsqpE+ZNMJKcuO4oeYA+jXZU9m87/toxFFz8S4DAaQI2fIJed6qPiCZSTR0m uWa+a8PVhW+6zMR0wYEVdWpJfAufEV+U2qD1mnxsz8SZDFbhyXvcJY5NaIGtw6zn8W7xl2PX8d6o StBqjSZUPSHEje9t4qh1pjBVz/Rw1CIqUzduv1xdVdKJoJ5Eqc6X9e/qEWw1kGVzfKWIjUWa5mpv Q6XT11HPzbPy63oQTHFUjA0BELw/L3AUNdHj001nw1brhT6jqViq206vV3dU94iLeYJ+Re5LID+V FVHUGNYwoAUgNtWPmugDO5/R7yetdVitcvtNvHu8s+mFbyS9TYaoItmbGqISKVPSipwvK50CFnWC bL9x2hBN2Hk+2NA9DMwgy+GHVC1lqciZ9kbvniQzQkW9QyNywbkqHlZSAWCLzbne8W9wFF1VynJB 5nRRsPyveQpnf0a74FhuiXZYydHVlZWpux4RPCwrRz4UzU7vLhlWl9mrwNgKKOREDI52Kqlm05e5 qwmejLKSeEhtwj+jCiunY9WZ6oC5eZscx6V/K6MGEC52unDp5kQzBldUoXGBQSmOIP4teB3rSSVI H0bdlBdGuzkHiIo3SRKsNsuEDh8dUrlzkXdQWAtuiBKWDhnh56cExtcgfAdtIR68oemd9bjeubrJ t6k7f2LjnK7UagTsNG9gWvgqJs0dYMpaCPJiSWUMNHkQR8fRS/c6MgztX+YV+HZVftaBwkU52CJk bkDGMxOMsgQ/Uhv0PMAk1lTQNaCSnWzOhvGn6kV6/duJ7fYNuf6aKTvPwHQnuufXaluPEqx0LgvM 7VA50HpTSrAlyq5xlEmM4l6Twa18y0lpzyPMNuvHACOfI49Kh7HVijHMXiI9fndkw80MvE1kCyV0 tzgn5FrDJF809LiF6dWDlK6tstszcuU0+FkCpaLflBwFMlGRx6QClSaaRKCcbfJRvxbJqt4GMuF0 GVQL1B1xvvAUPUi9xRVLF/RUauPk2/qMBuX8+3K0PBz94PBJuFXgWLRb/E1/zoz3FcdxjLOrEIvt 3ZyMy1HWRSjoyGiTXYiJiM+AeO7AipO6d/qFKOPO2orWl8/CJiqlqml8XClQcvx6yLCv2tMJHzzr HwbljGXyb7VPWtWBxuTz028BSVyAK7lP6y1UYvSwYoXQQ3ns5bISbJNXxsqthZ9JcqeJfD/Yi3ea qae9w8bE4VGl36Ppv/Rm0mJqug8hdHvvHn0+nGJsqcxTEKvVjFl2ipQtntYL1wA7wmbRR8f3f9/l e1l/ycLMBdTV1aHKBRUmYE4oIHwLgxMqC/l3YKHynJMfc+pPr8YbYym1OZIWEPHPHtp7eLP10B16 Isvg8kOhZLMAgkkTznOli4ywheIXAXSoR0rAaCtQvEfSnP59NjdWiD9g+B5cNrjOvNRO7FG/fASX Iphe/B0AnKfO5lb3LUjOgpHIKcUWcyIWnexmyaJlEKq12L/j+Za3wwXrEawB30CMqbw3GAHSJzF4 2AZSkTzczwrLZLYBaRa/DVlCGnID2MRJK+kaRK99b8oMloB9/+a7aAQ7Tj3pG379iGZnjM3xiBhg oyCMMWZTTdz9mF5Br3l/yeLls/WDSLvz+dYgh6NOz0iPhfeq0iptci15+PsGYTZ7YSebnx+nAYVI STfx1TleusMALQMb2pR5MYDEZ1v34+Iix2QlljGVPGA58FCo2lVOPNZGhDyVnTp5d0b8q0Oj3TEO FDwFdKrwMHPnjOtJ+im/ICRlmSearK6oSgf0qRZwSpFIkd0fuC53MZnO5rRM+N95VieDJMEjqgTP EJvGPuSXrJ4+vguegrPkPOgqmQdPNa19Hm7g+sEu8icKKTwm5HqhnPijgP0/JvPDQIhvbiP8mFPD ccydspvKVuGuehi2t/32vLQFPkPsokiWXRSy9KJfGrk1nsvRjWkEz19Q8xCk05HWfPmkbEJ6xeCa dxZpvbHjklA514nHQha21J7s9OBLXP1MO4PHLwNLtmH/KshwBZ42ALgFa86HMiGLf5Rf5d2kfbOM Gt+U73yH3nvr/7ExmVXyW2UcK1el4hGjwrAoVqO/XNazrni9eNHRoZf1N8I98jgRrmAKa11bV4t/ 5NNmLdQ1wZyWOx0PyqXkyE4pKBHpZU0ohn3Qb924uj8ULw18siZjgFfYzC8PomGDJFt04aTaK/Lu pKPeA+W2FEGulEk6cT4jhZy/0iO0NvXJP6pyQxB9nf+tTTJGfMWkJLzM67n4OzltYaffkqMnwGAQ xrMHbmi9Hk+Z62F9BMyOyMlLGKBCpUsvyg7nIghWXldl+2Pwzturbh8PBIW03XlUPCDtGZgZfhAD Dcaj9HU7gSJKg7y0aDIJbEm6WEv8BJfpiDIbgqdrkzpO56HOZaeUjOaoT0TS+LzgBlt/8uVLOhTG lOS8di2MYCVZR4KvSAy+56/lH20rrdn0qS2dH/ArBQULaUOtocyh2o7V13WWTVjPNARHwX7PZjwz zRqqbVCuzr2uez7TpNxdhOEpwpNK8mnKHBC9V3oul5lo9YhCmceR2PS+glWOXTLvocBS24FsXBAt i/ENsySsMxRN2mCc1XJoVik6AKuK23Jv7Od5AOO3Y7uyXzrMAPfcxU6e6N1D9KZtxAN0Xxe3E3U5 R0hqKqUtBtC5sJYjxNiSEbvqB3mDLrNskH0+aoF1X5+/kY6z/4mpE4cACHbawXbpPqQLc821cfwI 76nG2dmXqKo23oEKVfh8WukT+uNMYffogajFSWrxQuryXvcPvPnApnRiYBRIJmYjfsxVyOFfWJgB VTzENL7l8tVMAn7q4MNl8auOWK4Am+0BGWEUvLG+7BHmSq672tOwos6w80sJRjS03K1sLhAufg0k ucUOBbVNYvyVy54S3bRKVQjngRa/O0J3YgRPW3pVssm4IH6xcJV34KRk1/TxSUiuCIjEE2UoUXye SZ4mzL6BZ92Djky3HGS2eZzQ5xjFtQKuPkAcnbyuEc+apIeH9gSsNIp3EqasIvZx6qCDIiXYaHhn xjII1c4RqnwuzVXzOnIMYfOeXabGhtMOV0Th3DGWiMGRojO/2TILZkqt/ZWEcy/Dk8oo2YKAW4sx HpVxxuTjfrFsjq4v9PIToGPUTYnFuBAtPF5ie5zWaa3PqfQuD3Cbp9Ax0yFd/hbHeGsRjHdrQioZ yExMP/g8q5o9VucMzDp8vDO0SjHpFuGRpHbFy87CbkKTZngx9ilGiwh/XACDItxTDE8rJcan8qHK 5ax7ZUFK5hWavXq2/j7V2lsKaCt9kwCybnNu50zJV9Av/wjm/rjQ8MfTBSk5JwmofRPYoD39oDDW yMRySlKEkcUBDLapRmzGLMBDNqUcaCPSTwP7wvibTZtYZuNWuiu8NGAhijJvLJ333z7n8/aR/g9t bUUTlB4OJ13O5ofpYLO/2gKwJIvqF/WXOv8W5jCGMvi1qk8i2+vQigQnhZ4BnTvld8PQmNntLGFs QMNKgXXqpuj1V5vsJLqpO4MmwNnlnQyyTBrLQ3Iay2mW+weUn9apjsdN4iNZs0Y4t1J60s6pwFSR +5ZZTL74BlioiiAqO9ESwKpnVOudPjVzZn2AHgsiVLVXu3/4B5cloMgVomtsEbTYogusfkIhNRjJ U7xw3jG4dftESTUGnsYJRmWreyB6JyNOp6Kvu00Bt8jePQ/4BmLUE49TJ9/l70XcAjhuzuuC5Vnj fXOBK3z05b+QmRFt7QScq1yJau1yZWaIF/IOg/mDlj15j61q6dwDDA1VR1tU7Eho7PGuKJZ3JqnJ wBRJSKoAoUz0/e30y8sppylK41pUXFi0eHxMGfhHpcOaZ3oVa/oaAtMdoQtZtdydvHcHXtb7D4H1 GQLuZBmhTc9kVeeZsBzFPIqfg3GyuMkZfAywBZJZVkJORWOVSPWTaS3UdQ97Souiz5rTAOZPwUhH 3V/3I7gY3fC/4RSmoTDGe/wa50SKBsiPGZhaJ/wXN2dZdLY0oPg+nZFoWF9r/TxVPeRrYYbuPW42 SVDhJDsYuGBEGOYQbc2s919/WAK/dyJ4/oX0Ds0fTXHWXAiE/xUJ3igpyOJ7aLsH5MEQVpi7caeu jpzcNUrIj6y1tQhrJaTWeS1YmkGdGpZYUPbik4joS9UZlmIfJ+SxQR+T7zxWD1hgnErEKH8gP8dN cV/MBWuK2nwSDsYa5Z/Y5dm9piGUfPmLEytvu26XBxVl9tLSOR+vH8ewGun9JRlscZ0m6mCs1rvl n1rrg77LU0P9rlUKY3SXxHF7TxcX0Or/aEgaVguXGtlZj1jgkbXWEUaYIjf1wj82wwj4lHOUR0E3 PoHVdSEvChhIkTZiGot7knnZGHQ7XUKE1QJtvyEVphc2RRvwJr8rL61TYQDRT4syPfp8UoUhi1yT N8m31Sjgxrsw0lth+hpFsxnf/5z8/6NwcACVwBweMJqf3hbj4zeRNTAM+Flv2stTl9xP2j56quvk 0mreq8LBwlHOrH/LReyyhem4ITnhShFNIa/tyhYz4rbSmB0NEEGTiiigOc6bUwUY96hLggkoWWRr ELmuLJJFEoz/3txEFALRq3uEcwQHAvoocpzKnMbHKuZoanO8+6uWwPuy2bPL7g5Om2ZMdtt57/Y4 sbCKEeaXcJLJhTW3plmIjLzDhyfuIv8Apg+x1+ZKKuoJDtfz7fK9gWTUUmwxd3EOodnkW+F3Awna n2knFPRs6DclKJhHK/Rt4vHqcIUDmq5W0/WENZSmsU50TMrDoQ5G0ES1Hnr12WDxdURnJLMrHVIC AUl6KiUwZLN2uY2t2LXuc7lrbLR5fWLptiHXYk5CuqwMD222Mbe+Wyc6FmpqcsYaUVYRFbF6GYGv Iw6OSeMC9jQWRUWGKginqOnzymDemP28XSJBADKZaUXYTziUUyRV5T6PshQbbCNja3AfJ3tifboY gzEn/+gE2zWBIYm+Oj0xnj2NCtr2bFAEwgN+V80UpGmw95cqVS1KuHB1uVtiKd21hgDpVTIYaoKH NdKbX00P25nJy+OtFBQcaNMgPQPsW/1R8LRFz48BdZ9MNYh9nauSMi5696w9FXjqD9o5LxaoweCk epYedr1E05uwdupF0vyPSSLqznQKusebP3SkhzJGmqLEOyICqoCBo1IFZwAH+dz21PrwgqbpGt8i Xhg4abBBv9dxFVgAQ2dfxGg9EFj3B1UBp11sIhtTprGp59aOoHz2ud6tWOTmQtDggoOGa2X9sO+z AFFhae6nS+w+9yVzEKh6Vyfp2NbfwjPuUckobPD4IH15GiQ5S9f50uIAdM4y4o9At8oSLF84ojEm QLFfXWzzxKENts6PnlotMTpRrbWIqIAQsZIwH6oWi5cIIPdWuQQ5ppYPcoNwx7Srw0Yd8i+CAd1Y wsKvBnvY/Z3Ba8KESJd3qZ9eu+ySn3MiBv888cYif1unmZlU25VfxIeW/3vXq2QL9hBYHEmqSf5F q6mYwr3hNLmLLQ17KwYnGBo259J6qP5getLoE+2c9BRiZ96OdqkFZ8hgYWaeeI/0mpVe83SdKcQV uIWRIOL55pSWJ49PZWmz2KRbDH/a4ejkMNdV/6u/7ELc7Cfaq/0ApHkk0YoP8iSHGe15A7vofZyk broEhh+G0reqqx3AQp7wthyV6pgBwyVF9Nbxwr/z7Rv0gKjQUVayOZDd+iNHsi7gR7Lsg+kDR8CA kVq9cbj3Fd2OqAQYpEWFGn7/oi+ja7Qns/PsHYGsVu9W/WnK+kD/o67EJA9tZC4M4vhYXmJy6hWB 8Dwy7pAgtyetQ/CHwXzJul7RhIGat6MoLsJ7y5n9LY8x1n8Qrlz2ls9dNQpJ00KPWq5TPBe6Gpau VFkSBbZ8wlMRM8o/Y/MZWF7cNyQcpXGVgvKwpP4WW85108LkfNcZRDgDwGAKJdpnNf9WtI7zcUkK ZU/0fAZEaCTQ0xYs7Z9+gz6ve1tipEBduxGHtcf33VvPbI9Ydy1840UPazcFguTX/9v6vvOTptfO IVENvwf9Bbp1h4RlwMDW9gShiLvfwgvRiwwUs7WRjy+6gqbGKUKs/81qOG9U0NWAFnWF0xp92Qdn BzySGE/YtHTUpuntdAiDnM567oW+1lF6xbAu3jXnSAXpgVrScEpox3R7O55b1O80SGBLeGz+bQ1C bLuroeZxm3QoTtog7r0F/cdvcucrnRujI7TwadAUqpnem6mtwPK84uwgLcm9lk6c9XRqEhJZ2J14 sz6z7xzdBLoLYPZdC7Si6wgVBae0uGvvlJoBMjNeiy4bu2CllOE3mBjdYwpJBpNT1Ufk6Cz+/bo5 OaOx+b275hYdZC2a0KRDG/62oAzGutVF5eMEJObsYntyUCv7L5gQ1DGnr7xdTk00Z/Otxu/Lp2GM Y6cCGs68BMGZloHwcJO2p2llxqewqdEWFKfB/kGKeSa2d5KslQgl8+V7v+Ihe82Am813yaOKDS2Y xvRX20HtN8CkUvM45Mo2JLW/oq2fRvf5s4/FH030pzOoTtE6W2fTYyjQEcJLZJ6GDlYbX0IQFX7i avFUi3S9LKcgqajIdxY4BJHUyDaSEv9jzh9hkhgCTQdoDxBsZnIdyy0WoUR6TSRXAa9T16lF5TNq DZGv7jF3U4buy22ho2o8BJvaweqiEAlQtD1IrnGcMHP1FJLdJxs5pb0xWJJewfQrPaLJt0AWCntX xGbw9MHM1jBLt9lNr7q3ajk4CN3/Kvsb9gVvL5Vg8M5SAGzSXgndNFyq8mmDUl+6ksjd62DgefHc M9IrH3Z5QvEynl553na25bAPnYw6SEQU4Yg9f8Ptx6OtHQaJe8OmSELA+DrbfmR2tONsFLj2HRyu pivIdeIBoVrhMYBdDPGSzckwfhcyjDLEn+dgue+XJibFkn38TAxFxRsuH/liOA78Stb65iKQy0gU w9kPNqIUhC3VSoenCnQKau8bWnygf7PQfCw7yQvd5yJAoolj0c1ZCH3/soBJx9U8y46VlHVuGPqy NDr7HJV4bPq3e6LwrOXrDTaKv/QozkjxVmHm7Q8f3nz8hLErNIWqEm2rdnTzafIBlSTnTh/rs2uj p56iPQnMWMubnMq5hYlM08pS3HT35gkpuzlnnCjRw+xfJyLzlKCP48DV4cI+qxKAI0DFua7gAXkf tG8RZsNAWmQikzNYtwnM0TwUD8ecGWrH5lp2+38558SC0ZQFwlExugR3Bb1mCi6gQI/kdGH/wEbN dzSDbWt4WG7ONRABvGnIke07nUIfiXmtZO5udOgTLDo1VAqgBhwuemrADTSjgsR+94dZBgz1mnNS lXSGVzM1WfLypnCzpgYU37SM1kgkLWJDhJR+k4QjXMcjxe26bk3qJGX5c0m3+3WaW0X6IC5X9yVl 7ObTWnjaohnv6go2rFNhrkR/NZlg3BCO8+0w5hVZy6PfspTsC/LD6P6p7WUaWHHOoXvLkdqNRTHB 55HL8fdOu2IdvDgN4yQqzIgmjEmrQOH+VeHmQ43/F98mF3aJA70BciwHPS15r78xiIjz0gb0pZgf uSVZ4aAcgHaYffl3y7QV/nsVaVd3u+AUzNMN9Z8IYWBroPHBLLOMcfefly7bVZU3xie4UEksJXep n8OsoDd5uC2/o+ofSxiW3MijsiWPkmnmF72wZWRYg2d8gvdrV516YSCbKoGD2ad2Mq+jElPbpRxc aqyIeSq3+F7lM3GYLvwfD/ZR8PWNmFiFMW6U+LAA0jCx4cIPHUmCx5oxT8q7sxmkwtcYWvjYv2zG aKHiiMEFPU2H/34MB4fSFP+1eflCnfjPVWMQuwnagYEhBYQdElO6jXPVlBvqn68jTsPAWzNhagPS C7BqG2ctlde4n3/9xYxrt5p14inHL+Zjwd/QMPM4RfQmCKZJJkoQquo1f3PSxGgfHpK2A9xjJw/3 u15ixl5idymj3fS8MBKro5uSiojb6o3fWJnhNdh1L6JfkM7eOp3hcUhiob/gBvug/V7fV3aFHWuy EHLv07wmIKXxp00nyqB3zFZybx1ESvHyU9chroLhppm901cJCYcCJQIy6MipYbAnyWRWb9mwGqAG ENUoGtf7XBIIRX1dDcj/Bza4iTSH63YNH1KdJGFm/R9pymvDZQn0yjwq2JeJGCVmpbrHoXfNbplG m9vOHekYHG/WhCy/vfp24+iu955B43uK1awpbL20Jam7c5XkAHGIkmR+UY8CxTsxcarE/AppFWEv NjHTNxw+VV3nehpENUabcVPP9qUxxYBHNUerbgHSUrAdVnieW7vstL1wQhuO/1uk+bejmhW6KEKN w2rFcb2PnCzaGPrImX2PG9Go9ocy3X0CpagVRtthAaI14WjFuOXBCEc23HM2Xvp3694N8JAtys8s JBeTNnI6hZRJqEaxX+rZMrKwWI0uF8RSWRfiqMeTX5yN+Osjc5QOJP6VKb7TruWEe1By3kZYiIqI aNl7aKNrvMyDrHA1zVE2TmLpWILHTKRGOZnOE8smH8LFf5z319BX5DKMwsCpr/E/24IakGVF5O4I S3rNKZxWU7mLQGnTsrxMi1lpVld/pxPN7CX3FAaGicv2Pf+bdXeSfyQUeG7oJ2cvu3Temyqnl/qC gGD+G//NPATVDyeIdh5H7duBjwF/h92Itm51KWf3bpqYC/rIo7hbgLGzs7xN89XqAY7+ZCYey0rM 6hKRRHZcc1hWYcDqpCKTrDwdCWFNuQIFIjLjUPka0+0xn8ypSucBcTngqYkMyvzi9S3V3gqHkZTu MCCXC/lqmrm73JmQDqBFy1QJfLkn2dd1JTxazN93Q2J5ZL0oHyQCI0Ap9XYPIwjquUhSL7bbtYns UjSenIYHQbtlP1vxBD828cRaKfqxpL9c7MPbxFg34C77q5SFtJKeaEDXDeANqDybsLwX88UvX546 Elv+mGmdW5Ex7LoaZCa2ukZuu4AkkFdWs9RNQEGLhrPnmVICgC4e+rh0a6XReu3lk9tryvf8bYPW zZnNnYwxWuxzuImvwRBl7ejqVnlc2TFkeMWXy6psSDxeQvkilvDu1cm82Fpsxb5zbYDtHS2eImzP vhw02y9/5xyQx3iiUUv1h+ghg801mvQF96dka8U6sNtHu4kFSamF9Km0hHIMdaxG1Kt20HUQMHTw 4rKo1k9l3wwL5HEcaiPqF5HLtJrL02ajjfVIGNO1PmK2nf2Zd7e4jCckHrasl2bXxd8J6EqJ9UlV vcXrY1rEQj0NAPSEBTs17Vxs1TFHB1g6fps9e6LDkbKiz+FJOINWtwLxsUfbuHX0k7dmAIb5fdfk bqxOMIeBuY6CqGQLEWbVkJabY3VfYEImjBjbClZ6ChhYqFn1uKqG2U5iJR38sgRaxlOfLVRUG2vi 0WrrBlHMJTdBaMd3Pp72F443c6yvXCg75XUyCcEaIDCF5GahcebvYTAL5v/ovo1VAALZfs6GoisW w0hNNy+2KGklUGNMRFzVTmIk7i51aSiDsqvdAixNvRiVAk6zDs7LTuKNNYZEVH3vxxvrWST1LKrD jaDI19xbhdo1OuxcFllYdHtN59TIPWQamKK6Xr0cQOY++4KRZUSY19EgfuwFQCwMKrHewIWmG0BT epD0/1wBc6GF4spX73HFjGzfyCOHacbKzEWJ3lbtSCYOYkpQqJwJokh7BV+24GgFtlcqWvsnlJHY UoadhagNmEyvDtkPW17YYKQtNbCTGlyuw4EXsbCg1f5/pYflCT6/ttfK8lKWRO/pRvVxzLVmeefB LdlAaqvQ0pc1aPOAd4DmLe86cvPUSZMCBjZvL0wxEif3nhNO+NMzB0Jagc0mDOh63K6ClhDCnLAH fpS2a486Wf9IuiBaBLbybHVHf4hucvzGEpsOpYrg8nFcc03vzm0BQggLh9GGFYv5HLUj+NED/Obm SoQmolD4MwWfflMW+X3WbM/EqoKkAEJPYbSrkMFrW9MHzqVn1/nwek23Ze6jTv+fSFPqyc0I2JPg UGq8jrnZa4MWSglZnGDcfZvWiOsFfedFFLKI/RAL7AzyHkUCkpn0i690nW4b/4vOi0qmxamJ4YLK TxTduQCenAOGdqTQo8aA6dfPEfBuo7JdWT3WABKyB2WJI0s4SNrIwwD1Dz35LxYNPoJJudktGz72 cOmJs5Ht0RIEJSn2/Cv+V6LPcG2MXj2rFEvcVqS4QBPrhmge0mo9wQFua8GHDHv9HV6H5nLXA1Yb 12N6ofbYNFgl8Yzc/2tbA0kAXPnC5eAjHyIHL0hyH7vGG45SIliwEJ2KTo0E6LfVVBpQMKjO3N9r 65Z2V/UCTzXRiNwc1JiBnMJPhj6Neg5ciMnD7n3R3lTsM+NBqylRFy5akF+HpNnRH4hKFfWS58t/ 50A+XvK4AOPuDtop/V0eo//SU2YMPwKi1doCN4W9X6lCViqYhi/2J8UekT+jXp/N+uvKLfS7BevE 6FTzvKFnQvgol0f50a8WILC4YeIEEwNCyA5QOzfEldBBQRoR0X3wy7zESpaUcOugiF9366pochEa M63AtD5MNm7I5psMUBLZ8AHZEIzT9Yf+GGwpJ4Zi14FUvEeQHwGwF3fnXq88uQbfKAIxQeX4lCL3 i5eqM1PKh6WePe2DYq8Ux/Q0Mm1joiS1eseMDXtiFsDicy2Dwn/022skBNoG1ldopd8xigXpw4YT MEDG6nDRBvD2LaryzUUbZ3YVGRRPi052SQfFQIaX23jteGPZQ1E3OMnhib5k4HwfEgxn75jsEHKj ZdbwuIxVFET8FQaZOnnC/zqjQUGj25Npt0nRm/OdGKE3b8w38FiuRIO/X3i3FGfDY5Xwmx+8B2yY +zNxhOC1s869OHLbVMg4jr+JQNxij8bo3JA8MN5wfpeQMTRll3J8AwHTVPQdGLijc1Bq+ghHwFOb sC4uQ57VX7q7jpnXOkFxBbjlMIAMFuoPp5JbRc3SdQ2T9B/omBwvvilNHgOUlZkp3c6YMwG3Wk32 Rac6v8SlLTzytXiMfuL9QAbv0/NWriEpiG/Y9Y4MZdxNOKbpSXG+HWBWo2Yu1ENi+Jg8H2Utp1Wj 9yHMKd5O9neAfeJa7XfDb59O2Aill68YzRkUj6hXJF92iOKfSVbyY6CxKrTvupQc6PRN/TnIIHHe FGkHgIURg11nCcUtG5Eb5ATlbHsCMWIY5WpZ8GYAsta/YKLHpSRItCk6gKPSjrgSocIc06apQGQe to+msF3C+b+7dsD/sMFJnWB/p87AtBKvlZaq6PkeEqntTuH6K7HmWVpacITyENhUqu7JH2qmMKsp 7aKbJr05BXEeWJZrpmJZvgFov/47flTns47DOYtJPQyO969DnIVXp8eHQiSmXKI3IRSFA25k/hN3 McwR8b5/c4iapB9ScjGWBPPLmMYWPDh/6CQPH+BfUhQqAwBGhkSj4fN0iksV4xjGBW4LEyFG7gu2 I5mPIVurcDPXRnKvXIRHN7va3mHi75a+HnQ4155C9rChbw61V3dfz9wAkNqoI6feS5VaqoAeCwFG XXvTdM8mCKQvZe2fv9jEIQqUN2LGnthu5S3M+mXtLoxiL9IoOYBcK2sJ5UO5Nt6ZzB6l2HttUsyi MHtW8CWJPM8P8rz0cb7pi+6wj0SxWsYKO1pdbx9SzYATsSJ8XtkMH/pH/L/OC5erZIxElQXJ946U VCSeFfcEbL3hzQYLO5C/yOZJowVHyM4YJhd//mKe/o8T4k2jdaziD6qpjrD9H9SvcARqZj/uSTRV fthd2Pdk8aRANAwdzmon7d4PKqMPOWaG3UWNxiwisXXk3Md4Q+x1ZgqD/mftAfQo8ECIvDDs/TlJ jw4a7nO8VMx8+heE73/n5zJHY4Tc/hkmy3NAQdRPP/nRFaJ3xaSrJp73jyIAMEoaINzMDZea21UA 00sMIZCEMgAAXzq2Wnha+Uo919WV+Fy0ih7xN1+oASQkdgbaFXCoYUI6ODz2g9TpPKUXsWAGuYHy X/JkC0sENivYXq7UhELquyKNOJ84c1j2SAefj1iVLMbIpSOweoYRbP6gMB5Sk/cy+hOpkeJR++Ei +rnDnRZxs2fhn4rM/aKZ2iseaxWo7PBvTs4pQFKBju4iGcF4CI8PVRqco1Jp0vjXw3ogkINsqpQ2 H62Aw9Pn3bQTf4IhyY0Oo9AXGgtY6yIeoL2QrjiOHNZxH57hW98pIbEbhwyYBSJK/8CInVfOG8pq qZcUTEBt1NAnduqpe890GUtR2XJUfdtYBQ+v9marJig5TjUm9kL99kBlxPOgkJUXgy9vulknOBEf LpkMq+XOCtmzBZi+pMxWHFZHmY7UeH+io7L0cUNNS+f003jjdVjqz/tea6DBvbixOTOG6BOcPPnj zs8JWQhNszMZ+XS+0ClZLk7zCOyvRSsF/h0cKIEC5INNGftdZeVVS36zsHRy62/6+3As1bUg7Yow /4cWX3aOVjeC3v8nKsj3nqhBU+JmistPyE6mAfw8+xBBAevM5uxed8/iaPHoJiIAiT1T1GRFU5by OYhGz9pOrglXxVnvM7BdaaczWfAoSQRccsqEspT/m1tbCrOMx5ihTQLZU7C0LmNQQau7bqYKOozM C2oULA1T4UFfWIMjMunmcbsyJTPsJSBzI6iHTet9QHwk8I8W2mWrRNXKIqH2rihgTBt3h33nbi0N lP9HqQPdOAfhU6/g5v3Z8fXUa8vIbMeNOCQTYtLAsuPhtP3vaFvwj5bxyyhKbwGYVDrawk2prRsT Jb/H1Th9hyezWlUqs7Wo/8zcE3WVlkqv35ShN8TkiAyxJu8HrBcNhvDCybI34uiJWFXszxjeI0ZF EZAR2dDE4gFqaBYel/K4Pq0kyLyaZymM+f97Z1PLgVht1Q/O3kZcivrHO7aXv5JrdTjPaydeaBI3 dJiHpDo4JB9/l0vIPgAOmTIpa6psK7OmWE2BA7UcLEInAhETf4yZw4M2ztJJz/nZRy23iikx6Ucn KiMzsZkWhKob9D6P1XVK0wxL6WwlNSd+kgq0weqMQdH9XYHX6Et8yhQ7DjCE7IKQftQzH2kcGkJh JaU27Cl2wa/nDLlJAPv+Ng2eVPgVYmyUG7++fB1Z5RpNdkBrLudeu04otS5kgsUWWrfLaBp4lEib EOS3gpEjH4qPYFks247MzYhIbD0kxMbi/kn1LiajwQrnbxNog3yknoKzsGLYUV2qPOSD/VEpCUD/ 5VclfXxyXRgIf+Y7/wFFlc5JfnkSLU6yWWA6ed15Lp3B2uHs+5TdVfg15H1vt1+PSxHCse0SuEBy fgx9oNYCmBYYAzsCmaFK/b+wBjNR04FQbfiiP6FvgDXBOzhVz1Lq+56HN2HELwcFRn4ycPe47a1a GatnRK3yw/UAX+5b6WJJ0zn+j7hkqN2ADeoXvztNhe7sxWws6iZWNFlMOYdGHMTKhbAhwAfPx8Q9 G8da6HfQjHd6iWnEgKAcuIIOstplUT/05xyZhcHU9uNWnzq1zEVOdpX078CUdXi4Wb/dQGW4lmzI Y/vP5j25aLy1ekS56YsZC/0cG22r5umIit6Vd6TDsY6eO8dDFG+1mRnG7pGWeYno1ZC9UPL97OiF UmFVbOB4Pe/5YzT2QLLXiZIwbNC5IPtYFxeMCGknpG98TdvSl4VSCgn4xYWJPErbOZORiks2OzWq ejxmRSmh5tpco5lo3hp2Te4bsWhO8ZIIY5E9czfHa0lCUT/HM6EpWa4qKK3pHa/RzrN0vU03x4X3 YDAUdF4tkTRJC7s1CruMLGGK5e4Ub8ydmZBYgjOJKXYLJ2vdkZALCUV3HygyfwPy57vj4oUmPAxS nCAddNaKHIcW3xMD/kschu1bCnDCJBHEHmbI/j6H55S8DnhZfRHJH9QvlO5iMe0B5Z80Xhe1LvP4 db2KGRKAkYJSIBFHgcs+OK/u1E1APRXtJCgGT/hIt+DENXK6aoHSwLBJDzmgVge/AXGY0BuVEbbW IlU58DPrHgTBBERO6Hbd8xNMmg5d8o+QUYTmkRIVNWqj1i5f+ArFCxEP79ZbeN5G/Bhc3YRyt2Hk N07IUrym8tcmr7rbCUsyycWyEeAqgka1llRHZOqdcZgw/G4D9uGwX8AlkLk1G+Uhs4Z4CxA3PCcv JxhOLBFwuZ2S7dTc4bh9Lif/Pw4m4xca167MQtYMMy/WxZskzjhXFD39Bw6fuQ84eRyUFwrjc5+l VkFomUX4S6U+0AIWDEdTDzZRLoFAM6/vK4uFOFXMPua8OZlOgpP6AGSpe4We4vhXPZU+PuRDaaQ4 6qS5DypISlZ3mfrcr5U4e0jFdolxNw/Pa3/jOQSAvF9aqmRY4rj5bhbdeVpzGE5cSeGZ1IgEVwgf Wo5HdxhOtDGjvcN7otL0HNFZeO9L116Sh31D0+yjuEGI+RaJZbLDrVdtzdtPIggOxrh3Evfazyqn gYFF/kUQCMjEXnTbDWaT3JMhqUlHJoBCdisPQjf+JZbwI3t6cbhm1aCzfxGv03PG7NIYu5LEfhFn a9AIGWwkamTm3kN/kLymX1G2T7Cd28IDkuPWOLyCSGJjDqSN1PB8HWNS9MW9xu5f5lypuvghlZcC +OgIWJEltMOOT+RIQn3OFX+PmYISjCpVOSf79ajGuZKIomZ04uzg/8yHTP2+vFv+r6FAeUOs1V49 sTvveLgav9yG+Ca3vx2CnRTMxEVHRdIE4+mYObFCBa1uYbv2JixUxc6hnVmD6CXehsvZkAtlOQ0j ia0Yw29f8fkJ4C4L6faYE4kP30uixmQOtHUDCEkzNyfxfKzvBMt1bONMbykx+PydU0eMISb4Rf6i nNuhBzInt9jdIix8AJYDwZf+JuE+LtZ96YfB6/UXe6mJUG1vA8wVyF8p3kV5toYGShv9XeSsCo7/ JI+1i1YaRnMotag3YlP9PUc5mtl1t/hI0XzXrWLB1bXlOgwLXZF4G936v4WTysXZKOOcOpQsCv2L fx1I7oyIrkaS0ks4rNqpzIia24ffWtt8XAKj7tUuCitLduhSNwU5WvcfdJlJzadFQrGhNp+9XTP0 05ZfWvIahyn4zsJZlaRc6MTQqd3u/RBLxzMi21SGiMy/eJ/zni02ej4W2Je21lie5ThX5Y4B002a +OqdrT90qUjykqp3PIy9I7MzD+LgAd02wpoHoK07LyxfoHcNpP/SaMQeQUGTYsTLlmDQ11hD/XHK mYW8CGK5XXQfYxZMFseX/HJZBupeJ4+ZiPhawndoAPdC7fsVcUmR/XtjkavgdUVA2SbgIIuVeuyQ tu4SOkwa7P4xQXsFzSGAWW6syIhzVkC9yF0aJn+UnIE/oKHRfmCWu+FVMhasPF0ynWTIICDrajny III8p4JFtG+7EG7V5WpmF3jzDm1G31GASTsO01OnTrDJwn5ZH9B5Ho8RsQeAuYY94T/tZIXkRMSH Y9OoAdH/C1pgwrG6mEFGEVyP8gHWidbsY27TtQoDaY3hPQ1eqZ1M6YmC0Udjh+MblBFH/Bhn1Dt5 Mh+jRte+13xqM850q8QBlj11tiCS5M40ZPwXpdeZ02KViNVsBp93zfALpQ0tthk1neAd5FXaoNDV Hbs7bWdQUaiJgKGOYf2OfsI2H19ZEGBSmLXsqXd7pWslgeZ7pXLelAP1mJ2IKCwHeQdC9qoh0p3z Jcw02tSVRIUnsRUv8zWgBsnA9rnXb6nI1YsVQ0Cq2vtNUTFrIBjx7OrxfL6mFUYKaFtiU9qKpn9a zFCIqlaaUtAlcng5RrtFxMQHQ3CkTjHUlFiD/J2Ham91Gb1U9A+qQs+4M2pKdF5fyXa1bhto4gFZ 7WdH+TBn0I0e0rBCr9CIOvXV9l+lGdluXYAtCpGhwUftDdwIQHO7W37ZD/QWC03weVU402O0EhBh 2KZKXjC/ULtj/suufhK2c+JGrT+xxV7yIBW+hF7FjG8NLJr+VupxyhJEsu0j3z75nT7e0OFPZnBF bk5WnhIjSbbUpijrKxmV4oqt5zDB23Mt9Pey8zaM204fUpKRDYHlKVxvMzRvFFCpH3fLXI0VWDgt C0pQ3RbyfmWHrYAo5KAHFDinl8zIZtAhYfo6SGp+c9RaG+qnhDfL4YmtwOElj6y+6e/5kx0slYVa zztwlbVuTDOFMAmA7nBP1TlJuDI71kt9nNlJdkuD4KrOtRy3XmKXGQSHbXqaJoLARdNQuMezfMGM 0t4ZPtwiIzlJ6zZH5HtFrKRz1ALE1RDpt/VI5hBlZRnNP3C0FFK6Z5a9C/C+JKuAxoNrVV9kueiP yt+jnQ79PgA3WFVWgIpn5Ysgj8ZOmEhpueIcy/wNIpd1zQ2XkesHPI4+0Zw7CUW2iSkLH4cW1lvq 6okeVRg1N1ZoiqfQ5qQxTe1fYAoYxG3qXorGysiJU3Qs5nsYiwTJsMUREC84xBbDPd6SkLNB4tf8 F4rbuduFQkbZmPP4Qd30vtxEIBeZogC36RVvxF6Ov081KFUXENAEdMG+XwmHwaTXLOeXZkd+OJmf G5XL0Psn5qozApdtJUrj72/SHBeKVfyao+Kq8NpBUvIAtsNvDbwtl6MV/3voTrHvaXlxYbfemGmE 3IClMDF964MPuKeNKLXk2e3n9X7TzhBIkJYTxIuFm9fMBxtWQK1xdNFTDscc6ewsynu+1KfiJeqv IlyURbXd+CyUuTyzHyUMNTptrXcDHAAVneAMOmplMzat+MmcmsODoMCN4n+OIqXav0EYEXN02T82 uFRplyYnv9htXVRBkjgqxway0KkG72TxTR7hTz/y/StbgCstqduHR6hJSaWU9bXuuugZApT3AQIY /gu0ES9HAtTlrSHQhCgJCAMCN9dEzTUp+uBtkDk/VKSsYAKMfM6Vs4ZjzJA2fL4ek8LzcM4SMoRw ctK3GlqS/W2dFGFy6GpPo77uqYtdGJB+p6e6N3fu0z6iHB5O0eQf9wLzTzsylUBHB0RyKQek20rg JsPBOgZA+jq41C2Glp+wy0EX17hRjKTyBiLn8BMa5tk7b5+mMZoG7aEtJWRTAC7CATw9zTrwKzlC oP4TNe9R/ectnMfJDs2siXUr3P0TIq35pgr9B7tRvuVpaX1ngD3XRWGzqJZu0RFk7xlFfTWehMWR npt6MzsawG341vd7C3ruFLmpPrHSxowjl+sN15GcI1+6H060kgVS2wjs8oSsgPynMnLwyo9cJSgd +tw7X6Fiye15Mr9XLRAE1SxBaHu3SX4bjN5BRYnrfE3xCu26CvsLSx1l92ts6zUe0j9s3Udh1Vif 14G7IuPmR6AXP/w3SPPbcmjLdlWRLMHMaMqLT7kfXhIp7psQ0sLg17/Jkc/pfSJplfN/hdXBV/Yd ciYWWn0/mQRi8Tg+158d1SwmSO7V6CjiKjnnmzpANhb2+/VdfDf4IB29lNo9aDra/Kt8yRkmLVbM QxvD0xmuhh4LLLDqF5D6Rq1HbVSv3WrlPOLVA9JNr678S9JqcAKW01+sOJ5pnhnFbqtPJZu1pLFM XSMJjfaAh47kPng5CmL9YeOWswUTFUYwRpGnIoRP8cNMMDqG79ygHWJVnT7qFIhgRdZL3fCn7GGb fvCDvDpy6QUDiS4hFi3xS4oyrPvIlBhsSd+E3w2HMchkYDDF5MV45TC4TwxEdysLEtLHDyi0XuCW c+CqDPWDIxFSUeKfJqX8fJt0UmpWEChLHha3FktAuDXHfNsHXojPf6WEg3Pnem1H5y4noK9zuLAw rkW4/2YTzVs1XfA7hHuIWGTDvAn6k4FhqO1aefYCxSXnkVZUd8EofGZ0L1zPHZnjdva3w7IyjEiu HTRR66tjRu/WpW5hyPN1AUikIYsWKWjfQleGpoLp7FeXjg7a2Bsa6ycYsZine7ikPvfQ8gbs5mZt +nsuOVrPpb8Ag2f66AVoBwWdX3Vbc27RmHTf8fefvMeCGwO4aZqZBotrvX9Zht+JV5qC3gIRu1SS +GD/vaR8YxRs0+hQ8xNI+Ni6b3n64CTsJcPVviarDJjzizjA5X9eyCQHxcPykSKHz8XyixoVrdrb 44/nmWVTf43/uvGT8ma59IdkLzTZgY/jQ3ECKzFFK0ZKtd2YY4pYYeeua3dBdhwYRk/tCeaBpW/c rDs+L6n1gymSv4IjALMi6+xXdyCB/JDw/JyZemidcoOPBgyz1vaVmIFTGN+l3b+uLwChAX/5m6wU 5xIZYXpHKRt2BX39w/rdjbeRdfhoF/Q8du8+VTeWlXTUe4PfVy3ZCvgkjX2qLUGlvNHRN7uRWFCv 1fO361hIEwnWg9Mfnr+fI3DotSH3eY2C9cb3Rb4joP7VlKTPUi64EQN/H0TWGTg+c2QivbXdSFXK hJvgA6p7+RhZlZGVU92wMt02i+bqJKh2Qqz4aknXmPHW1+zMCjhvSkToH5Danf0KArMcndmPxqcK 7SlJxuuEn7ftbfF3aNaPcnugal2nUsIVTnb6Z+GdkNtqvj1rXczFm8iHYwUNYGtj8Z/zVhy4N+D1 Ii8g5NriOWCIM8x5lI4cnC3qgp5qJXMMkaCUglKTLYYljYh/9dtLOHDOQjsMFqWHBoybIwCOLUdj U2MJKw5pS9H82fri2M6bR/GEAjqsFLi0MbLPWz/9FXfI6vbhdmpcDrthp+Fzm8ilY2XaUcAQzbER /vqkcKUPzawHLXquOw/KusKPhgOgNWS5gacEgjuOcvsyNhk+tCTHhVEqnVxA4c/DpmuhILdiZrQw FGuz5hNTFz/YRS9/fh/I3JvOmalZXdyHUrNUBH0y/IMRb10gsrBV7fTKhYHgK/iXbWnVSEuvHfaM oaFS1CQnp/psoWYAfUwidXstFSK5vMVaf/r1IUzao25xrEMfAKPYrgz6dunotiPd6kPNPhBES07S b9RMYIG9cRizoIm9CP1rJoI+XkWIITfkADmWa0eRASqNcOxBaVuC8MunkVxv57PBdlLWTsNvNl9F Iffx9JSa0eRVGwEP+gvW8sw6vXGQqKP1Yo4zYbzjKHL2y+OQ8FIUGLW0s/5IgbH3PeGCoCx7Lq/L yEHfR2tlk/E24lfDm2JnF4K9QWx+9wdRJbXMYKwFhayJz+ER0ORuvvkRAOHPKi1+CbCwbfGuLcdT HN9Rh1gmcYk2BGewtEE9yyMFXCwgTnnqoo/MugkugmRfbNmf+8y0JuM9e0HsL2kouNW/DHgnU6FV ZVQfTzhnLSg6RwFdDFhSD//GOMiBQG0RYNOYNiJ/ZG+rg82BY3ysOazBBCzyNWzppGjBhcHCVsgm WYjLnpestPrd2MAkr/FTv7ksKmc2Z2lITShAAtYg4Alrt4V+lMgqwe6oZzUF9V0bWn/oRr06z5TM LjnnN41x5j7ASulwJkpsVP6wJFlqy//Fozibd1eddxnFL5ZwGkhDHnYnVpJ+qZUKFcRZAfrBC5Ae /iOI4JllqSdzqDSz0iVAyjrwobRLBCLVCUozr7HBV8g8NoF6VKCoJ2WnA8MpzGd4EzZEMri+U73o bV4XG/0S/fCi5niEEuEjbnCvz41kFnbOabQkC3pJ5Rq1+MwuNRj7mh16YxVJP4bpJCaDTqXdjY10 o049hluFlFAeSETRQCLNnORuDMfaKZME9n7LYWfM0AJsnDyopUTbxxJPinydvjq8Co1rDKXMu8Mb zRIW+RGD6yQP8dEcjjXHvYmzzc8+qtMWoJrtR/XVtEyr4xdCElE/yG72tI1zKydVmK+LnU24sE8M XgdNAwRJuRYnSF6aoF32BrgKpsUEH3c/lg6SO7smVacg4y1FpRNpWNqpA5TYpS8gP+697hSmHthT jMXlBZjUM4aOFKGmb9fO3/dUQczr3kXfkaeGozvjRkSHmmt2T68JOMpXr5MJu1mhXH7NToWhbE8V uBt7RaAcs1XaAAsQSAdmCDtSIas9pM5d9YWqYSXsE71KcrkNTc7afIo3fj4GWNZ3ZonGbJa/Yqoe kTF+NwC4XncNatyNSmycBFLXyRyuqCyX+FyHb+MYWinFKPooCoh2V5eiMJEIzaeKr/bvZyqM4/Vq ZJ8a3EuxPuxFaNM8TB9LRPa6yKVktzr9/12sUT2JrLUIvv/7swXtJEQs7KCxVCZE+lxw5B/wffn1 3sWIcEhpCeHKHUmZFGSPjfyQ1Bgy6QSnuhjNxyWndInDrakLVYIoEVCvdUWWDfMMgFjW23jfGGJ7 CUJl+cWlTtsX9Pj5IaFDPYge7qQhpoWX7bvA/qIWlhaPl/aDoBVwo2UoehrQja7cFvK5TtntvxFL GUK06sokAfULzqkvq5ozg6o19IuJJn38KAdERKdQe3t5Oxp4uRAq34tIG/4WX9SnElOufy3kFokz DXkbvs266dgRVXGcn3o6U//pTqn60jc59fryY0dfa0IZhvItFJf6EDtQQntVvgMtCKpMMmJHItfT 3X5eMd/vnhe+HlzNdQzhDHkrzdImSef+qnbFD8mPIcN+VEyk5/nUOnQHDPV5lGLqOv40UEGGBCrE RDxaZaOLRXU/GbL0iWKEgeSs4+Gh6L6CIY1VfuZDYwim9QZZYle8+fzYZ5ilTXe0OfZ4IZHEaiSo Qr45gccxnKeD+SIpAZV2Nx5z3QKCFY4skp/++zeDQuTGM7O8/zFu7A88Iglsdcj2WQ9GN+SGbQk3 SGnOvDSQSIhu+TWNw4orsUN7al7k1v1mOkf2QjzdQ1giZC6GYqn0DY63fGxMiEGBezONNkkn2VhI X6FX+hWtl17PLvbB/xQ9MIbN9iEyPvg2BNJG4a5w5eh0qijocYCV/gfPy3auv3yDutKZe3GVh+sc h9IswT7K0PJZa5rUU5o4Dq9uLNG9q7rE8S44VQG4Vghmtl9fp6j6Nd/8ieljxkCwb+9q9ZTbesvP HicTwhMTQQVW2JE4fpCnzF85UyU/I/zSZaIHtUyFnY7Dfhwhzbr5PsM9j1YGT4adI12hotsj5kjg o7s8om4e4FYHoEx6uYj7aGinYmJAYGNnAxNL2eN63kwmZ/GOFBY9fvqBIrToxLpbpGednq5XMocC StdqRM7PHt311qW29lTk1GTNKhhVBtHQIcHltdzvxOuGTQyHG8/CPQs76rjOfdE56M5SsJPnGiiA IGOpzY3qFbRzmiI03GsnOQ+zuCm5T+KnHOCSulvesbh2Ipxy6zZ1KRUVZD3rAWHW8XwRHpnXH/iL 0592rV/MSohETLBOLzeDsBVf+OdMeavxnVehVtQvy9h1Y5FGx5rJq5agwiLVgoukN3TcNYPTuPxg xd/W68711Wd4pT3qhPQrili6WWK3h+aUErhrdl4eNSb8FPDvXW9u1Cw1W3S7BQ2mGGb+eggRQGyj 46m5ELgsVxLgGmH9JtT0z15er84GTNsJyUUtsHTeVT+AVaHoS2qDwuwBi5AOK39uZ4lmErOvetmk Ufy2j1AQnnwm5bkuy5T84V/Eg6ZU74AJmVI0ycXnrcvl25aRuDXVWu521XXtorTjchlFh1k9shr4 Jubw43pB9MaTk0EaOeHG8UdrFeTKzA6zTszSRdF18kOtarthukuUCPU8QAg9wEY7KnQYT5vy1C1X jAHXNqe5bylaJHYIhNgjixxO9Xc21Xk+ZsqiZODx7W//bU8PKcQkCWbaGVy+09WByjO1Nt3vkZX7 eQeFv70kNx7EQB4BS5jLEMTWnw+cc1CvJTI2mD4nJrMo0sv3ezYPlJ5FRuVCKtSB4jQOHmwnoGQK nnZE/aYMC1iTYECk9BBox/B6yERwqtppOyqTb2J6y9AYLUzhUCtQfLXLC3+uJYgcKsKCbU/ltnFh Lm5dOvbhde786Cz4vDh7qr7ytLwtpHA9DNViBlYnTj2DNQE96tRZ5hqilKfdx6Ql+ZfJ2ZMaUpWF PR4aeHyZH/taX2MqNMPbML9OAAewna87HZHeDnMu2WnkAm1H6waLDLONiRG/StHPm638GcnZ/7i7 Q3jG63xd3W67N9+nER03ZDOQmsCejToNYcCQ3CDLHaemQ+isTk6dsrzDferAtjjC2gU/fpjM4y72 e84Ki8WuSG5K5czLFAyGIOvP5lSD1mCYpgMKJHkcoWvRf7Lo+LlTbLG4kcEpdIG0PG0GkIAvWt6I hHa+exPRlgjjSy4a17h+GKsdfUWw+PIzYpocghjE6WIJ5JX+oIP1gHNZWbxH6K2cNgMTiCpjewR6 hIBwiHvK+UjfBaCNT4gi2e5gDaJ4akxwXenLc0BZBJacxL903Ja4RSlm3Gl6dLVTgKntKKPuNzXL KnCW8i+9DXVeCmBm5tYWq2YevBIuV+cfYOWLqhRyNIKATuyK5oDogLu9I4Ur54Ew6DpQKyKRDoMQ TJVWyUpgwFrlg5crv3YbAwDORvREEb9+xRE45hXlcrkrMlHPJ7qezOZgSgUp3W8E88JCfu7Tzygw NG6qdj+kK/++UouHgWsYpj4iWSvWkOunnwTZVdnux+sfNkk4yywX1ygDIgEAD8jnYHyx4S1fp0J2 PSBV0FeBsR2rEsqw1/veCZ19LDKfob1mt3v9Gilj6YYN9+d/7X+ZXOCm1MqBrLDT5A25s3/1P5en tB/5xQxb3eJjV3p85CrW819HKkhM1I8V7rs8mFsgQzFAj8QOkInVkP43M3uD7pV6pYbswR9ncMoB 9gEnvrytxtZls//SD06SOKy9t534appGWXBgBbYifU27nM+iSZoo8kIMmazl6GvC68iCCZd5lKOb Ntfs8WeOKMt5kGbI/0hA1N3l3XNnF1ZyvGRQ6hJDd4Sai6krPVRSOkxzn23YIlFRixENztTzwRn5 2JckfrWT5De9amkRjjgDppJo6Jki+4oRMr+gP5SX5LF4I2ec6i3NlB9pEdIeMwUeYmI42VZraYLo A+smV4nj1gt6WyJ0n/HVhKl39XhvqmyEwO8WIrZI/CJqzKCpn6LRLD93TLPOBMBqNLLyjuUmLcWa SueH6AYm07aaCl1b8h7UqtizVlUNAn8OdEAe2ROcfsPi2xeA/zrna7tG3TZ33TuPWjgfV6SfaLdW ajIdjUoIwuhQ/g8Ijh3gCn84or5kjggVWtAOnzfttiAOL0AYfWG6e/dSA2XQ2GA+E+nAGm/+FPgM U4Y+sjDv4dBjiEImHW3veQwyTvs+rqw2T6domNTF706S8jslo+uurfIppBjDAGkhqMjSh2jHIBZs LyBb+zHUETki5X5Tb8njnTOHrqJRiVlT5k3UEYZZeuDrBDRsV3NgNIOh6o/+/SI+/gtp/sDet1c5 6eht7KQtKF5CEtxK4aGqevNvIPVtViGA1pmof0JKsJRXTK8AwOme4oFkc1ohZcnsE7rUIRUncMbc 36nPNnwMAzkrz/Lxgq3uLayOwl3jHLzrj0CRKsNEqCLhQF3sn3dNY2AYjayR8h4anvCKCmkLWi3L ksQYN0b5yDPqd9RYXEvdTkl0a+M7BcBJZ4EHL0DfxNw55XGRX7zODqMhEMfYNx0vrN1+xwpXBTr2 YYkd4vmvI7C9fvr8Hm6jfVi4ucPNrTB95o3MF81C2aWUwKLO06zKiz1LeF3yLlnd+HTdUMAbz0o/ Bwlqu/exTMHDMxENh45bnw/CZCkvbOtsl0I4Pqf+TKVRoG/jmDrl8GSV6Uia5gis7P6Iiaxg62OM NweLth2Jw9dIRG8ByMXotiSV0XfxrivebLOOl6Hk3sKFIQHpUUe6s4uWDGBtgbR4LQSXIOddzPDx 7D7mEQE45V2FqwtffG5r+efQX7yeZ6JK+mExxFTgyLFMAEgYoceX/20eJBTt9wU8AXs++lllvF5I sZ7OH/fGK3dDz8FNxzcUlx0ivwHYPIhTPzLxVLeM7IftRqCWXJCezhSiXTFlFMNc3NvyszCH1ZDu TgFm/eUSNK7s5/QpSCaKQgWBb27MJvQp5eSRqRY9TsCJ/4GRojbjxwMNebuI8iaRokcxosbaGh5x YRFozGovvh0JkdX/yQYb0C8AYusYvS+1ahO9BjJTombTII6SYyck+cEARepi6TcjkQVhhjjfW2uu BFJuGKd/ejFvgo491ZVi/9WddfbrA9LFFiHXruGGu58Eq5Naafmtbqyawx4brzwB5R7K+2cSSjgd VSTk/Lp1euxBhruT4fWzoGCGnJDBHu+A8pHmRNBxBqdmhvgmUysfefH2ceWs/wzfBrXHoEYUtAzS D/VJAtifHTP917+UtP9PDdul9L62pjcuTqO8syRLK0l+kT9uuSPQt5he/Eu8qUIyKfCVPT+1B4qJ rsRjiHHRQ6ERf77dYYX3ygZrKDdjVBgAIfZmFuL646PYTaCxAkH/Utz1Zb6cijW0cNd51AnAEJvE zvEnGwLAHtEp2aG+elcRA1VyDSeAXBxdFLkvhTcOEhgytLEg5Lvrr8NnZpfttGdxWve8V3/uselJ b/xfETH7VZzp0R2koEyx5kXUeRvnvHpm3X9aVCWnhR2GWUYAAsUXX5yTScrOX5V+qkhoqMaBIKkW T0X7XcKFC9qKNUhOJxyn6SM/5kXeWujz5WKY1c3fcWWA6EVpsTIwUMk+6XAlEBdbQTg19asKVZ60 3al33oy2zxbYz5EB6+nfw5hAjfG8Sv2t6Plz0g6cC157ujTqSyFVxJRFC5dyH6RuYSrM5NuYdtlk 39KdiP2HWxHNn+ut/S9IWwZHktUnvAenKx+RZXlJasTjL2kUU3xr8QGK1SvI0O7YQopfeqKfDbZI l4bOOiNpGGvKgWq2/EXCasQl80Yt2mVQSjEzR04dDnna1nbNI12YGrdOqF0mYoTpF8cTf7yrgPmS 9Aial7g7QOXyx3EZQWEoprQNMqjYdTxRFqscoFFRGIx4Gy9T14omU/AmqJ0M7TIDBJlCFJHJMYNI jx6rVrxrn4ZwwOfWe6K44jU8eaJ6NZciMbsoAEXUrCftId2ox3cPxfYd3vlpR4X7sM20G0m1Z4YI JZ07aezBhNPmVZLQ5wf5+FtlNCsXJOB5poBXg5XlAX/Bsb5smwni2bm23crthj6E1wDLzlTX8n6L XcQDeSh9ikA3eKoyV2L93IABTzsaqUbUOmzbqiQrT8a/L412Y91f8EI4SdMmCEIM5miQBGhwaC5J g/AJzGYnaX3UHIkTu1HQvN3cCQp42Q3uDeRA9QnroiJI5GC8aIq9GbAyCQPikOCTWT8ughownnDn nAL+uy4LoUc7k+aI1/Y297/g0hPdxFiztBaHaDZ2emA1a8meWzwtqxO/tjYl8yrkNGPL56kErbpZ Y8VuzZ8vj+PoVFH4UPGPcYXTnrL42BIuOHn1/CW8EEpUDNBk9uJksZddmEkJsl/KMgccGmY7v3ax 0qV8UmLr4CLz1xaCTm4AiiMlPu2KOI23luekxMpbKpn4yXirXYiGUqlwl0WsH8rfhDrLH29ygxMu 2XZ1L6JKJGBvIbdka2gGIQnesvIK/nl/M6la4LViAtilAt5vLq71Tr5n/BRhguneKtvVkL3bQdIp 8sPzJr3Xq7iI88wtm2EHvW+15Xt+vOlNsaEpM97o4eLY1Kz0MMa6Qd1UdTxZWIvWzQwMOQ9UuuBt DwX2pIt8cKrkNpVUBet24vSxjg3NOra5BFSnlTJXoSfStSVgb+a/WScGnpWZrD0r/ppjpY3R20nn EpWvtLOWZ5hiFm1Q2jLOSgItfN8UuKvQOZElnPAk9MXpsXRT0wDGwmumXy/ae+qe1BvMxHNRCUcM YCj5SNek5ws1yjLMKduoxD59Nio5YRLEsbBxfvof6YFpY4dZDv0iSssE5nuFAcv0SIjdFG3kexKU u7ECR5pb6Ee/emkII83g9b+Gi+IzpbyUNCp9luNH5NfHooF20nEaGbnEMH4FgEJZaqCHS3ADKT9m qLsg1QWSQn/QVA8eYajFVojMv7TZEnxay3624CpPIHge1FVWLSQg2+DgaUz06V2H80P7fTHcyJqs rO/2Ng5EUNQtwOte/ZAwmB7afp56B99oNbshsz+ANRs/wvWcBmtL6LC9bKWo8hrDSCroPaI2wRtL 5CMe0OW7TLzajYAPmZzVVpwiHCYqI+FG3cAk9SoQIq2PfvVFJh+Prffbx9tpFp6IRIKERhCJCAwG /ADBAgRfpk2YA3GNCiGcSrBzYEZb3QeDakP+2Z3pa1V0p6eNORHVu+HMKFReGulIfKcngdrz5/yf 5WtZO4sS2RNGdCxhLoENFf2GZjFKDZRr5W40ob2cw+w8enbtO2RRX2v5mdMtBriwty8T5nRSvPNV CYjAmZPfDd526yXBknz9fpbUaZKV7QUO2C5nWX2eHmkxNs7gs/hj4bVxikIDfFWymHa+JcfaZHK9 4a0CORH1QDBK5HVZVagEYqyUwjNa/ciek3QcnS4FFmBIp/6Ly57rp2s+Eka4dq0HzQ+QUyttz55m cPi4MFB3uWpWUX5sXGCSh0L0fXU88aAWAYXVrX7c87m3Wnf8VaG8jK6CCqAPUOtEIXFjZVB888z5 mVZ/3ovYhMWQjSyzEedLrlnCSdII5IkxkENuJZfLHXyrCeUjTOAyXxCRdIkpJobveLq3anofk8Dr vTl4vPk8oTKVjX4FQHTqg7xlivJOJI6+Elo8xJlTVtEjZbcZghqSiWwhiLm6zA1SnUeGMAlqygpm t55B6QED/BVGMet/lR1zOL/0SRwngLfnFBN9V/8h8tpBUqnJBV1KvFBe0IkW0yVLWob9T+/2EciR JQGBJSa4L7exNJ8XNlHhkLK7WUgzJxwFSodwX0VsG8t3DOr/NLbqU9JelMp6u+Jt7NakKAhvs2iK fVhBuFZxMJxXFSJ+JxhEUVPQQRlwVihDMUA4kSbyPBQBYeUSMvVuGkDIwomWF6kHKGajP1GGOcYq UpURlcjoxZPLQDNCIxKg3ezw51cIK+8S6FTF4NXVXWiZxKhDS6Nw39TIpB9MoEr8ErLRQ8IEe52D JRIsU7d4oV7/mNLBXyZK+57wHLrZntLSjiKX4Vo9E/e5Dko4w1ds46mEx50/lroG09Y9Q+z3GCsn 1lzWVP6nsXMLOrcjmSv3ZLWdX6NSJ8+mbjQmgpzuUVn9tUPuJK2pNsth56Ea7PUy2C7sL0g3lbpj aa9biL67TjnkowlJglFK8DSOspen2gApqLtk97XzsQVQHmNPvr/ZWiLd4WgF3zpYRm1poj0pMS21 RmZ0udRHG2usHz73ICzzi2vcaFZZAmBYKKPKSrt60w3/jCJkYEMfGAllJ2SxOvZQhRWPdSg2ejLG gO/mvL5ATej+rKKsSyMnhz6hQw0iGHY9X4b9csvCWviOqZfRWspy08/nmt0vFV3si41RxEix/WdS OWVRgjejAd+7T6cpcEIeiE1NDeLyVkIpRzaQZGWDqdOQLFvYyoQ5IqsDHq0B/Taoz6SK7l2S727O AxOfDzEmwcIN7b2ldBa8f627CFnIMWmplFhVSycI61YfYs2lxp7QyiYT1hreE68kFRh7S0F/6Ksh 17hh/M6BcKgEEfQQjAMFa7Wu3DjTEsljvqjD5Pvit5qG2rOfArJFBy5XDV7+LpVQsS7LeRXnblBC 4R4jjIRjJfiXCsBoX2/CLnrPjsEybP1hv1u1V+pjnHj5f0Gq7Z2MgwQ46MVFWmvV9Tg9reqhk1br Ot0aOm11jYbxIEZgCNfRq2zXXlHF8MBmBfc3iCdEutIqviR3BxF2utKOURqkLAmCZuJ1nwFMaeJ9 gb+BHELL550qgd2kb+RSXND2R56noBnTeS20DmLzFZ90L4sF5Eau9GlZi0VLSLlhRS2Y8l9dAXTw ftb+rocnK5YhjTqdRuROyc8cVyo1E3dkAXHB2kvPpB0jdPzCpQ2V1o3A/IIriMGJq0SVfGQHSDCd 02iDvqRGirEGzZtbsSQ7Shqz6k637UWC5B0ZdbyS64sr+nt56fwGXAxE5Lj3cf933wdoSpo1Ul+i efq4u0Hj1M9lx/QeI2+2LeBIAHsMcoqz2QlKfEhbGiuxg66mzJGB6e+sKoskSsWUm14WB1zOuTLd 44p3iMRiiZPMyd2u2ygi6ucHQNqN4CdPfvZ9kk37K3I7WOBEVX+eu6kmQsxy4T/Rd9p2ua63S4eY qONUK+2pwFu9tAb4iTYwuJouErcY/UWzNMRAEyDrTgJtSjL0sOpsxohdWSiKOIdL0znPAzmDtnLh zvhDX8BlCWoEepmqzP53Xc6/Sb4zQSyETr+fjE8lrSk+wCzIsdXzh7Xhl5kh4ITKJKmYpYcPBY4e nkAMCuPclPYIXM5XLsYHiW4WS+MvcuwPZMu7bq9lfRn52giqtrUHbe5C4xRJ6X+l31vd4Z5VMdtr w2OXO2p3J3OHtAIUtYA8Fl3177FH47o/kCYPZpP7Cirw4eoTErEvrQEWiTwecOQEO6CEBhnqpfc+ Kvhw9FqLCJwk40MboeJ0heNXVZ06xLz558GopjbQI4xm6B5gX7VNLVA9VYYIs0YuK4ngDmrjkECB yk5A/V9qKsTXiXLtrMAHiWrIZycmEKKK7lGK+dwY6iedawJzRVWGO6YmR2+1NKfxu3XXGCAtY9a1 7rVxARHm0JW637uEPiPuYwCWY3vyXEb4dvRit3idtAqgQ/oR23s5drPPesPyrybsbETXwovH40la 0V+ml+GsABhuUd/DSTq2ud3CIOmgrJZPnuBCK2wAvb0cH3SgDS3B2A0TeZK0bpBjlUy6dGVFVALj AixXy54tlqJUvsvI9TRebQJjxfnoBxQ+RbWsh7t1rwx9xHXM5ZRsaeS+TcIvYDIKvrlAV96oziv9 4tvT8YDfzvh9wxnHHDYR8tXOgVoWsAIWFU362IW1Dysm+5XG/TKW2bk2I2fbM0BGtF8ENkVDiCb2 FhM8Ztne5frw0JOI4U7La3b8aWAAxJABSNVIWQuUCnMCQBYo+lXVjHZyR78rSJffQn9qg9/qtxwl UOT+tL2db0ji0vG6cySCOfdj+x4Cbz7g6SwEP9hFZwZqrn0U2NHSRLar6c5bnvsS0M4qpMDnwLLD dvhv7sFVjb7BK9mbxm1lQ0Fq3eiXHQ/9y0dqBl7zNd7GF5wV1YQRUc5Ttsu4qvcwnBDsnW+znBy3 kOANCgFIRyUXTlWSLY6l7oAEC9/BgPY05olYpoC5VpkQM4RaehNT6KKWrkrVt21OIV2V9qnU463k Q6a/kId3yeEl9YUCV7zcZerVIF6x/aaEgY1qtbbQzemZUrCUMWV0GeD2eTTft2Q0fELq15H69hN8 4AcUW27AZje33OQQsgxqlDIT9ZKDT/Kpc8Wc9+nQ8B+Vs42EhxtnFQjdhlnbNl7hyuO1fBOlIMuR +nv7/2NSw5dN5M5J+WPn10jdALXrT9t877iYVQCJNo1i/+ntt00+4c3lcTkCk+bl9csuz4s/im48 ckssC1DkmI4zUwlkIBKs+nm4THBYmETI7cGnMRbveypp6iK94TWK/MpdZyyWS++VHWQKn3R2AWWd AMZ5ni3/HikFgDoEYsXAcbFJAfIrhHqgS2SU9PFDYYGrMoDrNNTz1SdUT051sKMRNQtHq1cIhH6J UImr9AQE/GWuTd3uCOqQaeC5kgRL6UFtItRFpS0+TpVE6LdnsEVVU52iFmJ2+TacRleOE9WmaqdS gLItNUMd+jEGY7k2MMR4pe6g9lKFF2L8dCQ8mx8oxsbJ69GrcxUYpx+H9+rwVGgJW3z6V5DIm8sR E1qsUlh/fG+ZC5WpxI8Rv+P/85z3424t4zlciFU1P1Jon+xNkMds3l+5WIEQGNHd5WcBXW8IHS+T xC9+2106tB4YsWwyyzc/WE7Giblc1PghxIpDBxpxi6qCiy0FQpYDRuliVBEtpPivlTdp9ZIunIvb 8fHWF2Q2DRMCQtJho1VgjKIhBq3HTf4DcLufP4wmq/VBTahxQrpWAapjf+o0g+pQg3nzmwrEg/8f +YUPYmxvIVl7UmDeLuiw8yBgCD5RYi/t6aHUVY53tIr54QgyXx9VU0ZfHSxztBEvETo8D/+VNSpl Ofvxy/v2uiPBOSN19bdc6e+IjkVTR/Vc+YddAblCeXChIrcaNjc68HAJTqZNVcurgFxoKP3fl3fS L9YH7wqwTF/798g+QiZQ9UTMjxkX5DncYbVqXJsgCPTrZm989oAirvnQR85b8QTtF2nd6H046IY2 Sl6zxSCjXZ5mIl4xA/xTW4ZP2H81ju46XrlAeV/hMzdaYKU9kZs/h1jyPhspib8AlRDJc/L9AlIh AB7POJyumQBNWhDaDqUQy89IjZNdbeF8D+fD91bSLthYmw+Zh2ng30BuO5s/dGVS22C0SyXOfk2k +juc2ywxMPhbEAhm+TXc2SV4vayLANB5Ku+6TkbO9FlLeyMjVjfAiuUbLrKjcHWHM2YJWFTBKZ+9 GroFTRp/CxRJ3lpcj/GkTcI5uxuAZv92pJ5zn2qGLneuQa0R//yO+AbGmQXXVgjR/jfxJKT47L33 aH6aCySyDcoL0228DR3L6Pltcl3ZHGLQsqKYoZb+na4DxPbn1020TzBtfCQ6PENkdxtbwfTZwl9+ mr6RHeTvXMIwnV/fHF3OgBWuk7LcLChNdnAs0Ygzx84Ft7vwLyZlG0OeUYLOZaULA51u3vkTLGS9 QYHEmJ8UNyREhgqjJlDiL+z/D7lj9EpW47C7nRibQphLRkx1S3n1j8Jo2zRukFKlh1tEL8ilee9D 3gvE2biRb1qXksYBV9UcOKMMP5pEGjkNtyMaejQM75+Esw8kTPS95MJfCVAlZKMBxdhFJaLf8UsA Gh2fSxGQIiWE8BTcMyxQVMWthqD0vlzdjlnlTozG8iqDZGhNeMarjzGTKagOByuW2la3e3CzPmUX T8pqQxhH5LfOFGjuwTvfNeRmE8UGpg8e9Ke0rP31pvl6eludb09C5NH6P0E9NTTqXsQKKb0makcQ d8WmOh6Je7TpOx3AIuB2QL8uFP1XIXOmEwQKjlDFnYDD3zl/ZWjB0+sQ1E007NB06OpSByksSZnq 5s/4/AcjGSe9LKShb6qp8U4zQsXq//q1pwJWC13z3vN4ijcH2Si8YpehwtpXkJcjgiGKkF1P7yc4 wrXg+kcev3/nxtgAa2bwo9mzr2kZ89M+MeYXSUu3iEW2Ww+3gWgZuLgxGjEPH3+NveB9U169hsK/ 7FncnYXPOwJHxmxC4jTpjlkTEFSEqPB7TcN63dbAn+Kg0tGZVZq6mOEL/8LPgS3tWH/VGRa2OnA1 8fWYFmMLcHuEj3am9oEaJC51hE/EP/PviSNdtTpCnbKrSz2RvsLGw8rT/jMhMMV7io/92R0tMeB5 20zEnseZjJ0ZelM2/dwCzr7N4rpdoR076kq4Ry9DxMMpESbUBEkOAp4xbUTftwDF0scI96wStqqw 5eAMIezVHIH9UI20mlVgyfFkP/p8PlA2w/PLx53PqkYSHCCsMF+LxXT6LGmtRH73Xhx1jFGfNJ3M X2TTy6jZCGG3yaBuQ1O0yULh8XD3s8jSf6nE2Fc77KDAiUShkKAwOrKxuSiRWRcljQ2E/tyvIXp/ 25JnRCAtMGL96xIJ95NN0xPyr2dlgMLy6hBzVOnvaBykHi9fwv13AM/CIt66z2aZ1yx0RUSJEuTX 6hxTpf1rIOf/qFMWwZ7VU3L0QtyDeWnfp0merrUtge5cqv/f4bDiACkB2nywhDzbxNlQTiIUpqZj ctjDC5GJq2Y6ge9SaK17KhfyGJhK2KAix19ZTrPt71GHuURmTY7NLo88znREuZwuVwO5+gyOdjvT guRBeHWeqbAiG1dOrYrAhTJXBswM+VERnpkbgjREqNOko5t+3fVk/9lZDglumDJlAzEFRtdIJz6b 1ony1y3ZazXiSsEg7HgKj8X/F07xiH/LgXVt+EaGnyin5frpi2Gj5h+kRYRFa4ri5JxZgaznH8Tl bxYi/4qbPC/M41kvNfqZG4tT2cPOduEpor/Fw3WnNMoiDR/7MtQVhhzTxt8+G23+gw7TVEUNNTLO DzNuC5TxomgNEPD1hl8RwVDH8RZQKO/jvBaX+URDmRbAB73zXYd1hVXLRpxnmgxCKelGDnCVjXXu j88PQHFz5Zdpyi2QREvvORxqUp8q03TTMaNlDa9Z1Z1QvPF/9cfFwocREbCTUb22s4WarMCptj5w FsQfJJnHHe8ecaHYwSISb2b6H/+kpJPJyH5Zfun9cQeq7mtmCJ2J5msFZVIvw9udQEEyBeAlo4/0 ZUyPIhakgV1VHdidZr3VVEJn7NQMxCsMX9MyaFGMhUrPYWjHdYceWUg8w3G7wm3DVVtEnifsmLMO SSs1Wv4iSjYUtICxCju/Jl+/hS3gu3RYgVnyCEePGx2glZG/+yHG2bv4fs65lm2kSWhE1juBE8H0 QwyyKJqnbtVN6ecCU3F0S3Uu4zrUR9KL+wE6Xzap7kpuZZdIuvYTBH5odUrs/L5FgKQWQXGkT2x6 CFyT5+YhcIjzQ1bImUfBMTZiv93XW4mdxs87zTMBGJ/cBlqF6/Ka0KjIc44qyYoJgG9GO73Nuo1Q 8BzW3xyvZ5eM0EEzjmvaJX1EYw/4jf8GnTnGxj6e2Rv6SBdYEM35QDJPQZF7h9YtX75U7xfGJiHt 4KWzjqfrMFjbC9dLw9ERxEgwUir9G2mCSvk1tERJQ8ZTuo140U6k9Ot7fF3TxFOPTcgvdL81zWLI GirLIEOJ68qMSMwE+W1YkqaLSMq8/3UE2AVoppzHrwr1XkjjSYiXyJY5IwDnhfF+G6zyZjR3Go35 UbbDrWOmBcqNjd7GBesUyUoWL+hoUdT/vxMJnBZc3OdY0L90QcmU4aoeGWf4u6cc9EDG3gbh0fld t+leP9kGzOEmD3mqkULdhIqSpsMP+f/vb4tJsTGQqH+/wvVI+9e0u1i3c7lOPfWSkAGfoNb6BqZF I8u4eIIpNqban6FTErgEFNRBYPh8+My2MgzcMJudw9g1B8Nz9kFuJPUhxJ19Ndu4bjITZkrm9EW1 WEJi/2MHXZxN6bNZi5P4HNac4OGMvxOV3Pi9rjvg+dIb3+WRKrCJ4ybudKmsNei31m9kRQh5+o8L RNYnJOR+lpugKOKDzAJWiOTWrPAbKWJtO4F0ag44Z8dtwDCc12bSwiFywVbXCPVucrRI84ZTPkvs AfiXqm1B1F0KXN8O1tuDZRRt9OFdVndBbMo3X/AvEQU0SChFhtNEUS9jPx8nWcJ6FTSRcSqyy1Lo Kb5Y+p3BbQWrobXLW1porTqaPYPBhEd+rDwbHmZ97fSL2t/55761p/T91JxzDZabAIOjMyE4sOFB fkDn9IT4X+r5ZVjkXZ02uZ5pWzMbOMf0vW6qcAAILFbF2bXFoXyFhG5LIFCqztOvay5wX3oaYDz4 SbD6QWASBNr+7MwTpWCCrgwH9P3qZYWm5Zg2mIQbm4unXjuYjKw1bpUEiQZoMPEZUxyTg7DhUHlN AHr1JG9WYcChmEMcpgvs63KqggoqiwAvyuXpAuCf0uz8BXzzbNOySNEon7B7sd1KNBuNOtPToAr4 3bHNVo9vGzbmTG27RnhBPHpyUsMIYnh4Rf8YcgJHfbyaLuH0yhJg29mXwBuVYzMFMFjb6JiNlFXo cK0/afU3mWg9vYTiMKU8P1OH9vZcV1+NaaJSKfC41D/ejp7O5AsT+AVlPObzjnVprlkZYlOveZWj 1cjemAcecfMq22pVYNQ8IuNxJ28lyW70YEROXa/tSP7vdD+8znRkiKXEzDhSw61pzAz4mx3cM1C5 k3huAB8cL76QKWK3Xf5hu0ITVmMdD6l1QaJK1bxGGDdmgAjnKw/nUea0SGvt6WZlPrhSMzFzE63t 8f+H+8dGjJEPjQiAMmrOWbiWC5AHMiy8MoFjfDkI2hICGpkS9nlFhHcwQHUF0KkvReK1oWTL1Zni VVKTfZ9Lgv9wDCuVpPT/vDeNnlV7EClYv3FeBi1y875ip6kjlLSBXfBOe4V5X6ylBkYOe8lUC0c5 Q8cTK6LccgI8gXsK8Rk/ZK10eY4dKONoIP5coIOrQsTwXoMTJ11/fWDPY4PLlcR8G59omhRuVi2g QwtJgIitT7GQDUEjyTtqmn+sv1HK6J8c+boFJNOVwJCTZaPtDs7fcQlp1PlzWnLpsKgsS78+HEKm Mk3q2YzvGSGdEkWXjYOjSNwBpiOIdQFJaBrvPq8qAsL0SQzpRjFNPpuBF51FxRnd15Meb7BpWpBG mXGnYVaqYozbrIuQl0TcUyd/BXaAON6uW5pkjyX7ZfqwkFdmPdciAVwTmHBoR8EwugSCQwiQpKW8 DvVcKbrlWTsxJFXm79ndt9kAY06usnfXPTrcnkffhOKPWDVow/xOvmtOUZythF/DVW6zIUO+REPs 1Qq0IRtWQF+BIC3HeA3UIrRXOPtpFoytOI+RYZzFybrJ+AyEFz7PLDfKlaW3Rpjtxqs83U7gu2aV gpqOtN1iFLj1sZu1VMR4nm2+2kc0gekHdqiku5Jd9v9LZoyDobEdZGOOJQPcvr9k/z4OROj/OrUy qfoI9TEhHYnplxaZRoIb624ZWIEPVPK+PxfhqZHYZ5cFdCDLJ0vgb0zBwqyh59nOCFmKxHrt5xVu VHe0CeMsVHZrquQUb8dxHJxmUQooSfnZbZ6p6xWeKTKO1b6ssej/EsfV3bow4MuzR0pYaPf7y76J Jh5Nhfn8eDTXIkD7z4xkoLjtTeUJ4oOEz4Z0quZxNAAN1K5H65GzyQCiD2K5mP6sVCE5qPdpzGFq pGOOBjbhBIun/ej0hkHECP+BW5NFClxCc7Lgo9w/bq4vnY9Rue8K/9sm7fBxRW3PXTlVYTyDCkIW w2vAB+TM5vy8nIafU+XYjm/0vUQ50eHCTdwOnyZNF3I7N3gJpI/Lc8Le/4YplqleW1jHxY37T2ZT gm91tPWYKoEiRaloOjtjH65WzlW8PqXjETuwNghD5HlWFU1HkIo6wKy1bJeeeDTFcFJPa8BfIO2r Jg07P3eKUbAyEw7AzPrDvG80vrEL/wOMnoDMYV0HP2Yd2Oq2vC70nsrNWeez5bF3BgSrjHciB0gP JjwHnxa/uQ4q56NmWcTLoV8voMBJv6xWHDne6l+tbSwCi2c8CJ22UIgpwdbXy84vI5JVSWbSge2O LyqX7Lf4jE7GnDHe2P9hWnk4+PM5G9oKWWJJr3n2Er1J1RzpixDmSh+jz27Su1CpLdTHsk/munxS sU1x1dqj32R6YLe7SJDfG7UDPGNO1QzFYxbW53Gkf7rbvhC/BZUBcvGEswsKyNe17/myIHY7RuBE 5MgjTbhNXzLDfEbMkltqxdH9A1zkBKteJ73o4tt+Ww/pqAU/t5l2As7GsKeBvwWvLosCJD4q9EUO 66Spc7ThtuQO4tizijoGh8b26+Y8o0GTtLTo04YHLefEerCScM05nHOOIV2CPrT5J2mBCbWb9FLN VoQZ4ZiwW7MeEg9UCFn5dH9Y9zzqnL6RV4yy43j0GJY98KfP6q5IK8KxufGFAf5S4bTIdw/DfvKH rBjuj+hqOMuqRVqxBo0xSSaIEjP8gjXuD+J61ZM1NtNZ7iEu4h0H1PlrF/RIhWCBzHeQ8HGCmw+5 ZXN4SL73Lm6s+bngGhBOeoElC8elPfqGpfcdr5CBXgxOUiLKV8zOWEbO98gbkZ77nocDniJhgv7d nuqI8tLvNVa1YhBALS6myeDQh7XbOpTKnfWfgCV1JXCSo7AKX+J4ymbg9sHsQgOgkTshY3JCrF5Q lemsMKBeO6H2AmaTuzOna/xslioIcUBl0EYuzZmnRyemi26Qnv4PggYNpB3IT9znX2I3WOT6ujin LcKacIPqA35EAx15umSMTYdp4YhSH5rLck5fcUYxSzSB04O06hrQ5+jXRpNOJ1KslvSBo8TNqRju g79mYo7/lQX5Grx5cbqUYtqMKKwIsraP/3mnjsB87zu5oh9PwPpAnu/7ZHLL0ui/tgAlXaWgmCsR 8GVOgOASX+mwMh0kiFyqHqNkspsISKQduQ4G8MXpjg9xgrRs6/mr56R0B/CZffcNIVzestWUAnmC M6xnQEDpOm08ScE0dWZdoHGdbjHtxiLph6XFh9e2jmtanwXg7Ni3b1TRzSMbcwU5xlOWJKWYQSTh LZ0VjLdsvn5+6gTyAxnaeGi/ohNa5aEvyW4YUupf65FVuQtLMOwS1Qat+8kdglqVnGvJUVtXCFm+ Zmi3GBjDMKie2dpEjXqFg0rKH/1qSSHm5uSjoRrw8X21xkA35uzAP0HoGIf0OAivUDBtKn/BdlGm 7/ecD+5h/8G+0XYUho+zyrEaFY5WS6COgBHDr6el80i7jY0wxAMumuORTZzF3votisssEoykuMSt klqGaPXdm2ADBYc4VqcHI7J7dVRmFIsAfs36w69B5d7RzlBVkcZizT5egP1lTAf9krLQi+QWvlbJ N7KfsX9LkPYcjIOW8u1jNDZh4ipqlY5+llWsnH6EcyUyfTfRiWy39bIIEvcypRDrbgRGHHIL++NY UKVG6yHQyDuD6AyBOXi1DNHVDZlt+jnkz7myznuaIgPv+XFpBaXgWQ2tXgUYP1s8Ff4DQVz7+Ukq SF2tZb97BcRsBjgewZ1k7NMzFLBAsObOSlYIbpOVaQOFYUFn0JH8EwZ/hnVhJtc0DoPPCkjVzHOK VmEpwBGEc3BmpR2qGFOwLRX/aeFb5qjZAG60arEGiVkZZ1/lOgvYiyTxFCF4ocMMOIPzBY4sce72 CUu7snQJ7jnKYKB5lBUU7QrWlF+pgMiuWlJ9VzYyQZgPN6AEdR3gsF0s010P129xkVZPhU7+LjjT BL0M0xQS306fkM6ReIzr664Y5uoaJF+kkcKiIGmfb0XlySouxOfl/sYKZyXfigFsrD3X4U34GtjA iObqskXN3IQuLk7DyG4mpiWFXQKpgsVEcsfHJvCIMKntaUtwEgkzTAO0zwCsPJ91XmMZzu6l7PH4 9nB7QzJlYOtOrcZJLPByS01a/LcAuMJrD/NrgI6NAvlzAlGewMgxYAjZ9vkxLYelcasratXQakj5 ETEOtb9tWm0Qaa80GGvQljKfi+iYfRd1H6O1rUn6jvT3p0j503evluFyVigFcehjpVRBVZzMtJjI muDFkAuK+PvVEZ0ZP5aK1nLRiaQobjvJI+ONoPvLLFswyv+wAxLprgqFhtM1coUePWs/oNKoobn5 6rUmYF+xaSIYhEYkfVTZbkAlgXJBEH9e3DLQwxXaa4TNokRCDz0EYcbDnmrlqRdkD4u0XNK0hbsZ w/vR/9tmvIXSjcb7tz7XWUQQyWxhWAvZ1uN3VtsOomk9R+cw62Kw8eN21I7M/D6rSr4ZMYATxh2h einjhgyKMZpcdLjACE3ILRAp0PStZuJMvZxdRDoFTMqV9e/FY+Me9YAY6wkVBZs0YInnZSUxpWp2 I3NFW9qcCQSKeVj1L3qnJGX2L6Rg31VDZB1eJ5FZ6qX+4b9yRqMXfp30GOKhpHQ6k9wmQxtZZVNq op4akM3+5Dhjs2KIC2Jm7nzWkTWM72v/FCgBBGORc2+t2FrQdy+E+g4O4VKT03kC0wT8lJfGJ6N/ 7KkSefh6foKaGkydrD6fhT1R8OqCxsrkHC6O+dkJHeNzZe0G4ZEmPPvSM6IO0uADpaczAZSfha01 vbhlkgp9btvQ6PJML2MtroHvqtvZQTRyWetJhxJ+Vzsidyb2HXEs+4bn5e4gNAspx7RyJ8e72dV/ XouE9P2C0gtO8G4yd+jSymeYzWSZKCwi6ZGcfsWdP5j+FDcsUeubtY2NpEb0OLsNE2TgXF6dh5mS GhywubkFvbdAtiqBGawgXY+Kfto5AuZtXtrIP8WgSsfngmzecr4yeQrI1j+fslEWSFrawiOc3ni0 BlgsJajiE4Jp1WKuMc3NjbESrnw50ZxRo+cYWuCNU0XGtQugu4g4c5w4udAlkd6OP3b5Lkiu4uHV dQ/VabaLRhjIeJYkMlYtYh4f+QZ2TDt7VSLpWRfMgA6xyzo88lYoUQbR11hkmtdU0ytWJsODCboC ybY/jQGm1pBNGeHgfjhMx4AwEDeVPb6wfE8WT1Ax2ib+8D2EBKyBr3eVIVdpsql2wqDJWqkxehs1 jlf0JWULwbrcYH4RZFwHEFe4RPLFcKMtxcXmMSPsVPNZ+ibeOl8tp6EHuF2was/SGPSSD+K0DTFH DfvzpMrbQmA5v+Z/yN28fs6iSxPHJZAT4gupBE8N2ZTlGkBnuxFAHbBwm+WfBciyaYxzmkxYmUJk 8DpsZzTIezHtwDUmsCO+RSLA0ZrldPMFxKoTaLQCRgxEgYA1GFqumePX1147cWxZSKhl1aQRR+uM +VNiB30lb4hHPbMppOo+wdxZ+h9Zl7pBIHzoNrFqM8BvnaoZ2ofGnmCaJBcIGdKglSx8+jETfYpX rlCm0wEbW5t8/f1QkU8qL5koVNJbhzygQFX/F8JlcKxnFePASdN/NE8W/R5Wz/lwPdza5jnjmKfa CP/+HJWOLaHFXFMVU1HkXCOqUruBLoDW0kZx482Sh2C44nFcNl/ZdMAlBX4GfjnaEQCad7CK2M/m ajoFFVu3Ar1tboe4gPlg4W2opMJqyiMklqPtiAHmi2uEYPFAwh9JN912jqhDtHUHFPWyl4/wE/Un 7LYckI/Cbn1W44LQViyOG10yCrFTFxTWUh6W39gZiu0pY3Z4m2wFKg61kRCG6wEEQq+hf3VIQdU6 gbc7xHG5ZjX4GQMYgp/p2bVW9KIkxIgxp6t+mLdTuvYGjwI2HU9U6D7JzgfaLY7kn7y10Q/EpfmF nOssYSZ02I7o9q2KCCvTv3K6YrOvq0bsiYn637zNCe8+aps22f6YaVCQVc57a0HRlIiyUnjq49OA B/GunKir6jHzA2P5PnCH60HGwMvfhVh8q1G2vXdXaANkjUZ/jZKYnoHu99sp2pJinn9+thMNyi9u 13I3AoPaDzVRSFRcbWExxtqHkMH7+LGDiii7gjdnPfjkgI8i024PskNOvr0Uz9wF5tG8TWcK3oKF SA+MGCF8ag6jTEN8efRykJLQU+ebzSrb0zLOZZ/zVPYTlzPBkypholIiIGC/Z8N5CKC8DRnqT5+3 PgWfjwmF+GxQQ7ctlXpJ//Y6s3p3196c/7mWO7Un++SGvO3w05XzNyK3j6wSk//+f2M0epk4Hyw5 Lcp5JezS+h6vbR3ZGfUi6Rdi1tQSeBFTE7GhYOJK8CxEpWG/hXLzCbBWSs2f4rZhfrftv6lcVHvb JOzzEJbtNaoutbae0LKGbRtRiirPPgGCAde/v3V+0JaGdukWJA/iLcsXplrQigoFoDixcR+XIanK gb/LVUknOlaHsdkfS/SGCGUJreodqwL7+E8uS8BN6jSnWE33J0KwmSKdgXjAaCYkHP0XrQ+tTFz3 Sj0hA1RJpM3lJWGecTkcdyRcDYVSDxbp8SF7eE1b0lWYyApyLgy/lZSClGagR4dNob/Au+kZF0FW Dj6gQ0ZszPIbB1a8CejTUxcgRmb95Rm2ffG1KLw4tXJX3ESSOucARYnSIh/Qqz6ix3yoLL6Ck9g2 8G9hE9gtT5S5FXssRgqroozNNPoWb78w4QbqYhgZE6PJYyUMZcrreFYketpv/M1UjECtW4NgUxSh wp/e9Mmnhcq9z2+LiiGOsAN7T3OB9wLFqI+A1XMv3PFnJxjrPPxrGP6ME0VtC4VncBFJ1/GI7gKE 3LNDGlV+gjc0fgLl17bCOtF7gbn/BO66Z89nEairFhn4pq3UhdzbxUwbDVigk1wXCjK4BUCGrjjj L88P1ot/mJmtNeEHhDz0OtffBNJX7vJNdjo0+uCMdM62ujnNgD6J/9hprXpARV7KT/e8h6r1xbwO kw+5Vmq+sPFnhi9n28wZmbB8GlvBrH7a82Ggl1ahjIJYevqsezEg3bTWUlWUnI8eIHTL3g838LuS 1uaLbMXJtj1PL1V2MxbQ4J+V5OiFd7rpp5/hxUPVBWH0CE/Sy2Py/Lc3X7QSugE+rHVJ53IDpBer 55I/9AE73zcl/ks/LmyPQf6cptbHaBmwAEztRpRQLss+glbgJazf8wnfUIrZgFQyOzJG2o1zw/bN 26fNAq1Gvtmn0BiBNmNpAJZHTBV30JP2CuMW3VeVvg9X+pOhgG6l1UHYZ7ebVSio/r5YesDhNw+9 o46NsutJW/TZLdYfEBCBxsd/K1/qt3uUbm/9ZG6ESpMKXwGc5vK+H//o62NWLF4on1O8Zfru6VN3 tm5WZ5wxD2sJGM9ehekCeRIohVtNx6H6FXNyhUiRWs4wClFJ4LEiYpbM6oyMfPBnwSx7Zu08JdTM rwO3g1zUNpsmqpxgmC6tTjVUVYdl5i1Z2kFQmyfH17vDzLEuAgtFtkb2K9iYSqgp5zyFx8Dt4xxP eDMRbZt2IBu6cfzPlcw0lvaQa+GHnrw42sN94C0QuMKQ2HBkHaJUnDsDNR02sITg2ia5JmFSdNZ1 VwTsw157Po3HrAtvT561xP4zEbpyhyvuSLZONOFwLhm3WKoicF9E6bPc74Lf0jkSOULLcTp+6jn/ agJ6IOgfP1cP0vJ7XE8pFUesu+tGTPaul31C8MbUaKcjwGVH++VQGewR9KSKLLNRCypLYXNGtoqf Y90kTKFXjEKasbObyJJhpa5smkQSHmPboi0LT1IgQLkgq8W6CEyWnwRl5LffIxnyofREZ7p26N23 MW5p6YdvBtqPI6mjsgkntl8KXugYl6l9GwZVIfuJWxa5ya8gY+nDgCESoYOPsKaRcSNnZZJOM2EX CZ+eyy+YF0/SsUL5BhaVr4viEn/cLYLhzaFvyf4HshCnRAoS/nUiFMhcouJb7WCPIxvdkE9et6B2 duF2utX3rncs5athAmyW4QXvM3zpm+eOE9gvH94hlzymC8rP0DyZh9OLrlACiiw5hupmG9JWe6xy a5DtWGZdXhltphjtCQOVPCBozUayqTHdQxJIwLzhbuIcdM12CE5eZKZdOsURPxeGwKnigGwa7t6s lpDO/NG4e9OvXWFWJWGcEM2czP37+XScErLc+lq1UNPxWMZXAfHFAxj0NT5XPR0/NMKvUoslJgIC gYj9doH47EXzLeqVOIVDB5OkU8OYOtAsvrlP9ytFrh+ppmE13Q/rtPtH9YsTPW4SYsRxjhNcHy/x F/P911obLalkUff3aPTamrbs3ovT7SOELpctOKd9EwYkAGXbNEUEI8ek3ByaZ41/Os6bUoX/Gwbx HArFFyl/hNSZY6IJpcwAh24TqRorUgjw/olqRLuM+7+NEd6ClgMaKvMnNA7y2hODxd8XaVeOe9Sr pEftt4Co2BQyCeMRJ4K7hb0sAMq1Ys/MsRN9DPqHWSGmV48wcBSZsqQcKp7rgxxI4Lyzg3TsQ0N4 1csA4S4R4pXd/H7e9jent1f/gscsk0Ia0M5OZm4o2j0wAKQN67RhADtxoxMk1yHHYr08OXZ7Ma31 Ct1C3kFi4C3vEApSw8hRRLJjaya2q6uFRI14+7Z1F/BBCCdZ+nV89wFFiJp3gTAKMBEQYUYLpIy9 hrFBIsX7AqFy/XGSj/sDRlkrMp/g/YOKpIeOqxaPIx38QXhPS/mJCUh7HEoEjhHHlTUIEjTZ4iID hsebC+NTYZ87o+CV5n1rYRaKPsT7aaQN01VeIv92rQBM1swPBa0Gtkh2pl0Ezcmt7WlMb/K0gWCI GLRCYOJ+gwTcrxUvRJ3JK+B9X/3aYJuZykch4OEELmVlncEY+L/Y49ht/mJODiRlLMhebIFQNAM3 XceC3XOqwpeL66TAJRlfPviS7Mk860UC8NGg7GcpLlIPkwF6emNJUinTHKo/d/lJqS+kjTQzCQqx bVmWEbN1nL8wMGNVJ8GLFF+rcDZFUyGB91QrEv3dS3hstCO8fUDgJ7lyglTqjBRt6GmYzi9PFzYx 8JRuXqMsckOqH0IYwa9P9QDqvNfNd2ZXuiD0nWUzoRonozGhwF6zAdtwms3rHkmQeC9wQw/5J85w PeRjEPgCfy18Iiiq0/SgRUv9Czeue7vbhcVcolTZ2u6PKbgLiLzZhCrZcJkhPzNWu6I3VGTtchHL 973wxceuPw8sE9Oio1MPZ32ztNCiuhANxrUwkcrUYnPbfNpIYH392UPAmfmu/UCwxAOzHFwbkBuJ 4eopS588np1omUCuT1i+hvS6rct7HMaUzb/qLck62GDwy6FW48vNc6c0WaFN7a8JDP7d6rlsXTLl zg50XHL2HiDrsr067oWLX+5FLhdbp5yymFShXBasxq3NVkXddqM2O4o0YIhbpMJm5XyWWqQ1tJM+ OZqfUAS37q+9VQQEoj+GKP0wAE3H6iegTd05yIUp6Kx5C7/O1E90thO4aXJApwX2U+9QqGpUfeAc m9fuxF5ejjS8BpXJXYUaPa+9T/STmu6lEa4otUpGMHcUq2RWbMAyx/CpekZVq5lp4pyUDQp21AO4 eph/VGg1pFwJ4pqskvpEkv1BtiFdqtnj/Wml5m4IHgqnFMs3ge49mtXO42Ni42Qmgoky/w5GozS3 IAOzIsDG8EmQ08ypPp4ZVCKenTstRDH6PVvD8GIlougC5I2hWa8yCc6uAWAcLpLijUz8KGhrMMPB JuvUWwshxE1p6HrVAvXZHBH3/Z1+4uDXGTNIUiNZsHhsOvad5+kfgFgq/eMyqtqfy10+tFmMs46R I3/83KpOJGZJds9p0XWxELTseEGtGFKwiV5nVWo5YQnVrXHPyHnEC4vq7lPtyE5pePYDNwvOJImn SazbEHcgt4KDSl1LWXzSPNKXLQ1gjV7qcti1jqRwm55PBR42GhVdkpbdAGFdP/jff/c5vWmCfHsy qgz8n3oM4TmRekBnVoIfJIWOZx7KMjlHaGfVHbagB+1w6uDFagA0iN6bvgLln5I4aMsU8eiLv69p LS3Lo4P+VcYcI+1pEX8dNuaV/oRgYTr7g2u8GvbixyhERKJOrQzzaPGYw2wcdmqzRd5m39IknUMS fC5jL4rEwj6bv8Gf6wxU7T8Pjay/uPLRdM5eJQtTayZPS91Ibd9BttXacXwhejRH2c+UVLUNIZfc zzGa9KpjeY/NdTKXaOA9naumxq1tWw8BoLH21YzYKyehC8d80w0kEidKz0WIoRJa8IkyiHAMYzLp cO3swu5xbErm28bRv23/QtMSyX8g/3M3MO/Vzt91xvq3b9V/YnZ/3EXzamuVuM6joTNngfZYO0az a2pTyCLgx1XSYgsyzOqaHcGTc5tt4G0D6mmg6H4UG/NbEG4LJ/Yg+PLG9scT2dZewMZC8olTZrmB dFS2YP+UnK8+hAQYbwUISUNskNY/5LenzXRpHp3Ti1CfMFpzU/wjQtL2SEy1nh+BTO+/gK0xLzoJ rpiSgzrHZ6kU5wJMaSsuGJ1V/03MFqZttdwiH7wx13V5kZ96YlJnZEbcmxXdXPaNRNPoRo/+feIo gYDxKyzHYPNHn/b9UsXfiypu4Z0Trp1Yeow+gr5vbJ5oNJWsy6JMFnoXfFXanveD3Vn2E2F0NZEr BUpDrtePEYfMsPC/ITsQrCYNFlXNKQ/Se8BSNq9l1YU2/250C/dFhPlfbBEmGpOMpb9uLys4slox mOQNyU193FyH9wKrbTqwGqKYmoa9ECACYC9swKHKfsuV2eSSxfqWhDUukqFPLOpyhXpidBpMVSOX KwHSu9NlhNOahic6Y5/B0LqSnPna2TlXGySBNRk3V2HMXXbmeHUs74KmCJfoQpCQQSMsr8+cFuE7 zzYkyOn+lWNAKiwm3FdW5Nv/r7QXt0YsP+Xc9LDWaEATLeAtdVNUkQFXJGIGIOcQHVkW+NUJf1ux AycqycNL3UY+y9vu8vJogqaitID1ZwVSoUw6y/Gm0/KXoA5ox0PO37ese8+Bnv40u0ViCnVr2teG p4ilDBHa0RKi4hCHoxd7IOSXtFb53MVcUZCP8pLTfIphYgtnh4oeJKqTlYizRntqrryU/G+FnFHi Jl8ql/i7Z92LknnfLhPPEq7vkgkUh7OBlsmo8yedX8xoJVZH9Xi/QEbCTeXwprbdmNnQBj0BmvNm /c+GwXD9qHJE2n8nXaplr0cOdJh6kMQCqmxHGlEbI27SvkdXTndWKZ5i/PEnPWRS1cZVea5UoCur T+fmk4LVPfO/NxYoXWB2dfLwEED4DhC51Q6f5B89WRmLH2M6DV0CIlNaR8YBGAlCHyk+MhkZgw+n pAoa5qLoimAc9YIBmEpBsEyf5ilDB/lZ4+alE+ofk0lUVu6oY47v8j5nhbEWycahYlMUjDknGM0t QsRBgyEl4aFFDGBQwSaVLFmUVTSkfO3qAU9/rILI/PJ29ErgYjriTmQVPKaWzQFrSIqd5ZCYqcVo n5DK04GKhNzJVrud+sKxpIy1AlGfvaZdTgcRkL+NC0xihp7Yy+kLwdROjI4t+GL7iNV7oKz5TsRe TI5rBWPUrGwmiAJTtxn378CasRPoNYxt5+EqBWo1Sdu6ep9FeQ5uwA8URwfNTjHHtJXmz7gZuJPx xT13B2kuKm+KZKqXlMjQz/x5n2dHkXEkDpxSTeeL4Z78DvEYRCShRAu809AThzuUdBSvN814nKV3 ZFDs4AUx2dyraAABJhjFzrz/vewE18OBTQkGgFsJUfVLIy+fcMFtZM8glehP2i3FtBeHi/8gqHz4 dDazdIxSqSJE1BPM9Tys4r32nj9003GvIoY0B1yNNm/j5zGb2XBBjlEjciXl9o8P42ef49LHWrHK 745P+AhO+q3pGIgKm8LrU10NzwsHhBuhJUb3vmBgbBOhGhxlHVNVrKUMzvGFtHbHnsSalzhb6gDr mWOlVYTzFDZBu/4FTjshL4GoGnEyqpXZ5LzSt7xK9BgFVYHXO6IOpelZdhZwTdSvq8NpLyM1QNYH UhMDLqLjP9cF2E19PHtPOKxgeFLfynV2qCRkE71dm3ddP2yTOJTDFPbiKtJOUQo65stcrEOzguXd PpqmOEBCcKKz1z4TXgubYsSAU7RmIZ9zZN0LghePENW9+6Red8Ua28Mvf3TSmaxF20QD6Lbiupgd ARc0SE3j/Nl3n6o3fyUdxebM4bYclp76zUiYsPjT91UZw4W/3oF0EgHdpjiE0H8TkRNnJZfVU3Ej qAhS5qNoiltp07vjmuDU6ZK84pntx+hC3+viyHEBnYZ7ODa5rqDDFjPyq/VXFa6RIiIK5ebHmIcS 1/Z6AfdQNy+aD/4xGxNF6E2CLGzZRZGfS6hiiJNzCtyEeGonYV0OcRjeE5mjWGtpb7jG6bdNRywZ Flv2sl7+g56YuUMGcve4HwOGE8VzVnLbBo6rxFNMFzkytV41+RMKyIoOwhulhgUelSdHJ3xsaJVf MYtPMoGIdrwGn1gdu2czgMtLwKUB6HaPaWA9j6knpMh+mco12TWoKZQa6fDUIg== `protect end_protected
gpl-2.0
1a04151c448f2061c3eafa57f9837a16
0.952532
1.814537
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/fir_lp_15kHz/demo_tb/tb_fir_lp_15kHz.vhd
1
10,436
-------------------------------------------------------------------------------- -- (c) Copyright 2011 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Description: -- This is an example testbench for the FIR Compiler IP core. -- The testbench has been generated by Vivado to accompany the IP core -- instance you have generated. -- -- This testbench is for demonstration purposes only. See note below for -- instructions on how to use it with your core. -- -- See the FIR Compiler product guide for further information -- about this core. -- -------------------------------------------------------------------------------- -- Using this testbench -- -- This testbench instantiates your generated FIR Compiler core -- instance named "fir_lp_15kHz". -- -- Use Vivado's Run Simulation flow to run this testbench. See the Vivado -- documentation for details. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tb_fir_lp_15kHz is end tb_fir_lp_15kHz; architecture tb of tb_fir_lp_15kHz is ----------------------------------------------------------------------- -- Timing constants ----------------------------------------------------------------------- constant CLOCK_PERIOD : time := 100 ns; constant T_HOLD : time := 10 ns; constant T_STROBE : time := CLOCK_PERIOD - (1 ns); ----------------------------------------------------------------------- -- DUT signals ----------------------------------------------------------------------- -- General signals signal aclk : std_logic := '0'; -- the master clock -- Data slave channel signals signal s_axis_data_tvalid : std_logic := '0'; -- payload is valid signal s_axis_data_tready : std_logic := '1'; -- slave is ready signal s_axis_data_tdata : std_logic_vector(15 downto 0) := (others => '0'); -- data payload -- Data master channel signals signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid signal m_axis_data_tdata : std_logic_vector(47 downto 0) := (others => '0'); -- data payload ----------------------------------------------------------------------- -- Aliases for AXI channel TDATA and TUSER fields -- These are a convenience for viewing data in a simulator waveform viewer. -- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command -- to prevent the simulator optimizing away these signals. ----------------------------------------------------------------------- -- Data slave channel alias signals signal s_axis_data_tdata_data : std_logic_vector(15 downto 0) := (others => '0'); -- Data master channel alias signals signal m_axis_data_tdata_data : std_logic_vector(44 downto 0) := (others => '0'); begin ----------------------------------------------------------------------- -- Instantiate the DUT ----------------------------------------------------------------------- dut : entity work.fir_lp_15kHz port map ( aclk => aclk, s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tdata => s_axis_data_tdata, m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tdata => m_axis_data_tdata ); ----------------------------------------------------------------------- -- Generate clock ----------------------------------------------------------------------- clock_gen : process begin aclk <= '0'; wait for CLOCK_PERIOD; loop aclk <= '0'; wait for CLOCK_PERIOD/2; aclk <= '1'; wait for CLOCK_PERIOD/2; end loop; end process clock_gen; ----------------------------------------------------------------------- -- Generate inputs ----------------------------------------------------------------------- stimuli : process -- Procedure to drive a number of input samples with specific data -- data is the data value to drive on the tdata signal -- samples is the number of zero-data input samples to drive procedure drive_data ( data : std_logic_vector(15 downto 0); samples : natural := 1 ) is variable ip_count : integer := 0; begin ip_count := 0; loop s_axis_data_tvalid <= '1'; s_axis_data_tdata <= data; loop wait until rising_edge(aclk); exit when s_axis_data_tready = '1'; end loop; ip_count := ip_count + 1; wait for T_HOLD; -- Input rate is 1 input each 16 clock cycles: drive valid inputs at this rate s_axis_data_tvalid <= '0'; wait for CLOCK_PERIOD * 15; exit when ip_count >= samples; end loop; end procedure drive_data; -- Procedure to drive a number of zero-data input samples -- samples is the number of zero-data input samples to drive procedure drive_zeros ( samples : natural := 1 ) is begin drive_data((others => '0'), samples); end procedure drive_zeros; -- Procedure to drive an impulse and let the impulse response emerge on the data master channel -- samples is the number of input samples to drive; default is enough for impulse response output to emerge procedure drive_impulse ( samples : natural := 2055 ) is variable impulse : std_logic_vector(15 downto 0); begin impulse := (others => '0'); -- initialize unused bits to zero impulse(15 downto 0) := "0100000000000000"; drive_data(impulse); if samples > 1 then drive_zeros(samples-1); end if; end procedure drive_impulse; begin -- Drive inputs T_HOLD time after rising edge of clock wait until rising_edge(aclk); wait for T_HOLD; -- Drive a single impulse and let the impulse response emerge drive_impulse; -- Drive another impulse, during which demonstrate use and effect of AXI handshaking signals drive_impulse(2); -- start of impulse; data is now zero s_axis_data_tvalid <= '0'; wait for CLOCK_PERIOD * 80; -- provide no data for 5 input samples worth drive_zeros(2); -- 2 normal input samples s_axis_data_tvalid <= '1'; wait for CLOCK_PERIOD * 80; -- provide data as fast as the core can accept it for 5 input samples worth drive_zeros(2046); -- back to normal operation -- End of test report "Not a real failure. Simulation finished successfully. Test completed successfully" severity failure; wait; end process stimuli; ----------------------------------------------------------------------- -- Check outputs ----------------------------------------------------------------------- check_outputs : process variable check_ok : boolean := true; begin -- Check outputs T_STROBE time after rising edge of clock wait until rising_edge(aclk); wait for T_STROBE; -- Do not check the output payload values, as this requires the behavioral model -- which would make this demonstration testbench unwieldy. -- Instead, check the protocol of the master DATA channel: -- check that the payload is valid (not X) when TVALID is high if m_axis_data_tvalid = '1' then if is_x(m_axis_data_tdata) then report "ERROR: m_axis_data_tdata is invalid when m_axis_data_tvalid is high" severity error; check_ok := false; end if; end if; assert check_ok report "ERROR: terminating test with failures." severity failure; end process check_outputs; ----------------------------------------------------------------------- -- Assign TDATA / TUSER fields to aliases, for easy simulator waveform viewing ----------------------------------------------------------------------- -- Data slave channel alias signals s_axis_data_tdata_data <= s_axis_data_tdata(15 downto 0); -- Data master channel alias signals: update these only when they are valid m_axis_data_tdata_data <= m_axis_data_tdata(44 downto 0) when m_axis_data_tvalid = '1'; end tb;
gpl-2.0
a75b5427e2a759cd7ea07653fb00447f
0.573591
4.747953
false
false
false
false
fafaldo/ethernet
ethernet4b/MII_RX.vhd
1
3,163
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:18:59 03/21/2014 -- Design Name: -- Module Name: MII_RX - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity MII_RX is Port ( recv_data : in STD_LOGIC_VECTOR (3 downto 0); recv_strobe : in STD_LOGIC; recv_clock : in STD_LOGIC; recv_error : in STD_LOGIC; clk : in STD_LOGIC; reset : in STD_LOGIC; ram_enable : in STD_LOGIC; data_received : out STD_LOGIC := '0'; busy : out STD_LOGIC; ram_output : out STD_LOGIC_VECTOR(7 downto 0); test : out STD_LOGIC_VECTOR(7 downto 0)); end MII_RX; architecture Behavioral of MII_RX is constant ADDR_WIDTH : integer := 12; constant DATA_WIDTH : integer := 4; type frame_ram is array (2**ADDR_WIDTH-1 downto 0) of std_logic_vector (DATA_WIDTH-1 downto 0); signal ram: frame_ram; signal data_received_in : STD_LOGIC := '0'; signal write_address_counter : unsigned (ADDR_WIDTH-1 downto 0) := (others=>'0'); signal read_address_counter : UNSIGNED(ADDR_WIDTH-1 downto 0) := (others=>'0'); signal busy_in : STD_LOGIC := '0'; begin busy <= busy_in; data_received <= data_received_in; test <= std_logic_vector(read_address_counter(7 downto 0)); -- process (recv_strobe) -- begin -- if rising_edge(recv_strobe) then -- if reset='1' then -- busy_in <= '0'; -- else -- busy_in <= '1'; -- end if; -- elsif falling_edge(recv_strobe) then -- if reset='1' then -- busy_in <= '0'; -- else -- busy_in <= '0'; -- end if; -- end if; -- end process; process (recv_strobe) begin if falling_edge(recv_strobe) then if reset='1' then data_received_in <= '0'; else data_received_in <= '1'; end if; end if; end process; process (recv_clock) begin if rising_edge(recv_clock) then if (recv_strobe = '1') then if (write_address_counter = 0 and read_address_counter = 0) or write_address_counter /= (read_address_counter-1) then ram(TO_INTEGER(write_address_counter)) <= recv_data; write_address_counter <= write_address_counter + 1; end if; end if; end if; end process; process (clk) begin if rising_edge(clk) then if (ram_enable = '1') then if read_address_counter /= write_address_counter then ram_output <= ram(TO_INTEGER(read_address_counter)) & ram(TO_INTEGER(read_address_counter+1)); read_address_counter <= read_address_counter+2; end if; end if; end if; end process; end Behavioral;
apache-2.0
b3b220af6e4710388e49ac384f4e6175
0.605438
3.178894
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_mult/fix_mult/fix_mult_xx.vhd
3
16,658
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block agqEJQkmewFCXHSVPn1cTFukw9jsPnAZXHaWLPFuwgR0UcR/vvLcbx7vWPF349Nx8Y8mfv6fbDj2 GO+xKUtQag== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iEzXIY8dlehLk2ui2MGeS2mZU9X/4SY2u/QlOajOVvhSX6FdPYZF2RY74hxJ3VAKvDcs2IvNU5yw Txesjym2m1RAxoYFfgqAYB20HHCGfw/yJg0B+eNljX/IJSEgTRGsc8cBaU5R+sDVVjILddzexLma kzFR4F8cp9Rr1dgUI1w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fZOY2COHmP8B3Uf/UtDLITmeyaFSVbm7mD3CpQYunQhM19vFYNVdiueZqK0F/C2tHwtnxKcUcWJr T/xwusWtGDYDkGd7TD0dT0WBlMEi4W9WF/8Cqd9ufFvrigyeDIc0sXiB9ub8YQMjkc0gQ8KEM0FH gxQIdSQVbS8TgNZI5NzGu6B4Xs20yQ2fXVViz3B4klOVrC/EoVLsG+xni85uwaZmoXzRtHBuxeyZ dQ/4+RsukSucBB99rLyOHvmnBbugNfnuOskGB9Yu8h6MU/iZGNtEyCxj0bOxRcUrhBRDwdQ9fc9P nK9I/1NPbvPsNKnFimD/iUjcPPI6HzxwFarZlQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aLA/4xNQENA3IXJPNw1LySyNrAnA7FHhfjoK2YsJV499eH6p3fQlh9EyLQcma16Wj7k9SJBCSwt1 ylIYfoxoPGpFUdGNH1lkVrqjsUwtYIe+2kq4PVwXI6Sbyte30dUPg7RfdHvlvQDm1ir1VDGA4Mjh vCCxKzEXk+eb65dOWrQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OoJJ/ZsCWiAeDh0F4uvmYC6tiHl2QWBCwrtW2LvFf8CVu4ZLYU7wvaf262Q6dcCmSG8YDJJbN2q0 zinUgcX6s7pRL2QtSTRRJif3HWp+s1aw2PFT6j2SbwOBKRLA9ecRFZvG46lZZJC+CFrQmZUMfWFb Yef5QACDI1hQ2UjdogMi6RZnWu9AOYCI3G0twT7h3lwKGbERh4suOvAlxEvFQsbcYUHONdlGNao4 7JjWc3XXk+XZK7EjiIOSGPaYWwxTLLN0K8dYm9yLOWbOtl+toMzZL3HtWIkgyZHQLCUYkOSkioEz WGokz8LZvj7rmIRYWMQH7DW00ZsAIRNZNm56Sg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10592) `protect data_block F4ULIPQlNZxTyCiE1UgKI5NdoMFv1mUlM2NvuWYhUBfXuWL33/h+Jaybc1XQqcsGyelqtDpbO6cr BLb6RK8hYZZ98wmnHpNlw2fx+F/Vx7rnAmqQvs0xB2OAAh1QcfasxEq+cu2bPyT8oMBVaUg2wjun od78+BSUBlVi7/kFRtffA/qTpSua8E1W3/3C19MkBgt5UN7MyXFqk1ZiJnMq6NCo0FKFlDgvB/uN dCZk7RxDKAJSMS/ccpwAj6FWepP1F5I1n/0KCsdN0YJWw/fKLrxQcrTR0I1DP1lLOwW1sFOLEKQ4 fo3r4fyCkNAEAEeMzSWAtznUBzyUehQznT/hyQGm3D8PTLTlTgHaX0Z/P6o0ndwv6zYeW8wmHLdq yGcpm+0aL66TXyEOvnSPqbc/FLCuar0myTKPC2l4q8ZHFIvvfddLvkQ9NMtjR3R2r6m8Ke2/rPHU o9wjtbWtiEe8mx3j7M3iy6NjnxewylR8p2LECnu+Sn1And+T1CnodxZhpaJ5QctW/JgpSIIBCMxG Cku0PYfR50+lEE/xhyV9AANHEUnxHeGNBxaKwnWYfKOzxm8VaCYrLAtngWZivOjtwllASOQAc9J+ MWmrxKBtm2H4HYuOjGGr0CbtOXc6OqjbrmmwXfaMlNOTPxn5tCDaQJm1VlbZrMlyrSW4iJNPMnfF 3ZPlZX+EelEXNTfKypJp+jUGodK8lchszEBzBn+vxERBNPKNP+PlsPSzupA40E3V4qfIXlo8CKng zoIOKlbMH2MGqNxn3uzfcRQl9vbAzax77p895UAfpfws9OQ4Iq34IyDruCWQnNs2VYww7tha2G5O I4I27UcVo0yRic6lxHoNlt9qe1+w7C7Gl3KVZJT0zicviPEXCRN64bGdXOcnhS54Rw+oXEUIKf2x eKkdRDdQ2mdmNCbz/nSiYic9vtWhQnGzbzj9Z5juLq2rbs6Skt3xGpeDSEXK0XNcVOSnnfQPEChu ELY+8VT1vFDSVGuSQa1cfpJdVobdT3gtC+ZyhqSYm2Q2B7F3Z84zaK3HNOx6Mn1nYV1zLN2EhLPi RJqymWTTX7Xk2gxFIKa+qBdcZT1D9Ovts7PpHcszRUGWMvdFVvgubr9TrSgKO3P3AVOHxG7jZaht G25S7ES5rM6CucUqwKFaEYDY1NYKN07QRep7nbIldRHo1NIRJQfH7Qet7VzXjx2OK4YYYAXjreG/ ZwJC9Fk85r7ei4aOz3rUefLQJ/c5QrwxfrpEHtOt04Hqmqk6jcM3fpRaPBHkHU1wi46L9xf7QJl+ qQjlN//UknrsLnPOPMNMOzIcgltGCPgkcvV4ow49ZoHVOGRcNIUsrh39jCRHbf2Wb7xv8Gj0ELpA SnluW+DMFQTyDCrlC4LP/kthQ+HcJRnrQvzIwjEr3IXl+pvodsy8ts1Cu6By+/xPGLf/JE+kJmAu 4lJvCeL2iwJ+uTcV+hAFYsN5JAeV/M+UaJO0c+5oELRZ6/d2z+S5QwqBTxLNpwxqcxE1nY2y6gjt sb1wnvgKwLkV5qgph3Pgu+LQ3FGiydEUxASZ2VPfBlVKbObDsYDo3FRKJk+gDQ0VEIaf7OhfEImu 0kjzxCWvqiNIevvlMpPRfy+t/PVnW/a/g5R8iKl/qoqStwKAELWsSPKZQrajQnCgb4TW7SYpJvUS H1668G8mpVXBVd55lS0Lmy3d/LLKf8tLsI8RxwRIZzLKsxG9f160MuPEWdaMAXXHnDb6NMpExnG6 XbE+SRn6gzYOPfwuLXIajut6Wft8UGJaP+thsH4Rzv0XNSbhcS5evzQ4ygr1NNzYtexYC2srBweT 2BQqqGhJrTsQBrQXhLTpDqw92eIV2ytEvdnYqgyPBgWiB5fNqY0erbJ0redfiWEeVkSo71B3N0JX cPtdBg65PZgCSBVS5Iq82Gezg2jF9pmqefcI15+9bqNeB/f1Cg5ESD6j0/Qbh2EPCHKkUmMAGdtA qkzqZm2vfqYLhlYTvSu0bjwI32RSTjW8fSUd4FQW+RWFkYm+miMKO8cBG7j4j8VMEeKL7mQ1qHiJ AowRRAYtBhgLOFUjPUfq/wUjHP7xxWJn5FMRP0aPytRySuWw4XL1cOQx9WI94q+bNobeKH2FC7kf 6b7obeMo5LqDwo//AOwzW4d37z81KiCVYhvMx1++C/Omendx6MS1qiYiBw/1tILnSWlti+5mONfF 3sLuEwZNgsrZ7/yswIR5IX+/FL9iup99s2rNFALKEMTd6T95lCukwmX6ORrS1Nh+bXBI184/hGmy fK8hdT870Pzq9sgGgq8IiMo6rH6v0nf3aXbieENH6bJ4yFoecqDE7OvjTwsu2bdhtMNaAfJz5w8p egSTP1sU/m9Yd3yu8HczBuwAAPiPRPnobkwpDFum33LCkrgwVYA+DlJvrsFwuXqGMNooXSXvJiOZ ZH1F8A2+qS5cDesCFQrNaPrehNBh4uhuqE6oz+ij3wtnTR7WW63vJBV8IprMwaflusyD6y+1+eGU 3cHU01DiWhOjVC3mmg92HQoAGiUmUULKzgvderQzpUbYVHX8LCV9z4+A4LCXC5BrJMKyS4N7IhMI o9Jo/ZqonaQmcE5fnLyMcqkjxaiNAm2DIfnfS+5qg8km+KEAMWmEHtiSZX0GXAqD8XTQZ6MTQw+D WGYBV50iW090kyDxALQ4c53MCh8+fVH0AogoRRZnQouQaEGpScmjjekCcmHtXDFsMGycMbeGMiPH G3xLOK6oCgyDUCkl6hxz1XguLtLvbfvKnpUXHVhNOJVuUQOcCZDuEubYb4LYKnQWn2f/jb9vlfCc I2FN8YTvP6UgzllYscBJayB1QWv5iyhxkuDHaoQxj1hoUD8hpQiz34Awia/nGv0ms1p8obhcdC5j De0NiHWPXejY52Q/Fdf6G+Nxs+9/KHX4/vfTRUkNhIqzWyjv5Yp4Kohlxa27oUF9qDMHRBtg1MyE 4IpXkuk/+KSzcqTQS9O0bgq6QPYmdlB2DgupRcXzfKaBl8FftuXqyHqf0rKQ7LeIVKpBQw0pi62n a1HgHw8cTpkhMHASqvXSx4VdenjDt6x7l+cBhKiYzbx6hDIJGLkzqxUnvP5aWNFCi6CTgrYcAeob yFQYtMlo1kb7/2PZwUI5XGEDGInkxw5ZTXg3LYEcdcyLGMRacdskjcOs2S0JKwKaz8kG2WZEsjF0 95X0oFcWEnNIyR9sB7sO2RyU5H8mJx8s4jzz0XSGDguaDpsE6+rUWGfdAxAuIdGjgoaqU8zqvic6 joX/XPrEWnOeP/64f3ydin0Y5B/U9VhNyuaVYepJqwyjcrv3Z0lCOZNVUDDV6IR6Jb9wO9GKA6ib dXiUltDMA3mUhpZueLHaa7HRKKq/MLdTATSLmL3nRhszafQwrx0B/WGWVaMyyebNiRUJS1F34mma SX4Dl3E1AkbYiIlt8bpRL2LLJxP1+Gp1Sg28OUt3ahwd8iAXM/10N4KSRJsDLahSTcsUyvc7JiAS eq8FnPS2hprq/I/DoetLIY1IuZkQYFAgY58gvNoFK2dlj6xA3JRheXVki9O3Cf1+kF79Y42lJ68K l45ipuj1fje5xf6hK8jBixQjlrkfo7KYF2XMczsIBiPj9HUaRdfRQaU+Zn6OTHuBbiBOXpCTRVII aSQPCrNtqXeEgDMnEAWLz11B5IW0QcCntqVQVUjB/XfDs8zVegiHKALVaIqVhZuUhYAc/keRAD9b K3qZcrcqT1SiITk331lEocjHpKtvOwzZlWIVNsD5fDuKQTSktb1W4L2Fb64mU7FGE57rlJC76Wyk zrTwzT7t4BlJIVS+ognN2qtKqTT2je8Zluw3jPHrPUQx4maSe8jcdnWsFWBacddbhVShuEh5oX3m WjWF/2BGSERpOpqCoZxbcSX0f+ImGGfzTmaPuVwlYI+Jzlufbp10S0NA+KK0KPsAjpCk45/HzZ1F gg9ht30v4VNqGRrDBTWC3+rfj+MuSEHX1ckdCtVuaGhR4l3JYmbAZVVuuGSkigRhL8buPR9lyq3O KFcjAnK1mC4W1Snlq2GtmX3qGMhHviSd49mm+3Rhy9zy8dXrRoKkV/M+waf0X5MwcvTePYNv/2A7 FV/Q0vA0HmStDdax/27CfqGcS/M69Kaf9X1sc703uF+qB/qGJ9oLy/13Qafa/EAmVN7G5chxMi+K s+4+Z/E/xR5nAfsbqo5JxE56+5psGWew8D1xW7qSm0PqTGTKUExhEaBx8kRXVkEZGhhfC6VhVOGg Tf3aBS2tL/dfG9zDXDd0d37cXN0KwzCRT8tvCTLuC+1nz9pxNS1/6mGfXXXBST1JR7wj3ZdXozg1 EE7P6mvcNoPZb2Eb333iLVUJhtE3/fJHVty3rguw+b6PtNO6zyUkjLB5CsRRmxgOxH3sLPRXToB3 wRKUU3VRZryMWvC+zylSkqeOgeUdXv2wW7GzFEHyp2JtHC9oVevd6meh1O9OMBHBPRBlbpgvaVqB 5dHVOHtSO9yktc0iz0qar62vSE7mg4BF+WKBmMTi/M4OUNND6hO2TrT7WypvABpJ1DHmz6ZFfPH1 2sWkPMKgPARTiY+1jZxkY56myHSAqOfQ3YTUuKjaC/7Ou2SxIAS/ljK30byjekbAYemkqXD9tqXo mzbTg4zV/BGMHfb8ewl+MRXpzXIWoFt7eqIff/sX8Q+G/Hp+/sNBXm98Ii0TUnaNNYE71Cy74YjY 2OAfkBy8I+zK+Rtr9/urBWEZbhbvXReXV4rjLrc5sR5wBTjBs3P5081j2z21NQ/Hl5lv1MPvL4Zv 3gvlZc2HF6dSDEbuTnOtGDbHP9CNBljnG1L2Nwi8vgjZzyUoGllv/p3Qx+SxXLwOImuHFgXRgBmb wnF+bY+9v12khY3RjSSQE9GTaHRVeUL0YgPM3i0bMKAlH/OZcYqgWXulxVRCOin55f8P4QGSVe8i sL1Wola9vHLJYil/O/wt6lWM82/JXO4q5u/qtYmgrQnl4p01r/hfFmyXa1RzjYoct+A1YazDvuJP aYMAidZjJsHiRDcDsdZqyAgWrP9wOv/Hp8z3qzjhtCLjQjwPsA86GiJtLz5mx2MyJUQuFi3e/n+3 FcgtMUgy9sjVJVb2HEvgcikOqfJYrvvUmMp6bo/UGRDnTjtdca6ocuGwTncfRyRXVExTB9h0MKEn UL5g2G0hMQBmL94pZljbKIfLlvr9Sz1kj2b3wMWMaY8h2KHkltVcwQVZRN3AJ02BegBFTbRHpQhK hjaTiYquxoEiyTbF0Kg0K/t0LhjNijtTdl5IhCwY+AGAaPkFY26yMDv5soXc2kUZwxBNMOJYxpTW o3Du9ioEZqAFa2C++PXd3RVJvX5gSOhQqMtBPXByG54pn/wMPLXEFjQj3W4A9paUIVr/4lowlulv J1wrlOcmva1BATXQq1rcsoHKL63cvTD3W8FuO8s8xMgLid39AEQdKz7Zw/JFDD0ltVlMTThsy8NZ nnNhOeVE8xs2LWA5pAq1Lj0d0w/FnUSjmB8SgHi4/o2Apo/alVUNuK7M+6HFGhMivz5eCtVx1eqd rhA81snacXGzSMW7/58zsM2MkyafjdFsypMRedNrIe34Zr1mFJdgq5bBkrjjrhYX3wrBnh4WGf84 lgjWtwGrJOEeIWlnWHZLTX2ZlYIrqIFuvGkSFCDZOdN+b/Pk0nPl72wxQCuMLOifdKz8t4IGhxHd gzELBFTSGAHDfw5c4CYDP4os8CnZ1Mi7i2XKOeyohQ3at5I6ABdssRrjCNh3jxpp5Sh7i2dPce9y 6aXLE7BA2lFJc/uaOJ7nF6DcnFsTLVPlnCDNAXJruryC5XTIi5GClZ8cua88NE+aqRs/CIsk72o2 aC4aWUReGN3mYoWJdb01dbt1EpECpMyl5NX5W3C+xhllOQ4l91m8h0sF9tRQYpJsKYT/A/w0rx6d 5fbgaeZm3KlmMac5kkJLYZC1pl8ujTPkHZCA9IrvPfSz6sKEQH5jzkbpSHKEBdTdFL/k0mSdFAIQ H/9T2MKeqULu6VVYforM1FkxWe2VGaY3Cs2/CnHsnciX/WSJ3H7aXO2ESTQMzCGLULxFKIi467m1 Wd/G6ButAVpit4/RKXVVy/F9CLISmco8LPJzr2fadeqnLmZ6sON65OIhZ2RF2/dkWHFL8AtwcumE f0qAkT2ogxcCpm7yXJa1f1+9Qody97kOes7YER3esXzGlm0dNxMrvZdLQ5/GEk4jKi7Ca6K4pQCL dsZIJN4xtrpTvGg7FlUw9J16HRPVUSZ/QT/OFacAvL+lwdavSlNghSDxQ5WcOtKhK91w6pSksMGw 8IGPo/2uwYPdjYPIStltc5FbYjL5MC615rCyYCLAGHzLsRW/TqJix45qmdxodPk5ipDvOFex5eel kn3KWIojC35lcX+hoFj/LamK32kKJ42KNtQ0rqFWYz3rhMUD3Sg8oz9LCBzQLngCmiw1CPTX7HZG ZmlZB0M1IFEa60MV5BYfUeosHF6j8+K8yIgCJXXRs+5d3mF8ioDCEKiuafLtAm+GF7JkFS62td6W kx3yo0Y3QtPRc0kBqZMDCCooy1mQjEgEv5/UaqvOhIWZFaP6/l/iGKTuIVc5TySXe/b4fOV5Gj/M 7sqNBHOkVnAJsBWnGnku12X0cD4NQBWZYG2t3DGhZPTFJvA/atrvbC9zh8eTi5m+EMMPsYEVgrlP 2ZqEr42MxwKRV9PJUFuJYX7LTugyCRbSkjMUcHaYO3/KemXtK3z5HceAdmHCSi6YVumr1zpgFJtY UtY6ZenwYb2VmaEkAv7faaudNpEM43YIiBsJx3Ke4uwxdQSZOT35c9pG0FByqgYNfooSwMVdoL1y xnULt/uFSPeQswqDkpFz2MNm7hKnhDvTnBL1bJaNUfHt0KzZj7yELvTcvGj4wKK8kMpe74uJey+Z CI+IjhEkUUkQnnsMQsoi0t+E21REAy3jL3FErflCy+Etu5KCINByFvbQYapLIpLxwYEFPbngp2l+ B7/nkWHMBCa9G2yJVA/Sg1sVyCCDTqGGijfRh0IV08DqRhuUSmi+u/82jtphgAVOGu70V4T1j6Ui COrGYrOjsShfG0xavHzCaYTZabCODwz2dncV1hzdzYouTZZWbv5mNKJGLo46WbHOBf1lHgKSpHnL oUtNaVoLZFeAHxwBE+dmsySK0UamPxtwv53iW7BgResEQQ1/lk64Ps1xXHh4rScJWAtGStuKeioO LHGeJ3WEnVWD9WVTfyb1gymzm7yGpLuZ378A1xxLXINbNOovTKIcc2rjTIWyxcQkuUXCkgxJvZd7 dZ8PsBhWmc91KwZPaKjkCDvl1WuR20nKOIOCprsnsBCgRhqa481x7J1TAkPzIhc4SWxwCBtzWLqf MO9wkfA9c/7m/5kFJZfll7fjUL/7y90fpQvlSlnrWlfvoEG8IqVk9w748MgNT2NpxfwjIWL2cYZo c2/S6501cJ15q1MsUSjDvZuHJOWzIqvCvdLu8aQKjEVtIJjND+Et9CtR5aMMDrJ5tTitM0rGONWQ lHozTk9pq/HPu6kP35yJvJOv032N7D3vt6jXoSIJJrQQv51oTvzmKfQIpeQqASGocn48CmwX5CUv YNGnJN+2aNBh+ARdgqn0sjJK7eZG+Ajx0koMJYixhFPcvoc0Za9EvVck81nPBZAnDUtwJLEXcffh yIvj2CyJU5PMGOmKdAC6siXB60ZieFtdd4koVFZqIgMV6kW4HFkXGOtd9YlE4F8CgdIiAvBAL2TO 4SLAd4uPaLsDGEACZc7HnydTDO5zvVCG8i9K1U7+bM050RI4q1R6DcH9qG+yy9yMiceq0sQGNFQC GyhN9fxIYYEYxRUCXepHX75e0VygxKojuYfvi3QFWM1jNNOb50b9b9fnh9vHNxV4G/pYvqkC4SFL V73TWABLQ1xA+4w/eL7z0TJgpM+T0d5BILYUkxAjRSramOOQA8ypbwoVM3UxEmBqcBJG+4KX2yPt s1MWYbYnKWsh0jd5Aq3HLF0zPIaYB1UiRHFkG9284TKf+n6hkk/QiedJAJwxb3EVVoiLZX4RXF+B LwRzQJ5QrlbS+9PXWbiW1L3XmdRYWo4BWIYDqajmuJhV02Ga3e1fIxHfSeoprIWCUkxO0hnq7Cdl H8sy/F46lbvnaAJL3VblGuYEzOuXrPl9/aoeBFj4Oy/YXzcS14luPX76zfT4xNFrZDpWmLryRBcB 9+BoWQ1mSUnkq4yr1Pm4yLKeErAsjR1yk9sU9I6Qm2jb72FopJTWmcpfnvXBRPEJSILRFV8PXvMt JA6dzTNNrEKBwBA9XSAoctXSXcmPTjn2NX7jXpYAPnAa469jSrB9090wVApq4WgTW7X480tIQEHz 9E278Cc7ZXOUw/0mhFAt7W4z7Tvo31E82upeSA0k/dEv+WG0tu1LZrR13vUP5ZXD0slMGSa9RG2n j1F88x3BGPNKPHVfujliLiHaIMsweg8n3KZpVGOQAuvJNIZyzCs/fuLfxSHKqbLX/aJAbShp+pbr Ya+bAc3GH0pjzXk28wztxNrBhuSO2jTHrD7o1ljpUilr2c597gE9KgoOta6oC1CvH0TB05aNVebz /6gE5HgLc4KAnVDLkleL4RmVR4YVuokT5lOjrrD7x0TSth4tBvxa7OgL3oZ4w3msCnWv5/FGydSR quo/Gib2vBjViF4rq68lMtDiuyR5YBeMXTP3pdcbeBlcVdYVNsVN2dTTlUxB3W5Eo5t02x4D16mG RPsfRhf0PpqFxwH+fsFPKMcPPxkB+sxMNRgVmvdez6jMdjR3YAbiaC1IUFRmEqB+EgOS4u7eQQeG g5y/K7t8/tNCDivc/rSCyxXrbTb/vg3EKJ1/cIeQjOqym2YpwtgBhp6EPV+CWn4dcEpkkUqwJG9w 4+ou+H6+x92Oag4TToRYSkLFrsN7SvMpjkKaFbRtj2XXirPvd6pnNwp63cror+mgQd+s2shTnvb8 ewSNT7w52XFsvCyn5hUfcV52D1YxUYjVsRhskBhb0Ruq0XK+jBpkcyXMuJX2lpCMNFUgi/BVXdsv tFXx1DUjAVgBR0LuWoo9PP/Y3QzGbdsQbnZA47Mell4Z4etweuA27lRPsgMPIhMextiN/foWJ3S1 POfX1ZO9bAVxxXiFhhs4xGQTkvjmKSiJBfs7gjd58NiWij3TQ+wghSJ2WHsS9Im9ZTkCMuKDQBML x6sSWFJfQ2X+idpzZL6VevMLZS76AFMTLUiRMbqLMmeL4039v0iHBS9yJWYMz0GYNBYeIrW6Nac3 YbLQYlorlUHgT0B4NUhlytb0rfqB9+pSrNO7Q0t4s44OlB0QC16vxkAJrMjlK/MZ40rRv+s2hLG8 lUmmyTYvkv58e/KAL2zOwd8HFSa/0m4rK2fZjMUOXjOPpU3sLTJmO+d/rqlHUBLev+v+mJ000W0q uFgrMHRvlWO2TlXFF1NH7J1ESC/8NxOL/h0IT4pibin8rzfwkX4Oo50/rQioDdZRBj8KFGplj+8p V/sMVTpzK57eLkQFViDPIIrPLmKQxMkh5fxzMoFaTdGgoUXvzP9WyiV124fkTNLLngljKGzVbyyK Jn+H31ffWCrQjcmRyTMBZUxhDwEWMuUinuVvcd8Kyrpi/RyKG2NCx+4y8go2oavWM+R4OpKZ6BOh nnADmbGaEHaN5TD8+SN4OzXG+A17y+r1Vl+zgqxTnfX3zSj9ho8JuoXVzVbs+jHEoNhqszbyopil WqsNKSAAvm7XIi/4ioLqReTb6B7XTw3GrpkDMOu0qYGjsfezKOPT57o27XPMi4h2FqEO1eG3rJpp 9nECdvb0eWM2ZPMBfgbgmucC1uP/9RSl+kqsoyNwrjQYMz2lsfcttTqxQXLJBPvGECZ+d+SU/f6u PIHsArnPYxhauk072krnwtLrfqWXDSAjUnRHtH/aLHpOFDXLDxYwwStQUWDXgEm4NzP++qmKzsL/ JTg42vP7km42ZA1o+TbVUWdk6F1KNY1C8eZnI3/dVyvsbk3k4kD3ZSgkgtGNAuuCZ6JBVMLSnwGy PDCWBWCdu3fPtB67Q0wNCnkzJYlGUU+kSBRbzrXFEe/wIyIXoKChKEk4p6p5uFTv0CxNLquL+pPN PbgPnu+01kinpxdtXDn6iEQPyi+k6Zy6Qla/rrhaOTobdpSsyPlKlx4L2CY+x2Cjj6sJCU1tr/aM 2KJKV//WQlYsQ6F7AUNR6g7pbxJGsZ4exI3Bn+BE9SiQuanDDFnQx+54zTyB+E2dmSam7UK4x8vH rCRw8oEh/fkkSE9k5UrimFyTYJMhQ7tiv76eXo8sOz3QxQx9Tve30U0mSx8ZCHcu34523r4MVqXt GOl+SIPHQBlYPcV73xMr8kUUZ0kpBXrTPdux3Ypg1iGH8umPKrJY8gEJYLYg+xyUnk3y0OxR4o6e Yl7+9pLF6js49lJng/aUCmLgLYFK9FRLMG4fGqzLaXeI0cU3bBx7cjrlFNktGl2hspEJtO7dyzRd Ny2var7qLb/aCUq/WjBIJel1AmCP8YA8U9qzA2oLkEitAD1ONQK7B+DgMz+tRoOajFu3iCVVt4E0 ioDqBFyP28kslOiTgowDthy33hT/WC1M5FRtyqJDe0q0hiRt2dh0Be3o8VjjzP0W8qhVkeJd1OUw qhsMKIEg2dbJp/Sy1+tBI6eCIN2d50+GpKnF+64AFxLq40M/a5cFbsEcBNM/xZnltwCjysCth7ef y5fnYQjKiQJb+8RN7WHtCyddNX/Ud62j1AeGZCCrNmfb/9QoNkCkjGbC0AptAiuBcZeyojvmny7A 4HO3gUegSkvrsxzWtdiqUXDwLlSDkYje8p6fnrcedGk2KDGQIRP7D+PtwmEJhgt0zeoWN2MX98y1 TQjCM7F29fMASecUfaJ70E7Eg7Yt3S9QELIutSp2w9jHHBpngz+2gV8CcKT124wm2iGuQD11kriv 13vR4S6kyAQxIuD3kucbb+KGWWT++ToCEn8yGYjV0x4buKFZPH1tTirwQyT+aP/bRMmBCvsm2wJD lZD0AewDlYIWVOf3E9xmONG+/X1YJqgWyVXv6J0ZixRkgkiI7kTwFU1J16CfGxeEkprdG5HRFv+7 dIKB3CmwJmOtMl6sIBGy627jdugiL8tDrGanNcjBkoynRTXFCC2sHei5mMWb3CiahLFeTjWLcnVW EMua/Cb4InPYryi+RfpTv6KuM4dkOM4zxJFhcQYMGoNCP/Ax4zqZskSxOPxM0oCN/Z4PVpf8fDiz m6meDxLToImF9T1radgl3WNKb6xkxEh2+o5JY34CxfyqyKw+vGp/7lB0E+eogHf3Xtevj017NTNM 7I0KPEaCnVQdv88tfbDnsgShsjyzNhl2tMTtreRoqRNIMHmKIvla9sBlTvIR2RuTK65u2XyJCpDN YDVpCxuBoP8aCb8ba0JJtTUb3uOlYPQNBYlaM0mLSnM9/4vMVUHqmS/QEzzBWsZH07l8VxiAsHN8 VPtMJ3//3eRUw5ye0a/CdYRJFX4tVefScadVxFouASA8SnHtSOWi6Dgrhwc1WPNvPNUIPYWA5/UJ ygGhNX32ea1bbZNn7Wq3ZEajaLVe79L8zKQ2a7yLL7CBQp3fZeYMM92Pi1z5NOaRkrmyl2K3XaYo 3Rzve/XvCMi6AVxp+D3OBSBd6WIYDd9YDTIeXb+C9AU1rsTfgRQ+TAeoz7JNR4pcsSvw3ntwX64F L29j3UZvc68rLSstYX9rGGCL+BzLju/jJZiTu2jTJVHhZr/aqVYaBDL6eG/fd7HNY8cVNiqNFcTu Eaq4BmUSKU+uazTUyRL1Mwj+NUFaZn8kRsKF3NfebVw+AqR6HLH5G0hPAQAsIsb0t3/rlO58LsJu jPKqvt9CYzjWsLog6RLcdZGkw2q0kRKECmKZc6dExPbSuT7ZIm7oSByUeMT2gGykZP0u1BSS2qMf 3mBmtqlovA4lhOiz0W2bbiM864nSsEX7oqJlNjPPxYCy+zUV6Yv6JbT1oZlke7VwX+js5XUC8yrv EL67eNyZLMVRQsmRuyCNxZOhvhYI2r10MZEqRhUSQqt9DSlRK6+spj6YZ8GzGsSQ7CXYDlWKKBqz +96pBI9anAFdjRSDi8ch49a5YuH5MhNdYajfcKtc+kRbvcdm5g36cli+LVShVNs9HvbsMB5+Ty0f +K2vWuYOpOFl7Ux5f6Q5ns0f//37DKlg9GHg+Io8381o9de6Cminz151mov7JraRMMEiXTXW1UsG 3gm+Fw3d7fe9EfESX0pH3WBWy4FdmSVmxgR5err8+f7+XAn+8/vj/O/dPo0WvILJXViT6/N3L6ae ReCAC1Ll7nHXBiHNAITdoEDrveeokttn2XI5glXeR0+hZUpZ9gEmmQWA2zd4L2D8NDYR5KU1glmH bZ6GJsooqvhkuMtYLlsYzkotBXujDpin5bLX+DGsFqhulNco3eByWMl9a7dJvXx0qSN/ij+bwzJR 9khcXpWZhAXcdNzwQvhCTnZ0faXsk7sy8YcYLfU2pOhdsxEtdEMXgDzQ+tC9iVRJnw/SMe1ytb89 tzHTl+QwxJJKrnctcDybVSJ8rxs7MIDs5j/dEZgoxhxSSpjBHiUY2ppkqFeBVoen9QY15okcJ9jq 43dy7S9WnaHV51FZ7G1qmN5CCtv8ok8JLf4rNeNO51MXjLVJJua4T9NReeLK/moRjiKjo7F0MA9p Z0m/O9MY0xHpsnJEhPPDVIpBwo7l43vzqvgaTJc0j6QvDNTkZVvghW+kwD6N1//Zu8DpKWcqDomM pnBGCoMt5Hrk+iA/4hWYelHaD6DEcu5aLkoEaf8gAcZ1jgLYPwkOXiZ5ANUzN3Wl7Li2YFPFu2PK vzdlna0o8bRjUbq87k33GbzKXqomS1Zc0XsgWwlsVJwir59YU1M6ZOO66wXqPQ8vsQeslMVLFo8x nEfDow9ybfyrIhH90Q6845nOgOCEIt/SQGPBR4xUfAtfab5Ix+dgtJpCfUZxiI9ogG0yNjwazvN5 hzb6jM2n2n4qH86xemL+mMvAAAVNHonWvJqNImBF/QXW7WpJawH+3lalZoA7XsuY9sfBw6lHT7Gh LU1omAh7yCLypirMdEVtTay3k5epiCj+Nvh41zB/+/yLA0OIh//cHP8dqRsDEQ6s+i6OCVrqNNQI OZLCsyqzVAQWfjJZeXDWJdIjUQaps7NGqP8nriKg1pcRSKaU370v+JRPqulhJNlrGnrq2OGiQnvQ URvp9wTTk2tdszowQgEDoAmc2juMlXgqKDzVLii+sgv4SR325tfaLdLYzeYjwSIVlyKJGFxqxkfK eGlosoKuZtCuAtp5aq2Jl9CppLb/g/jE1hOq+VFwKw6Q/BkC31ZMVOc+Ufsx+INnyrc/jYXgt90Z bShTPgPmUh4RDQXevvaw2evR4CFRqYlsk9mAlvmI/pAbqOjYyX8t0H8dvJgibmSsqeOMTKNe2s+a M8UTXlomh63pFlbfeBm+bjurDRq1fkIdR4+T1S3Zphc+ZmVgDEuvh7HXJjHF9wEXOMNSQQ+Bl8qQ unYOIu4YboytfvOG5ReyG919cF0UNXQY0mwG3/nHNzxRyNDipLU/FzcAXpQsrNavzLtNRUwn9EcT MpjBf6F5BrMYpYIPZBWkz8m/PGZKNXTfG84rsJqrlb4W6GfiyC6gh3uaQTOuw3YoiXcLF7REsiJp EgNugU99XUwvKFa+7kFaRA9gInaKIKzB1/IfZ+t4rPOo8qHQBmoA1jBbIO9THbg5BGRUuf78ujjw 82rrkjWCykziJDSpeL41OarfFwCALxPzdDHE3+SxTw596sTjhkvTtdRoqhZinVY/EZQJB/rbDgTv Wr2i3PQ+Z9Q7IUkxjovat1yj6T2U/84bu29kA2TwFuJxoEGjzCMW+0A5iA2z+VCTXrUHBziE55/V RqxC+H5+Q3jSf1NYIN+8udbeXbmOPQdrrzZ2JVSvj36L6I0xx+dKe78rUrqk2BGXXNol589VYP4+ enZB6dTDEQ2WAmeIVd6b10DclCGzUJQPO+Nx42HSVbpP6cnTrRzjNh1aIe/1M2I/CrIh9o6HZgXN 6K5DYzR1nLigv5tfI4jPBeUIYj23Jz4wYZe75yckadyj/4SeJH43L0w1m8Kvc14= `protect end_protected
gpl-2.0
5652419da03ed787836668202ed3863f
0.935226
1.852124
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_cmp/flt_cmp.vhd
3
30,599
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Wy1+eTzzGx/hEmTbeJvuzVJcyoBK2x1bVhdwm9oYIpviqiTEmuui8MN3b24lCIZIEmNLLzb6AbUj pExthme4og== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cfYjIXoc6Ia2sfmTVdKeCMxhJC5vhPpkqJQOXt8iEj8yMOXcuHygpN6P5M1duP9kjUkm8L3LrwG9 IP+9j3JAlrwhm+HjJS7IrjFuf4lr3/4Xwk1ka+9+8S6Wmtm7DsiVTdGf9kX02W5gaS7w3Xf9LyhR 8NmFxkWDYVgh57SJzvA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qD/VdC8Ubu4shTll2pTMBxOyxN0E7FdHLOhsULBWcG1EqTuREe2FtJ3adoBI7XLilYvxDYCF5IIY sXXDFV3QF9lLbTJJpRYSsgSiAG9OnRoJGefZdXUo2IKNvNhbGdVdHGbYX5Uip9MIba3Ng2HIHMOO jFdfwboU+zAD5kkYDdkzWivAsm04xdUXceAIAlm1G6Eu30FRoiNmaxoc3ku37i//9SfLiPaD6WEl /lhM+s2G9o1u7DuTIf0pyRxMLvLL1SZ892r8lL1AyqALbYTAQ6hkZBfKglMMICUlfCtIo+413Z5A a//9gmOYTT7SOQdsBK9XyNmwLGrFqAQScMYa2w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block u5WjQZio9wIpjY3PPKcyUNwu3rnO03zMpbmTXkJ9T+hezTYkyqt/cxVqA9Ts4EbR8qsXxjOSYQK9 sHJyFANncidp5vApMIcbO7ksQstzjpJ7UA6POuD46Vcihrn0+sE1qAlzDaI1uOCpk3gje1nZU1Ns kOrqfVcOlSwQ7HnKCOc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ci77HVgn8CUo8iW8qw618Ev5quXTXRXkExj5AvvfeCTsDCho/quHgvM7IL8zTSQQUv9S8A9q0V5X 1XfuMKaZk9mGRCV8F7db28zbSwgu3sksf24229sUewUlEdHk7LIX5li7bbA3eKDt2bm57lQs3vu9 GxjnwcIBDwp8Ax2xzwNZcru5aDj20bAgXt9nwp+uDrh3fppQLxLUd/t5lEuWURejY1wvRWpYgzw2 pr2wLZVcNULSrhVYaHn7MLGmjYrnjbPW3vBqRmx7ECaTaiP9LUPFwgLvwA2FQLe2QtFtA3qzYhBT XIGjTfxYrrTtLYwkdxz8889OM/lpzQHSITEm9Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20912) `protect data_block j1wvn+KMl9FSFwMx40OzKLeYcnMKTBFOC0/XfVuXfvEFMnBTiaePGJXh+NG5/CzxTKUCZaokXOuG aaTTYAKj5UZ4gXTakD/YB/YP+Mb2Cu0J7rV/zAif0j8aDGeN7uST2cAFLFrtAWvRNH0lYm6f64gv OgT+jD5ycxBUVDr+53OUfShqIzYNUYci2EUI2meABl7kiMnd/8P3bLmebmrGWMtj2E9HkcMsfDEh 20Nrsk4h0s1kTVOYAUTJ/wsCk+bO4f3Z9/ZHMqw4/zWBtavhwIpvcZFuhefY9KrCPRnV6Eb6zA72 2yU6G0GeJV5RgUtXiOYz7fBTVANYMbtLMcgf9bs4EfrFuHL7wDoqslvtV12kBhpYx1T/awPtmHNd CYZ4sIAA/a5VgLC7v4370cNmk8o/s5Ve8rssI8vVmW84fshkh09p3E+EAp2csPFabcrOdIt/n6k2 9+Mlp/ro/AaewhwIb391DiPR/AN3GKsqSV6oEF+Edq1Bbjcwvpe2m6Knl2IGpCyPef4D4ViPrNm6 5lUILotuDTmhnSiHoh70uAE+K0P/0ZSCcA4LyaPUQ2rozcco2op1/gS7lgaFMNs7jx20ChlbNW8i v0NIJSvOuBBQpEQz5qCt4QuWkWK+UNiup2T+twe9/v80Wai6dEVm4JGO/WrdWb52O17jGT6sslyc pZnmzanc6UR8ZQNn1+PobxVmLF/wPKMZfcyRNQh2lk2M3SDQs60HEBSA7p3XfEtAjOMW+4e3Do/v QLQZYBRfjR/Fs2EWCGnkS62O/Uk6pF4BwiTxeKbq8cHXDNIS+25yOE5rNQM8jPmr79Y4h/DMEtDn Z6wf8Xmjl0bzWIDFKoB3BdD6EPbMbJ5yf+7fbrxDgcFMa+qujK0AVCo5k5EYTPQ++xQJSSpLOPA8 K5znq0plcoZIJhgwn3cG7CSvtAdoDxaIWO3Oky8fdWJJ2DaWxbP+nEDNY4tAGfOXVaXbUDAQlrCY jr/2tRhPOIg+M4D/+IlUx7nB4TVbsa8Rad0Jp7jUicSWIei+4NdzG78geFDOZp5nDlcg8VkXQzfa 0xtUq8v8YpsGnz31S8ND0h1rqAkW2ozUkczv08qjjChr1jFfAM1MUfTb9niuwQlyKl9rkcmeZ+wx 0aqdH0vmkClh24YGArgTqaY/Wsc5cs2Ch1fkCXy1OmCRHEUy4pOTLAzaL3vBHxSzHnj3qpIBYEn0 2ybVsFyjeGfAdIgPWryLdCyIubaCT4WTYo1Uj3vXNlSEXLe1iTBXTkDsIBTX4jC3ZR9XgHreIMKG CqF8uDo4B14p6581RNTsTrxl//VeNfzfmxPXx4WRGUHC+40VxDUmWfPXYegUxMKyNFRfRK6Auqt6 X71YkRGgdExV8Chv0ekvdc4jtRyEvPr66siBD1HNXkXh5/Ck6SYeAbEARKPckJ6dcEHuatdMiuqk fAZHMmK0P/rWi511Zfs35GNvXCo6YvPU14QHfriGM/zCK0zOCtPO77DRfWACkfd7pTdXIWWw95B7 z0U1ZxYgEJLxXbYTjsgnHCIoXk1VlnF+Uh/Ej+ea18kO2Sb7B2ons5LoYgqPOIotrUO5hZIV5Gp7 +d7ONVVJDewplOaWPP43x1ZGMaSoiU8zfKZTZTatGOe3f4cK1C2hsxRrL0TloOOY6PKL8UqQ8Jj0 BfnLUw4Q+NOzpbx7KOweBF6DroC1xbyX3aBtkwRINgao8IDXo3Q/3p3kJ5/l/1Y9qJWvF+qjQbvl CVNAvz6ErjUFxKNksG0xeeKQe91yAgdqiL+kyGoPQzYn1VI8xj4fVE181JP/9KSGVuUrceY+z38j W0FPA+CRLSnbSiJJ4pWUH6giNXmxE+XUCa1dE+AkHdj3bPqgWvlPHVITB8D7uXBN027AMimJCy9+ Dks5FXVvIpgN/liHlNSDPZtAdh0sWmT+saPVyiITUd7yUZ2G1QYFfGnZxp4qCgwn6CCBHB4hiu+v Su/1d0endOkHXWhS2nBEQnMm5VKA3Fh+pqJlcnBRTZ3OsQMeFNjjcAtExapV4/EMCO9mRVbdHkJT oGJyfT70bYcTmVnw6JL5aW1WCqFt0pPVKptUA4uyaFWZp48A8hN6IcrNWdcAZ2SQP6b03csWiu+x EFuCQ9UZ4FHltZyLFKvdXiQg3JqYN0kyltvGb4H1cxzVpsq1DWRqwPGJI/WZ9wgny5yoxE5OiXqr mVmY6Jzh8Cdi1jYa9q/zJ1fcfTJHtiEJBRxRyOzKbFNeOV+g4Jel63oIsr9ZU2bsLZeObKQZ1v/N lse4d2XHSqnLEoxxnktefItx1sfpoFM412O3hEAfKG/pAubJjfkoR1G6AXAeNopie6LmjMAF7piM sRvc/YEvB47nDJv/gnCZYFgQNGlkypzCgc4WuCWFZBp0hdEMT7/7hNqnNMmSeuOv37ALOYUB9e5R XzZrh3dEATlrUPu+SpXdGWsAjBLM8djXFRRShjZYE+qRf8YEn/9ys+3db618h/tpSuotbL/ZKNOS lrSBZIRzYZWpodSg2jg6YJs2KjmNZ/GNqla0NPnA64yd+BdOfp5VkVJFF2xJbv0+7uOfJ5Tyf/A9 eUy1Max1yhK1v9iulcsUsQC5DNOqM9x+gVK752h90SwditD61BR0JAiMRJHL5OPtssqKiqaIyvcf hWLn6al0w17eD5XswtTBMTiinFeW2vWmE8MUTWmd4CNxQNuvO4iS+Pqmp3i43ZD1aL3LObs2FeYP qYfF/s86TThe+Oi7X1EiOqvYV22Vv1UGimh0G3IYTZh6cWNeNY2Ax2gLswCIcJt/qwKIfHYmDvTd wQ6omNzaFus9zHULFSxuWnUQfgTFnTwZ5FCpKokSnPTnPL8pw1DiaCV5/SxM19Agv1a7PUsHc/GA OefLHgs9QwD4MLzSg5jYvR7jhoQZiNDG2p5ZI3tHqZ9cpKt+XRfRCldW08TBt3w/LXutNqE0C77m EmGq5ziLPlqu4B9qvQFFPWlSXWHllmX2IzQsW7lCS8WkoGEoqRxw/HjuH6q5fBwuFBuCuplKkHdM ToXq34dVB5Ihbx+JW6vSHpkrX/zULw/xzpKbHbouD9/Pq73XJ82BeOMkn3rMgIXt5Bo9/7kC7U48 LD6A53jxYRF49fsZ8b7Kp92ddNNzNjZtBEisEi1BW00G0hLmQCV9K/bxTH+aM+71Sb/bvLwrMfs8 W+8I1HkyjtZ/BKnYvo9stBaOFBXb0HmOUpJkyvf38cdJvyassL/TfHxks/zRXEx2DH73sb7ScZAP 7iMuSiJm3sswnVTPt0E+bGaZ4Pu7PuI1nSZSIymirS6jZL5/xYL93jKpV/uUeWV5F564I5uKWv2p uOop6ew+zbIYXxJ5Tu3kncHv6KyU1Nz4rBvCYZC0wBAwYW9X/vxFJWIU+d4O/z8dOOwj8wh00V5W OZViSPP3UvHuWmYW6RqB8o7pQfwhh4648fci5szXPD1keSAdnaLZTpdWtnkZvYDosyFlT4bUa0Et zHANAqealBD6sAF1sg4PNOA47FuZLT1/rJzkmkTcdEC5JVpHerg7X6QPuqKtAzRZ4gS25eDfrUV3 xT67MUu4/Oq7FSnoqgxo3tu0U9A13R2KXlHXSXTNh64FyguaBszP3oiJAGEqn4nl/hrAiggaTl3Y QStVEVOFZ9hY331AhrRO25QiKfpYLH7xhQNFb2lzAPrle6kQ8npjWIrF2oKYo2thhqdYvBCPmCpf EWp61z7pYHOfWYsPL4BB2iK4F5+iL4P6lz9IuA5vtAkZgKPDE7v3bAiqS7jvKPagyHKm7xdTx696 Z/FiByRxI0QOrM0p2TKswSVNfiVNhg02W58+6lvDiDRYTZFBJiv4LBcAAkjZcWeKIl1YcFaI4YHK ZHm04SwyQjP+9aF8QJr6ZM7k9j/3/9sixrEB6u293uAJw3k4QDxfmWsdtVoz8m89cVXZRlYFdZgF 3h4AiZ1VBrQL2qVXi/SUMIqPsZmMxwUV2tb3ABCSXqOfQ+xyat9+itYfM67517Me7uj0b7P5wjRI 7nSR6wnAHjG8mB8mUQfaHqlYMe99+igtoNpKAfDJCxZ977uQtCcCBIgpnD0JS7yV6vrzG++kGLTI Wfo2jBbgTHEexCq3y53Hx2x/aWwD6i+g6rdpomkN1vNot7NE2NbVpV77wMPSQ+E5i3bEuoNMkxID i7njjae7Bmh08F8zvzn4Q2zrDXUcpFleYbjHwPOkvAYS7jj2EQw5R6vu+bJ+IRPvlXOs8k8vqzIq pwNFkfkv+PO6Tk+jUTsiSIDS4sZ651znBkH6OsoU34yVGADesoyzdTokL6X8eQcozQoZo8ofFvCH lVDpN/fwuCJQ5GHH4vMA8twHvTDziDhnCYnMacvrbeFFzMjt/1clS3xTNcEFTaP/96LEqQDIoY15 spR95l+BK3dOG5FPl4V4W4klr33tqxm4lfvOP3pOBdC1fAsQHhS0aua7dHiyF0t2RpqJjn/ZZ0lW roLmorgPB6cFXDpMbccl2Km1yb7b/H2WlXldCEUZX20254xGl7ZpZvlcbZMqYxnTy/hUjNS/6Akn quB+gfE9Jg9ErazogDuOXGb0YPQY4GRLh0G0MBw9mUuDM7/sgivbRA/pXBOb6L6IgXN1frhTre/7 ZxEGu0iVgf/+6+IS7tM+6XEVA5E5Tq/JgOu7QlCMx77ElyVXZXx/M6/k9GWf0UMbMel45eCsI0d3 0HBI0vF5oNriQOyKvAfn2TtOTymzFLAZKexhwdGz53fWq21+WD7b+rSVkEjScmWf2v1vfmnHSbXC +E9z7CquaVYfdIXNkfa/v3Bve2GVyvuwvExMCqa65KHZ1mid0qJRZjWcv9sWvo1p37NPp2s5tdUt S3Z5T7siNYJ5JbEvLHab/f/dPwf20EYoMHxEglJ4iOIU+8mlCXzklnWyKrJDXkIjg4kR2jgPUR92 zMBaqk1/5lkgSBc6uMKaoOMlJVmLbwcahY5IRQxeib2UMmy9MIFDvNtSrpCVmV7MdhU3sxXoD2VY 9HFjy+G6N+H8Mo4CLklTjNzD93FQnjN/FaT2R4XSr7E3Ohdsg56v9nSCbxUnhG92lZCBqMsZsZze VZf5BuEtUBqIelC+fjp9yk5rDrLXg2oRcaOSMFZL2R43Sawk2ocVw5dwSwvMg76GPChca5nkKKXV kP6ZTxEc46Mv27WeRwiApnvgVt2ONe+eYy0znwaSBnOA2jwbljNZfgs6j+oboRuMHxKPYJyan1rQ 3jXWv0YDKEzHProQ8OddE92WJ+niuvrbX+clH3HYW/8Kh8+kPboWRmUsxPJ566mANHBy1mwYMCxg HeMq7+DvviGBUcIoNCTN3ndhUjnKlrAER74C3pfPkAggnAUJpg55SXdp1BG/zWS+OEl7wNvzbE9e hlZJ+11qCT22diENq16e7NkrUw9iWQLVG0pSUi/7ZUgUsDWthn9lm5n60lV+VmezMIzddz8gAPwB Xy5WmY3VYrYaO43fI9MvqCteElMvew3Vku32shrEmriMc81+rrP1mfOplHkNswuHhRMyrt+PJZ9Z yp+EoOTDGcX8Vb9pIGN8CyznXfXxZzhInkBZZyZIq7lA0oJmX+lhXN2NoQyrnwILjUSKmHzY1uaU +FSPRok30VvBoOWHuZd+rxnSu0/RPnFhjV0ebln0MESqGEMdMzhBMmnS/xPoCe70UzrdHRVyLCZC 8aHe8hTjc8ioFhflxpvfGsIjA5N3z7cHqksyisYaM7P1isfZpCwvE62gyJ1SCFI0ddxcodOQt+cU qQBcID1JIFz9N8GfZQ7X1EkmJxTenh+9m6gaT3xleCgTLjy1y99wqdv5gJdOpVapwZtipF7Mg8sq pgEjBdmE6USkACUmgeHeiWWPmJEaSFf7SmljFAMkYzJDTcSuMTP4Sb6l6WEQjcpOUSi/dm7JfwZW y8hJd0DUh3bp0GLR4HIm+uVNr9EtQl7Ycd4eaGggilcnn6iqjj+uJDFgDy9nePugKLMlD9Gs00G+ xwiFjpsWz6kynnv315LPzlneEfrzndAnZlReHzRolQX+I/AZJfQAPlq8BGFnFWY5fIpgGOnFRGPH PgA0tM0BraYOvMppDXCpSq2ZbhKbd+wULC0DQl2BoExA2f2IGhxX/Jh1H1r7eQnqHy+7Pl1pU88Q teQAnntckAdCL8GxbaHZYd0OdvwOIPlpGbuAe7/4dNqN9WvvNNIt7jid3dE8p9rwIhpu7aWoIpuk DmqZG5fAqsZ9ETNVGYTcHjkeMrubozh4+5k+XfIP8zLMKZp6UK/yo8yI6evyKp1ce+sQ0qaI0mnl sDxoG8vWa3pDU+k37gW7MEWMHnssIbdEDzvrSUOZdyYBB/lqlBt5jD4qYp5ohzrTHwAcohhk1XKs Z2VHqHJxL6bpql6A+1xmmc0QmDHVpcScBVkvpLRqVTcdXEoNj3NgWepSCF7LyqMcPzP4KqwYI5Qj AQdtwao0ihwvWd168wsCPslvN6avjjsWHJr3mGrFBgV4saY1eKit3ru5+/9N5FVtthHavZ+TkSXI SijewnbXPIovFw6RLwjwF6ijyLD8kwpgrYgZTP/mHpbI3JooxAa+hpnuWU3aHnegOl0+Twn4aocr LNnAqNc9pjb3Gcw1ILuMha0lkCCule8DhmToAe1ccPbmspK8W9H41dtdkhaK37rCBZtsv+48yzam 5arJvQxG+BELO21CyLu8FmQQFGyR2KeBxhGCobyeQDtgwmMBDEtNrLK2EKxBsfAjpMzCB0LUvu5z v9jvjzFWcwPa9eE4nimb2ZLLgBY41Tpkl2CDwazMYg6X3+5ux+99jKaXtE8xLLu8mtN4VSObLe0Z zwgnaDpw/cqGtGYbVRIL9GO0My9g76G7TQJOzHTODADB6N83k1ZKXp6k25APtpKYyIFiuA18fc+y HufkRwV35JRGOI/yNxph/p5AtXb0IxOk8mTNnMf2xtWBVIIxOEZTNc+2OHWqx9Ot+uzdkjcztukC TYmU1yDvavY9DMYJgEARQL+KIpB5sFSBrFmlF4NObfffRJg7xmFGt5YHNBHoBxoKwKBXN7yqVJnJ 9mvwl96vvvUAiEogBfzVrNATjtoF/qyh1ccpF8Df0ZK4moFLUrhcszm8+7wUwjM18i320dez9fIh MZdi9toMWET/H7G31Qin1rRvSAp73sA3PgCZmtOs0dwY1XakpV2Tr/EZLCqAI1CrK07mDO3jNQd3 5rOFJQKHmmHpRzEPGW8uoFctIH1l8VG7+mVG9oD+iBlG82NDa3wScRkQhotl4zl5zen7NVItmfcT yR0c+IcMetnydVpXDCEisitPTMHRgRncqcgImWLButoViN0FVCn9ThtzaArzbTyrb7dque8TUv0e kBireWLpRA6PBsIssJBJdlwWkmNdFdWTFmrnzw0Ji2r9onodFlPtLXtj6bHEMtUDsgTnRKEarsuT p0khWrbO7K9Pi6nMVKQ5RAjzsbDqGqKxa7eju/lBfZ6xwYGjebub1EsaqjzhcWgVb7EoLW388pOL ygqmOS7f1H24bxuwzZHPZUZ8g5OFwWAUQa0oX/L1O04LCyWsb061YCXzcPr9pwFtNJwXWBBar33b DJk92t0Qtg7i4bQox9X8za+4LQAT5yb4dZoWxYSDKyC50ryCGKFc6TdPNTpEdyLe8vJTLGA5GiBC zrCcN6I5SoA0LJEnOS3FJLjOPfN7n+VmM2XpwiXjO3+zDYjzGauM3iGrPRB6JHsC++aOHBYHPiOR Em3+03y3pegLblvELyPdZ+xUZUdcs+MU62d4roOfhgAi/ZU5DVsnQb5xEDnRlROlUcDRbe+kdBSF 2SQQ6sM8a7ycAHXHmPYgRZs+VrDf8pZoTrlk/gup6XDdX7BJAURFL9iO/u85xI+ZBGmYbPZi643U g4bnBzJEXIQVaA0xY7OIan1B/+9zP3jk42ID48r8ULb9R1+QlCUtv1z+D8UvkBGnBNXdnLttOMB2 jbpnOSFceUiVD3oRIMcVl5S1FTWMpI+e8u6SWQ8XhR9kNfvUYK6iJ3TF97Jer5YBaNw+qMYi95mk UaXePQdB2kj3sUDMUsKSSXq69pHO6BKjC4tP+B2zDjFzPpL+b6c0KQECRXP5Ut7IQh64w5XGpwF2 IApOExo1iAA/7tz6xO57a7n5QFEP/mlWFdf5gP/iw7//S/2dczYt7ooju9aXZev7zIVivjGIFXFT banHMVPW5b/LgB6L+LSeNbNlr4oyPBDyoYSkk3gq5pfpZjN2Uta0rz3O7vbTiVtMZaf+2M2KILsa Hs4R0g1l/NtLtvtC3XqfQV2BQ14T2om+jJ8ZSlEHUuannDh01hWq3fm07J2EyMvxcKtsRcfESr64 CAra7u7hwYaKBLkdDssZh21Nwkood8jAzvWHJvR1Ot4NuxJzczorXa4OM54q69hGbpZ4NcaI4Vo2 Hh5nm0YGLb7UgD6XoLfTDqW1y82U6fvJsiVhd2eCj+yVSbcPNTg1f77VhXaPmraGRgRWwb6t3KG8 2ToDIEsiLUB3EsAs+8i8gaHU2xs9tP34OOiom+9eymnOnzco3qUBOc58sGNdJDHems3GbTfryAKt pnz4NdIYnmqm3Rrgvk/Kd5Qb93Kr+XklGV63mmgKWujYUUtOucEpdGuQVueuB7dRd6Ce5pBm2oUt dABnZTooRsqccg2mzGdRYy9r0uMcM/NiFr8LMYZq+dodNcf3eKTGZA0YKD8LkQi7ClzDS1Q0VaK7 3goAedPRQ+zvh+YIZfv1AAc9KVjATwnr8eixeOhvTKdlM4CWomUO2NSCD9/y4OGPMDSo6hfqSR8y VXisDing7fjbdvVA0AJcBKtuslvK6DBFrgdYJYBc2Fz/OxO0lnaQ0PQDF/I8lAcK+/Re6kdZNP0B wA0AhvbKI077rlpsxa8QsnW4mZURMfYcLo+cRo1uJVkpTT0GQ0ixj7ts6u0fs5Lm1CDPGwgS6GTn ewJ73/eFubb5YUU6Wl6Ob6Bd2gY8w+oAMikT11sx/N0CXpDjrS1fRCZalKjq25wWu764B6+yIL6M /m5yTXDAsXTR9KU6zqbDUmOBeWptbeB4qaqIGxjm8RosWvaZKKqOlf+xw1SnEq3qAc4Dh4AVSUYE U7AXIuQfL9F6o88PV6PcSxlkxHhXkc+XCWHlIJxXicC7GLm38alpfPOuWi+VbKJQ9vo2iXsQ+5G3 MBe+c2eCVd4BY5sdG6pyV6cPa7FlEpcgnEbszi0O/blq8Oz0yZu5IOWqnmWQ/y0TJQu4FgDQSq76 YF601NF8MFPToyFSaO2hHentuNk2NZJNbYfSht1U2JRutnQ5lBFvECQytiJvOX3xHgj9NX3tl5gd 5i8wSRaubTAagOvUwQbupB1fdpSn1ovqSMzlQLGUT5TsknbOszkXs0GgWTxZ8grhcW8DvdBixzTh NVbbSrvf9CdKPeo14lO8W5WNHN8505Hmw1S/Jx24w6yN6mj7bB0TddQtbt+QsCrhsbs+G3eHMOYS eel1W9yItnvrMvbHGDTCYJiaGo8npKmfssbCOzMvi3/DVI7kFehJpj2ODmXSsXkq9qTxieJ5q/sP ZvJtErHnONG9UYTDn6lcHDt2Q4Anbua13u3l3FISjbaVduFRBBxOsj6eOp/6xoUuv09z74ZQK/dF /aM6dO3qI68C+3VDYGHbVfwJvfgEUY17gbPipIRLDNdR5flZ8Wcw80/phK+vPy7BfFN9d1AfK6aS 2jZi7NAT4DFc/EnOoCFJim/+wWCEU/oNwnWuyvpfNrc4/fXqcnXavKtnzlQ+rtwklPVc7D0NI304 vdvWChHjBvAN1hUn7/qLjFPediAskW4c0OoW+N9QRMdDQiE1QtXR20OBQHKVTAz5xAMVqYTC/X64 XrbrwU9jwv5R+yI5PGbW9RFdNXOytqR70rk5CBgjz5rVY/Mj2lKDmsqCWlzVHUqM8mN05+MbO7ZG 4LmQEcPd3Hayw8ALgxB4grSSxq6XivnvC6ZMUed4dSOlDLk8cb28Oc8XkhTV54liUok7rHIha0H5 yfx1d7RlVaf96XfyhEJUR7iufQdrXNZN8XjE76SQ7WGS3Wvf8b8sygzgCludpbOdqb/fdLKaImfC wbyprFQAz2vHlfDM6r7jsksTOj75CA7zMoYSJDpD09gLCj38su3nYxw0zFynKkPl1WKJPpr7aUKN /gS/o6OI0nwbflgf0WWws+zpwTRxzKIAyuao87MsgmEq/hoc3Z0duwt/SX+Qhi8OQOfnW7vtnX4V iIkKhfDL3t/nN+Uxr998i+sZq4rclb5V6ZnP2QEfBwgxExgQvWTiMvWTsS7OYZvdRGR4oJYGmzub 5YEz3O493pWwj4BC+X0vzcI7zKNL1TR2f2tklcZxL+B3mJdvX2rDxzgNcSEb+c1ezJ9rXAGOwfWX KmD4fiJjx421chZm1hj9PGTZceUq0Ap2yYjgz2KOkX7re+wdidCQ3e8WzAHgjtSyZDF/i6PJ8sZY vJWZMAe/OTDfJhQgm/b+21y9IkwST/qmHP4VFN4jZDgnwm+5sNtoYuizP3Qgjz/c7vg7U0R5okEY BaxPuTOtHhICo9Akzem54+R//N3m6S8J5yjYC4751YcID5qlXFSs+z1hUW+CMLsSZEMDW1ysxcal QJNDvxNVczAEGrculpiM+p64ZuOpkbjXSFjdKxz4lo9ALoseOnbnhDzO1x+GSA/S7m8ElcFOKGvH xGXXKfxZzOhUe9npH6SylQFCv3KLh5ZU9sNx2MtQ2XgYLDNuNvPqpWGmWg1o8D0dQYU5MjQID+wU 3ISymbbo8lVuYaFY9PrinpozwBIJY06x/9io6w+cak7m4sqrbIToHS99Kf8U1TqTk7oFL0O1u91f DehAD3XS4ot1U5Tmfrx2Vxbp6egJogibwqQCoGsLS81ggyPfvIX7YqtmxEZZstZYS5zrVTeu3Dv6 Xn48dWe2Ix8SFVw+LGczGNX7/8zf4A1BRzWRNHxLKjIUXyDtFlQQj5C+aGwW0HpFN1uLKXLhHHh6 S9eIovLtFyHAW2A2u4x27Qg9jUCifxGWpJLkA6KmsCxFBMMBLQXlLRi2x8qnQkg/uXZbxiNtZiMe /vyvoxWfYTuJhCDLIa+MGTUv214Fb1EAN8CdD0pwfc4vGIrrXK1yIIL6XIY+J4LC2S1EfWk4SrEd NH6T69i/S9raqfTdht52lfmcDF4jiTudkJyQm4rTJcUEH3pTLDe7H3q0LoOTfEox9nlCQ5cPBgyJ Qz8kawwVSpAyPc2deapKrAyquDxIG0dST5nLCk6nOhvHH6/7A2jeDwYUFXVMdazy+c0t1kJtLEWX TbSmXU20iuKPcE+VNT0II3vRmi3n9bALOMJl/d72ZHo4UQs21Q7UUGNUcPtnJaomPUSYOkd2wEuX HIQ8AInfaotWLsna0x5fxY+J2iJrmBc7K0wSWcvh9uSqz3jEhIRqKIsfQcKJnUcWEOh2wutB7WTb OGByWhKEpGmpdPXE/ckJ4s9dGhaIcyV7DHU6MLhJEV9jY7sRHtQ1ep2iQ7hRs/EDk/s7dQ11LGQ+ onxBkhRSGF28sdpSWBBCnfQ0SqlJN2LGRk61DqtWQhs98GiZccxE9/jDxDrjrTXpAXZRMtIrRAid nTb61XllRR/hAWbO7IKvarEk6dPX1zis2gFg3JHfvlW1tQHzqRnl+IMSoqbipegzeWTPb3n8L91N /wljGVhTZV3kI6duyyuD3AVHLDN9Ex5gFuwp6+wip/+WiOI8MZ0UxoBXyjXUucmiW+QCFUJaTwCW TozLPXJ5bPwqlApKHtIm97GAREuUsuCWWBm8m7JpxNKsff3IAU+ks5GYbs48oQuTOysTDRqqs6be NKGow75PKXkVR72uRAFbOymDWZbWq6iIo/hL8+WjDzAOb9/2WGdgNcaEP81xQQcw4E601RJwGDY6 C0BjCmz+kAkpdkVIzA9FgJIIW+rMLiHsHZ+sQ74Rqtdte81HHL9TN+vCjWdb6Dt/2mxGU08dtxYA ZVk4ejU0V1jyMt9XiqAh0qvf4QWTg+/gA+k0JWJ4y8FsPCDGyFydsOCdzCZRUU0xGFtlEr8mroXY Eo1JDp7n5dfZ5AErUHCNcKJo50Gk3XZ2svBwxzdmPs6/iUTW+55VXMJ4+W2wmg5C1R9ft0Gy43XF vGp127IGFnecO8WebzQu7PlnZH2cA1Gq9wo5Nn1AZWGyiEnk6n+9DcIlNXh0vvr991+gpAOPr8k8 0yh4N/F5s5bodZdwroyh+Tezd61DjGXtv0MUnJeT7zOTyQHumMXJ/cQhdq/92o3f5oEurWMITtoC NH8DPlQ1wUHJhLqSOeW2MUARX59+Vqiv38DQ8u79LhjfEy4gBCxpq/e0kzt2ngY6YBlqFNHaOCHv g+RM7oFauk2Uy23ofB9hfWCzzt4fH4AqVMV/8kliHEJK2L0hFxe49PsmDWn5BLWQAmN6FxhA4K5S skaqictwtD2ZGmmqFCe+Xq/Ep90Yx8MsfyRyHuQ+lqKhC1mAmolA0eie2FHH1pLdXYXQIH2tpeV1 2LVTbxJErHDO3WiSNZLittN9PbIicki0LbmPscngvWekAojg0bym11soBbQEfIQ900tITDU3TGNT 78LIodLt2sIxJgBcMR0pTX8xfZGciGceUjw6xjKdrObIe6Nh/qyfO4ZXqGDW5bQtqaBGjxbTtTYt BrylQygpeodvyRfLttvU36UjFwI1jDWsXT0qS430JtVNQWL66GQ3NX0fxFuEoPWytyFMbf2g9r5I THiA6twKOlFoOVRMHxIBFoOXJmXiCS9rO5fEK1AxH7JjlJJPfO1mbhXulaPoE5Juo7vaw+wlWGG1 XeEcKnrrpLektVodSyMwIlZJ5pknmox9KIw0XBldnabOEdCxrBC9iQDdDfc9dB56QOOPACsZjRyh 2+akDqWMeo2sj+khhrjVAsaAXZSIhsr7CmZRbopA2m/WBACKmnx5bVoHrsaoRqXIjZ6Ztl6gzXvu 6Eb7dt/r+OzvWkk4vWpLlvOUCJnj+wli5aTunQE8lPcxf2DA17v1NUzv34Is/J73yp4vU1pQzr9K Zv84hCVW7Ql8qgtVZGvBJ3HP3r9i8SuM8eKHeI3gsvbezLs6RIEAgOR3tsq20u3PHVxfvI5G1VrM ycTAeW9Fh/RHzGmvyavNOQsSarXPstbjdii0K02Ae5e8wpipC/ixKBvWUDJlFhe9Hu4quc+UpYSM C5X63DcPLRuZu2dL3fDHynJ2Rl8oh1hoichKUfXgTBQGo97f4jzAkDROwIO9hCAWRM0jqOIijlUu tzaN4wxwToCaYHC6Z4eLw1mBg5ANb5G7HjeghV4JGTgG2QzFEfHKrskXjvkB3syLbHMH8LEqD9ti 89s9uUyWSRD7/Tec9UYtok4MRpZY2byXB3hGx37NRXqEdXBv9ScCo6Yc50S6ClhN/FF1MtT/fFEZ OWrhA9WTfNW3/3Yw55jx2pIkS92Zef+bMJfILYH/exHOWKTP7lnDxqY9eA/qc7zqzqBvgBikyJUc styqiQ3dS5Bd64GFbggLX4+0caXESeOm2bZNyWXt+QEidO6dg79o31ucsCtjdfmbfapEUqHGy+ce dv0zIppXfxQPRJYuBx0bRzulnbj2zcpEDoQwyR5PiEFWUSWIYq3YhgxKE9/O+exZBTL13Wq0Fevp rT2jVbCjO3frn02vcyko4PIvbmY2PDnEGBLxPcFTREYMr1cGJkcVot0tUHHUKQ0zAaVKjoP361zA 0r+kd5mQZw3t30aDoarb/qY26gDjRXMEFNSNNpjZWkqQ5Wdtg259WxEt1F378SplJeV7e3woDkg5 /F3Fwc1Jx0N4N1t3urQlOZxLZwMsmDvH4tX4JtTUzMxfeRV2WqrG/xrT9i+a4Qyyl1Jl43j9o50F L+8fOgumDhkfcSS+L3mo0Oi4ukbfJ1Knw5i6gPiP4+Q2eJOuHBOqmrgUNHcA9HrRJUxFhgMl6cHe qBa76vOmQ8i+itO8nVI4MyfO/iMS4Y+qJsylWGk3nX85qGND9K8Pb3gJJ3OfwKRjsQ4cnMQznG8x 3eMhlcmMnd0BJ76lS4dGGbDlGoU2MuBqNURLTiu2mC9v5CmyOIPRdw1oyqT0jD+JGFBsde+tqa4I cwxGtKCsPRmDLze+DZfQIKMj2I697FuzQk818wfq0ocbbTbYxjFrcuZbBaQeL8yz9vsxY2VYi2P/ /rv4/Spd17Cy+zxqep/E5F6ezhH+DbP5QuwO/SSf1C5Q8YSVv2oya6/AfsmUT9DGCMDMtSZSM15X fBFJTLMGsTmYM8FWGrklU/1VhtbrypBMo6c4QZ9CAqoSeVL8xRkAbDdO5n3V9jvNfCLD09MqwCLc DEvpTTaN3ApBoqti9aqCSCdiBhZLUsX7dL5W3eazHHIu7P3ZAC8kRwtxdASLrEMAojh+4GCI2JVA spwvw9BZygEIIOKpacL8DQxU3un11uNerChNzih3a50Haaimps5hM2AHb+wBm1AROyKmHGrMNPKk PSdwFeNmTviIY1/aU2mVm4Yqf37OU0taz8dQKs04tyOq/aAEAdDOFSfhfhNnW2sKiQxz4MseNMMa yvG3Y+tTeVgVwBfYKpOrFgDqEBnDBzssKbHPgwo875JlzsTt4Yh/XIxEWPTugAb/9a7l6bYAKwBQ puSR5oTNJw1bIxM3sK8miEvvxTV15h9xPOleP8RTcZG46QEH0TmujnVgtvEwNPgWbO2F6rfD71NX PhUfxNW32vv64HPw6sVAIIMKQESbRG+z9CVUKatdEHGnmDl55Uq9znHLW8mR8kjJ7BxFeNcMYzyF 4SWPBarDK+3YTA+JPAgouW2LIFhFT1DxQwfbBaEFHACxFQabmpf5+aR3Y7NYD3Et11j0usHVPEk7 /6FO6ZsfMAnGEeL9iS737LVVBfwC2J0HgCEQGi/Fsw5Xj2vzJkSNYqVj0hylWg7TvHQ8pZllb1mn fUikpAYHDAaBCBO81Aux+tX+CHm1YEJ7CvGZ56gkxybS3BqoCh8QMCwDv6c2SN6y+mO0GSmh9b2Y TxgQ8XJ46hkkiIwqe42wvlE6vOKXrwfIiTlNzzcf3VERsEHAE/e0SLk5CY77iERzntuVqg8nEV3z mZ35ykqRkBq9d7nhLkmwQ8JPTbkhUKNsRgmUPSXHrMpi+yMOfaQV5j7WlF0x/y+BmHoew2zwn7c5 YAO0nU2rS/FN0PzsEenQP1Kv9dOV2jTbIfKLSuiiTBlq6vuFb9PM08VrEEt8KHE1we4dlMAqVZix 3s5i3O9OyG/MdJh4Y/60jVpxfyz8n9qM1YtQDoMl7AC68rYJ3FpzS6KYz/QVhIhVKigiY1GC00nj RP5pD0z/0t3Kj6msW0I7Tb43xbv4gCAp9GX7U4RHTp/UEZ/MNxDEAshCndSsS7zCOPGjVulAbuiH qTNQqnYB9SsL1TmyAiHyK9iGm388LEQprV1hkEIP0F2bO9x8vh1xIMam50VjqXCE/FyLnN0XWzFc 7xi1BP9BJPOUNacj8rSoTXqqD06Xnx8TWwVWQ9TOL3zsddy9Lw3qK47480/SFwUg0IhMO2aDtwRv 82xh5onKoMhcAoULU0wl3VuJF1spEyyUirC6lNUP5KQQequcId+eGER0t+GZUKrLp07dTPAfzhFI ty68pipvK2Oe+Xjd7uKgEbsw9TTSqRyMbpJThvGj8f16oumcT8yPSACGWznQJU7bgKwNLFNdB0mH jxBlbccGYbK4tHikrV4kGYGz2D+FIIXW49+5usBTSIMmiz+80BKBB74V4cN6410J+yGGuowNkAqr V0GvXbVQ1ZGDTz105fB+6v/Vc6eYPj0+zktu9ISJcdLQOcITtrFh/xUo/s+NbNulYL9Q+zBdO/Aq or9nvrXBysAzswc5qJtyWQ/xZacCm4zTWorYt8SlAcYHKJ2V2HH4ZxhvHBRsnpdZKQaUmsuroJEA KvBIPnn+bavUEx4JoNw51qq4JVBVltsCWjTVOW3Jnys+aUmY0xKF2sjYK7INCbRhL+uDXxEDffji raFY97cRgHvdYLa3n7zsuvMD6ge00mFUX/cY0kNFiTxd/oaMhaavvTbGgW1TV7Lj1fRznxIbi0Mb aG4Ar9pVGz7aR4lkVxCuIsVk6ZGCrjUEJ+F/nTCovPdcwmuT2sGy6vwU986YWkWb5EvEtC3ltwo4 QvB5pA0vSsAWc8K/ciCm6o5tanK33B6ebmngoJA8cyr65lPFaGtGU4iWZiGw3lgIH+4ndOHkQ9AP df891onUqubU6VdHnG4feGgay/4UH4VeWBlIRS5my5iRrUc8QRja6UVgRXR2JjhCBGUE3LrjaVqg 4ASj6WbwkgGS3lZVM6BTgljPIil6pMgznIFxH5eYRYbSzifl1GKIhzSHoII7dx1wBm+E7NNO53MN yx5QsCqKLGVczZoBpuJd5JKd/aXl0jejYxtS+HKuJH+2QxmU3lxNGqSLE0dEeAzjxazgDHpG6H9S RNJVor3zXUMLlm+NB4ZB50OGGfmCq/GITN4R1hWR2meAd85eIiojaPC6hoaLOYT0h1+QRznCx+Hd +5MqUjCSoVEUZxEq1Av9II194914TX46eg8AX3Ud1LZ86XY7TXs8JjP2rZtmRqtiUXbZrT/TXnTG 1HogXhsZ7tKvLO1Rbgy2D9BN81MjpTjNWCCq0Q09HGWL93fhekmsg/XEfA+yO/JaItM70CBCMyRr qd4KGNeXetpdApk+hA554Sy647RXiJYxxSACjy96L6+2ACC15oaiXFimm4u7Hb0I89vHQInJBpbQ dpFxVmmusSIqMBwMIfFw7GHGZ52uiXdZ4O8WFyjcKGILGObu1/IYk6LNqEIhBik9nU6TLH9tt1FH 9Hc6MY6ybNR+Z8cp9rHc1CLqRGfqCf2QcpU08by2j2vUY5Z8ZMfsXzE8gJ38+td2nZYN6jOo52eO sZqFT0QQ67o7zbkSCrjDht2o+Rp+l/jTTtMHBrWbGDj7egT/hW9jjpiQZs+sYon1JZUVwc233pwr IqG/5Zjhe1fbVzwHuiYn7Rp6v7einz4wQFvRDlTucRe7ZILxe2DnTrNZVz+g2wTE9j+1eBC9RON0 AlUvgncDYbimCQ43nChR+mGJtYEyvb8qo6K3PEjO4Wu/OTIlppeSLQKKKXpEQiUkdM7GCevSvevy Yny2Zuje28QHg7+IS3r91KoJCRbTuRLJ8OQgfGVtUwNXJDA+yBfO+BXkELDbGXtEMZ7xYwxPf6Xk UrTf115N6wRftGOBMbKpgpN3jl3B1BMkaZPZ2nj3Wpmxf7CVmGaZ13L77x5hHFZlEQhAwybwBk2m 35baWKZNQ2q+ENPJ/kAb1OUHv7AxbR4gZ6kFm0SoiuM2wkbuvx9iucQeMmdHa4lRhoNXEGyVqw02 Pf0KKThraCqp+Lb1DZ6ci4SpMW0+p6sYSfa75Sr68TAheHm0WxWyGJEroqKBYOJtfxHau1GKaxXV IG9G0ZV+6BXyYyY+8zKJBHgauETwBO2V0xQizYzyoI+MfB5AM+xPUA+N5W5ehDW1WCv73QXXICbn zFQnexejGrs8mYzVf9S0U+kSkAwulbgWQAy2QZB5l9lO4NEy3Qb1Y415zqKuXcSZ03ZeuPprcOxP qvxsZy/Z8NABo1ql3kH6TjPHthwrTb30hd88uejHx83APHWjeBLSDaWFIPBTpDb1Y9RkKe0nARYG /2gAwz9xJ8UYqg/Lo6JnIAzxuDqMMVFVjUZS+KteFNL8eXJjJU6YwTzKBpW2HEwS/YDSLFR3v6Wu ij7OpkIDANSjljXzBmEGT1QhuglJ8MX/GYCX9tjxKwSQbitfDMIHSAtQqSwpBuLBoEFscS5vUEN/ 40zQykTHxL5YKE9is6UdPCUujZ7H3a4LD4XyuQ1xUmNwKIqM+uEXDSMyHDyUZTyMCaS2/HU2ubJ+ P2KW2YBPsxxMYVXA4vE30HRAv7nm3q+0KoTKPpKDVsEVsZBraiZYm72RfWEXiB82SZfiXn+46JTY tVtrXNmJmgyTm5wfu97OQWK4ndIHPpbQaN8RTSz3jE01R/xuDcR1/doyYpeBnwfFLvt9P5zdSuT5 NS9Pf3jMK3UlcAWbTV2qWb2WajYqoqKwj9Tx+bWIbnCieWseC+XvAk4jQBrb8aHJ0Fh8nOu820CQ J7tdLhpqq8DZuYYH/46zesaY4Y4s+AP97W5FiNUOz8zTkkKTVYzJyPuVMcUA5/YHFdonPbLaJrNV rFXAOMnbfwL6Xl531tWgGUeB9ZItSTqOss0jUhDOmrlP/wbeHjErwAAAofUYDUKZ/IpikXMpEKvI YCZFnDrTMnGorAKkrs9uaDsjiHNVHYOoMm2rcEOtrtly2BSvkRTzPUP8kWJIM6W2x6lCgIOWKvgE 1yrqeP0PdWwyBhCREZ2PyAgoY+42NePynWEZpLcOpSY+Ze2cP4PpFT/kxqb7hKX0EHLnKORlqSqM 3kx3LvvdBChIbQIg17pOfO7bKVDGt8egqBvbBqS2MjfGnzmrJA/bwR2+SOmykhTfgCJFQ/6koC93 KwgMJF9jNPZsSI11D6bhKAsSgzUHxfHy75z5MjPbgrfsSbTmoBboCb5NxOSqc5oiMgtsPmqFjJIs wD39D83R9AJTu/bHw1MkWjzCq9x7X76wlGm3awN5s9pFimBuAYMCnfO/WB1j3vL5WKFMdEf9HcXj oDV21eFX6UvSWKJmA7jXhHVXhPTK8TFVyIkY99x8CE/c5ifFf9NO8r0aj86I+DacL3xaTmTpbcbJ tRhSvyW5AeUTefaWW7E0CuDM0bQ4tiX2DEMkgdUVa4WVc6YtDKrZ4KEWJuLiE5mUVsEhWL5rg3Jc gDiajwkbTSmKS+ujIrg8DCeoNvZ+dm4wt4KmUzxciCe6xCnUd/EKKxpAIoA4q0DKJ4JqyBx0Mx3f YiQm4gVlblN6PirJFMC11v5V2jN4icfmkyCiqWHtU3ni5o/ZCpsfMJ3LOpeuhR+u721A+JtkjdzC HLyYg2J1+GUS+eaSzM54/GgE5POCFLc2HMUHnlLY+M2MqRXrrv1nbyNHHOnGh238PfXw8fwQqQWB UgyQ6IQ4rbrgLE29ZMGAzHaqwcqaAatULtdNM9b5gTaISJ03WNjAUqbBisXDGkyGX0tzIByBqKvV tCWn+WSF7bsc684oVLwx6BqfXM8PKHPYdpEcjQGroqPucmQ4+JuJ8bRkUy9eSgfV4Njh0dTbj5hc 0wy7GENCqrFNro33ntMjHU6u1YwLedKphoi39F1yYEU/nqbSD1VgXdgRiMSgJgjlxQmrCL+WXzFy KzIhzkJRbBv0A2kVt+LBNCZH8kQWEwLIirDOGP9FlaHCIhWPp3ARBmLxkA/3JEmpniHa6xK9BbL0 H8ME9qDpRuqyMsoBMaZB+5TuiBr8goNJLnv1AsNsKBJ3yI7GLR/FubQ+IQ8wJ8Ii/lAE3a87mpa7 t4e0GVdM50pt6UpSF/LE2hQ9fdp+vedndO1/FoorGp6rbuUUCw90HESN3UvPos6jDPY+ceQ0/f4U ApolLk3LM24VljQgV9bohfq0XMUcvOYUWlD1ZuNSqelTYUrIFOfA08FFhZuBi9YnsNyZihmPk8Ct h8uaoOl2UBgWXQ7SSFzRChBm99KaqMLiTjjA1HV4hw+U02DhCG4X9NcoM451asjYEjTDSiA+SHjB YQhgv5+5ODhAYn1ij3Vus3uOR8k1O1Wm109ZHi2Xq7DBkGt7VrFxYuFawPDFArIxVdk5N9rEIWqb vJk9N6VhB0WCmbV5ie05sQmHJXsmcwiUaznoXLAPbRz5+eC916WKyPSi1Pb5AsSIkePJGlZYZu/M LauVk00RLY5U/P1GdOvdJxNxUk7wnKRxrynvpT91QwxAoHlvh00fv95lcUTz5MKTJJ7f4g0d8O/E 62Ea6an19RafN/s20FJvyDZc4RoST67fRcw+UXR5zLG38uksTefQ+VQuYQdQKXJXITAf4cFREQEX +CHahNskOxBXao96imZ0JhsLAMDhb7gOAFy4mSHKblTtIMxEwHCU82bWQfnz8HT20gqgbuvbKAK4 gdk9d/JgVuQfg6lNsfiViWiALUIkR3OyO0/4ygffi1u+zrFLZrdMKK70iboBt3wwKYQQ8F1gVsP8 fFUy5dKyQfPgvQYac1b6t2FAevo6GhUOzed8hvEb9PEftcIe9/27ZyTTYBeGtZY6HxCTLCbSYtSG Oz4D81Yv8kqBGpoMQNlG4WgoYoe8XuXxeo7oTLIvEFd4nevb9azuzRZ5lzOhsNy61hJLyutxrIwY vyJIxycBP8/quUUBdKFmLn5kBJ5OCTsgKR3jluD0DiIu85oYvudbYGyg6vL4QSguS5pGv3WOrTsU bSE3CmD7h5lP1Ox0myhY3rRw/rEVQNu87OulLrns2up//6jo74SMAME1KgZqCf3e1yMgHRmFYm/W LJ5gyqtCez7mtvo7SNriMnfvWDJ5zQYKI23WRQ6FxmfFBWscjZZpd3wt4kSow+DzSSFuR0BUpbWL UbR8dEC5JHfaBG/UAvok0zQPIeMoooomZJh1xK0Sq9pcyJUx8IO57vgAT+NaWYrH27jS5n92HG1D ki3Kuoljecu8+/s7qCmXu5VHX7KypYMM8gdZlzk7jWumgnKdaQRlijpdPyDMa/43uwyfjKfraQAs O555yOyk+GeoIm4NOPZGx1bPziKDP3YR8ZgIE/5N63CjD5zUmJeU0e9O8B4G8tLhGoqh6Iseo+Ch pczcjvOiwZIVub72Eg5qpdDOIyZrV98aQBDM+0CRrF+P7IVGcEgE0zVR4d1n9Oe35bTHves+mfme 5hBxLob54QHvw+OzykkdqO6Ez/wJr9DsI8eki8FpU/AM3QdKGff5Kep5Po/UoXujaCcA2XgCXfUl lu/oPF5HstUTkz/8+mq3P2LXKW4ltmdWNfN39IF9YkzTw91N8Ctq4A36tvD5Q9ix0rLjzcOhcSAt lSPdFPSxzJJX2eu9YiWOFsvNQ+o9xDLcv7WogPDSHgy1jZXEEjKnbzHcLATyWaYB9NA4uMCJcAxV CVdTJBvPbZJ/wldTHx5oOIPqiIem25lFa798IhgWekp7aCXUaAfnxnr6aiqAmpfv14DnTDS4gfB8 ThBbIaLHMJDq0QzjyMVAchqbOdeJBjaQoXKdR4gCTWG0qq4g2FVx77QaV1NRsCWfvbQYTkJDHscA f2eebw3n05b24B//vO23P7YDZ+hGmXlJxQs/XNGKEF0f8hLhbInbEX7P5GpixSVFnBs26cr+Snic K3YqxIraUr9oLCYymXRznEMTduqS4BklgccCDjJCby5SThR/I5BTu5RPjzilygaJMu0f/yj8MeRL /hCw/tS/Ob7a30iDmTmbhYW4HI93Un6KvXfJ9ActeO6aiIsCyU1UPe7z2OmEACHVxqyVAraaaCKn gwyZw/L8Vh3NoptUleM40z/L5ss20A/IbRpGgeCGzy/Q/7M1CaHziihpUgwdB7najBDy66VKNMQ1 BiX+OX6PQOBmqEa+i2PR37WGy5R1HLirhs9LtBbnz2VvaaP7MsGFyeE1I5XauNnw/8LU29kEHd97 9VBGqih4WOB/aPCc8oj8Cc3zjQnIbIWj/eOjteuHcf5Q8gGCrZl6g4864ITFeGJ8dDg0/a2Ska06 jQbt9KCJRQaxcnid6SewyWjeUSMy2ODNXHIPIpwkxcQfACa8PDb9oTdQ1XSQYKI3eYopc2DzilBq JQJp1uhKAOOBi4xSMY4kaDYnYfCHdgVqdeW55vjaFLtogDnQx7bsQjwyoR4C8JzLSOCoRv0x/Pen Fz0sAhIYcQmMlUyYGbJ9lJYZmElsEfRxNw81ioDPwVQ7vhiSMxbwXKt6MdvLNBNXo4oPnlHwqkdu j2HfLP2JxF8yNoymUYs/EY+HCksLhQGW6g6INwDbUKb42nbgD9xdPfqnXKkBH4JXQsw9E/bdz55D sxaxnSdighMn0bf4Q0miGtuk6eyAUaD7Uhc+OLBQosaXF+R/GEn/FYynd2Gxbyv39CAw8glV6s0B XAgAiiBCQxKNeJTCJF4oeLXfpUTV+KQUR44ZCva5UX4Bp0v05dP3GSA7ModjlxhE3UDSp9VTf7c5 pQeUV/Z4h6bW08PKc8IkX7qBPqDUM/mjTbiACrlAqVcTRuGxCtA7G5dYOnnuojnlLa2TIRwfHjlU joOAoFdY2/yiFmNeCf0li20ZOMh2m3/rP+8KNtmWWkzO5lsl64DPYttkl9neKu9OUqI5SdYFcQAB HQrR/OURz/QxY87mQg22Ssa1v3kXgOnYu82p60xWQ23j03qVaKtYvqs+NzdCFXV276bUBkAjMd3S sTPAi/kEy7sfIc0JzTbVz1Rwq/GdKcTz1/wTk1I+5/VX4eV3p/+NG5bpaO2ozfY9eTijaZEswcL/ FjYcA7wkJXUH5aJmX/tE9uX4Ux0s725QdSUciL+91HMzv4CeLToIz2jqSMJUBarz5MZBhHc0YBMn z+gbyO96kE2VJOgRs0AwuCstB1TPkVFg/DIR0q3AFqxMWRRsOg+xS3hg73ZAH9NC9tf9ZgohzY1d 2j6hDX3419oVDSR3p8deUB9n0DBOZWYS5WwuGoLFD9oBjHY+tVoV+3nXGmARQkNoU5O1s4A4i/Vh wlJEoJhlfTySMMjaIuwFlxAjxfFSYM1dNnlJNF5EGXH82+BQAa8dRscLuKvW3sbvzSRzLM43iPrR +9vZnqSJ20C4aJmpI/q1wvwhZNnGP1YRE+3EA6+hUCgJ1gqathWNT4T+w7rcMcTqenUvML8fhM5y +p52hAoJ6bdlJwBsZHbs73RvlXBfqygPmMkI2vVN2X/T42daaVUkqBpN837kKCZW38fyA5ih7H6z At+pir7PbLTGlH96cwlS0IAsrryG7s6Hsnz9B6CR6qrG/3PPYgZgJtrdjlJxtVmUXMYho2SXwzyx 3mP/jM1PYL+tCZtdTPbqtAFaJQobdberrkhHQWhyEC4PIPUUyBMwlZcSH0XpVHt3H33kMWX08mbl 2nIfYROvy/n3/3uyHAQQPRhtzLkeYI0KF1xwDOAAxZUjhRlYbOwmkFa0xaB4lTvtpiOWb6PQhSAK QcTUWf9bbi2DEOdwJWTO90M137AAN07XgZ3GICD9UzIhscH22QH2L4LIG4ymvRtw/kFiAa2Zj7LF U0ixXsdSG+E3RNKGckYxm++isadQqngQTMnGJ1wjj2QzGnIg88nU/IL5AwPt/2a0E9FNQZbL8oMe RHqmgSECRMcBmUi4OIONNVNroMLPhqEFCJw2dQS2vYiOYnobk18pHkWN891H3LvM1sAD7MMd13ye mcMe1NJchktKYx6lv0GQHTX0T3Gm1pYDeXUUlOfRwzCDIOhd5aUmXxKShlo/GQk1wIsCs9ST7u5x 4piseqpnmfmwdQ9Eh1AS51btZuWiejWSeJJPI7R5/79eRvHu/rmWTP1MerdF4tKEhpsPOrWzDtCC ivPHP+ry9E2u1cPF9ObU/Es/E9SiOdZqS8NIK3iGMKqgPnSTXRC1bfAFcOio/iNJ9VpphtZfhSG0 TNdLXH1uaVMPur+PPR9FPgWxzk/Vy6de6LBe4F+OO7AVdxlRaBzfabcSdpQ+zgPKpwFIwFXc5JLe M3HYiQ9ThxC5M3NWhb9JPlKdZuZw164w0C31LrURyythazDcF2Rt5pXNIlqzqXSyM0XeWRpnU/ch 3buzysKew9to94g7Czhd++leva5mxgf+sNDIGNWDKsYmAigPkYSCcwx8NTubny3D9tbbPZ5IOiZK M7hhwOZgzC2If5HVJ/W8sDO4OPNDaU0faUDDaM9rtDXM/cu6N0CF/gX/CkDS6jhkfoeijfW4aj+B sl0Tr0mFb1CofNetj3SWQpBRnmVKxIPsd+1B88MncElRjOwRTrEvmZxWc3q7TyqAjA//Exn2lhBN QfeoE3euuQZzo9MfSx1HtRAN3wBRWKd7iyVldPac153iLHjW6RfBLiZuneApogpqrxurvCfuCzbe +ZP8eTVe4JnmYGa2f6j7LROscb6dwzxKIDkWxRps3g8FrqzLi0bO+/H9BxPMyS2OV945BR/Kc6C3 argyh+Owzz5g6ZAWvh+7j1cc59fGDR7g7jIjXzcmrnJnO+Pg+lnfN7BZQ9qzzTISRM0kxJYH6f/U TCWaJlmfmdCHgF/zCKsJJaO9GsUa8mkDltW6deDIUtsP4qtYtoLURnHUB82kjz3sHA44xvXluiXn KlxAOwciP2COAQ0IiwoE5vgs8rYNB/XEBAwQvNCQqvdBO/nB8srLh7dDwqWeBRkYfjpeOGbU80sN K28ZE+RKa++7i9Z1dId3S7VUTKBAcs+TO0qVLWjcyM+xoMmSDdwHltUWJYxYjAgX2re78RpNk6i4 6Tf3X54RJfdRKzbhAaQfr6AqmMK3bGhE2+o2frVuPp8BSp7jwADwlFN5/rL4rOyZ/4KBs+mmT1TL 0tfA/nVqABnSy9mLGoDxdfcVH6t4fHROZyHiXm8v5yOhgR25Vgwo86nJ+LFkZTm03pIzqlM8eDGu UIx5TC7Nf/4a/dcNrWy6Hl8EsxTM4AXNXRX+MNjcjH/8/E0S8YySIzlfft1xjfwmtNLGr0cDPXDT HV/ki5S4QBdx51Ot6gxwDA63Vv6DVG23C5EFCi7A8aJtO0wLUiZ2PzwJHIADexCvstLfV80N5oXo a0jbXAXCkvi+hm93ppbxS0UoBwoaXrD4x7nwaJ7kdkZcTOAbYf9q36VW9xl1NUJPBn5/PXwgoNDl aVGiFGuUhHBB4GOAWcrl/poesUtF8uDP7w90yLE9Y9QQu4mSe5B1EPuA5Xvzg7cMllCGB+DXFZt8 3EDeyJ4FXKbLKkquByzUtHQTJ0MPMeBd47cLUB4tjvjqpHFTVAH0ravwGWhk/cWRMIHEpPeO6qdF erd9Ye59OVtFgMZ2hTHr1t28yeh5kATGia2++GL6aaEjtoJO+Z9bnp8zLj3HQSfBc43GEUpmhcQH Mr+rekCPmc1f/tlEhYQp/azU62NCBHCfD3k8S6ZEDtMQ9lEQGvZMlAFe2CpLm05Ceo/G7Cs6pTXz y9R5vcn25VoaePwQVoFJNvbfEHVmFcnyPFkJrs9rpuIOMCyjvY5VQk/397mQh/985nLtQT3IlJoZ vg7tBZDgJ2S773Hxd0+i7T9NSHnoPaXibuUMiVKojbI6QVm1jd3Suv5OiwRznHXKuTyiB/Pa/3u1 qF/ZAMFWDR9VjAyQlwdxcDLjExohMPm2IN73RoSkeEeWJd9Y3e8XWIzAa09JNBSTM5kKm0uK9gIY /x3k5weDpenGOCMnb6eCfWvbnOL9eaRkkDi73IAz/Tj70IuikibxiJ/NzwJBF+aXN/sffYABYV5m Hfs9kobxJC2vFdVp5lPrRVt2dY/g6BX9X4ZDN3+vXPv7oQwhfMMJFj0z5eQQrXq9Ns9m7POjux0s qkV95u6Tt1cRTzGQ2QTayU7QcTy3b57Scx3L7kDpqyirajbasi6Pz9K9A+cnZtLTYy7AH2LUjGgG mG3dn80IdwLPZ7HIXvWSf+M7n1CqBzhvlPeCmSYYaI16K4FMjhKCjUZ0NZnBYy7xm3V8jAn8dNK1 pAzg9jAe+y0wMqdjAVCn2g4+nLbTHUFLvWFN65p3xrWt1OOQpa35ez4CSQ5lzd6G5eMDXw7ziy11 UgTvvBy/Pbr9Gc3C/RRvGxIstHOyMEEoCwQEwlStthYdGapAWtYI7Av/IfrGq7YA+exXWn3GkDJi RmPk0OJRhz2mcVC3bdbLk4cScVBcp2PCt/z5x6kBJ8jg9a3V1tlSiDZbhrvMJg0yZmKtuCMW60Fh jhA6a9JJYIv+q3bxOLfE00D0rHAuKOWqohZAIAD7XCW/rieFhDuZrl+qbYO55DQ5zqT0/Mq/c1iq P4HhrloL1CR97iqI7mDV2E9OE/zeRTuwAVtsMjlA4QnAnMBo17NnVrso6ake4ig9km/nVAPDYx6+ m9nJAdmwm/fRtpwfJr0z6ZapanP0g1u3OnohkVDVAW+jedsTV8VcWWPBGwv8BLgcPIlyG1LIp2Mz Xgh2dObT0wqESockLvNuP+3QfbG65CrxevcQEF68JpYtEpojIhL+zAW/s4/Yg2EaXQz3pOYqYiYl gS3CtqTigt2+vqaOOUTlPCS1l6CC6KFnU+OzwnPlOVzDdW8XwfeyEWomeJYMjYseEOCm22uNzKHm 3sBcAl5+DZIAIW2gnB937xDX/XeY1daDhlOohPw2IzleRfENNd1SpUyr3hq66wRMd1kJShTUVfNw 6ei0qhYU83s1px+sOJFavNlAGWbb4tW9nZCsyKeyzCAe2cO0maoZtsFk1hKVbJlQHwZdchJLZQRc OYMxDtC242TOP7RcXWSHqUPZj6H6DEUd+4DqRuc1C3bkhuvrkYEWxiDx1x4WVRx/FbthP2oxSUXO EwSlDlPej2M0Nt2xIiXN/qEEy79uJQSKgsc7jA5lc1C+WV4nVPbzoJbWy8Tu8OzJtbP+ZEGTTohh GHScCbMQ13SHI4IJ4Z2/97R58n/aJCfnkgAkZbyP7kBV3+Vq9YNy0/0d+HFdsYOfEQT0dJ9HN8QQ Zs23y4IAUKwPykMe7GtilSrFTl4Zo8TaDbQIuSlNhuG1xxRSLzYDAVxWOgpNZtxX8Zfp9+eF6kCn f2+oOU1OUCTWY6oHMHkYuMvnfxutwFE/dgj73jrvXeYXCdGV5F7t4vfFxIpK0qhvDxKaDVkKzBo/ gFmEdyDdCnlCAKSzD2Yl0yqjWnJMMn67VqnK56gpWgACP7DyRnb4wXoAetApPIHIEbAoaZcAlMUF 2pJBB68JWoKFnI/UvvzfYr0yZLad34vZ5n+EEuWraiW8FvZNS+H/pubP141oH4HpYiHGMZPKp4ID t+KNtZxF4K0AACTTCtc4wPbo8VINlBtPl3GqURaMjhSTieuSS9Ualx4lCvkQqLVv3x4rkm3AxX7M hmHCEOSnP1X5Dg5/0dngvTv7uY1J8p6xh0icrwk4qkVpDxrcInOmHE5wXeLgMyCvSBYE8tB0/Zxm UzXhXIgDUNi/1O0t6OM+Vh+bCblX03KJ8rEEfU77aMB2UiP3uWvL0uNobDWtTDITxu6kd5rGbd6r sF7W+gdg4b2VRRNUh51LNrlREbeNEjy1/N0wwPLbqj8Btd11EIEVkpWQIePDpexBQL48qlV68G3P Hi03YGG2NDQpe05MVJguYCt/zQKudGQEDb3+7CEulwuTGF+E61/d8AiKlssebWXolu4ttxkqJxHP 4Lz7oRyvhwJNbd/oNVjLtnfbzv2Oa0ZvoTivu6HLZIvg544ZtQSCskFKNk/blmuFWyFdtfb4Ojbo Tx0XVTJtYB08Jj7LAn3gSSVLpeL9ttN8EOD3na/7xhMou3tX9iiepT4Kt3SxQunwtn5efRtU8C+y 27fqlt8NwhJ3c9nPeLYb716QgXypVzr3XLCbkHLaTb3D8xEGLDYxMKiYT9K1RuqbzLnEpQ1tbEAR lAIf33k1WVt6f2WRx7HCsuf3aA9STh5Z3x405Kk8Kcgy7+LyzC31HcKJqRZNR3ybnYonrNgtQbi2 ApLKFV1AGM7/FkiGd6gwO2tkVrZoXK80Ppw88fYd743xv0VjUiGvV9KcP53cfyOY1CrI8qq7AVmR 5dko2Fjdz/vnahUc/+sMpKciwRO/1Bks2pZaSUsdyiDSv517FMMrjsfQxeBKvsszRu+uwUJQvbpL 7tFYsLH7x7N/DoQpA3w/2oi6AQb95Kf2Qh/UeWjSGI/4iczSD8AU0gobudxhTqvx+L0xRj49Yjak ubtzAsxOEWQCzbBOc6zmnjdpUnoVaWelU9QAeojT00AG/iqyRuROq5W49VzepYYUGIs= `protect end_protected
gpl-2.0
a4549e994119f7bfb9d3b3335db5181b
0.945946
1.829318
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/vt2m/vt2mUtils.vhd
3
19,337
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block h/0OcFzs5jomMn1+7BRybJ05ZCk25BtVaYDllF6RHTqh2rIQ/jWwCseJOtgmLOxGBX1k5mlgnBHx Kunug4Vw1Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nIq7qPYLjiL3iR7XYRtQduFLRTKHfUQcPLX/kVdSO9tziYivE4ml4Q1wjdKQrdYvTUMIjJ+S7JX4 9yfyUfKWzu7zp/Z+rayYJk6/OQmVIw8nqSjg+7cfeoNSKq5T2MVfIC5JrJ5H8ZhVjE/7cuGfyoM6 7SYV4+sjUe+LEv4wcEI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block g293fy/pSp53ZPe5szWBxiVuZzJa9O2LSjLHYbfagjMSFSU8MetCjA97zIHCP/i4PC2saQaOO3zw GtR8KXV54V6dq6qXiWsb3rDoUKT1hm9TZ8cICcsLi8f5zo/3TC9hsMOGzo4ot9LAflL0aAETfgW3 ksrhTLgRkOmz25Urb9PwHEORfn6sqmb1hw8ves7CpSud50KhBUTfa9eBjBOr8M3XehKgzb82oJiM 63kkZpkTpuHOW/6FF/IOeTy1mYd9TNwhYllMS4SsZEgBpvUSjbSQKCYLJ0FIZvWSjLBVz8nDDMmC njJZtQ4T2djm9izhItloJ8n+0H+x1ezk7n9rfw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WLcBA29ILP963syrd2+3CQFcQNx+hLd8Y1eM7lwM49rNqWX5mN5RovD1SG5MLK1steIbBh0Lu+Zw 2l18R/HMuu66vER/A4xaM8kph8/L8e/Pi6XKtLxFiCj541eJqCqdFQ4nQBjzN8DYtg6IN84a7N21 /uXQ21F161k2xB60id4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IfJigQ7bi9U0dOe6+t2QLNghmTcLsB8KBMQVciZUlGOtDxp+vX6dWKEXv3PGYgcDYxpryoSr3sUr 4TiVf+7zQ6hOINcs4ZRPHlhq+Sl1+DZU1rI+rcfXI67HlaFH6fpe2HWrGUynTDOxFeSX4s95Ajiu PXidxQDi2REC4o1WSrCX383I0e/dVQC1KMGb3smuGkFiDsfERoWXD3sA2tdJxIsMWUSGmhh7Xz79 ENLycD67GYcwUA20FZTF1sDwFooZ31ZzZa0oH40xq27TX2D3/odzse7YrcVMc/KPYteLpFfBDiQ0 B6vunV2oxiWO4NVc6C9jdy38re9gFCepP7KXEA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12576) `protect data_block bSKVdq4NmBSXrjR+g3lITJJvy9AGSTUFQG32F44R2jGTHWIXhz71HIUimcOQo2xL/luUW+SWFO80 tS4Si+NP2jIAuppM8v/oQQWInXdFd2uAio/2OO9NqqfNWjAT4NjmPc3Irts2QhAT0H1YUR0mAJcb pB144ilLLGo/eKPxgu4zDVIEGM7hP9RF/HxDucWzZ6jYLOfzX96y9Wz6ht+l2w+3sAdeMZlTJKOn mX939KCeoyOcOBm2r+6ABPUVxST4WhSJAowaNfTtKJRFNOMVDuLcGDBiX2g12Hdm97M1fAYDxgHL hq00Q8WaiLh+wuGX4qzYeQDhBv75BFyIY5OX2tWPpuwjh8+QOG74gUhoPPfRLX+ssj6Uyo5m5jcP ufN12Rzf0jzJYBPKXlj9PQyyY6MB6OEaNLikzMCaFkZjJZ5FpUaAEkuOFHgNX00Qnfz6QJuiGqp6 UapcP1VQ5aQD7czOLgKNPbd0nOoJ1kyMS07DQBSDY7ofEPYufu0kaU2t7/I7vJ582wcQMZZQU8dO cfe0DrbxlNDj/jOJYDm8neqMPIUdyd15vHGYVVKX0SqBrcHHgEVKE4oblwE7KV7Bg3dSwWTLojrO NWWA1m4JCO8WBrLICieEYDX/RUYOzE8+/sWQV2zCHarPBK1zNZfJA1psAxPLmVjhmU/6bELcVQQE Dwodkob3EF/vL3/YTuNigCVHX/nALQev/KAfU/HoMDZpM8pUwNIOcVxFz5xwNsKh/dzsecujakxv VYcEGlOZNUC8cQJRij6TR3ZXugTZUodA1T3ad65769cWwSPGl1vg2JErLSNq/n5lO1JFkdtqyd5b kqA6wmYq6ew6AGH/J8EyX2sUOBkXl1SbQMc27kxcBX2zry0Eta+eFthfneCEMchU63EBNFq6UTMb OcwoikjoXkkagU1P0qYBYdTAmgNdt7OSNpKVesVFruu8doxEYFjmSt0Fxz4aTKhDDuGS1aibQLoo UHMdDmW9qAIPn6UeOkef/GWxPRJwGmTHDs6jKRbQIy9E92NYMwrUZdxiv8I6WGCSBRsJGD8vdAlG utjDVtWgCz/Xw0htdz4d+tMru4rQuO0y34ohimyVU6Zhva5OB1OfN8qrhapK8ciLU/jnbetWH3nC gQlX6P0yXsUB5WYB2MHYw6A2lFerTSjiuTHjb85/YhoOrff6LGqnrizTqxiYbddiu/MqScwGvttH NsKXWo/CFPvIzaJ1NecoCBiVkEJa+vjRtREE4RSYRqUR7k06ZqnOSdEbRSPQKoke0YbM29x3iXj+ EPb7MvrsWu9mcm6SSxB2Tp+8unMaTvqerlQw/JQTvhQG8M5j/O8VhrI34i+JfXce4LFXrN2ybZrd gMCWe5CbS463gJ/sT5W13a3FcdW2Qfl8Lla+xkGSr+iWXSmqDlaH2aNYbZpu4naUFX6Xr7NWwltL hqqK0Q63uST4lWeqlrIRM5Lg0mMZwPIORKmg9AjjjVTozuCYhBrIYuLwcJebXUQNYLSnx7Rm/azH B1WDJYtEG1VNdm2ZEZIp4tor0ivon4Y79XEEuvA/6UoLmjt9Vxm3uznPd6lbx9yswtkQN4GdevCW weoyV0w0HH7a8E0ofYj3pDjXjTTauIxFPOk4L6+5DwKq6NMpuP2AYYJ9RYrUWPQzfAXWcg/wmNS1 iythArf4pgLovZ8yhNxbB0dzxOVdbsSSpDLdRoGTaIzdiMpkz53yc1uPgxeGx8exSAvhqhGdFHR3 2KgQiJWgSsJNXibBV03iBcU9C2SSL4F+Se6b8uaRCxZVTKUTmCUGvuTNIub+t4wiAnVnTHxpvnnd v7ygyRIcVSFsAAfBx+UcX1S0HrvOEIrox2dfQXbZXgYiowMmtOTj9w30ypWZHlNaP9+lWyEYpBZx LS1ODKbc02BeY8z1RDVk6/3Ck/5GTBYEPxkrBfxUMk5tOIQBhhWgDRSh8QhF92aRVLHCl3QLm97J PU7Xxi0S/ATk4hgAmrCFrdwzBHmUrZQt94ZugiCF0JHSA/+GqFu93bvVZM2+vDYHRePH8k4nSMEZ 8cYDJbv14YftmnJaEbGIM7FojDae3msu8RcpFPZrQz+Q8/rZ9/XQiapzgxZGRtbEsSAZgS/XvEOg iY+sp+WPMH8qgtrmOPgtN7e9Zf0tzAbYbJkkr8EqASPVCBjjhRIfDZFsMwkqwqeo1bwF8vlSVSDj lGzQxohuDn0shngrld//V3AmPI/cX44ITNqDs3mXHDJKMLPuhmVXTxd/0J8oYAYHLHwESDJruTCy 11rb6UkmhvsyH12J6NZvamP6zKHJriVB297oJk8KPUbPGwIPClfd7uLLHT7P+Z/KM+7ciZcEHFuQ q8ZIX/Z0jsE2dawju7y52TbnQH1MVOjt8TifUZksw3zCfU1jX3OKEBLSZdGlkXynUSbUSb3dqwze +tVzlTXMIfeJKuxFFlpy/7A2xZmvndlJabwGYX1sRrixpjf0ws1/eLthcQykmDe7wYrjQlUuYBww j5cbEqSRlYYLIXF4nV82VNSkKJKNQqqJKSeBFDNJUR0CvE5Y9UNHsqXSnGfN1Vrj583wRUVJjm1u 9kyGJ08judm07lzkSf9VhIQWBU2G8Ae4fQnSwjjx8n6/YOWeZe4IaCWnH3PKIhayJjph1UBFr3rB FkyYlHLN6fkhRk6Y74OubTMRlDgF+nh6bfPP3I9WSzyfn3nCXyo+5iImzLkDBFKEyMOcJYLGS8qZ 0k3LfdZSlgZDiDYK0g9pgkZCj+4XmgFrUf3ZpzToUazCYszu4aB7G7HaIQw1sFYsAuSCDb4UlNR7 pWKBkOBjZI0THYKV5cx83vYmW+fbXoPPz6QEgzylOMVeupHUT0NadMrluHdXp1M+wzQvhEOBVNJK hzgIhc9+6WY8IiazjmcJZyaKdMI687zXG3bbO38tEwEsYN2JiaZwaSLZj1mTcU21Gj7IQX3Do1RC AjcZijM+Lvd0E9S7EIlHfACAcJ9zumbqMj2uexLruxSPZfOi7iGHySb3xxuIK15i3/iFKKEUwhli XSOTJIOnllCzPAm7JkFIZbubZVIFDE5WajYI+Qo04INwB3m9JaCOVzg8jKAwsYGh2MIvmOV1lKw4 d6l+WIIA2B7lZPPyI+blORZH7f39q3css3pAMbVBKJbJny3fMYX2z4NiMcQCJLyEAqi+12LTCzCl 3iWMEjD5RikkBVbP2iXlY8J/GNUS4OzFU/4oTj8l67F+YCA/EDG56JXv7WkPNjYuuFFZvFql1O8+ ZmN+zG2n944Oa0qeOW53eiSKc+U1MWTlufsgB47VV9TslcepJ6VlxANrWrTB5WYm5LzkMNaF2uLz Ia0i7GNsFhfoFa+Mu5lwsUD2ahKacXho1uIqouoPy7ie41pq6SvNq2sl+bx4rvlJWDsVa3fRTyJk LB73rZ/XEqGw2U+voCPL+B2QbLbUFkFUrc2XEBRzYpOfN5JzzrMLhYA97yIG2GEcmP9KT4zOli4W Qtpzy8WFs/ISmPNBsE8xxrV2+4WdB8b5WbStDiz+E9VKNIKiSl3pa+oV62LzbFV3uWJPTqhmKvf/ 1kgdj23nW/JKg0IWpKZ/wwWZpmXGPfN2VJUxshJQMnAkkdr9IBOTRvPonogNTBinSY3GlzIpTf3q jF/xc/byhtpxrC2GTbPlYu647B0QBZZYq8QHS/8ekXrSwvvovp0wtrezr0kFjRU9ZZ+xdl+3Jwru u6ZNrPSwuGKscFMczpAlAdEDbNvei0wXYpV+UX9YrKfHnyTcV5TqOauuWz4v5tsqL1g+fTxUScB5 /TXD3BodVOSUHHhcy2HItfCW1vBPJ4sNFHd8pTOTM6sCIEGro9XCTSokOVg3Ynn2fxiu1p+ritD2 oqrn9SlI63qDae4/ZV+Je5zaMrgH4gPcGooqVKHX5aQYTR8whxHUcrVaRGeokXtg1v3mebbrYuAZ /uqVDe6Ep68vv34cqnMoz9T7QfxC4um4Lg2PYa8Px1u7BLxqQrtkbyOHeeeZ5kaulUYqtu6xDKqI VecTzGw+X6W3evHZWXFYAo6vKMJnzvSvEb287CNO4ADyMUcl4N14Pb/TXf8wAGr3n/6yYQ8E4am2 ymZfjybBnE0nUsTd2AU+B7lk5pP2tFC4T2JmM/IyPzf5ZCTrzi/cM/AxJehEJhMZE0m97APa83xK ++Hvb3R5LaakrhFJHdrNDK0srnSAaSVeR92kL4PYeVIyRz/A8IBujiCzgpA5mfmmMJ1yVFKR/ivz n2vAAtR3X3sROlqx/Qtr5acsYkXTHy1R5vWR255KvOYt/5Z1KArkmcT9Xn5FEXg0dX5Ram3wU5c0 noWInvc0B1LbpozVbgEJc2GjdOn9o968d1qgea1oOvgHlB+J+EHPZtncLT9j38P7eZZikCGg+D9u 1WutsV9i2+dPIIeYtcU86QAlx/XT6S9P9Aljbk4teiyAOs/oE7GQwsKkUEVDVK7doJwv/TqKf378 Kiy4IjgiA31QC55wygKpmO0JMXnp0gkAEAHjzzIsh3la7AW0yLupAmPUb7PX+pF8kngKQzrYoQK0 xxYe2gykislzyjVEqR338yxsosJQDM8hWEjpkI4kRBHA4vJx1i25kTea8r8ahMM6E/Sn6My0VYas C56xlyCWBA0Wc+7hQF9AGYexXGvA74Q2j+QPKE57dSyXn35Srst1qtvHB9a4x/W5yrWVi5wNvsFM ovbaGLHWblYu5vSqPZ9+DFGf/n8Zg8X2nCydbm7uD+qJ5nkhRQrEwGnUn5cr5Tm1xn3oNOpPzrV5 3v4QdhCilFu6XlBAJYfdrRFG4U+NkwSBj1R89ZDWGuyqFgX32MSQeihLHcSISmR9cBOBtAfNRDtb 7iu5LJy8IreMjzf1ZdZeC62lTPArO8O0YFfduz3AmdcoH591eHlZVsgWM1HIAFg2eFC4OIknD0M9 ce3Q5V4oyWlCXexTCXS1w5r2cXVzrMWqbinAJH2o6sKNrrI0FSaYGfAvv+aDYpJgB/6ZVMAs4jQM S41/k5bWs1yh7+nV3mg5gF6WOYy8V1rX4w/PMLl794xhvDcnBmhyCJouxZkTIO7oeVN0awc7ds0M GpwuUr4AykmMY7Rwnjoq60ooG/zMi2ObioARUXDy/veDPpznF4F1j7i7GQUfPiHk424QLq29hdPZ 08LMpMedKfVfjaLgGWuK2c2T0cdVDsfNxODjiWS6K57duxGVd/bs1NBk4/Ap42rmxxwx7bVzYgo6 DSYx4QNBbYF0CxK38P7DdEk61hkioSjQSkGiUJ6H5azyh1omNfwPsq3qKWFZAFKR+VM3KnuYYQkJ 4tw9TGaPPJOrA7RTLw0NyrVJkHzZov5ubgKw6wM4cYeTmEvPAa7iuEAwTdSUhOFBiQpPr4q7I37c 3Myr34BlyJF1tF2TeyODSIsUpt2rmbrD+dZKnlHovO3p32djr7jjIRKs7l9PpqIunfyvFg0V+gs9 FJDfM7Zm7MtZT7E6LmkWSqe9ygIohH7KSsAO6K5RFU9RAxOlaGdyC5qfAG6jpa9peTZ6onUnTBsQ HuWLTQGxu7K44iCQhhA/bX3o4w1IykiR5q1igBrSi+K6SzvCZ7pL+HHnila2FgkU7p2YC75I4ndl GMoBcFuECs0JZvYWWYVQupV8DxzWaDqx1+qRo2Z0eUiyI6dkB1vxFmvaflug1e/4JGMbwjq5CAVZ 3NbmmTuGra8fPdWqHA7dxVEHB1hVXRDKj4tx1hAoWpU8rTU/d2w4x27J8AIVBkITvAyBFaWeYboK hPdC24FlQEOhtPTIVYOwenFQ3hIWnkbor+RtMDXvtti3Ckg6KixZ5AXc5Jco4X/t4icRiv2JN9G7 AYQ8/48ixX+yt2lRQQFN/9N8GR4Mx2v+oH3nxRREAaPCUf2xLdi5myXaQgfBKIB80+X1Yz65stEq dgc8CCUOoI0n1j2P5pvVwlVq2Ew7NY9kfF7c+0dNlOnwh8/S/VPGs84TdvJUTsQtbdUl8uXbG6Bx XIQ3LvoLVAJy5WyI6r98JHXR4rD3VpGGJacTTGgfo7V75WP10oE5A6hwLxP0oCoSYXVhiEQBoiP7 8ZmzEd1JOIq4ZPqAoNzyxa2F/GxNUZqFrtpBaWmOXrEi16MV0eMXI5tgS01F8YCLbargjYCoL28O dEArqF+DJAX4MJ+y1OE90BSBOr8TSdFXb+Iltc+Ppuv64NbNNg3/+CW8d5Dq1rZ4Trcu8BHJb1sW g3dsq6kxypOawBEXNU5e1xvGUFcrg8aN4iXYJpAtYtw+h10QDgp6Zd+DYHtPYMSPkp/utMg2rVfK qPhCAt/OhenknjdDykPvXhaFavVIOIMDOPGBU97IpHAPq8tCzTOuI1uZsL/D8tWjPJZP4awqFj9M WOKpGLpMNQRBzra4oG/Dt9RVYeXDzEuEXyGnDhdOmvEr5Y5bLSx0wkY536/HF/2nyDBB2Wthq+vZ cn3rBjubSiLMxKsL6oYhjsMUXfi7rGpj5w5QB5R/H6kQolj9OyeIBhHqfHa3/Fj7AI4geHnQOCmz 6AVJUEnXGfC6xsQUctQVNr8TlJQ60K6S5Wur6Z1KRsHJrqP+rL3+qTvlq96XGjuErm7XMdcNAqBC UNzjS+gh+A3dboQDRxpjLdhVt0mvbJUlCOxR5njkXkmvN6j+pL0sx26IcPlz239vgNedNmAJutE8 IhDnczGTliQN+eV9DQHqE6XAiUJUvqUSonN05nblpJn2JOk+C30amOScO0otIQQ34ObYASsD9J2X NgCiVpksGJ4tcrTOcpsSlrMdCjUxipZD8mok1eF6/Cr6suIHSX6juiYhqIuTQN+SHFVFZc1abhjF LfDP6RfLeCHbjv5ZcLk39A1YW5i7Vi0mWAtQ8Q9rGpL6jE5LDR717ta7X380G6DfpaUf98lmQ1cT ZHkIpECjKoHATlnlum9eZ6nQmhSOpyoSxEBu6VM8rHrfwFquEI0KDhCXp7tyMKihEAcVVmPGxXrH lm/Ls0oRAQhf8Q/uqi203sNh1Ce3jzzO+78LrexnDHy4vwbvn4mGEJcow7ZOgnsx+077AqJ9oXPl bMtl6VWSTk6aSDf8kTE8vD3bZ/rBbyv4fZCUsNNguLleRuCRUbGdO11ruYSY7mx2fUzUz8d65Ora MghArv2GqSyAjI4jxN55gMxn7hgrp/9K0UjCS7Cg/TMJok+ZK/gnJlVUUPYFhY0tY06v03c/520A KQJwhHkZSYRESHK33bqunkf11+2w9C7ri5+vv9qA7Uqo/BcQ2UhSQsktLb/cufkNdKYQNXnsLkiS aB9sU86G1YkM6m4VJzkkzdtr09/NecGpQEGupNpE/d9aLOFTdpQbTm3KjZY00yMDc//THZtX5EFe r6xXFpgml9/EXAiHPfQexoWjJB16GbAFHILooKcfG6j8bVDDfrP5Y+NMldAhODdP1/EPXoP//Mgw tFfATfGbbUhjOoKKMh5GXm7EkhVRyPHGQRtbONbQMfVYp9sQvCv6gfKE/iAvBT2DswFtBlOo4Vuh +Sw0gv4qUF+5fMIDeJYGqqsgXKN5/FUiOYeDqvUPnW2RGBMaMRRDrWk66DqJ+bvDtGLwPmOTHwwc nsfjzygUEk5cicE61kQUHtrfAkSrngfRgcKKy1+mMSZfZqyXaIaPMh/WqJRxT/Q7ot6hog5Kh3lz 0vyimg0VvKNCLPc4Wx/5335LHLdNVW8rOg2s1MM68RIgp+yiO5MMhW+i/1iKBt5Vw6ndK4J85ISt 9FJwhW4nHPqPy81MbyR52QORMl1usyq1d4tKhrY/635sGvpfBqG60asZ85AEUEfz4zsOcDAaQPM3 GuFMDk8NAUez6V9V3J0U9rPPpuF88iz9MDoboetj0kkpzq13iLai85KnnCIx/LqIpPDrLZaFMjkY GHMUeJjCJteJo/PvSLaOU+f5mgzLMjaqW3f9FImk9IfJvcbeafzn3FG+49Jsnoe0Mo2413GjcBfZ iTH6ReAYVH6aUurk15+i87AabtYBnCgb1gsieJP5WHGZzNlQdgHQhqN3SlTiEvZU1mf69XKSatEH ems80LwoRqvau6/3W3RRsS/G1rEMAX2E0b85raRQDG6X6bzID7mkMfFIAY7Pr6yVNFd+GS6AyXWF PKEPNo4C3nLSFvIK0oohmdUn/LltYhHbnTnBSJZFnpdHDS5hErfqVGftQbRI1WxiWopk/jH+Kh/v 96SuVdWoqe3ZztKsiAmTl6UarFp9x0tqQbyKg+IZ8tXM312+V67gfVzWEaPqkok+/MRXiVW7Uoh0 Cav2f66UteIuM7MHSOyGtmAQwsqq/c018hUVOOccYusO6fi3SkmcPvsMUS3YUQ1XSIsKVs8fuSzb teI1hXUWRXQ2B9W+rVS3li1T17zQb0kHC5sh4FYLMlfY3GF83OdYW797EVoVegeQElnCY8Z/UoTj Pu53xIsfXzo653yJuqKjC5BBZ70Tq536t0rpda97acCBqosnGWsKUk/4mJY5QbHPOGnNdMx+N/Mh tPuitNnKpUTN0q3OOvipcyZ4ek0mYmzy1cdddqW5bPSj7dpGSbvJsGXFntma0m4IKTKtDze6yZJQ 46EB7oha+1xDpff5ZjYHS71JVPSdokctBECgwEObv1m9uZGtBHC8Q3sNCjCZEtTqxy7yXWuwS8tn WUL7pPdzEu6ObmQMkgCpIYHMVcwLG4KyYBGqJTnlGzjN5wCZr8bHzj1inumDSuYtSRSQ7yiZ7727 A0XQUVLqhusbx9zppiGUb+wXiFuKiOwS65ylzZhs2j7ShXfLc/MptrQjBgfa8ARCsuuB2MpkIcVz gsH9H6tFJR/fnMfJcpXQdxX/PpgdW35ekz4umB3U5irpSxb7lqkYzslD8OlvFov/MzIc809//sIl w5YjwvAnTb/TU2r/Y//O0L1P2nitfstgwRRyz6fd+OrRFQlFmni/KtDKyc/llhnAT2LMYADP+AZy /0WZ4idfATqMA5OmMwqSw4DupUp7p+di9zE7Z95xu5aOJNbx8RJMNKTsFFJ923vfd1tZM/LDo0gV 89P5hfMRXje4++Yj8ReCiTQCiCCyywWxmnhA5MS4IOQbzVXCW0LXNXGny29WFEq6gd8LgtYuqsTp EdRLYkidM2ZjiWpa+w3N2mTOu82Iy62xpedMyEWNklxVlD9ytmZ3cPWPjHLTL3GgArAMnqYHDxOI v5vjtjb+BlyYEoJNaHi1gTLbif5AE2i2W5/XCSFhx6cqfOK2kRCUBPM3JlfOrlb4/eZXCsYACaS7 H9pKW/3sGTquY5DxcMKDMk+lpV8JFDas39mc4LODGRZTb7EstHuiEiJsfaF3sjE5juSUn6/koWum WXnS+9xf7aD4OKfwlO2X775lPgZaG4eQ3n/FsyjME9boGhOZsXWVmDBSDnQ1ce4YBzLpvu4nwVkp juqcQ5WAPq+/ND8e8zF4OyQJDrUnJFfW1sOioC0sowloEAKCR83s7MrAxmhf0HCtcBMxYTAdOTdU RQ8kJjjolMLJsqHOxtettp7EBhi6i6YRmpqNi+Rh2pJ4Zx7Z8tr1qz5D/nYHYT7k45nlx01ur+14 RPbq3AQsjLuE4pswVLqeZKPtErQvkHmWeiHwwBQfipZ5CcQ2CMux+WYbMOmI26ICUHGTirlFm8Hy hpp+hX2jVSCyLlHDNWfCZ0hDa04Kg84wnHP6DkfHkhYvlNtwMkTpzG5CFu4gHtn2mT+8g547k49T SRHGcJCYDEIB37gX0zxLhbaDHOebBnODoj71g9NiEiKkBfHTahBH9HQzHAW+nqNizXhsMYQWSFN9 PEBdHwX+SrWLEcqEozv3pdzcVf+w/GJdYliqWPF0Rs4UA140gb7g1PzEILBhRxeaO9F7CK+xV/kk FHz35ZDZbmixlAUViNs0u0rylW6/n9YdlzvMPn9oxeyOP+V8Ai+DytBnM9NRkBwIIBYI597SKB3B 6cQdibxH/+nYO9MZLmvfoexSgsjWTwrevzmzlbZrQ860fmTybXMhsWvHsYx9iuJ+aJ7360MKSBX0 qA5euNZV+iXztrRyigCSNOwo9K5ePFuJQLm86lTjOLFU+RY65nh+WGS8WSAg95oNlrlNV6AA+Ant iLRVMzHvRO+KsYhpgvbNN5gJFPbp/NKl2OttFWj1ZLYpbJDXWWuYQu3TEHn0RVtN8S2jOxdX/UXZ E0hfLkMBzDOfQvhiCmQ0Tsg8wDKYbHodGHk4N/8cR/8cmcQvFa85WzUUm9fuTzHymg+3mjJVW8RQ 2MvJmYXcAzy0kKQMHNL342BxqVc11jcLJURfVWTuAb1idVPyYb41ICqpRyPPk+kIA2UxXGiOFxZz Am8CcTmvwyj+0SeruWDl0HcV4WFsiWz42JANDfLhJ+cFFTvJTDdxX9t2BE+yZgYw0oyoltisb+9Y BtfkX+bVL3qxyJoJyinur2Zq/zdCYEGgkBzaqEycyvZYJcpuHwj6K7qh1nliBuSnfEZ7phe05Fth ZqSP0Zo7LYlBeZEr1+GKOhhllYhBglsslb7YnvCEBfwFfReeL7/EAT6A6pul0tR1KzswhHl7eEGr a7wFCMByXUGdvY0JXaY+jFW+nuYJpgaiee6guu3JdcHbPDBjFpKeGse64iw7kBzxl63vwfk2ug8M BwtlL+vI8c7htQ041UXpiqu8IasJ1BCMkkKQGRk11UCU3KzGRnHUIjuMKa3UBysgX+7WNq/Rwg+t YnFOkBOqoAYAC5ESK5dbEDlWOK+xLA2EPIgtcSOrub+S1zPdDj4ROT+mssr9bWY5Vhh9TImkPixH JvDYDF/GSQ467rQzUUQuJp/4yZFx318UROTZEG2f4BGnNoPKlZqtccm7W6f9TStAC9HsXkGYBgVI kPcGhFjTgweC5HzLZKT7NLcyWSip5uPRQrkZWdRltidQOPPOy25k5pGGP2Py4Hcvg1yf8VgvegO6 0vJ8d2eK7TwnYTRt3GeTGiBjQ30ZiEpwu1qgtv40yKfKaYI9KD+YmDD7qdurNuzhaiXAog8t/pfb zXwHb1N70IxAmLDFRMCNjbTS7Kv9PdwXLU8wV94lqMDJLEoIAkI8A/u7xPq5aXAkLXfG6LONf70d XF8EsFy5gYjCP/T6hPE7dhZ972UlfH6ufjBWlQZ31zwiayZOxBbGV98GLN1Ecs+/j9fcSTOMwIaB lr2BuZ0jEsDrPPpQGC2sCMDeIUfumrVdYdII9id5JhhNOjdHKh4pAVdBcBfsXJUorBoVD9cb/wwG hKAi/M+TRqO+FE/+j/AQCGdLWKW04XrEsE0lmM112xjglzIUCzL57rFlRMwy6+o1p4RAorsbjQ+1 61kfxtLBDaHfFGTyK3dpP2wJsYQuV+naCWHhda9SO9IhGcfHMkun8pHN+3vjQt9sv9OE0g4XXFfE QRPJSFlWXrtgScMq9DMCuidnSeBElaFyHKEHNCHZhrbNoR6mhujTpK4XHl16dmlLCNoBzuyhLtTN FU23z73EIS96XAcuOFDQXpa5IXPRnKuFVgHqMOEwiW3MLwtNxHmkALiL3Jx9ixw3QLFm3gkbOFLv q8ED/RT3bTBbr1q2/r8ms2vgoVStei4aaz7nK6QE+w+nbxlD0toQHxH69ypKYGko6P54wm58sHP0 tQJOUkOe/nbbCyCkV9HAc8BWUXMG5r8tJQrXXc0lxOKktTrDYxXk9FFaEqqVnjEJuP7JPABDUGzU U1EKrf2vdEEnJvE2cTwlLM/dXAXZfBe++I6XYCf65zJOtUW0vYx+SZ67au7eoz271N8VL3+WJBS2 lEdiR3CPVpIuN4WQRkYkWY2eWir7PeqPt9NWfTchlEK9XjK11KEhtLHi1bdYb7bitynYAFh8vqXl EIsYv8+3WCDtesAAwLKAtyESipkPAIEc1qPLU7fkbrc95Ybjy7DwH1zE1I26QeE+o9TzsFrNYNWX obdJLZZsxJ1Vmj/miOcotZoPbXD1J5g7iOp29mbS3gShg3/l63dxVqosFkXd7yGNTmr1EhDOGzrZ nPhGxXFXvhbgM5psmobdEDMEiTlkQ9XaJ4H2h9ZUPZ8K+ryX0sX6icI197QGLkUxMhi1XSuuiUN/ aNVOOM0I5ETyGOf159HNOKjJBHb43cu+vC+FqoVTCrzmoOPXbu9TOUDMqAcXeWN00u0y2QtA8aEW alyOqhUsb7oIvTIt3E7CZKIAff2HaU3TDHz761Y7AGyKnI+l0I80dTlZH2VMiJSq6s/Bwm2S+Iw0 2w1BnqnoG4uI0MVChyBKupxUCJbfnnifTfAT8Zyc4ztJj5NHdJs20UuI5G2eRNTCP0s3fWE41j4L eFOKyeD8l0UmX78i1m0lctSv9AAhtYEA+Kuim0g1VkaJmwA0SDK49dDGU3ycni4BQLyBSQUE3+QN vXg+fv+tzb8SUThJTJpeHB8Ck+rigky/vRHMzNyvQDqTUSiu9xKTdUrTeFV+yKyoXLsbfnLhN1yq w9cxWK//LosRMIS03aYeZ0W2uJGv/qVEmgLRu4fs7Oyhu3+PXjEISzXnpfmjr2uNtM5UGxvruLum 4ZyFVOvCtk0q6rwC6VnXqbh+UHaJZfNVBzoxGC4ju/adrbEwjwqpYwm1zBb75QamW29o0H/rVF/A T+748Y34eIetdwHqHqQnPGeeBV3uqwu0umS5Q/3ET02hBgaJN4u82iHsEnKVHc0Dd/1LhCRir//G bgNac4gIZXJ5kH+WGXa0+LR9UtVQzq5K8emX/wmuz/QS2p7l5vCJBDiGKdFjRDGgYxEcqPpphwEp K+iz5jseKhoGn5X/UtRe0SxDjA2oQ7ec69Yec2BgtbmrrfBIuEyfBl6PM3ZK5oaJXFVbTEnrkO2B coea1ZyB9kCRmVblzcOuEDGm8iukem8xxsNZuUtp7sKUn4Y6e2cOzEyPYtR1sHuzY5rLrvIBtAP+ CTqlBl3v+oW5W8Tc9mQiFCqX5sdPDOIifqjSti7mZwxX84mTJgx4DlrH3k8QLjAZID8cn4+WXsMa sSm4FcvdEjBhG/Y9b16vlmJgOdkSdCTiMe9hYgYSi33ZHj0O0Z9P3OA4cYZrBpMV56RGdxktAHgA JDv2Odrj5y9MKEvrrqnAo1HDfI6LAIX5GYJNF7JL4i6ns0ABgvRC6zP1hwjLwT5TgwN8yCOHyPEC PoZCMXabeuOzgvfLOKzWt8kMhrgI9nRjjR3BH44m225H8LHDHtvMYQdZU/zux1FJkqt15aMIbY4W PsVNH7PUIIseLk22nquBlSW4gQ1hVZq/3v8YBXzfOlLEcwWoi4Py7G9Dgh3eeOEZ00ZRQMOX1E7V 00Kz4vlCAreovuKnA382CiG9C5BdEbcJl55l9CkgiNKcuL93IGZ89sCybYao0F2JJIqxUJl6g7lV ZwCLJcC+H/yBudCKqCfJFEe7KXi+TYUlSlYiROiG2P5AOFAFsuI3ILkYBsM45lRB/XnKZ9p73uSy XJC0G7fOXslpGPAi28PrwyOXv5zNO4Q6yFJ+Q9JBm5HSt9e3ezor7wC1KlU/d6bKz+MRpwDocWFF PAb5pVgS22aPxZxX5d4KfshuTVqECyWmbL3dhAoQ14pwjmPDeL4h62PCl6Ny1aSYp+p09qo5uxMY gVvt5u+AUHIVJiWGzgq43/J2asbxPC4K24ClRq+B5YVYsX7mlgAlUKxQLAlXPFwRdW7UDow40/Ex Lc8Uw7Hes4D5YbeZZRFko5rF6J7GRt/8Y1BSFbn0jT4VH45hy/1cO45Rh+iwDFRzVaaZcm1uvDHu u0ECWgieNKC7jjLIIaudW3SMU5KazhPR/llHLoXfVM+mz6zCD1FqKqkxor402hOgRH+mvo7xPdQT SXlV8Q1ASN7K93or8Ruu+YoKkH5YM14sJbxSTZO+lheoKvNJLip/C4pqul4GLZ/AdRXHdb7D9HJn oX6+OoXHbiSSsZ/2h1NltSoUd4jfe5cO9t0u9kp1FmhHiJWxExGvWKUfxAHko7VXVDqnAkFykSpT 9lmzYSdfT15ZoH/gwcUSou59V2ttGhuDDtzjN/8arrmcGcaBI+fAkwn/h7SEX9p828xk8BsNyywx IhnRdOYJ1gom7zyj9ROYo1VAM6penwHN929kKppTBEplsK8U5twIV5ixnicHwtS0vKsHxSB6L0KY vRvJ4PB7OSJmX1sIQnRRWIdouZB6lyuEOKuAMbCxy5051u8coIOFAA0aVdEb+OgLpprLAgLjx0xb hRQuU4nVNeJsDWPRiFG0HWraz1faUfcJ7iGB2bFBUdE6mJySSkoUGzvGKrwLkMsnPcCym352g+R0 i1a+SAK17TSWh7Szu/XYAhOB1Sht9OEFCp5ZBWETKS3jEchU8YtcWXbPtPbn5jZCHYCPbbn4TyIc Bb4WRHyZ/T2t4Xu5vvT3IF/3X5jpSgg9UAjLxXSDWAPX1gCdVMpkFjmnAqBI2VHiNlRNNAyoNs5T PLCsTiynY/FozneDgWlpQ52DqHk5qrk9nYN5GtvtDcWx5SlB2F+8D58o+2CHKLhaBcZzT2D2D38N Yqcu3sVBpQIRWqQW6IZCZtrzx5wugC/UMLPtLLZ68JCN/rNgVUJ2kNHwycFPSSwhDDxUkxrv0Wsv Mvrlhn6UOJ6WNvYg+vk2cxbehTOdYsjxxz6Mg0d15jvQ3mveuonTqNYT4xmWDZgBfiyofPpwnnQP EyExA86TqX0p5N/9iVphQxL9otFFPMThwuW6ft5Z0YvmpDvuu48xkgENR0EK50zTxPJEUdKYnpSy znQD7HeoC3YJjWh1oep2O0NvUNr9G+SQckrxMYo8G1UNy+mVf+epxag78+KWp6B32CxpraDJOk9B p7tPsrMWhGZcyKgwF6bcdG+CwdJr2w/m99Yb9szILSVTl6V1nzIb9awJcQrAu5LU2ZwMIPMV8G9h MXIxqOgbeIttRbdC/WEIFO2xubp56mGuLgkLjtuFzsT5Yod2vgxAhKDPp+AFLmcujuI+o93AHKkJ 2/FbeprwPOIwTCXwQxF1wgkG5GpL7eTOLyTBtqKuuMMnszmsMOYToLEcliOz541zxYCr/s6E4vnQ GAV8MTTEUbAGo0cYkWmVhaYe9mFo+l0dpsTiXAoux5FnWqObdfZvHfbOmhlboMRodkr+UwhCyhQm QfOVWe3SpFF9LPxc9O3gGLS2UHxRwnuODVeBvtPCHEFrTxhXbew0BCigFCtU4EopuSL+cqtIclpZ Nm/W89+BkPqX1e2T/m9il8ht7m3wzMfcW/f7UEcNLRXESZ50aYwkP+eWZ2N8Xs9O0FZ7wgH3SeM0 0cWY7TpD5w+yV1t3prvGMqGqHPrYJX03g2+M9qqNCUMSHa6qBIWmd3sY0Pc8dhrCM9PuOBcPm16K FW0v6cQXYxC2Xgtk2H9tGDiuSq+1DaAramEFoIyjtgwj10nvfvEfSdyTAkCf7H8rRgWkWLs1SXX/ P9qPlkkCGlruXOuHlXPGV/EjjhXdTNTXSKlLmzC53X1lHSLHN7QaxYy5rA09hMzPx46cp6TSitlP 4Kh6q8sheBpNhk6jVotpeK3xqv4FFhsYhBSIF/kwmpQlgEqP6Dm5D+Av7mCIIrgknZbngH/DJku9 KnBGGJ0OiZAu2Xf9rWAt6Hgn9C2w8CrMxQNv2PsZyfQMYoHL2PP7Tu7UC2gQUPM5gmzgJRno9uUb tFs1eAnUwrxcXf5r5pB9+GORPY5FtO+Cirmj8CC+zqm0pSgdFg5y2CEkZO83CwljFbIp6YIi8QVX kBRgRiNPVyIwKKQK08W+VZTc+wqjwlHm0aal9Rj/TcgBNvRbcFaStN7WRcc/3n0sr7hf2hDJ2tCP AnUPfjrmNEmghEo0EQ3mzsTYQ0EtH80yn4KNOHW37I9l+S0NdXiCN8yY/s+oPJALm+hlCCf1Qv9v hEDfclt2pFbLS0b0nFXdtce8Eif3VD8IxFyjJnIFgLo8ZH1rP3cc7mWs1vVc/eZVSHgbvANxVyQd ZTC0+NpmqbkGa96TDYMr/vwimYCXbnZ5+fmzY+8IVbfJJquvAxKwwrHcf5dfa7d14D24eOFezHYI ncPmCH07Fny1+akhG68HspynIcYDaiDhsA1pCp+XZ9XRGfqyzt3eW1jk9JyTM17YlvNU+Cjpa8N8 049NeSmdFBS9bLR6gU9XbmSsh8MCa+LK8JCtfTQBn0rXwJLJFoE0Xx+ykqUvjxTNmBgFSu+Kmdq9 Eq6q0CwhMmrPumlfYQLfZYsM9lJxmwVc1WlMX0x8mzMqF+FYVza40C++h5OaJ+oM9aR8VpbcBMZg cnONLRZZNolJrGfRtMkdhUeMPasjeWw8+bIgDBGSd5ckAfzx3ESb/mhDA711RVQx6eR5dk0GLo2C bZzirXc0WekxYgO3Zef8PXeQkc83UNmnnhHljNwfuB9uwiYEpmO9QJTRUSx7OLfGJpHL91Xx1I2y e/7qc4lbchuTssfDoext0VUxvlSLgqvdGZYP5wYt/hAejzo56wTQ1ryJixUKnqscBD/ZFe2XixHn AHxqGR+ZCdKymcdZHMW5klOWpga8e9E/W0V3Riyxgm59jp7VsfBivTjohDnorTuq2AA/SV1jDPDB frzGGn7T/3YxocxWVgWRMyjNkFfQuqausG6ANnZMa7S57hrv5hUW5Yluq6tpx/yvy70q0843rG/t Snz81nZXknQucDk3Hy1P05pROLZNV7bAV6piLlnpScmaPy0k4PdJxZrefcLVKJpbZ9UYnYar7lL2 8RAoKRbozrMY0VHvy6T6zmif34KGWCXpdC0S1kr2xB3sewht `protect end_protected
gpl-2.0
06742e3bfd617992e84208eba660124c
0.940218
1.86867
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/tw_gen_p4.vhd
2
42,595
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block S6wnhselxokSveMJVueEaZkyQ4Q7lmHrKL+nV/9suKuzegTDnDuCTz0zuagbUWatz1XxdUba/dd3 3xZRscQaLA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block c4+esebM4kD/o+z2uOEunyD3j8/4NcT38X82s5BccC2DmwRS56YrTgPdVl1CSsh/w7Ouk16kdAGk QNHgQRpGGFsHpuPFWkUsOFFOVpku0zeao11scoHZJhQ/gCr4Bh5urqWAJOcPTMhO4k/lkbUH3JO/ IrY0ec0tkxi+4oX/S2E= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DNBhUKMj+YHl5NtND02LDeeDZj6YH2iGehs3uqjiVqwLZV/hEOEhzi5+BP6CercEvTNDrOcJxtXJ Hxocv9kTGK2OfUQN2EZxf1BrwNtAPsT3akFKT81pmI6Okj+5XOR5Kh23UIyM2Fdt+L9Az15eeSkM RmjVEpFSmgc41Hot99r/pMVuv29lJYMYa3Zldn5ge4w0td7peijOFlggOGIzj4zE8JlXcxYOvLzi xdhQkl+jDRjpU/tqWNRw621uFdWl+JCZXIiFqslaTs4NW3LsEZBb4JGlUmMsvQwCuwQbkD/UjH2n ZhJKfiTugOXMu5RQyRJcbx7LxRuUXY5+jejAZg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QECtHl4N65ny3ExJPCk+uc5nzOAwdD8cgU+1Iw+HhFViJbhSEQEr0DUGCIRpip/aiQkNHnkimEzS WQsZv9AtOttQBVxAiJe4wAwoJuUyuQdxWSh6NLZS1OYr8b6gSY+zaaeSOOZ1d4U6xwWzGxzD6kKO d+czluyM9Txpx3gijsg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VW/4r1lh+L0yHBILSsyFnWmO/xIEO5Wl91q8he6kDVC+PjxakgH59/fCMGY4yO61Myhzuu1SF6lu 0B+oyupoaYsdypdVrPwv1hg+MObbsAlzgv6LIy9MW+/fkk5znIN84/TETJC23NVunG1LalVt1mN6 Fmc5r8kV99fI0Rxly8bBr6Dbb+bcDnNWoVg++jXZGwcIb1dioCX0cOyW6JLQf72yE7JndLDiAP+6 a9F6Ic901tLBD6ISNzBNuGD7YG6YU4WQUzfE0aX4qdNX03I/o2z9X+N4kty5WAIiiU8Foebq1f6D T7tRUowXU7SurfP1rhGDRGxNfaqfqGtjpu3oxw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 29792) `protect data_block xHcPRTSotwFfxmnexrT9Oa3Ih8QftHxslsRTwX+zwLGx5ek4R3Juk7gvkIdloacip16uatJzI2dl mLVCHpX1YkY3K8s0eIC00ZI4QpTPS4k8pcaMZ1ILGcktUeN2Bo0q7PkWmsaT4r3/t2Ifbq5SC3ME kabuUOPvpjiWf6qiTg6I7kgvqSO32CxHdRgoXt/teZzqaE4usWdcOFXFOgk5XBjqtFoYlBO6wLgj ax+mUbGYDtxOtTPIRJ+opnAhWrOwwKtajTKvYSHgKwhh20PqDM5LVFU2DPhgHXt2T176iVoUqqBU oPSWkyUsaUM4AJ1C3qkyDzPTzaBWmH/reVC/ejE3JGe1O5Iu3VMOymJxeESXJzVKZWcKdQfEnIl9 bCiRR6FiVbAZ/KMwvq+Mpx3g4hE/KfSoZ2iLldHd3vnQmyx96TQTELMlqKWKu3ihhQVqYotoh6EO PZPlJNw/6ZJMGbkr5mzmFYja6r18bkNBmSpqraKrt48Wybge9zpAsSGN6KpvC7nxbtgxTKFiM25X jD3BsLse8nK6ufZ5PTZwI8L+KoQb5pLOcaY4jb1MS1Q/03Kr6/Lg8CfvjUGpjmWaSlNnJ+1q4sHV hJ5T2o0g5WH+R2sKXf/WtBt+LOqfGq8EVEZsVDY8nyHWnJdN1ni6qsmtA4z3pDQ3mhUK1ucvVL/x xrS45CHeK6rfbSKLk4qQA8i/gUmcKlZeZWTnCg9Eli1jd+kPUJjo56d6Q2XLUUD4wwRrn0MhKK2Q NzIzhanMUYh87wEbOsxWuyOMndnuoXarsI9b1es6eJmQ+K45p3vHEq/vChndO4k4ftbwe59jrnTf 8MQNAR3JfQT+0Omqk/v4PWcBxLh8qGUlolcqrnQMKoM3m0N8PVSmwLhOJOry2A7W80W8ELwqwZc1 pE1t/7DoHMImUaOzkxo4J4tmYPO/hldanMjSoMrJrlSMYF/CR/oAd+NWEBcirQfzkrjMRthxLwJ1 fjdntZObIStP/McFTKiTzvo6nxMoTNxtVggHyxo+L5bvuwazJR3bswcGN+8FDR8NeMi0eKljYlDY SIdaAKWEkD8ZhFulsgcaGDXbg7Qt2zOcZA2wEZfCQ11facJGgWdfOPa3ZFhrvCaoi9piCiYh55bs X//Ol/sKKkn6GlHxcce6bqGfAhFf35vrCVJeYcfGcNdNGq4bUcCN0YUihYtbmx3MFGRykueReNKW iZeG/bb1RN4lssXG+A/ZECaS5/Ly++RqYerVRWkcGrVBSt6G8pszaJz9/Mc0Uh/fzUkOU9xWZS5y S1sgP7tcvA8LuAa+ZpHkcL6Z0iQD6sDV7EOGUWnMh2f+mV5zBfqQQLo8Bff3j0BeFj4Zba+YoOJ+ lPlJ+j+Uf0jiUj1fKir3vJdvAUqdBO7mi2aEuaU52eF7snvLHHuFmAX7sxW0LBIFCVXBVlJbG/LR zb5u+nOR/Tt3QmAN9ngDivHikI+btmX4FJXw57WeBK67LQ7MlmDLVrL/zWZikXqf7uSnFGm94moO M1DK30R3s7RRBeUjhp7M8RW8psxbKygG5Jd3Yaycp+9LhJoKnNe7u9eZ1hBkueY1STktEu4OJbcc CTysoSieJBKTFjDUKjGJSrCr+jBCN3g+nJBKsAsGD2z4IoVGeBpIcBh2xFoO9cgQCMTKMabZFn/n F3SNnmUIvXfVqDY/zj1bi+vcw9lXrjg/hLQ3xgfxPUA9+5Ty21ZsmH8RYgYnDLGOAYFOc2e02snD RZSF4uL1Ly93Ryz4qWYEgLwqS6yrNFp+TnsL7O9FngFIHuzyLOnVzfyFkGs61KA3nPUdZ+jxRTCv jEC3JNwobWyEgD9Fda2diHlK5PZ6fr+zzWEEI6fURuppawtYb8Uu2MqFwjNrpO/pFAY1LJl9sVSf nogFEXbwFAObhPOkAZRbqPKpwxx6H56nY6tV0lPwTWbJOZe/dJaZ/2L450tYN9pnC+mj0RCjFBVI w6YqkwL1O6rO84sfaxeaudLGYVPvnI0FqJz9BzC4/8QffSGCDGSTNcEW+3MvEcK6Xj77HnkwVE65 E8eaydetEdfe8OSFu2AK7WPTv0wnqg9y/HFKs2vMHLhm5Y0pwX4vSbmwkyvZNnwypn0ZEx2xMVu5 1imVku3eZuNEym5v76+6YI44W14fnU3ji2pQWDbEyZ3XKzRyECjOwFLoN3GmN7WeX+MZiX58OiQJ q/NNMVvKOuz6kf+znhOA6utDwcjwYKe5jZZZBQJ3AJBnlC8bZjmhvX6m7VmEua/7sZb6UMn6EoqN NHpFy7aBmhjIcz7tZVhDKbERP0GcOVzK4xJOH3AXQq6L9ZyXtFukmgNKO9jCAlv3tx303qr8OBGv IGXxf+OOi/cE035+Eh+rfO2hzgeXDIbVep57Bkx6Y4WHH2DMwqvRjpQ3FbaQ0H+6PBBCc1hpLXj5 3WsVopjGuC+28yIhw8bdEVtmAHTuI/75MJO27O152Z2HayPKiOwI7QaQvZEPokvJ1WrJZBNnnffe HUmkCbnTRGgyXaFfUkzGWixp8VAis07lROZfVJ3k+M1xZqGmnsK2U4tOjPl0YIwoN+LrhW7mGJP6 rXk1lHvCjO4LBlFHGpyDT+f/aWyPKASxpcr0SVyneznGrDuK2rK9jUJvXJGJqdBdg+RNcSkM5PWc 5mOqQQyr1VDuX255M7439+IqOPOOTQDs7TRBfUyAV4EAOBPlDmeWN9Flc+LGnlmvuNvk4BwR7mX2 6RjPMmcAwPrh5yTRUE3Ln2bzKaWQ5OraJvYTUHPD/KwgrZd4psya2TgkByVZis0QmsWWsW6WzZ9A 4r7qmIN3xF5WuaN81lRm5tDiOl+ekDQ1Qltl/H4RjACRE8Z8Vl1vHZ+r0axUv8TV7vxi0k94y5rd 7izwCjham4XAMtEfC/f8zRzEqewTFvMdROA8dplATmbOiT45LDyXJcEnUUpdW38FGSsu81jxLARu B7mjsftSMfI8TiB2+Ey+mRnqZFXTtShrmzaZU2pNpZLG33B6G2jpE8ElzjzaSxrc5BuPqWfxwmVp NOl++XJtWd1AKtta4O0aVBjorEV7bX+hI82xeIRlKvQl6zp0W6PC02QNiZcT6BGZz3vJebbk81FR /as4t+5DW7e8RcHrkTexMFVzCLxbOkhZuTFzfMB5IV/K0yT6jVMWd5aZQgdtWKXwrfSFrb5opm1w VzWtWjBChSRo4eQtxHfwrl5lN+kVQzS1BwVdob2dCcHrCMJ0njZq4uvCNey2FgRDzR9JQmbr1DCy OAEnNsD5yZWOJe5IJvTClkQ+v8+3Pxniuui88avUpx3S4I9zbMxY3w68nFgO7PXl0HpVCyEJbZg9 ygEhH/CZUAifseinOyxD02B6Q8uOEZT/ED+kACkvXCZIrjClqbc1xk1cS2ZtawB/nD/s9qMELKV1 xOvpDr/poj7+NzMKnEz6fUx7iQD34CEe39lukgBRaASOwoQKbuDLnQvn56Bjkt0069V1D/CdjMaO tvXf17K9Nv6LmnzvrOU/5hd+LKQ9hBDGF8Pd3Rty/CKna0n1/OWDJbRrQkRc8Eun8ZTigGiQk2ns k7u9ljWx0qxGJik0xmW9Aj10+NMkOfavqjSDmgX828sJzk+pqHqVF37803dPFD/a+in4yIHWUfjz ulMaT2fsDRmZ9r+bx7BRWfW8Xip/M+Cso38rTJqZzUsALBPynSTRrP852epysqqEiqrucvlILksu TE4ppg9kY45zFCHeyHzSMNaWbu5xz4nqkzt75U6oeY4Dotz3B4UyrpM33B47pjnI2CoPd+vbZOq+ 7dVE9vrSJ5C74iDzDevCNSbMAB75E8BcF5a92zvIkQ4RAhwS+J/sDsbk1RtSz7iVCDTf5du70b9g s/YgRtXwA7b4yZs4VuQIjYENcPp5kP0a2FwiTqnMLhNDJySJRYs+2cxzOgVhMaFcFJVpi1TBq2iK 99Hmqxo0KXb/mUu5gCzibFJg6OV2+AfEvxqfW3JgfT3zxWx67OrBe1VqDgQpXzWoDTrEYp7L66XP bj2Q5Ya24M2Pnfcoa0Y2OGJujks/2hi9SJ4ifZlMHStk8TiZxFciEDgwS7HZ5hT+tJ3NUlTZvy9L VtvFYlG7OnqsYZAJ0PYogHLMRdRnnDuA2ZwvjGL3T1YsI64nPv/HxqHw8x0OndHPBhILU3UB+duQ ychNmqV+boC+Nu+tJy50ZRGFf4Wg8xTaibGfnfPRL18YQtEPIt8HS8wbc8ht7uKEMwZBQLPK/5T8 Pd7u/uMwg2pgxOmXg1i2DrvIUZ85UuZ8TYdIbx5kLOsUp2XKh/xSLI+KMWi8M5ULhVZc4zeipNfx IYUvFWR+TmDpVu8jJLxxpUFi/toSNrRQfSwip9d1+s3QQadOvmyIhjdNULmIq+TRx5uJP6awKuWa W8GIcZMaF2sb9Cnh8P+FCZj9/NQhZ1SlSjtDtZmx0apFDfkwggznxyBgmfk3YovvO5PFS04nyhYY KoUyGKcW/qCiK0hLRp8jWAhilgah6dM6Jy31jfPhPe9XbW9HmhCU61RASt3Z79g0/hY0K18IbBHw Wh9qf2nzUq+a/8zftu08HEJ710W9A6XgCsT1AuI9sAsrI/4PbjSPmKwuogOTzuvRN8Ji0AfL5lFt Tbwr8cSKs8EOkPcJf+39a1u79FQQU+qke9c6ttevhHSF50xd12Ti4lnze9YTbh8l8sh7GzB/Plj1 996gRqfDN0/HV2Wtjb9/GasBZZWuiF+JxKY5gFbbXr6Rgo2LnUbIzdNBVylToIE+2UiKfDegWDL8 hPCR3817Bw+qd5NuF9ATTTQ25oi50SVDsA2p5ClmVt1KClmAUNJRm2I/xd9y3W0SW+Xy3zMPyJl7 mvG22EJo+xGgi/5oJSEPiCAKrOQ+TX5F+CsWboxcpHXdcGfQWHD1mwmA1hsIurA6560/hOmcG8mL aQv/5xjGgSd8dj1qypm+iJNw0DC0xlKGwUbKkeGAgI67JeUxIIcGTnOiQ9tKPzFNecMaUm0kNDVz 9at2LWck6E4zu1M5PE8bG3e3dpqv1EZD2S6/7lNVLHWb47nNhqFrMwBtaAasE0KDKdi0BZkCPi7H XheJQ+t+xISaePae1Qh13VF/EOxnUbHKwnhPQStw6/eqEt16ZlconQLxLmW5aKYbNlQRW9r7ZyW5 D2DbJ2gAubV13NjJUvgzpcVX2C/0YEgkiGzP6n+ktUWdHFtv0d0OARuLT3FHzvIFBXlzLyUC7O5d tbqJDFWakP79OQVcARj9stLJVS5HUhRKGLx34ItHqpNuvLbsJyZGQ+aPhipIThGaNvODM4gHzZX+ MJhKTUvuc0+QNeBBKWA3KLOG0cxwG6HSyS4/McNEZvOZjzC60BccKCGPDesJJFl275I5LyEuFDYJ 3+Tb0AkYK5D5J+5uwAbUAc77G/BaEYc1VcwCKa9CXRTgQFavdQDrfRC9vn40yDJeb02MqG1ctkZd Pe8N31ROTsmrAoATjtAc2llzxYkyTAfP234KWwvrvRoWS983VzaqK1kNAuZZ0FTnEbAD+0aRUyiB lkwY5jdn5jDbld9eS3agec7Rif7/zGJD3X70vAVZWDROTvNoCeTzl0JVS5RJXg6tAPdw1u9MHYmc T1dufvjctTv3lCOUSIrRKdH7aSt5QkokS29eyBtMIISTrnuOB2ilnmt1IZkrsS51WV4jOen/GTNX o6J2sGfno1YwzNNR/C6f3nWed/BlrPL7ouc0BaKsd/gPOOcv1kX5zgmgGA3EM6HKVaz/30ZAx8xl gspZDxO85eBWXK0gUFMKX8Cbxz+H4wdb0swKnTEUDsfOLaqvW/mgfOTLYE/aI3KcEw7Rjy6LwsRz WG+SVTiKm0iv0JkjyhxI0eFAGwyS9fgvEw5SejwCYYVtO/6JBYGybOveFziftVendZF/TE6Dd0w7 w37inw5VXl4pXA1IRMhnLGA6BDhgz92aKXx6awPTQ2JrJQwtX5dLLr9xSarihE0tcQe1kgJJhOQY G0vCRfoZ5MDk247xvi1/XfX43qq8ywcOl/HEuFnTAq0kzAIiO6ne6C7gGsn2+49yZURG/DxY8xLh 0GS8hLWQxB80XxonCJPPqGZMnYUWhRcCCRREWBqBJL/cPECnkCsZW7xAUZ46xxAphyLBqwzkfTiw rb1n5UCq5acZz7ZmhQ3DqgDMJ7e9t2BuX2oVOMREhalic8hHGLGg1aYmANBD0Yi2j+MzpIcbXncF ky/ozGIg/y3w7SjZvQs8Zzcm/ZN6b3X0SJs7psRMoX3gwFTupdqBbpND7S3jva271/LpHRyBGQCO GbhPn47auWTSMvEjzImV+gWnrG+N4dLg833ocy1lBymUiVNRNl4maL1XBlktvOxdXi6ldMpnxB08 3U3sPuOxnqIDV7bYOtxQRdxepzx4xNR5MThwKb9rc7Zhp7merIVBfLdB+sxRNKIBVBWGv5HZwCKI 5+RFotSfHOVGk0DZS52Wqv18eHVy0Sgr+qIkqZ5m9K8duYk8KYPuld9lA/gBpWgnkl1myFRrzsg0 m6krq+nZFLizQF/y5crsv5/ZmQQdr/h4mlCEd+8QvfzMnJg96cDHnxKrRmdPQv2j44m+201bg/Uc gAgTXw10SVSnoCp+3AybpAGYx5P3y8d2MBp1eLaAUPHdlyDBTecO4jwtQnVFXGjrK9gn8sgjaInL ljmRBo2dAKJ7LCHcUU8OZ2d3tlLqqxOejWYklre4ofLCxABU93/yaHixzqF6z8rLzjVjTO0AxlFn Xw2kh7q53MkhZ8dxVxOgWfI1DQZeEqlVtTCkIqoq5ENBuZbuqdwu/HSmwEVH6STlHQw0zZOaIQnw bVSq47iNLRgvVFhSopULYDJmg9togLlLp+gyIb0cwM40jeJib9V0E1NXpIX8PiEjqkNcpeyRPV70 ndAdMXd63yJzI5+tKERoXPoVrZghbpNk/LduusGCc6wm/Nc3bmBAf1HG7dMChL4CNc5dU9eQRaVl SK8rgtRuzug+5eFPfeR/TU5xJ8qyBTTx7FtwxV1viaLh2AU545EClo/iANwPJWTLcnmRAMqczsBB IMemJBqEFL3BaQ4yDZLYt3yr7GIdQI6IiAvNC3gQf0xJ8sfuqvCya6Y2Ddp5x3K6muTEZweARwDG qAcsKFbo6TKAnpRFMa5R5ZsSGloGNJdkQOUdX9kIwQrC7ZfqV6isNj+WNoce3K73SrQlIzvpLIlw L2Uyj9qBZHIfKNtv/Cc3pZFsdax+0mFm9i2gty25fgKjym/2ZvpQXHyM7iZoBRPqTgpeOse6ttPm cZBOL5tZcwqOWc9BAyAMSsH5mJIsl1geQvgFTP6JJvlQ70mw+NZSU7uUt1C84DGuPL39Y99qoF5J IHbEwlfTzDj2xoPmOU3o1wicwy+maZRV3wJxsTlpSdJNVqk2hCQQpcwWELsAX5Zaz64QMwe3yPhg qstw5LcJ4ns1LM3DWbOdpIKzZgXpYso36sZ5I8Lc9KkmVb4lT/qsHl+88Pk2ceFFAEWa/Pn/xVMF 7QIO7Ocj85BKIxcjEdAbhmGZS3dLJujV1K0JYLvu9osBWN1OV1xdE43rEXAtY1ZE1YK1huaLAVJz bH66Y2+W5aGW2mdUz73kjfwcvmyAi+KMP/o8wxbvx8zPY/4yLV3dOuAK/8zj7fMFOusGujA+bOs/ pz0Rei5AFsL4IxccYS3YrC0Jtbsi/T5rvNLL48WFlbGCNFMpwX+yNXpb2xccFo0Hiv8tfQJ8MS8T XYXPECXLj/1Cg238F4ZDAc67m2fSAaVxQDE18/9gL52ZEe8G9YGJ5g90YWIkDLo/nFrblsDZMIS4 q3f7LKi4oUgjSHyJ67LXInSUYXB6cUnSlh6evUZ9n94DD0wJNFMTmyn/Ds247R9GSmbpEx2EtqYv QbPutF0iIyVFmy/Q+6PoA4Kw3wx+7duSzpLj8oOVQnlEVzqm4NRk+Qkw9OmtCzGyONiP6KCBpfML uXVZ4MMy7YD/vDToZRBEx3foQH3mnxdDniUYc6pevqqbip0GnOuCdh9ieDBNnUqmayGaj21gGozN P5EsErv8PFsDH1ulLBFPFVLVeqzFtimLF6zIBdL3tlNXmdu0a+pbl52Y8zfJMHJbtU9e3kIiB/G6 ALutwaT6fzJ75Sa/PXPYbl5OIYFLj/3+knqlUUp8bCYXhOx5v/zFa64ntehuaOxOwS2FEQMYqeZo RhoJ1j7X9zKeS984lpu/tzliPG0WMq3TDqVGl+9qCmXR9dLj8KsK4ucqbOJ2UZlCRPna+C0fxOm8 J7kHHMBZ9yVEr9e6bZEYTt1T54LaP3G6/3x2cxcC3Mm9DO6EGTnORw96t2wtAEyuhAyo8WmcH/aC gmGFqqt8mNKRjrg9YlrTvIGE5nfu+AJM2KVydvkDegFLC6V+MwicNiV+8D3LerumQDfdi3eHKSbe VYaKrYfbSMjigtCMEhY4a3qPTiZ7et/RVQy5D1K+McpSjm1L/PU5SIEZWy+Gg7MKld+NfBaboRq0 5L5cfTQEOmntunGoKodSGZJ4swHCFjeXguW4xM3Td6B/IJbtlZY9EefHLAzQCEsfkb2cIs8ErSCb nspxXRsdq0A4OMjsgHY1HpWxWNmVI6mo2x8a3KN4npQ25fFTlu8MtXTBIYJ0RzwfbmohgRbtMQFg qqCKANSpV1WA6jGDjf3lIbDa/oVh9p3kIudpmfpGoqxKvI9NiZQlXbbRmy+X8EgKm9ztrYJXRK3k d294ADgL26ZadXueNwesccDDuhdO/010pRiOD/Ur0SO3C3MW8i/ReCK6LGb23hSQo6Az1xj9gjqT prcJ/G+0e2exTxvUqhZ7hkZQWHMNrZKHrU3XEiwZUuopcclwIRfXLTTohFCr616K6p4Vb8hYMaiF aFIT0qWgvOAm7HE62HAx48IjJWznmHuvTFhJPhWedTDDXiZypkarQDVGQ0rJQtS/vIzrDN0zosrl KKbJDjfQugPasm7toenpTZmt86zO6Pw9iW3xyznI9pr+ZRPtJiSU9uLoxdnURNn/tN7lF7X2F3Uo Rwf7t2V3UL0etvZnH2SPaKCNAdnwlEhszh1alrvWp6Lb0HfohWg9+0NwXWI+t4Raj92yBAWtWBky vHGY2M0u+yYStf3RoaVY65AUFEMYn41k2DLw5dSqouFoH5xT3E7stOHtpGCX5ZKLK36JlNpmEDKi nCcux1Lo1gKLAdfGRNdvhCZ7mc9i5CJjsfHh0N5ty5HEn5mo0o2HG2soFD2hOeBpb8pkEMZhSQ6E X5Ylz38kezFbOg4OJXfeGR2r46Xq46E0VM+2Hm/udiZnqwCNML8crWrypQ7P1dlodsuElbZmZNqm tQZ32i4vk7tswD2qFK0c8TeFGEj6fQKja+ZxeZWquzL9MHYP39PLFsJvwzkaovUXFL0z+xZ5aZlm RKGxffusL7uH3xa+zEhuVMBOAE/oQPy4nusGrpMR7uxIT7wy+EUSzV5QVcAYZVpAJlHVaHpSYLYV afe8U9evLptw4eHvVYh8XXoYoJ0NbeA1NtgcSy2BL8TLwaozCpRmiIZ8kfDjCgOU8SePezW7pd3t CnxrGiIE3Ap18sCiMHHnrv4qHR9xLGeiFMIHOf4nm9wXBRaYepgyBJ17dU5TnckcqRHy4UuH3DDY 5Y3cm87iHZMOb66oVTtCOjAr7268nvgeLqHyd69r4qOkmhBAQ0m35D0sB2H2URFGDAb8xH/f/r5B DQRbX7fkn5JEytarUv8zFpmgQQ/Ff+EQnaRlkmxM0mUXKTnDGduZTKbhqRW9Itfsbgzq1pCv9bBk J9V9aaWSmRapBNOlW3ixInGCH71Pokho01gFmjNX5EqNT3pnMjSKDAVzMRYssW8ii1r6thXgOIIG B5DY6uV06Y5AWAAAUBv0PzxHoTsWQPelysC9S1hnuwX8ibh1ghfiiRkfZG3NQHDo1+29qm/+GfcP cjtd6RTf/O71X2YfuwRtLhDbbPml9YS1TXwxa919EJNaJ83qExsgkH3Q1ioAQdbV1tr6yf+OKYYj /XZD50YiIPZFXLcWKFUDAf+WAWhn+/TRN+tn71d8NljxEB1jpgeHi0Jd1NyrotVECjI6sLCRx/9S wZ3uTbPS+VlAK9ugDVtomRZysWmtTsbSGGQgcyj9K/pzrMRGtHxNIYQtCJSsoMnFre9XWUczLLbd R06mm0OzqMhmutdmyD9yK3ISLz7oGZD1pSH1H24qCy7AOQr/5gB9Si1S4IrU8VuZOwXJMNSGojWQ AUO9ITpQpuOduJrI0dV2NqLIGtg4OB+1HP04QFIjNJvLzC1AnhMTTC3DSU+UXj+QMOfspOh6U/GQ WuzG2mgSAWcecOP/sa2DCF/1A1tmhesFy8nHRi1+Y1xzhqKsofkw3KpXIkpg8BG7sbv2ImrZm8uJ gM5VJn2Hrgy3Bhh8zDqiHjTM2c8qeMeq/JiagmSkjt5TQvNWesZ2cuqPHc3jUFqzmthr1BXLBJ/Z xxVT9E/udhhDvHs4st+a7iN+HPAaWRuE94b+GMkBpKOi6FR03WWGAzV4WVLlwDA/RrwjFA2tJ+39 kAW2Ho8r699T8edTP8C2uChfx0RxtLVDvfePgC8r2TE6NoARpumcJbUScfO9n9uJoQyVpQHaewZh gpDGOIxhCDCBD8nqtahHdX9m0nsMv4iq5SghAlkyNL3u2R29EGKqykJO7KUgZel97iO9D4FdcV4i o4ClXrIMo7jiJp6dqEtqaVRySkzC9BZJor0CDhNlNwAcQkWANBCjNdjPUzlJRKYBMnjzm5/YZ+xm GTsW/RpFVbL9lwFFoq5cuWZcYLsOCXBvD+uzne585RI3I/wn9Z3uULxS0NSf2E7n2gIf5f+/lUzm iKnmCEABlGEBWR6uLcb65BBaPSa55YDuULRmQB2SO8Y5CHcdx7fG6UVEkJ2zFzjlroIpMRbNwqA9 86ZXL9u+qXkXHX94zeunJBRJeWCw5KAY1eidaGsXsApjJucMwRgqPEIyIB0gWzF95KrN14nUD7Ua yRx7DAxpjOF6Umxb112Ujn8W5wBgo9tBpn+jJ6NxAoGxDLgcA1zY1gnmmY5BloxwbFqBTqlprXVB +bO4/BWiXMnvAJ33UBBEg6FwRsvG7+alYmRk+27sZC+pxotw/QfW+3o/DKI84/p995DbqLwXSKSR rt2nbRoRa/94my42zGBYvUjvQJ3Sp9DcHMk3vljD+qr2NwxE+XkD7jLpCxy6A2agbCURlOejbixM B4unfwbquPhdfNGSYhFDk9qrmuSZK/EOl3jBPwCAZddv3P0PchOlHN7y0zLTz4oBlvdYpuXT0mhq fyqvKaf1gV43aTUvETRi1tYFcPXJV1GnqPQldQ0EEdiNW6GUeMioc+mQXWNXumogEf2KheFh6ai1 c/IHXk73cF53mnTrMIoaAzuTtj4+afzdNakjWf9rX3fMw7DJOLqgBTDS1UvKKHHWz3GW//KDaZyr 8wVwgzuPgv9XnmYMxgkfFaNJ6tGqcWGrCthZH9PgYp01pVl1P1Hn4DHmLYtQdSc4+6FoaxI5295x 7XOlVI8icfzzChrOvY/VZl8VN3sxc/35ay4eYYPClB6C6wpt6GBXOfdqh7UnInkUzxQTyrZggSR4 D7z/K+G8qj5OoIwkYxmQAZyPFDbZzhQr3z9vI0yQv48gkn4myg+on+YM6ZHGqGOy1Rzh3Aui+VnR Pz6NkhFA710QVd4GRpZTx7pQdU/VHVz7hQn+5qdyL3MeMPY0pXz8jF4Pn3hWc8g/6DAnu0Fknjc3 +GwS8fiZAGoAgdE6Lj6ogGYbp6EtPByYG+R6VJo7F60rA8twUkRJ6CjQj9s2e7FCu6UQF4iywPmN gBevlwNPWbBnAMpgcYjMsyQN/sdBmXrcJ5naWfTdxyMY2pjLvQZAhyNd0lLDQypCFbhuHzR2zIZn nE8tZpEEDyhuNFRV8uC/osJmVp1UboTtKMGKf3s4yLovmishd7T3sAo5urJevFzQIuwy1vFqhBgb wL1w/JV5daZB0HZGKmNbYnEa+jeaXPfSD9qRCUcdQhvbnWuO2QQpZ2zLhZM5IeAokZtLvGoOajzz qI1pqlktcEpHJA+QWVutSh+60134jdwMGSdZv0u1R0+9mAHq7TRnnbfMeReG5sSoSLq+4xOm0v8I 9E4takfE+BnphRcdCL/GyZM8Df2OcfIIAZsRaGRTgA0oDHCmke8/tXG/TrOW4N5qv6eIa6V4w1ae QMIpC+JbvSZ3zIO4U5KyLSjwMuiGVLbQLutisHKwic94Wdgv4Wa58dSRfvOiBEqxuRUihaiDqDiZ v5CqBCtr7BnZPHxA9Plz/NYO3bLR8hDP7YzaJeu7oiE3BmIaOLiFX31Dc7EtiMjNqb8wrofF8L95 rPCxRm6ZZpiywkeepWOEvvBWzQBgYPfDzoNEarZtxzKo97ETUMihVrcKItajz8XBCW+myiuPK2mN mMUMVYE5r++AGTScnrOjWsxOqZADXJ8Y/bo8Ld0DN8FWNw8z1g0ZX442+6/Vsdmx5hzK8WGG6t9k owiVjX8EHN4CaKZV+/xRQ1EROIrqsOBbsRGVl1vCzPVZOG6EG51Lb+Ut8mS81ydhebVZeNtlRgpx 5Zc7epduNYUYrXN4iIG4EkromR72mSj/znmbmvk1QWk4fcorH79qa1czUKrvxayuCTZDqDiajy0J Q95di23oCFjn/pJ4k67xMQEOmnXb8rkIKwy/mQ9Y6eRnb5BMQgiUalBUoAtu0cJK1mxiUFWYgw4Z xxRBo7ppm6BGszDrmgwUkTd9/NSsuepkeECrYaH3Ojxyn5QfLlPvNMkvxnUOWozABCfDGTWCqGgC 3bpMcRFxWtv9KbKkBGWyiw9WcYfEJMke88zcbjXJ53Alpwy1SQbuWACOiaiYfnM/Nls9Sg0ZJpQL ++/yZKPWDmkrVF1pVVZTZ2ByGpE1fq8WK+N1vLS13RQAhUbK+V/5ihdz9xL0vcz2ZH77yu0s7ul5 09zAZNt10zzPudyXIdCcdM3r2zNcvBZf7oORnPmTkHfIaVWMRKqKV9Vs9BXZlzNiqkM1ucp4u1kJ 6x3iz5Yu9GgiYHYK/YYPinIxzmT4ZC96qvM7bWcufC4m14foDcieSj44qd1KnrvWpwi7hZTe1g9D nQ4a6PgNFAjAQf12/xGPMy9MkyXPb5Inus2+Dy5ueUBH8RZiLc/F6hhMht/2Zb70ndCq8GerbcEV g+5vxv83aTdLT3OnZEyt+CBvXNlZlM2UfKDX+XKRLOphvtDtUavRIbgf8kaQLLfwOfx8iFCIzzsW W4y1zL9gi81I9yx2doeldGHpiGlef7SdnT0kQLaGy4ZvukzOcvpsrW3QHaDggg75PdImrZZOAaDK kCz2eGJIX69LH3Vjq3YW6D1tmgoE9X8xIWgyMxNKWoE8m8U82nFerClBnigyDSg7hRH4hzS6omF1 BU45HBkOiU4HbKU6gHXPTWjlSvBZ6sI9MmIzSfXS6gf5OedfOZswk7S4CHJX7j35fM2mTruCynny st/y81rquYmGiWIDZ+tRc1gwnWqmdeiDB0jHFZEsDzl49VCAtxzEdRJ/vzTx+IqUBQEdLQ18EpJM nZOaTbtWnH1QrTtpkjM7hgJiic6a/pyJ7TkHxJmGIdrcyjiN9j0qyvZpX7uVOBpH9+wzqip+GREK 1pepb7Nb4HkCwJhwGVl8riGUGKcyirYyhWif8UkqbJMHNKiq6XdPjlWd68X0Wa8tGRjNhs+/uq7+ 6LlO1bQ70S0BEyjZbHHKOoC9CBZ15KNjSV9m098nZkrXpwOBuf1ayDeyzuIWs8kn7szqUVlOYYBT IhRD9AQ5vSKgbW3C3S/cX86qFCdFOk+UYL7cCdsp4qBqNraSrmxU4T0Ucn+zDh3ug2NXXoxMPDKR OiwO2YeiZbCQiwgJb74PTy7UIH44ETonJvTWISoad6fXCD4me+x30Fqbt39PHs5J8+8B5SXZyvAD yQaozujQ91x29pUcRheiMzHuWrkwFmIMRU1tmX0INSHEhAh7dvbAHxTJz/rcdbT8nKBHLxJTrKX4 EoZEIuKDCpKBuCNFpFC8h5c43iaPZeBoltJnVjeQ/N3RybGWeiC5pV4qr9MvnXHgm6xfrhzw+Hjt OYe9XLFdz2mWqtfO4nX1j6m+W48yZpHY1DdFAHRSBcOVJG9Sj+CL36c1dFYRZxRrmAPx27XoyJ6U VGEGYcNZnYGa43snbPjnDwq9ROyuN2ouPQ8PrnRpGn41HlNUTiL0gQ9LXywMdZua3KGzQbKRnJBh TDxm951p0Vv3IBXOrJPpS8w64xQ+ceDKR3VrOQWewW32cdWyHmRPOnZZ5zLNU2eJBHYPSL2PxX3J Y6J3YkQ6GUd1NCYxhf6uJv1NaeaHZJ8cjJ+fwKs8YbZEUI+CD+Rs0ueuOjI3ijOAOCOr9QBk3DR8 zbJNeZUdRVdsbDZcEurircfwfIw++7fdRvaxOCIU5w6xezk3yKy1SmkruoUdvWqV2TLJ++G2sNwO eFC2TAbNovOr8c5DKzWMl9ciYmwelFqP3WUzs5CpU4ZF7cio2A9gsQWJ5NN0clKYJ618GMIipG33 4craKfmmYM8br5UfrrCK09KV1rv8FFViR5ccIO0XFRoyfr1TMKXsUy0x5hlQTw54dQ/ETBczUmTZ YvzoQATSnOFiyKQvjBhrqznfJCZYGkH7AaGrmjJQxUwIHQROvz2pdz26i4FCrnshdAW5WvQ5NIHU Q0uqLGkN7QRJ7dOm+pLwlrM1Cw+AvmGlph9g4q7/I8QdgwNe3rFOttwKVLtgiVibsCpJgAWx931S Tsq0HDSSSr8HKoDR/J7fUPNlqfRzLvcW3FMoE10rHNO0ls/Co2bTrreFXaDChlHt2Gm4u7A0BG9l NscP/FqSiodPXKhmws+N9DLxOEOhbfia1nOP9s6tmTkv9Xo8UrbkzjaVo0IgWj+pcsXruZTb2veu J1KGwy2yaksAuvOSPtsC4kDtfnwgRN7+9Q9bVrYOk+WYTLmx42eGBTZnxy57rzVfrtkvy28yCU02 LKRDKkEYXc3sHNlRPZWriT//fq/DCNtnbSu+KQwZH7ZH3mEhABzMW9D1ErWdhfnkpbEZAhhqmjVk y94uPUqW54lsNn+nETUpgwdKHO2CJBPZGjxsALfCWN2LBhkfIhMnu/S5IICNn8bt3Ig27kvc2NXF wQ4xOMnmfr2hIME15C52mVGtGz2r+cSilpdrbp7ZNBljo/TGwBTijufmwHFCnKsBONW6Sllx+hHU O6obQdmz5F0PpMQL4vZqWJr9CIpBUyicpRGh0hivLF+L6vHnPnwPNCgNnZ14iRsCPKj5K74l1mD/ lK9v27z86UaXF1qYSh3O9djlMI2a/oWwgYWlmGkOLArBQdiWBlJ0tEPv4dLB+SIjHM/1G4MmJKip v3qJnuLnFRWbvLYPgik0BihQH4pWbLzUSf7o0+IC+MM+8dJrChS4IlB935hQKCm/j7ccE6GuyB5U 9XZJUMpnU3eVrNSz2GviK/qA/X1mZ5Ec7JOqEI3chz09IyDrTEaAfRVJDJddQdDJbONYdQSuweaY 8UHdFS5yYThvz10FoSfmf9RLO0VinMga4yLvgXI5zjFfD8BE6o/XqqrfVgSAks5r2xD2RMm5ToGW P7Zw+ZHgjC3A1r9AzrSHCK1k+WvMt7Il9lEXkbDIPx5g+ecbiYEvin1OufJ5+Ap28eG7QMZc+atv riSpU4WKLQwW130udFRTKvmYlm40jVei6rTZchwnWh5kCN/9F722z6FECcEr31blv4bBE7hDNTZm bsF9/TM1Z6HA6Vqj1JgESV7U/maZHZrubStmnNBuki38MGRHmk4ggazzrKonIPAsYLTUTLRJnWrF 9k/h3pMMz9uxE0HdaQbr2FzxNIErw1f058SVwKZpgeQJp0OpbgNI/85/O/2bPCIa06RuC0Y9UnUz 27fxNGLyQwAwJz9zkMRWYlrv5+vcDRwbXG82LVLx8V8X3i6qKISWsf6TnQf8naD+iSbkiwTYHag7 4vW2Gk5oF0+npu5KL1XJV7Jk5VmAz9n9rf7enKwT80ddpG+NdU4A6g99wjDBUWAfbGc9+TMDGCzk wikgYl2ybFgTOA65BXCrmcxRHEnYHJfplCeU9AMW/ci3y+D7lA63DqAhXjRcLxChO/gjYvbLby+U qH/axNBfdvjTe9EJgo0RnxWWrtab9btNWdyWtUJ1/hhTTLcTLsy24wQE87xtGDYPxLxwm/oDbPGf ZnHJU4wRRaFrS5/ew5QwLSkQ+iwx0lv7my7rbXQnkJq+aac/lxXQG8ziVL8OY1f6zDraypfvy4ym K27RxKiGKbAKPieD9dL5mBh3OcuEpJmpxODH061n1GwJC5fugreWnpC0YiUUUBXGcFNLZY7F0mXp f/iVE7rn5g1UTXVVQQS9SEUzdnKFo4zSVZFusgniCB5l8A0k/9UMBZsebNGgXpSCqVUpCRqDznzm 6RfNP8ljonXz6ksUWcN/C/dPGUcOy0DRXPzt2g2TEOXcunUZ0+ufxDbHHfAS8sYCncOlP13Zb9Kv bMyonbzmPSYNw9xQluBXfGUiHY3W+544hus1FiwSGMY1+MSPOQ1iQ7ePjcApkF9iuR4vsfUuWSKW jwphIKK9RXc+p5/x6pXZuqawQIhaBYBwz7ysPN9HrsE+z0frrjIQMY0d/AGSGCQ30CEfIuyMIaUI TP9LZJbkV8MjGY8uFymCjBwqqiw5+pbC2xKfw+VJsXHqwo+LetMc5PfG3M+F66RQ/HJYWwCy/7lF uOdAe9x1BxsvaLUctlPDKrHzIA3aVIhGWrN2Ntvq3uIG2ricQGr2bW8SSRL/1AIlIC7i1YU3Z1oq uAvnICrKBK1CWiQ3Q6il5hC/WL0yTrFieDbUHjJHmVG/yITStNIVqKYDzJHp7rn+8Ww8cWUOYhrf E5dhic1i/bcT08UGk7ptTRkg1uwTJ8elGCTrpiO4/UdWGQrrqcFOLeINxsglNFm+42IuWs/Hnm0g Oow4sd9Eb72RkwQ2J/H8ooM0Cr5uId43ec5uRgirF5hN6ToARH1EWDTUz0g4j4mb1qeV8OfAZQHB KN0K+IbTajDEVuAilzp2/bokZ1VDHjAmtOTOUJQvCKDxqjwRDYcDjZA2PXnA25B6PfzndbZb09Mq JiePpfJwriwXvwi1u+9ZztZy6dnB/GJ6hFgmUWkEEJVd0SCoVIB9F3D7BYL4F6dv7nuxb9uUveEK Wmr2pE37d8NWWsB4Wvp3arnBE5i4DfugsP+95f1vDQvrahxoI512ZkOQD74csezAt4VWX3Z4A7kP cZl8Ar5Y7TbQ1GnaZg2kpHoqK3b7HedWgQmLz2PBYg5a/2XzSAscn+zGvxTUBO9iR343DBQxyL4Q Q8mIiDoCqOHtKH89ll76cElMVChPQYMqRj6uxrKl3td2sK0HVngyl9qlf1AyIv9gY+4DPhnI1oag o8ftUKy4ZKupIUlokMP5M5r95uTPmtgAhyVPwNZ8/7OvCDQKEoKPIVEKl92o4Mcp4ddnYQw0fdGY v/cRKf8bh6IlmN77Q09TXSbAQRhYjOO//DsKY4prY543Clx3veHj+54F8rnzU7/zpbNg4o8FoS0u D1kGoC2B02ZEtFX+3MDd5Y0EyGGZ1AY7KEmizRpPhj3ACN8Vr8MVJecc3hlm3hljaCVjMYgOyHTi GTg8wiYQdIWQaKJ8l/A2dbmgH9Ckn5iR5NASOSp4EV7naBWNl+AuprShuNFSGRbeFtt2khWTFrmX ukmuRTfSkeqIPg+PzvTltiwjXovaUnfQu2sC3w073Axr7FMf/KEXFTdb0jYTcSkP4xiGvHPKv31V iHn2Es4OdeIVpsSfLNMWNT3T9r5RKBicbLzAdGlXuEuQ9P5oHw5qRa3D6+Lx7GxWTnrnazWzEQbW lefaipHzXaWyhPMRSkSKLs/4v+Kbx8St9tgkq/6IRFCu2FShgekNYvhHWkHKQ1G/5RXlB5ZnQJl8 DpwtD5XXGIA5vu09SCaAw2ZsALdSrie9ZU2hvPat7B+uj2Sie8bZqKUzNrFX0QtsuPG259aHJ4va 0cXDOQoC2nobw1tGkJ91eBMlDmU68v/qDu3gQRsuaxNkYtTipKWTNlx60lds1Wyt4OiCYcEvoUru RLvhK5JDRpF25MndWhnrK8GZP1YqOz4yzhA63mhdebARrRmI2z+WiIFAQ6qu8hBdRKmcMwtcjN7i HP/Gg3MMtLRWWQHj1DY36aTyqASkQYIdBQ/HVUBIv5TPx779rm2nVMRG8wRlYH/+f0LXrzGg1OCW q8quIIZWfsK0WMFqHL8KWFqZANUuqIr7vcOoiymcI1cw+yVPooY11r85scD+nXdgsz2gONZKrzba Z8qC+aiDFvlGwDBJKb5ZgP2hh74HwucOP+fTwjR6sQSK7hIV/4ExYVUoLaRDKzq9sy8UHC0aHCFW 818GqqEXwdlgiNiIQe1zo1xORoYfVJhAfktgHXRvRNb4lYxa9ZMAYatVwSZSO+ftaqFQu5Ayb0pN 7UHyG9bEU8Jys/ynf/asg4y77MEuHGRnvlYeTLTJbmQXZ12wZ/CKZvIxhED6ZqlrnE1vlXrAAXA8 pRN4tLy5Gf7dGp8p0jgIlmjijO0xSp8QYMzXMFLF/0uQ2BQzxpgNHcp1Tfx2AVMNPWDaWf53oimJ arYPjzZGt8oxKl39xazelJH4YyLZFF920JDMR2ohexRv2l/MjwWoyEXx9viDfw/0bffGNAmRzJMK zyOIO+4WoEQbt1/yzE2YZmkdos+DRm0fry6dOQRZiZwhy5ejgxsgm9U1/t44ANcD6+JaE4IK08rZ 2kde0l5oziutPWcN2p/17zGnUlGcCPlPdvhewUGIcZ6toJ2GiAHQI8HJMdXc+N4D1QYWNZgHZgRe Fd05d1ct/WJjHELFTum4VGJzypPopZl3kxp+5kMiCCX65lSsQAEneMIcjqr5IxFqR/HPI+9hq7VB 4hyyr4GjElqP7MOzJbhSGKdAWWzRbgwDmHB0lN+n8Zkme6QBJ1SraQbdIXa7FzcMHi9uGUyaHo0q 43aFZveS+/KL7ZV6g6OGyNuFbzEfTwxyS7Dp0Xfse9IXZLbqDwZDmrSK65OVT2s6gHNIpesUJT05 s0CuQJHVkq+30G8Zvnx+v4TJz0kFHShV69fe8rP3kvnErfOfn4HvVpBPrIkuhb6mT0hTN8Cs46WK EaYgaQ71dmawYBBW8jyF4vzSThhqMxuMikX++cpb7bRlmPRW/eWpxVffhSmraniFRrgIsnzfvpa8 8p7joEJouONdISS+LYJe5X/3RwsV3SYcbgS3EeAzGtbY1N4CN1a7Q8PrHdh+IHpT9CGUmWtI1rvM VXFsobX8RL83MqMn+TduQ0sXbNJ2MY4H7k/4yHS97cQugPD29Zump/MxTXJ+YA5ZBHVxleaQ09N4 WkJCRyvYDLBvG61oJ7pRlDrkl1OUQUPVmzkpKfprUJuvc32qhngso9eKvbYHCCe+EqcDCS+XDHbE SPXcvb3FfJ+VPojie2eMpOYxcM0zbhnEaBgpSoUrSYQHkFYwCQ/vshq3maiuDXHFphZVtUSfLA9R zhcEZt7X+7V510pKZDNSvUlwKJJNtaapBHswozuexGFq8++W7W34ZhxhFjy2tWs5wcCVYC+/ueG9 GxoFKjvKHl35GWdbA36jib+kPGJ6TxJyBPs6DMSigiML5LQq2S2ZEKFfD5gsyDUKpTu1g4QOzM4r 81ohjRVTAon25tfiXOYINMeLmsKYcw2DwXV1b8iVV2CppHOHQQBS4ODfYElJBcbUYAPB4ND6YkaL WlwYDWTeDgpBVdpsnzR63Rt6Q7/pqbZP4tReWkSXghz+rRzDt/LU2qjOQE++6w5pnmIQE3kh0xRm ieEynB/sEsvk61LXHypcglYoUsS6F4ixRTfosZw0s43N457hsYT8cskXgsaayHpbiKhymmHf0mks 5z6qC3esMptXoqtPEr72WKI4TyGgAtOWh5VqyF8K9/eD2BtHs2hq7X1qJcdc2BN6nExWTPUNXSir wUpx9tmi2fAhSq6BqawwC3zEppWMLfQyELkLUuVDqmnPRMSTUERK7N39cE800ZwkVdUsZ6ZNwh9c NXT/ikzxCT32g9q8BuS0CptNfkfdLusgBvlpBrgIxYLm20UHXXIV7sxwNXirmTtqYcG8uorT4UDE mBxb8SRpHNxdnT18d27rEyc/ZJR3PRFWq0gYM+E1hYAYDZmBybN54knfokG5GoGDyQzqd9dX6Zmy zay/HGvruUpoTvIiq58GcCXwwjmyM5uu3fSkjMzYOXQRvI13FfoITfB2FkQXP6s+vR68TfcRBUIp VfVaShxfrNBqJBOLH1ANOSs4NzsTPt6HtmLUbux1W1AsfFHNrGEsDLpbbE1j3aJxYYpe62Om4n2Z uZzPwP6anogQi355T3hk0juo05tVIlKXN2kNWI6pn9mlBWDUXtKyE5NJorOBBlMkuJstCvChFQR2 rLnvQ+CbZLTXJ8AX8HrQywbblmYYAVXHdXoVkaUtRtYu1DBso49Dm9gyB6oaJG6k7OWezw6ESyiS l2fQRWmsvBl4Mk/d3ByuJpp7MW/BVkw1qXczJ0Wu/pbUnA42kcws1A/0m7Yw/cI8NXycb3VPijBT 31LIqSFTXPk5fYfDt6Ix+1+hHtmAS2pjCHqDhNKqnpNI5a0nOc1+w9Xq30D9VUDJEyjUMIeQyu5+ 8ri0PkLPsQv4fFtOxXLLzGWK+ac6hqydpVYK5AJg/OKh8QvwqyOT+GdBzBo8Wc9JcBqXFoEvGv/W tZZYQDsRZ3t665ZwM1Q3jMkC7mdpfTgKmemnTLYSN2rRw+6ORlVKfDo1UbI67wYLY+qcqnT9reZT wDV9kzk5jii5qmrJ+o/5sPaeMpvMCRL9D1t/BVUmR7JoGkyKL57vQjdIAtyuoOTe2egB5ckYRdqk 0KlxxUpvKhHO74Eu5HfHuvX+TaH490//dS80kgyA4xGYNl2VUtzFmGpVRTYrgTd3GcZwkXVV2cHp SRUr6Yiy1eyJmrMGPD3nsuF/+3C5MD255g4jjfYwUMXMN4GP6+E7aPjkkVL0P4EbJoEmprYQw1Ae rwyF+XgUQVtK28yG2pw0kYGUMJTugoXIWbmtsdaVYNs+oke/9iwI1/8SQ5EsIHu491iWzJS9ZB/+ n1HSBQUG4V/bmSAJfvYZaNLthb3dumqFipS4LGHbHbuFA+Yzz08+fyfq0ZYdOJ8u4IcGOIqhq54g benQhR/mt43FFO9L/vnAVq9Ejdu/6pbE4pk42I/uO1uvKkm6yveu5He/irz47ZKXqp++9oYSpc4Y 3hcepA1n9YhdrGncLxv9sBuQYfc2DmbspJEMojOuQqSHaIT3vinJoJcp6M4i/qb2N37Ta1IVVyXt pXqfq4Wj1SL6Q2k/+k3e1w1c/L4XdUlU3cs99pBncrgN6dFojOmuJcmXaw0xcTa/3V1NMO5H2aiW TpsEORLqAnGAT5103r9sfzIWmC5fWVLK6mV+KC3bGDBB/ot1rF0F5VNzkAAwhAUVvGr/4HKgQEjS bE3prb82GPtDLyFg9iSFkPo3qutIT0YZPqof3k3kVNFHpTk1dy7KaCu4qZ/MRWoEEznGYrlaXQM9 JSnzc/QDL7q6U0eMqvSxbkfOLZC2cFHJat7BDcWhx4o6WY7StVJA7h6OUd7Vb3oqLh+X59COg1cm +hYqL7l1u/bb/R2eOnZrm8JFDV9JOcvjRxVKo5szfx9XwsPJHL0Clb48qNS3Lkk4woSHI1g70nbQ u3xTsmXN4/fCnUnldC+ffbfcUdMbgKmymqTQtO+iqNfRZupmaNGHYColuLijJ1IipQ6BJO1MJmns cx924oRtQU6TqRJbWRnDxqpfroeo5LcvziauH175Wixz72TcYu06Ii7zYJi/ZoWLn5+LPbE65OMj 54aTtm1qyjSv8SjSIs+QYY8RgG8w5/DinNeOjv6Hk3Oj6BHuGJFF0HL8FJU3YC+E9jpuCVQwE3gD HOfJ2mufbDtwJEZ3KfuRl7RSceX47bhko6AZIiG01uvXqTDDrktV+YCpD/p07xBwXRpOFS0j68xT WGCz8ByPIk1Eh/2NjI4mmHX4Iu99ISUMMmPZQFK2fTc5UTxo6x85N06jjKEG3ieU4VTRWfTfZcBq s9YinI24G+PzOUfRQ9VqlxQiblx8cYGJ1AP/2MqtXZou3e0UlwTwDMKF4iChraGf4RkUQ5e4tcVb hPPHVYrAnV14lN//USEwC7rXpPL+Sb4yeeFDd8uqswsZ8i5Bw3TduFNMSBJEaBglc4dMVf064xj2 WWiK1aBrxXI0S0bUN61w+jelatBkxfIdJ0PQK3swYe5tMwjGArA8Z3utI8M6b3I6NSO+yaIiKCOa 8FuUBRdkUJmEhKgVVJu71B6lgNceLZ9VAKKyVEiWzWq/DhPebTYM80BW/Z070J54Sl7NFcc9bfsp VyJts9T4KtqPTOnCXyjgzlU35JjHxsaQocBl76W/xTUcl71I6WshtnrCUA8J1M8HM82tQRfRvIqh lrTkw7qSOikD6AQny9WskencEd/c5Z/eFOwu1XWnRncrm2VcAmNg12Z+5oIF66DUvYWWLR3u/9zJ EahhPx7WkOO5insh3JjYys2n6mbnH6PlfdBQW9Hd+DkKhQoGcRIK5jFmIoYk48UabolT5QWmugVi 0+CDFS3lIo20UwYhZT3ezyRNHDW+G3GSdZiCR67Zgm8AvzsgFYnu4EX8i9PmbH5SAELSSr95vX2K 0U4QQS7Ql/wO7q5tH/l8ZGDwB0b/sHQkAxux4JfHW4VpMJV0+OP5Bp6CIhirK6d/wm0ApKlKRUwD P5jxnbm1F7hyO9vT9VyqfHThyqLVSpnUUf2iopydOa7q3ZX9gQWtP9pXR8OmVwHoKnAjyoP9Y5Gd mi+o1fjywZD5UNS2HBVMVuR4ZBlluPw8vGx/BLMnJJiCwqrz2WfUMQFnp0bow89puxw6dzZniy1r Yx6yB/cg7JHGsclFNJahzqjxwrzNnMKPNAJF+rVokysinBBYJsxXEueQ/P8UbeZlwSExl4NdEbWI oy9hnRcL2bZvdKcAQ1JeZp9/WxFgkk31w7dtY8dEeZ9CPYj33amiTbPOR9F/z2wy81lox3uo21jf JoSqkjN+POCAaNTZWoXQ/8rS2yU5Ge3EtoS9HTP8HuuCy05rP6As6hieWShi6qwRsdOF9GXHjFN0 c47wRdhlcTAdkb9nL/IkOLyYqxl1Nx1k/HqfmsK+TiUaWjKGeUfenFmD5yA3Kbh1hPReFr+3Fwap EQvqX0u3z4PQHsWYzWNgVIlHNFE0eAwMmQsANtx+Poj0FxcDykE90YkX+1Qs8PuYhaQxFjolXYMU m9ngzqwAggw77iJS4nLVwhiM1DEfZFPeC89ubujQEApgddmjKCbS+kt98f50D4UDv9QCv63AGdFq HTBoPaME1akJty5cjasQxXW+sUWNgCHc8FjwzRaBk+oP9/uWJ9weEgrr5fJ587Cwrx5yl6CKAZqk bK7njCOC+yN1FnSKqLA5qlDX5cwHMIdMnSPaBUIixARsDAl8at4U4P2gjIDSXF24FcIZLw/fgGZT LeVcD9GCy5PjGGNrzGNK5SgdgI4TP2yXT896XquL1TA9PlsKljN03lNEyRZKm2xOXWZWeZgMDIv5 7KFRBnZFVwMD5M3O16eavxhHH7/7GdoyTUb9ErDFyJA39XT6Slhx+BIlR/yXjVZlewky0FCpxZPO fLBvbB0bHL9cot4JRlf/ooIN5eSAeBkLvKpoUTfsuhGqrqQC4EPTw6y4Td5pXRONUq91cKL03TE9 nptDnudJQaw930BRIYHOxiKN2On1jMuxsoqhN+BTix+dkOSr2FX8AxJQgY5cuFOI6gTlyGdcqzqk h+1B2umzfU91MVqFAnuMymk+hkcatYsV2e7mtEFhgvEIewj+XgMn9L74Kcq64Ow6/0uUR1y/jOgD OOzWYvJzZEP2l6CC8U+Xos5iE0FgkdUwx37M/joDWrxbpyBeSRVHjnLmf56KSdBwXIHTqy/8TaKx k7kXy9qFkZsKZtLEjKoN+PCE+5NKX8Va8SqcfTiafzMnmG0o/qbzxAYtp3iZqBuPrMKMIOTXPuM9 V0F6vX0kNK4UDYquB4iaNeZCWCmu31yl4/FHJtE4yOR0zozLmxVaHzPMHI0AoFXYQcK2hnxNty/k mn+2YYi6v09bDkKqJylxGdmgsy8xOPTNaddrr/0jFSFJ0s19Afk6VFGdGgimwLF9RXM4eP9ApCgq 0BU40rS4UsOON6TBj4+yU2BgtaVwglW3SqTKZ1JRLnNjZtAIxIV8XOPE9Pa1fj2wnJs3ARsD6o/T 3/as6GkqDcMUtk1etPuWBzXsFr0iuha401u9htlgJ5CwMK2X8wRuhpV2IX+NEGJBp6Hvjpv8vzVC Wd/ywz2pJqVT2ZQRoDe1h9tuj4c77h69GXXdjTplEXXrzTMf74YtVK265RrM5gKjnj4+b5+TEUzH tWsLgyK2BUE7F5cs5v0q5OtufdJDluIYmvYgk1rGratwNbhqzr6vUJ58gCw3jW29mxVknuL54xq4 xXTVYHZdT/XtiVjURikpkZgESl54fjtrjU5UfeHUgC3kVMEuaAEddqmp+P/yHbG/MLT2CJAAyNTu gHEP8onjbuT/z01MEicAeuqOzMvXHf9GS67upgjn4M80g0DJ2hL/Z1JilGG05dRJa1wKXJo8p6FB FDE3H1Sxe/38+7JVuXsYFnqiV36s96bC8PP0yLRnbm7vmLEn6jPoc/ukS8j+ZnJ2BRXsOdMKqt/N zKLlMSpy1+sednk148njmLLxqFQRa4MJqhBhgodgP8g/lJHkfCotqk3bsP2t0GXQVhQCWy9TN15g XJ0Ts35X2S73cVYTjM/sxc1PRSvMyQw/EtddgWyq1xZFqJdLBcSvzrgBtTytOC9zIidqMDgVO8/G yy5qmZD8mVl+pWcKxsTsF73R/5nyKZT14uneIuRAygiDrckn7wtYzFUyul2sDen8TxJccgp6PHoL 71t0diFCThNr7fZc3kqNwNX9itQfGGq7Cs+lFVBnfpMouLCKqE9/7N2UoQO9fdCOn2jVD8RDmvKQ GmznajbCWUYocDzGldr7cTB+0r9jZYLZGPxSyzYYJkoSmL/Ko4hyRYuZ7adpp8FaO0mMP86s8HOT OFSS1mE92H7DyjYIAJ4NXPARvy/dUkaFZ9+DrXMh1y9dmeLvL+HsVoXP5z18Z61e0BjelIN0hG2o YDAf3QSpGSu/phGizS3bE7HDl3etkGvS+dR2nwOaMpL4BwOwY0uG+7MVQ3SoPIUqDesMsoiQS38w NRfIh5GHnA3NK4BKNrGKA1JeTcWmXMdtSJksp16tTWZUFW7rKxCqdr3EJN0k8y/R7eN0ec58JDs5 La99ZA68eNwcRsOgQAzerh+J3WlYLGPj5AngfYV9AgmmLLdoAQynTO34I+jWoWfVhpbXW1LzjTzf oYhRlbFtphlC3tnqlmnvvhQTw4yQoxPc3Q5XUpo+VQzSPf858HR21SKbw/v/YMaB16tGov9y4POB UtwyOtQy+RkZwHQwxObEVxSWnMsL5fL8e02EHqxNkMkD64mfbuUyX3llEUHp2zhkBPxIhPuGse3G NBtz1AyNNBaJOt6NjUo99Ppx2mbUpqg595GnfB5upvataJWIbZe+9opGUkhX8cySj2j8G2YP6vQ/ nxT9E6o38wyHomuZ5RhpCTOWCoZ29L0Gl9wEaD2hmrTanZOEJCbGFrwNrz3vPpMCcB6kNORuwv/E p+o41uVzx0FnNm5/Jh1s7GgFG4v09dbxa4GZgpHHMof/AqBc9JfSVo7LshuzC+1NVkW3hVCsyXP4 vf1sq80Cykxo8sdNpLQ0C08HQ1X8bi/JUon/iPnkARpOLMR4tlVWJWgxLXjwMxuIpjjh+pwtexq0 H2jgHyJB38bVChsYH/qjLHic+JYOpqRnVBTwgxoKfpIOJOHmhgC9ku/QSZWqOxdsItqX0Ld7JvM4 IONCiffWj5WCRL01Q+3Ratm8Bbg50wuhSEs7I2oLYrrCMn9Wb/e40bRFeZtqWowCVqXTuGg6Ur1g JGBOh7Ov0V1SrTGzM7V/MZwipBM7JcQNQohfdgOInk3yDQKVcjORU1PBeSXhni94DluwsDCklBXv JdU0x8C/ivA1VLVpFgoa5+S7SHU0u+Ti5AZAGshB8PXCvhnlPG1H0+m98GMeHPC7GX0/FshRsb6b rHZIfVZQ1bPqpjQt25kaAKyV78nja808vIf3Zj9pE7qV/rFLa7fDbDRwTg1yJAHwC9a0D0WWCr1x Lgrb3rFQtnes2GwcP3nIoJApYDPoCuYax2UAnEtYa+T14r+D2zJuBzSI9WO/XFpwh6WBlmfnf+Wj zfDjygvZHjC58xQFCbiqCCfneMoUfTlpm2GHWWtkMwXIpid6pHbONbUVCpK2w/dtQ8nC0Bcmaqi9 roRZ0LHKaGSa9/5ECwAAIKcCc9NLsPh9EZBO2WwN1xQ2P4BXQQpZVFUvaJAexrKhlc9ceBTF6VJk m8ps8QsyBUDCEExgN+1n9/eHTnA1Sxy3BWpSdf9IzUx8Ag+C9/SELIHpsyrDPqO2DQ8A3aG0SlPI yJYyeRkv+uvD5Q+M2I1PO++i7B1erWfSTtV6k/+Eg7psiyjkfuXx+dMeKFQo6JSmL5lYbJuXu+/Q vIf4LqsDa242MljzN7lojpBNzXqdc8KsKXfxy9A6WJ/tc9aDROlWTbVlFD1gkbMW2hMsuET7XGLO L/2L4xeGF4CJPnsGNJvunVosWLwlIdJCEeKd1XTjGrwgsoleiJYGRLC2Fqaj/YXdWGNzo1+FhwcT 7aT8e0TM8wG36YvnkHpulzqE5oPucjwFLjarotCIqQwEYHj77f8jx8uyI3tf3CzWUWWP2g3ZFWlF GYYxIUHQeaR/x31mSbF3szoq6su5S8hYWeJkubFx8h3W+YaAcrE0q++RhR+CEJkw2VQNllhFlDHc bNgXCn/HqniElSHHotiuHVKuHQOq8s9oEnQ2dMv+3r19eMB/rxZu4uJMK2ON7EnJChFfBy9Y7B7j 0hDLPwOx/N7NRL6AhikkVebYbBXKo9ixKjJn5XMnib4XVMp2EUj5Gpvnnm6B2N5XhsQMB4nH4X1t 3y1xUAHheStrlWz6OXqsjyoxcknhgRiR1zAafWJy5e6c0GWZiQCsxZmiTKo6IM04V+EpnNiD23Iq +DPQA2MMP8+KLEXHc1rOBXFAaTbr0Oh2kf5wUYan5RWk5XDtE7/k0jHJ+Lh2SQXjFZsZGaOgroE6 ANeemVSTXgNO9RFG0nG+fLvY5NbgdtIOAEKGPXUs3GVU2i2krA6HsV4LhWg/L4a3HibiAuiySBQ2 BYvXNZFJ0FF/GY6qLv10J0h5MkzVqplmxIyMvLX1qlUOOZncVCbHalhRi/j/8nZkiAhXrQul5PtY AtgFsA2tVg+bakYtXwpxaAlQjAwpSmHVfSL/sdV739xa6riHeJuaDJEY0KOvFPW0GSt14cH3/0MO r+EIS9ALafvHSaCerYN5pnhM76ct+wHneNNFt6VAVw+5Faj49vXuVnq4Y7x7OuAf/5yOjBTUeMHr QV5jwyZZOASOzBRJmbMO8Cy9tpmfUncPDVcItK4OXtw6tUcGH/8lJRL36qI9t6VFYRpqGTohRgZ6 a/eQlTCq4WKjmW9Gak6Mzq+rJFVLaV1pB9q5HKQls1yFXV206j7uVv3WilVOfg5KrS/pWcLw3y0G wUXrFOaQ+hDETAVNx0EsuBURjMpsLyvs4Vzc++QpDIHyJWk59qeWo7OqZYswg+ofVBAb49dtc9+i pLT6kPzGnSGj1qxDLt2i7OfCT4zQVrFv7Wln6VIJoPzfvcdFw3ItszXaikZuoETaTXmXTbclANWS 26e/TI787t3TmKq9Na8gCcVBz574NEgCuwJyHxMwwStznNlyh93lYGR5IjU7XGb+PYfA2k9C8itR yhIaH7i+EykpGLU5qdVJwZlgck66y/WPrG6qzUsCDugZeLUgHZPkpt8m01tFDc3Y5BuRJ+RRYUh4 i7S3Nn38Tp0dl2bVoVG4W5xl0UjuOEsqDXGsnqs8yg0Tp7fEKdkILtLipth2JRpXi5fyJn9Giy3Y LhoXUjDzRptfqs5Gy4KeAwX2wWuGdzoYQu7543rgv1DkNll3WL5qRA8Vm9U7NRGT5jorrHSIJIVs jf93GZdhRzZJmhFZnprPQOIz1mfUt5c6iZN1waNw8H3mct1ruh74UtAF9TlGeHWPzam/+fP8Joiz H1l/xSmoaHG/M51vgh4rgSGIdl1wvPJPQPTB0bTaX5cm5i5eBSl85ZMCqU7IBEASxVrpTyLQbpJk Y3FvJOJbtlsfKjOIU5nx6DROXbMueGbEn3vFlompbHcZwYhj0qQL0gWx2fTxm4vh0o+HZIpAARPz E40dilYOXqG61zoD/s9+kn8kkRM7OyyVvWwOtNM8oOzNOBRVhB9KWu8Z69/p0skCAzYbZ2mhxtcD cEHDoCtQZdd9rc/k4K98CTiirpzrZhxV+x88MoPlzYoRXfUBJV6blaPUYoIjS9XDxKUTuHYOM4dE J/xLhQ2vUsw5ulqxlsuZ1qNV5SEejyvY0i5STQp4mmolms3r2IklxRNIhNYlww70HcBisdbLUIfg 8zZPmimqFMCJ9gY9SHzy9HayPeXNBWzH0kQoaXNHPsXR0E5WqFhlUKsPnyRA+SPypttA59fDY9Wg 3BH95hRPWDk4WSxfBPcMpXU1YTHpwviiu+uhAFejJbeLkAxyH/y/GoODGsLEzJ/iCdYLz9X20VjR olLJ5Vt0hbUr/R62AYIaWT9oSdzdyIjIOVdCdqRsl6uDIJkUmaNECoLZUlA5AIofXfHne2OOTDIL R33AZKrzN5eHUiqm2WlOGjymSAq7weTACLGUQfLmgVYGGWHD9STyuaB9xjLRaSk74hEG3G3E0q6G IIelP/6zUXCP4A2dgSwo8feQD7PlkJgh1wVXG7I0OUrAG37Q38vpRT4bNM3RRNpDMEH+jAcre7dM iZNmXJppOKriOisjI7JjdAXzTOITzCHULk6HYtGM0VdG97v4e3zd3Atv94z0Fv8RAlZ6VkwwkDkK Ak9T3156wFE5fPjbMXg5jkYL7YX5iSaW+9TaHno9/60PjZpk59CIWDieCL41CLXQvdR7RPX4IIqE 3wglywhUKORX27ZA1jQQwViuTW5huOhFQ39kfozCzunaKT3rAYyEG+XgiC2fhjunTWHKGp1Mzhb9 PSzsfu7baultvsxgs5mIP4GcbEhraXx7QaZhePmMoreIcg1NVhCmbkNv+WxIn37u/Y1hx7xNwGUa G3LEUcdZptp9f8Fwj2x+dNj4E6cAdnMq1e23ZrhjcGd9AYhbzQHyvh8X4eHal6bE5FagLGF2pORZ pKn/o4/ZXkh6mgqAomV74DTg+JuzkLHLH8HFfsKBtUCJEP+KIejl/q8NMCKyh1AADaD7ujhg97Yc fyfAz8MI7AwgsqL18mLJrnK21XikCC710aDeNVFwX/YyeZ1S2Q+/Y64nQPXiPRi6YJr9TDFh2O3t 39sTSu9qPk+IHhcbPKeky1oDw2KikERepMUwOLnrklIjgN2X3haiWMufIHKwQlzSArgmp3SLIMNm VV2OzRB5tLjM1SqV/qw8Vtc1Hd6POMvQyyPHHTHN/1xe6UQe9gUC0ijeKAPEM+EIv4EScXvHqhuq /aq5WCxMAvkI1tH3JkutFiqdUvENsZW5g8NSBsmxONeraUSI63xSt7vNzlJjMEEYYuifCP4Llkf3 E+xlLeNqwP6Wra9V8bGn0PGXQ+D4O/COz/LkewPX7p9IpTGUWXMX5LC4275RZOfIVLIfh6gpRTPa sL2wrLUlrOV7CD7M9Yg14KpYuypO7tR62SxR18nLbSZ6tYumTEok4kvGULlVZgXxzGaiQwVDkfuf s6V97zPpaeUtqdveg8Aylq9yM5PlH9EN9tvjdgqju6d++PMJM1Fi60Yay8c30mTx8GVyIYzkzFOl qdqmjV1kzjkywcxkGkV5MVSq1auEoVhx3x1y7QhvDtzPdPJeVRwgJyu/xScrAj/5iHN4aXWIKozy wP1ceZDq0NwA74XDwXKyAePeZTZIyV49MFAOAARIwsK1yTNEoQ9PwKNA6BBeR2llpaOZ/xudJvgA z+vJ0NeZT8FYXmnBHlXiQPBvGb+OgnG/SjdC/YZ6BNyY1sJgxC1k1RibhdkrfBngIBNh8taRarUa k530wMamgmXkvsw8Ncn+J6DDtj0rzOaYVqcCzL8zalAIoeE9IGez+XHGTruT5y82hCQthgpe2ZJi UEbfLluvjLzOjFKtAlKW6EMewpDNtJQ9X75YFg1nYwzeHW9hJTe5gqW2HVbsc0SHg87+ze0d+5Sw 4f34DBMoRMla7j1gxLzbm5QcGj+e8p8sdQe+6X158O/4msOSrgSSnT3HbTwL0eg+PbIXkPRzRc65 c+gF7Im5WS1FKxXVDVcfEvmhPHuG1fG/tbYQZw8sxquUvMl7K1kpaQsBKVh7XPj4Rgv336ODsEHw PkmuEfkrLxeiAgKxJGPcBjvY9bCmEgWOwMPbLlj/r3jMWbXzK4EfefhqkYW1zPe//TtG3IyD2ZFD TDjsQyQUZK5aT5B37jX2EoEQrqVessiLnd+2jgCMrpIP7GKUCJjd00SNj9OGzmFK41aN/Dd3yeMu O0xZCO/YIliSrvjxrScjoG0ur8ldGdtV9gRsOFXExr0GRXCjVdDiRX/8fVWa9k4tmcjDXLAWhDrv k6KHpvCRY5hlOtxKftYq30J3bnoleAUni5l8QB93KWnCOgAXOhoZJ1hw/GB7GXydXMXq3oOMbbd6 rde6lU7kveEFlKnea5EszeZ+ikjf1U0eZvhA6CR4Oyu/i07J96iwkv24BAz3GYRV2elm/N8PbtVg r2WH6lZa36mJBtJ/zQeNA/zASO9PeFDbrpCfxBk76/0SNp5emFIp1GBOurWNQTFoWiZ4P+B2dZAA EpfkliHRUGvl2SLfOW6hOpAM0kvVIh3Z8i0WGinoh4kjFtHdvoC0iZbhmrDIB6Pc3qTfcrXVLteB WqkbNSsZY4fKkbwXGtJGWJ/eSlgYir2USZM8/NY/BR7o8x+kb+ngwA53BZUZJ44ELE1wigwmteTy Rf8TVJcVLG4bECejbbjckG3F/USQDa/Hu6onnkS9DK3/T2JmXxGQA2o/G/EvafyJ8AyQOv1iIvAd sFCAvaiIwJ8Kf60dHumhqNZRFy5Zz6EKtpxGOqN/aSjOkgF/n/1mr+Yo976/YLfEqkSl0nm/ueiI qegXPANgn5GrhK8/auQTbNDG5pKUEAyvNchfFDndZFCCpemO5CzlQ5Eh5CR4rDJigjY0bqaEsdd3 LQIjth9QJwi5hGZUTQThadaDoVM0RNqT6qhxAY1+ViFrIE+w5J9z1JWaQIYr3S18D7A9tNMbeN2L 7zPNAOAVmgaakSymLPXWfUBZ4TQEanT9q70rvLbh4d+Oa2MZJMA1SAO0riLn4kgX+6Go2on4Jwli /tKUpkGLNdaIq9wpa0LsNF9VgL6lEcvRrKhUJ56Ibr8OHQKMRoPHEU7vbQImLUlvB2YJHZobaNIK 2633+T/GZrUwZ9uxZKq7E0mqYfdyGyHlp7BhNAcEAeCcZZWby3ecmUmWb24nDqttUOk/plGX3FxG f8mDMy2thPOxysnHXQ637GubnGyFGikee6W0Dzws+pISf2aDMiRZachfsHxeiVPZBynXyIEy8vw3 Af+QUG4JZtuHQyyheiNP67CbWEtf2LB1/dXXimhHwLZSEQVX9BJjItnG0TbVptOnyH+z0Q7uucMB qFyftB4ywIHcUQVLQe1nvo7U9ObciRnZhWKq9Vd1BUJIBN3LZvMMbxGfitnZgciBcmQZa6IVIZa4 VK4zCPoD+t06H7f+ylmkAxdVISJx2KObobwoyzz6Aauc7gTeYMFrvoIDq3W9IAKywg5PF1ngHTQ5 WFOunF9UWyU726Uhm4mEliv61AjOsVZQVJpYAvm9MZviGQYIkd1MeWtlNik/CNHk26WcDralaomo F++NjNYusQ5PDp1esax8Oz4cP4ZLptNbYWebO6y8ATefFqvG9HoIYEHvGgOg8zFtKGzf/T26Fm+m BFlbNcJP5Zjn3bvXtVZYdkRmiGEjqvhhNFZ7xeODAC3F8+YofQFGOCIDvJCvzBnh6E3HbVMj+B4L u2rbQ7muktD6KW+9Yp3lyoBDzQt257YnAqxabsdK/PaqOmxqSRMqtQkXDPvXwSpZp24ySdxPOJ1P mLW6VeVZr52HMElNx/n69qQa2yQxKz3SYjEldWr0iSu/tnBmUnxRW0dhYb2uNnxCyJwQGLtaY1HH NQL/qAFFLhI+bP9pvSlv4P4TyaeFNqg3VaHGVUvdtgqffka5fv/CkqfItHuRbUZflw/fT9s8K94P 9ncnmZGqTaRvKg9iFzXRG9B0C4v/RkcIwhrc35mmlRKddCaD/RS6rQAVEM+9i2ncRsyJaGH4PD35 /2UbMCqneL7OIUzB4o2JbHg/iUW5bufwlkV7PTHrFNOX+dFZuLemZ1DS7ftPJGn1OyHTSC4jwoi6 cXa7G6a2dS5b4w7aDL0ch8jcvWMxpgrhrR+XllDxMBRmOa7zpY1ytunzR4exlKR0+UwionmVVO2D wpi8rip9AA7cTPmL3RCQniQc55y4E5tiI5iiXN79Dh9S+6Z2J6cEfMaq5r7oR5Cm68bYPxS7+LBQ ffXI6UUlBMf1dl1Hj8RIZbr4tWgGtgnNsMLc9qpVAJqb7PKK22yPelkGbip0aGdhJRuEsamz2Ygu AzC14avYJ9o2jk6C+OKugNslyXhEVpaNrdH+EKxw8pzl9VJp3rUqLX2+sEusPvBxQ6SHD8hh94df nfGceFB69NJU0YacxmXs48t1BkSVFotMD4aZgOm4FOZRnQM3jKZNT5QXDBHdlfwnzZeZGvZDUJmJ fbeVhToiFWzAVexCBnPd3c1gMFkejRojEljVVIc+Ebzgu3DJ7uLxlazTZrdHrvh/AltavoFPx+X9 +J+rb4jd1CbPRz2RBPw9gCBhVyQMF/dzKl16ST5/skLTVjsvTNZfKMcyaJeM5FMJaeF5Gfne17kj ckAyOBo5vqsvGSILmXmgTelJpm2yiC1nKG7H0KJBDtno3b6weJV+NPMiNZTd78QN9wDKgin95Pmg RLEEb57ZqFW60mvWvg9qC/560AH9p2YcGEEqtLqnVfAah+zE+Od1cheHP18Pum5qiodraFZx0+sN kTty2qvdb9d2VerTrc2JuyRAH8+0P/uzE3sOjlDTfF4qBFm5N5yRZmxLLiZbNr/NZhr5Zh9vzAM2 mBZZct9PTq3v+gUV0KRYwmCtJFosALoK9+zTYtIAgB/j2iwNP+FXNNRkUuHJxd8Bq+howeMWIMBl +J2Zxu95a6nxJU8vUNLkmgvWwwRNEqdHFZ/xZtcjnyT6zRMStGR5V2BP9xbbFIGvwQLj4TdjGXE3 fxBz+emcIzyG+5yB3Vhq8z0hfjC1wz7xgeKxXh/nIw5gGCMEGQAk/zdbObM+GRoeUUjOZWHc54YV HeT2n9Q1rsLOswYSbLekOi45GwoV7jy+ChL2deVdKd6HwNY96aXWwc4F/Cy760BfwdHMrmGCTb/U oTI3PpGDoKUKUEXvEmcQwP8VqhYWtn4bECAee/C2fIk6hhwOYWJefTpKu/Ik+IX24TyxqxGImGg6 lJLtQKWClLkJftptG9jBskCEoCowue+mNB7XwEPZ4yO7RyZmkNhP/1DXSbF60VJybq3G5UXDkzb+ ZaY294wadpHMG9RvY1cs5vbIOzVVoBlbLDS4o/VyoMYnTiQkYbU0CecNdaskGaOMPbMCRI6T3lAe m0bNNqUOm1KJjss3jIbPMddi9WVHusbK2tCNr6lfvHBFThZ1ZQl+puias4gYf5y38txNl/Y50wR1 /TKCktk5XjSFfeVLVhGIoLG/dv9+IhXHdxqkq3Stfm1VNWNyu1F+Fy3n9+2V3FD0gl4knyXAwwm8 Gg6yA+qeZYBXnW8GaA5BikE4aIkIo4T5YMo0P8fenSMoVxZGLOxMaXff5UdUvqGDnLvfTx2P8r5H L6sRIHAiMIrxzksOVmuQOQMqjDm3KmaX7SMRDAu9LRungAFt1R1aTn0CG1fiwsRYxfI1wfrFfPGJ wnGDhMzcHs7FI6FNekPogJVpJRjSvdJB+ANkMcTPv5Au7r0s2GA52PyArKvVsoHVlvrL/noZk0/8 47e1OYrA+96bjJn5hPDfisf7IoGEFeLySVCMQ6GZscyxTw17ERmFi0HRwq/vHTixD3iF1BbQ/KS5 Il4cR+yn8G4WR+N3ugYaxDThNTrGezbnFVCDqsa1/mApZmmnlRMJITxEY5OrIHuuK+MBDm6zUI7i 6aqIHpxm8+JHS/GtOyPIPsSOiSvwB8uSpeEicMN+jwmVj/NEkArtRjO37cXbreWrmEwDTKp2jPAj vyBATcgDnvPIVSRsO9mpyEqH8SrVTuxP2IOvF6Felqxk7i2ZtDTvjPggaHht3tyWwDI3b8O3nny4 8U8a3Zefv5MSjWvjPegATyCZlgGxbe3fYNleVJ29x9MdyzjljP6p6Wj54RXu1C4L017Ehc+wzb3p ufUORedQQLM02UTJe9eVHR+NXjxTwB1CXprodl2LlD4fA6GFBWPGT9vFm/EmJkAPhvVKqiLmyaTT kRxy+4QhhsZYMU7LxZGukTkeFIeBNzF02awD+vUXJd7KBZIl61c9G6pdLPtWOKKAg43FjSfsuiEX hJsYWNfsH5qyGpH8Hjul3gGOyMGYamK2cdQun8ipVuA6MY+AI7WVXAcCCSy8c3hG8m22DCTwdyom ZpaBdhd/rqDq8bb1SU1iuSJKfJNn1E7EHJ3/f+oFFpVWJERHA0Za/eUJYOyebMvYWt/tFHSgqGX/ gdxp5Ul2xhsJP333LjwUE2DHKq5Gn+Z49oe3JDtqgN8aIkjGQ/63VErRwg0U9EDYdbxMXGlyyCdp E0GRzhOiq1uBkkc4CkigkSoflyzggAydolzlR3TOWNR8HBmAS3IfM3yuwkKlmegOHZUxNOauSIsN /1jcrcTlZ3DyvA9KG/jmV1tlLZVPHrgQASXHZ62TQi/GStwWmAWfT+/MU6GqdnC/L2YCRU0nX5FZ K+jP3cjsccY8jDK6EPpZnB9ckU4AKikAveQxVS9X7A4tqBN06F1TjM3zUgd82Y4ZbvsECV/KNYSp UKFCl/AmoaZC7aVEvKd4q0xQK3dOx3JlWjVM0JqCx459wwGdFqPsJVfrrmeJOEDNZGLFu9ce9CVq PsRcMhd5z1yZIqQPpSU0RcR2AT403cNoALQJIeF0cnJZD/FeMY8U2MQoSyJ5jQJQrG3Hdjd7Hyz5 cDSqaueO27LidfwWhvzCE9F3zVVV1wTOABirxlVU0Yg7kOLCPs18s/MtRlp8TJkR/NZISCvIKn9b XM8F3PkBrbG+FbzE23QPGcPmgRNhONSMyrCNwe5rM6J5jxHwHFhpfRCy+gyOB5ju4qE1nvGHHYKd suZ9G45I2DkEJA/+lIJFOl5ZNaKGNKa6eJc9H48nILlJKw/+TXQ41yBnWojSWdzSVDWwq4cWL0lq XlRTyDeL6XuzQwUfoUcoLblPkeFPYH7ttQJV628cy2aQZYLQD67Aw84t5zX2aL+PEnwwW8EvNdqr W3ulA75lpgr6ktC8WrGDG5nbKYwB7VedkK1tvhQsB7qu8In5eDmt5lABIm6Y2zmjjtN+eUadf+M4 Lkq6iQUFanYQ1uOdIX6dKimWf7OGTpdeLdLVYutlUA336uZqBgTpq1/L4AwgSBHjBnLTO24j6kLZ 9+REXl6LQ/7JRuhZLpCYBCJGzy0ozYpWMEK0FTt05kup1nr9FlUKiiWJ3dOomOTZUyatxZwr28Q0 chehNAKNkuiObw4xjJHVP16sTeyJPA/HOIuLdLqUZDRg1cl5dXv9n/eKZamIER73bJ1BwEXWfknC eZ/ggyilMvywAtzBR6jwzQlfMVgbZ+9Z1nPjx9L6RD8BV1uQMps9gos+UiyCYl3W7t9DaZzz4cUE 7oXwGnZbg8wln9y/Lf2/xbVCW+g3PXgarh1Zo1l4En5mbF7SMtTd5ypJOE7NVoExWPb3Ti6+mmKg ZjkQ5cYkQ5gNOJdZfw/J9oZ9Z4P5n81D1zPQI8UPbSapD+zc2ArOnVONdTZp7zhrkJukpf1VqzYg 8W45tpdmZBSdhfEJJ2KDJY28waNzvGBubY+7EKUs3dHhlv9Y4AI70XYJ/B0BXGj43xeVCZqo96UQ cQsJNXIkKyAKBj+PobYR2lX6mODy5OMRqpgT1zrk2BF4kmbAepy4uGQ/GtHDbdiPZ11dnbUnC1S1 7vG7Y0YTk+mdnM8i5NsJ7QYFRcKjqkZaH5ksxtMDGh8Aa98vfWr5FqyXF+2dQ8Z4NgkG8ucKzwEZ ZSqaeJnjmCW4htlj7uRbLfwamnHtmAauB1ObSqfYlnbwrrK004K1/3Wta5av9bCUR09e8Jqkg6/H N90AoBfliC8nTef5V6m1aiUYTLIn31lMUdPCI5QnvB63DncINFEp4Y4Amg5JRMQuFzKrJqcuL+wE SluGpd1dFhGxrL0XRksy1SYk4V4H3hUhjOIASiLBazbEYuuebR3A4QifOedWuoAYBrc6GmemXAl1 Kunhi7CEc3sVQ5Dzid9O2RmiUPjCU5lreqMXjrviX7qy+ugaWcUKLV9zXrWKlh9P/oH4wxhxSr5C BqKvEZjcXjA/BvjVgoaF2t93joZAzhBepTIK4Ikovg1C1Xv3LSfvTQh5fndzjY9H4RD8qzcOvFWa GW4wvaVdgzDRjgbRky1F8yVQJ6lZb6ROeGqoW7dJfRbQkUEIP0nFtISQN8Q3k7t5cigpYVlmNgqz MRbWZ4wqSmWeanL0AbX7nhpkK79hnjxRny2WQcSlWcBPg9aw23SaF6DCG753P4WIaIVwFCkI82lC /GAohmKzi5AEIQpi6oAGTmbuF2Ld7SyzTasafiXjl5LAq3IIYc0uTeuRiCDDx/zvkf0exbWEdKrJ imBkDRHk5MlnZNNcPBmXGZkXvGL0ntteFDKCBEilFmSob4mc28UQeCLXqYiksZYW0WGxX6urM+vi Bh4MLNG5VlgOutzhA9BWpYM76zlvLGcNUfAUqkAQXrKmYdLQEm8zz7ZmrTL+EIus15b0qwgklA4T t185maJmypHjo9xChC5OAkHiBOHqkJ0eHYQerJfZaq3uSh8SppLp8XjjYCyeOyppnU4SLPQsyzdE TrmdKCoEOKbidZbk9EDFQD9UUuRfgKH4NEgICXEshTe5J6LUosspFzlnhL4qXnZ5Idz8sJsYPjr9 fz+jzXqMBB7Ltxwhbdam/slJ+od2w/C+DLFwaMxlPgLnLz0IW5rKNLdKSOQyKC5cIho8ZCVAI++M 8zHfHbG45HHArGO0aS/+YU3NIocWzbnf6q2xdfyWn80k94ccB0Y6/FFTjKv62MVUE40ZZA6iHnXi OayU3GP4wVbdUcOfmJn92rz6dhg6DxL+7to8WMkYKkW/lSdcMzuUtEG2C6qvFDDycJ8eBFZ6+8oE 8RQ0BTILJWObba1Z9BFswPelyG478tjKhcD0ylBySfPYvSq/SsOw2vbK5qL2WBOoDxMci6e1/629 O1EQVy4FXK3TOZE39OzViYbS69MRGghP2F9KQvjCpxzIgKuDpM6rWzixXFqXlPCouTRjUYwFhZhp 9RJq9DXTCLFvtiZEI/3Sg4OrDw7+F92FrNoPz2jM2w/Ux46st2j1XvtudjtdnTTJ89+m52/7si0y VN+CY9q7XVukSTDpZCwqey6LHRVwmIZMUDDVSdfVHZRUibBVRSEJ8LsCkydTUp3oSjaC24WZgoUI MvBM+mo4lYtbsAKla4PUYnJfvZZOVqKx16JBYzLwk5mzeofwisHyNL5oaD8DUaOLbkMwgcO98ytA mGJ5xHInwtfnutPIBD/nPd1fLcrk1mn98bEWPw4aLoNp2yLNOQ8eOk64f0v+cZygC24ky0MeYgd5 Hn0gnKs4OpeFZ+eEUSJGKsn8pZMoaCzRDwHNzelY267MxcmpvzMqT5SIZn+JRtQCu/gJeaq1XR9k MdxFr2f1WR1xYghDwN3Q3c6XfTeRgEi69sSQbSeyLf/Ci6M8rUDWo6QSyRruvI6lGI6uzTJtj5M3 oOtFIe7z6ebvh36RP0cM6YEll07pUyI+9fxqNz5IX2U/U2BvDGgqF+MKU6/JU0We9N/Gs9rnaN8M 3Jj87/n9amKDeC0lRLdxN7+490vkKySTGKFRvHmkOU4tlUCAe9GNhXihrU2hZvYHr0mrQI5gGn3D sFuIXKVyxHkjSvjaZoAI9/sXjPVuWdgAecmEehbIyPG87ekf+Y5rvw5X9HtnPPQUv2Y+X3aJqtaj k/mFa3X3UUsrT1x3y/XhamcOWtMTO9y5h/4pWWj1lQF7Qnbvw9LZaKAeviVqRT0zjIDtl2Ii02p1 684d4GM2Xvufb/DkPvsOyfZhVspbiRAyWTw84gdW7ZsEqIGv9NOYpf2OHLW49TJqMraSHo+N+Qsz HyJJvQUSNyojtrCpY+beMUSOYDKMTbPsbJxBP5QXEYh0Lus3SbMFI5C47nPn7xYJgsf8aoPR5z06 4DEDOcy99srseNYNBV5GVxFy/boL/q4BjcsbCm80Doo+lJkQoe+2Bko8h9/3QBjRI1oeOjMdsqz6 lWfsaBUJkd22+I+tr6XMEXqDa4OnKYJuoJ8vyfPsZn9+06DAolGtDkIesunKg0d2rsCU7koIUUwE 6OvPufiIduVh+Nj5ObO+ohW6ivfsZuBeMsEfNW/tu5O8J6sVot4n2FW9FfRHpSeoCintS2vB/79n mmVhaGXjaemYDoKwJdT/daz2c4d1hAZkBLSMex4aLGwOxyTbKZZCtOnxRUkQh7K641IhHyLvoNxB hSIbxvFIcRYht+6PbKfsl1HH3ZOGgA+Te0x/iUymZGidfJ3uDcZRbflvsmWPew3MrL5HYzQI+PK4 8sSTgjvUP5uqOiEmAnmBTCGQKnZABuZx0ZnXaTAyvY4sBPd7DEUCNHdIuULZSZto8Fdfv+OU9Fzn ct8SazBOyVCeRSJrjJLIrqL+btZwYFwQLlfQxRfQMMPdlzSX5G6nzfDxI9KjiyqsJst6L4tRh/dx Vr60WI+47a5OvsX+L1aSnNTyAt+RgdIUhPDzrBsk8dJG0PIllnru8TI4m6KQb+U2rDkTDKdUlXQS vsMxY1P1MOYAyo/1yE7MYRgI5D3I/lbW5dZY5GfpvpMRUHfK7V/+P40vgIy6thYUsbA227jEjYf1 c5PhZInQTDrmLmAncMY6FXh8CRoycwZvaoghR0P8sG+bqkDBDYbaLCU3myIhg/wvO+sxu7mM2J97 GOcwtv2Z+i24/3D+Ci4VCbV97RX4iGLkaP9tDp2adtdnRs+QsA0uMvjELBGOSDnO7/ZX8ZrdFNeN A2vVefWKyUjxyAVZ6dcEj1xvgl7VJ939HsmYnsN8eIchR8e9M05cTNe5gwhX0OOnTg1DjJqElX35 4n2t423VZ6f5t6i2aHy2iWuymLzAdSB22Lwo8biZU1t84TCN1dw= `protect end_protected
gpl-2.0
aa973f4bc43fc3bf3be6378fdaac79f2
0.949032
1.83039
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/ProjLab01.vhd
1
14,080
---------------------------------------------------------------------------------- -- Company: -- Engineer: Rob Mushrall -- Timothy Doucette Jr -- Christopher Parks -- -- Create Date: 15:43:26 03/25/2016 -- Design Name: -- Module Name: ProjLab01 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; entity ProjLab01 is Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; --instruction : in STD_LOGIC_VECTOR (15 downto 0); ALU_OUT : out STD_LOGIC_VECTOR (15 downto 0); DST_ADR : out STD_LOGIC_VECTOR (15 downto 0); STORE_DATA : out STD_LOGIC_VECTOR (15 downto 0); CCR : out STD_LOGIC_VECTOR (3 downto 0)); end ProjLab01; architecture Structural of ProjLab01 is signal OP1, OP2, OP3, OP4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal RA1, RA2, RA3 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal RA4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1'); signal RB1, RB2, RB3, RB4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal PC0, PC1, PC2, PC3, PC4 : STD_LOGIC_VECTOR (4 downto 0) := (OTHERS => '0'); signal IMM1, IMM2, IMM3 : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal GLOBAL_EN : STD_LOGIC := '1'; -- Determines whether things are enabled (allowed to operate) signal IMM_SEL : STD_LOGIC := '0'; -- Determines selection between immediate data and RB signal PC_EN, PC_INC : STD_LOGIC := '1'; -- Program counter enable signal PC_RST : STD_LOGIC := '0'; signal INST_EN : STD_LOGIC := '1'; -- Enables instruction memory signal RD_EN, WR_EN : STD_LOGIC := '0'; -- Enables the register bank to read, write signal OPR1, OPR2, OPRB :STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- From reg bank to RA and RB data registers signal OPIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal RAIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal RBIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal IMMIN : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal IMSEL : STD_LOGIC := '0'; signal OP1_SEL, OP2_SEL : STD_LOGIC_VECTOR (1 downto 0):= (OTHERS => '0'); -- Selector for data contention signal ALU_RESULT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Latched Result of ALU signal ALU_VAL : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Result direct from ALU signal ALU_OUT_FLAGS : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); -- flags output from ALU signal ALU_FLAGS : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); -- latched flags from ALU signal RA_IN, RB_IN : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Values to go to DC Muxes signal RA_OUT, RB_OUT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Values from DC muxes to ALU signal ALU_DC1, ALU_DC2: STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Data contention ALU values signal RA_DC1, RA_DC2: STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1'); -- Data contention RA values signal RB_DC1, RB_DC2: STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1'); -- Data contention RB values signal DATARD_EN, DATAWR_EN: STD_LOGIC := '0'; -- Enable reading or writing to/from Data Memory ---------------------------------------- -- Project lab 2 -- ---------------------------------------- signal SHADOW_DAT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); --Shadow Register output signal signal EX_ADDR : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); --Shadow_Reg + IMM output begin ALU_OUT <= ALU_RESULT; CCR <= ALU_FLAGS; -------- Debugging I/O -------- --------------------------------- --ALU_OUT <= "000" & RA4 & RB4 & PC4; --ALU_RESULT; --STORE_DATA <= "000" & IMSEL & OP4 & IMM3; --OPIN <= instruction(15 downto 12); --RAIN <= instruction(11 downto 8); --RBIN <= instruction(7 downto 4); --IMMIN <= instruction (7 downto 0); -------- ALU -------- ----------------------- ALU_UNIT : entity work.ALU_Toplevel port map(RA => RA_OUT, RB => RB_OUT, OP => OP3, CLK => CLK, ALU_OUT => ALU_VAL, SREG => ALU_OUT_FLAGS, LDST_DAT => STORE_DATA, LDST_ADR => DST_ADR); -------- Fetch -------- ------------------------- Fetch_UNIT : entity work.Instruction_Memory_TL port map( CLK => CLK, RST => RST, RA => RAIN, RB => RBIN, OP => OPIN, IMM => IMMIN); -------- Control Units -------- --------------------------------- -- DISPTCH : entity work.Dispatch port map(CLK => CLK, -- (in) -- OPC => OP2, -- (in) -- RA => RA2, -- (in) -- RB => RB2, -- (in) -- RA4 => RA4, -- (in) -- IMM_SEL => IMM_SEL, -- (out) -- DC1 => DC2_1, -- (out) -- DC2 => DC2_2); -- Dispatch control unit (out) -- FETCH : entity work.Fetch_CTL port map(CLK => CLK, -- (in) -- EN => GLOBAL_EN, -- (in) -- RST => PC_RST, -- (out) -- INC => PC_INC, -- (out) -- PC_EN => PC_EN, -- (out) -- INST_EN => INST_EN); -- Fetch control unit (out) REGCTL : entity work.REG_CTL port map(CLK => CLK, -- (in) OPC => OP1, -- (in) OPC4 => OP4, -- (in) RD_EN => RD_EN, -- (out) WR_EN => WR_EN); -- Register control unit (out) DCCTL : entity work.DC_CTL port map(CLK => CLK, -- (in) RA => RA3, -- (in) RB => RB3, RA0 => RA4, -- RB0 => RB4, RA1 => RA_DC1, RA2 => RA_DC2, -- RB1 => RB_DC1, -- RB2 => RB_DC2, OPC => OP3, -- (in) OP1_SEL => OP1_SEL, -- (out) OP2_SEL => OP2_SEL); -- Data contention (out) DATA_CTL : entity work.DATA_CTL port map(CLK => CLK, EN => GLOBAL_EN, OP => OP3, RD_EN => DATARD_EN, WR_EN => DATAWR_EN); IMSELECT : entity work.IMSEL port map(OP => OP2, SEL_IM => IMSEL); -------- Pipeline Registers -------- -------------------------------------- ----> Stage One <---- OP1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OPIN, Dout => OP1); RA1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RAIN, Dout => RA1); RB1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RBIN, Dout => RB1); IMM1_Reg: entity work.PipelineRegisters generic map( dataWidth => 8) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => IMMIN, Dout => IMM1); PC1_Reg: entity work.PipelineRegisters generic map( dataWidth => 5) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => PC0, Dout => PC1); ----> Stage Two <---- OP2_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OP1, Dout => OP2); RA2ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA1, Dout => RA2); RB2ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB1, Dout => RB2); OPR0_Reg: entity work.PipelineRegisters generic map( dataWidth => 8) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => IMM1, Dout => IMM2); -- OPR1_Reg: entity work.PipelineRegisters -- generic map( dataWidth => 16) -- port map( Clk => CLK, -- Ena => GLOBAL_EN, -- Rst => RST, -- Din => F2OPR1, -- Dout => S3OPR1); -- OPR2_Reg: entity work.PipelineRegisters -- generic map( dataWidth => 16) -- port map( Clk => CLK, -- Ena => GLOBAL_EN, -- Rst => RST, -- Din => F2OPR2, -- Dout => S3OPR2); PC2_Reg: entity work.PipelineRegisters generic map( dataWidth => 5) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => PC1, Dout => PC2); ----> Stage Three <---- RA3ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA2, Dout => RA3); RB3ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB2, Dout => RB3); PC3_Reg: entity work.PipelineRegisters generic map( dataWidth => 5) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => PC2, Dout => PC3); OP3_Reg: entity work.PipelineRegisters generic map( datawidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OP2, Dout => OP3); RA_DATA: entity work.PipelineRegisters generic map( datawidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OPR1, Dout => RA_IN); RB_DATA: entity work.PipelineRegisters generic map( datawidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OPRB, Dout => RB_IN); ----> Stage Four <---- RA4ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA3, Dout => RA4); RB4ADR_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB3, Dout => RB4); PC4_Reg: entity work.PipelineRegisters generic map( dataWidth => 5) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => PC3, Dout => PC4); ALU_OUT_Reg: entity work.PipelineRegisters generic map( dataWidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => ALU_VAL, Dout => ALU_RESULT); ALU_FLAGS_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => ALU_OUT_FLAGS, Dout => ALU_FLAGS); OP4_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => OP3, Dout => OP4); ----> DC Stage 1 <---- ALU_OUT1_Reg: entity work.PipelineRegisters generic map( dataWidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => ALU_RESULT, Dout => ALU_DC1); RA_DC1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA4, Dout => RA_DC1); RB_DC1_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB4, Dout => RB_DC1); ----> DC Stage 2 <---- ALU_OUT2_Reg: entity work.PipelineRegisters generic map( dataWidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => ALU_DC1, Dout => ALU_DC2); RA_DC2_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RA_DC1, Dout => RA_DC2); RB_DC2_Reg: entity work.PipelineRegisters generic map( dataWidth => 4) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => RB_DC1, Dout => RB_DC2); -------- Immediate Select Mux -------- ---------------------------------------- with IMSEL select OPRB <= x"00" & IMM2 when '1', OPR2 when OTHERS; -------- Memory Entities -------- ----------------------------------- ProgCounter: entity work.programCounter generic map(PCWIDTH => 5) port map( CLK => CLK, EN => PC_EN, RST => RST, INSADR => PC0); RegisterBank_Unit: entity work.RegisterBank port map( RAddr => RA1, RBddr => RB1, RWddr => RA4, DATAIN => ALU_RESULT, clk => CLK, R => RD_EN, W => WR_EN, RAout => OPR1, RBout => OPR2); ------------------------------------------ -- Project lab 2 -- ------------------------------------------ Shadow_Reg: entity work.Shadow_Reg port map( RAddr => RB1(3 downto 2), --RBddr => RB1(1 downto 0), --RWddr => , --DATAIN => RB1, CLK => CLK, RST => RST, R => RD_EN, W => WR_EN, RAout => SHADOW_DAT);--Goes to "Shadow_Reg + IMM" MUX -- RBout => SHADOW_DAT(1 downto 0));--Goes to "Shadow_Reg + IMM" MUX Shadow_IMM_Add: entity work.Shadow_IMM_Add port map( SHADOW => SHADOW_DAT, IMM => IMM2, EX_ADDR => EX_ADDR); EX_MEM_REG: entity work.PipelineRegisters generic map( dataWidth => 16) port map( Clk => CLK, Ena => GLOBAL_EN, Rst => RST, Din => EX_ADDR, Dout => ); -------- Data Contention Handler -------- ------------------------------------------- with OP1_SEL select RA_OUT <= ALU_RESULT when "01", ALU_DC1 when "10", ALU_DC2 when "11", RA_IN when OTHERS; with OP2_SEL select RB_OUT <= ALU_RESUlt when "01", ALU_DC1 when "10", ALU_DC2 when "11", RB_IN when OTHERS; end Structural;
gpl-3.0
64be4bed62889c67bd098da9dfe7a9b5
0.518466
2.864117
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/compare_gt.vhd
2
11,450
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block fR6si3ijkYiBRbJxvWmezJ0Os14hWd/vqWxmicjm+3b9csLTWeCqJGVV/EueanZSi2tK0tC2Aegx Zq8c02UCKg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IYpM1QyJR8vRNrgXOfh65s9SQFimsBGO6OvSFqTu2c+anANO4FluLIUtKx5+PT7AoojRrA9+hvsE 6YilvdFc+Qc9HDLgmq0HJuSlJ0e523LeQbVpAWq2PYth79uGf0xKXQnY8OYB7a92NsRVFWbIncao z+FsR1Dnw3w3eGkmugE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 1wrTPQ1IGeW+9m1o5kse9nitsVzRpZWRCjbElq1gZfIlAoCe8DpHZHPYmy15uORickJJJ+Vpyah3 caZsDHCbc+hx6V1344k5331K5K6JQWAhPopw6IwynnKmIzm/copLRGfs+Wl8SMYw0t71ePGgWy1D k33JyaGxOSFGA0JIniixRWY2rptBfQOfxf2Ahufo4UItozRBa4KkShM9VmNIe+o4uSY7CrBSlBPZ dxoLGxqQ5rJdxtFZrIW7BZkTjqdONS+OZEXRM0FtvVgxVhyXFT0l633+HZR5Uc8D8f4ye8e731Tj 1d2QkkQm3fxmJwwfaJV0kNkYyFNJpW/gkC43YA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block T3ZZczHh7Mj3dhlqKVsUqmCGI3OwwfuL53GZ7/fclUlA/+bdGgXRuMZgxlLDCnmnALvc2cBxi+D/ otGNdEUKC3sSfQSY6dln4FZsHmeosHl+qtpktwEAi5NxrIrWo4moRaBX0qIm2VtippU5KJbBkL1P DmNHT7rlKEEfkruv0i0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JESA/6/3sqKFFbN9hQ5vnU1axbnMHirhhYCbtlhFauEDi73CHLClQa8FI5ULf3MIhB8vSs+7mOL6 OHktWF0WyqojPZI8ArMKm6X+b/V5jhjdTmJLj4BBz24jUlMbPmnUHjhB4ogjzB8sezws4IxmykeA aWgj97Ecr81IRr1Sbqb2qnw6PK4o4smjqqPPd7NpKkr009o69WwWXWT2933l3ON/K6qcXdWzUr+j WOwopNwVkph+RJdoJiho+i98CZylRpC60NxXAuSmrdxGaxeotAOI2Ri6aBfhPf5FNNMsF6hCdhdQ IsqSpFKq4y2YHU6+LQGp2KtLZR/B6DmFSbhPdA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6736) `protect data_block 99JMLwK0GFMGrZXnh0aw44aOMiR8ebjDIdGqxcXfmsfTkWUH5MXSMY6ApisyYiX02yvPVWbT8htP uqHDgv7YxEqS3EG/2wbqbeFBrDqZvvHIC9Z/v+TXWHBIBveS0ijkaYO3xrsbO5sFclgUq1RyV4Gb KgdF0AKVS7UhW3eQm/pS/Mdo5OmsHu9sS7mVE5I0O9ONo6oNMaEEM4rXMHZRb1/POJYk6bTBSkFQ OZWoRP1RsGwJZc1g1K9DJdZ4oajMQo1QJihAXhTqPFRuvV2I0qO5sEmtRiO9Hqcn1n9BJPnQjASc ZVdDkG5cUKeUXv7upAQ1n7hSzNV5FiIqEjIXgIAzumUOpkvd1nDFm9QMUR6Swd+5c2X1GoARzblI 81bLYQJN8VSW0SuAsEKLX24tJhddK9hdp7DfbGccT9Hbac1A4nklILtwzSNYWnCHGz7/7a2BgzGm eQUFifJ71Rv6lUx6p1dnDGcL5iVH3oHWgUpsgydueWDp2ElOlDMCDUzTDK2Up/d69n0AhwXlP6Iy FU7p7qfiEDMhTRtZqo7yDkvvohFVAX/E9UBhGkX3AOI3x1PEOQgbLLkS8WGMtMaPTy0pLNt5RsB/ E7xajxbbadTIu1aqOfrhqd6V3axYPYPiMyMqYgtuc2FEBx/6cv1ww16ZzYyg7ykrJku9ILBkks+m iuE96CUvYqFDqG10qlVWnBX6hGURppKHHrVTNlFAQY6YVWeul79EQjYsiLkuIELQYMMHfLqtBJnw wEThgmIFguljSLGwg9hZkncz0qewg22/6LFSJaoVwys9tJVN7ymP15nlCDIGbeLQxfInFJpCfuZV jICLE3TaGdifCJNGTSnSeWSP89C570B5Qp56fdfKweIYXc2saGrqckaaLnTmcybI0k01sFVEArgi GIQwH5CL8/0rvMV7F+eiAvffYH/iv+0qeNeZZv1dKXczPXIMfiw4Kq1Dt+Hte/0uJPsfrLBZLNG7 1yJOC0iCKxj8cvbOvhOlNyT+7CVZY4gfn67h6NiJTvO+/B/4T5TzN1h8rTJJCd3cgul4X7N06Ece b3GbWQM7tBvu4Medi2XMirMQd4nbvplLBJKeDrQs2NGrkrC/H4FCf8w7spADpKHxiJljx3KFP82u fPuQLPWYEI25S8Gyf1N3YH+kzLCTxXpxciJ2xkGk9xtDMj6suKwWo6BWohFUIzk05YY/BIMauQVc haN8hgH81pVIqFe536LERzk+YytkcibN6SFRXYHX2/O2lv/a2l376cdvgdQ29GhwFlr5xnkX0u3f L9750XKi0H3YFN74OccyIpykOK+W2KQjopIeIlHc1gN0BnpkxZ2xljW4nJ/K7veaeUVlATOm6myz ZFb6RpVe2pgdT8rdV3eZgggb/pZHJ2LPAEPF2vZyoydQNrgijQW7VGQpiGPAGD3LClxEGj+x1WAL kUQu9R0wd6YdZJ4LtmZ+0gGheCfUMComQPtyZKBtUELfS/6hYEZLPHIOJjWZUA1OvD/8vNE0EgKP IWxQViix+lEuKBzKSW6bdayUpdxdyENTT12BUo05LMSnmF5WPVBl5sVS/jcZrUtZJWv4aGdCqPzy FCGMV44NAIR2OXnypxUE8GqyiQefGgbz95XWOvivkRJoKPtom8B9J0IwkjyoqHfWPokWSzJ+9TfJ evcqbg2FvfrgzYyecWs6ws2i7xk9AbMEZh0OH0nw6OiIIBH2ZcotSbsywyTSTTOubMFI9Q7uiZu6 inK5hLbd0Olg6S5tiq2TW4964/QQzFtPLexhKHFjxV50uIqr+8VH5U8ZvA7e07qTSQBUO9medP4F JtPmGzGXcgfXhSPzCiI73ildtlKh1aCKyUQEwKWKwTIbU8v2MGLh0g07lyG864MjZyk5AAHeXwWD CHApnmbX/xBHOoBScLxoKyLdKnRdaPnVqys+xHMO/wEVLNcm1w4fI9081gSjl+znU+vTRIazEaqE g2t4Fg4QYVjD+TmjgwE96dmqPm422OTlWwnfi4IojB2cv3FSmLEE+1S+e8/Z49D2ySpnGGdBh6XP KUSx38cfcuDeESoWB96XsvWcuTHQ7pSF4DiWkk5REF6+yXUD9YZn4KEbdgxoAwGgB7prp9yajIFy TEIYkmTG+KPwSCkZtNJYUKfhhIwMW2sF2d7bgPNLDs1Zlm/LOfcRqLiFClSO15nppscvfK4zibLa PCUlX0NQ6IicO9CZn4t1Ono2NF8JJ7505M1/oza3gsZkvagO7sLK7ZV+Zo9WFMv/IsjEX3OZWfeh 1d2DMcDyNCdeGzeYnBn/5L5lWy/R/SB62qWwr9UGl+eKxi+BRp6Y2G3oTGkZlV5Fow8eyhqlli7Y AErpHViJ+bJh0dblYFq0EY+uj2kOlgPxIxixp5hjEbP0n7utwC6O3ffM+uz6PFVMsoTSeHvgkCJ5 dK4RpxzI94FMVVHslE1yJaFYD33NJgu5MfZe9VNSfai+An7sLFLtfBBC2yYO6IW2xIyDdZ+1qsm1 McPeZ7MeRc1kI/SNXoyr6wOPQXxgs6kCyCY7FOFmtxBeGIWy+jHGVuh4aRf1fjSE8L09Mg0+H7bo FNpf+lizt3/y1g3gZh1Yu4P2/Ncu7BVONc7EmTCwVLvnPPWTKqndtG3jntTgE+foEoWMPPfHdefx LxD5S/+IohdlLag7PZuvUzA34lcde9YLZtFODS/cBn1lkF4KQYVcRDmkHE6SyjArBsmZ+znJtTrU rzIGEzoRow+KArhU36Bvp4zBURm/pzJJFUG4jhJbnoLtlKw5bUeOg8WvekrXg57EX1YV0MeFdt4W u82+BNY+KZxBE7T0A9sC/s+84xfkzs3vuPm/F8MiqN7JpbirjUgZV2pEyr3idx4DqwvyIA+Wwn6+ PxzrZTDqxlOWpDpX/bkoE0x8kyuNEZrd084W2UgInZr8Rl9Y7uXCwgR+1ZLi8Cdz0sQbfWqxV3Dz 6ONhjddh5zNtvO3JNkZeIcbPwqYTtRhSfEaRKvJX6QLqEWilgtKSMuoqXri4WieaQdsUmMfEU3bQ LR3G117meesJ2InX096RLyHVP3CWurjV5dqrjZ58CrM+2Y7sk7nshhqIWxz/WM8212mnOQRu6ViM H+63mYGn6C3t1iWPoCFqDZgz2sHXrc1zAW8eenIW+oK81fd8HCHo4RSXBCNrYvfsiEGxnthcCSFr w7Q/3jz3ndIGXce7hWJ1Rt4sXylrU5Xb2b+Z+jJddemg9/84DmE1Yh0/678hfAzWsW/wJT+38cwG 4+Oyun+vO0MUFjFkDhG5EThdGGkDVMyL2+S3Xd1L0fUUMd0VnMapE4JztzdCzq6FnUuEB3xAP85S 1tmS2zwnnjYj0vaFB4D15jqxrEzTzvGm5p8U2UHKtMleXR2esnJ6R8Whn8AAzDxlP0VccTmXJwSX ns350fxopQzzirmu1maKPy7kZNCRKpQ09agw6VSlMNhCiio/ExFio4pUn5YHsmq/qHs6iEeoGOAC 0YcyqDa6PvKt947wh7hszifEZ/Ja6k5NcjFlaRuoWpt4OaYvovkpFNiwqPpPtrRjun/El7N7NyLW oat6KjR36+Mn9kkuAM2LK0hGA7PTH+WOvzFlXSTr23meWkE5Mxn9DdqeHQgrdlLvrAlb96LOlK4r ERr92mZrQmoZlituwxS4SetVKYJ/z/4lWeU5Z9z0HzbeVVwe4HnEdLgFhF+wVMHsP17DwBV70Bax Vu6CbJaJphLWb780eJ4qagDlBd+5+9ycblU7MZ3/ficnBlQ3aeM3GCTs7QR0WzdunSe8s9ksLr9l 1CXsScOWhBZkyxfZ3cxBy6zTM0jBs0M7no06k46mf6qfKo0Zjv4So4bfK/zuJZSNwGxlVj/+K3mM /9Le8X53WfBZLrThvQx95Yv/eyDoASwgogK8K471eiaH3LQZ2zW6s9R8cx6cNsZJmLijx36ciWda p7a0/LIjtxVUXGT0pBxpb3T0t+ZWI4nl4wGvSUggXPupyQhRL56w13VfrrP1rBZKA0UyHxbqo5GV dvy8l188cSoxDDkSTIhsEzQkK0fpNmYbFDXTDRJ1l5T9hxpChLftnWGOgzVZ+3Ilo4Zd7eHSBQZn UBod1wuW7XqiH3w/4qjpKPutrofDjCzF0c74CDA/fCWX1PyMmzBTgEb+cA+2yrouCVpHDSLL6fbB zRkto30scVghJupTwaDj5Aat4kbkWjDcVNo0pagYnQ7IIYuK44lVPEMcNu2j/B4uTD2c1iSPuXp8 ECkvOxHQT7Qr6s4PjjjJXFEsh9dFI2BhOxe23V/VKxrrfIS6knH8+OA0LjMwwVJ86EzzcQYrJOEV Edfc7jmk4rdD+2i391xCxdERiD9M6ztfcdciQ/SuhBCvCgkOA8BTPz3Mmm7uGU5lX63YIXIoKOh0 g7fpTnyW0OmZbm1L2ikDakS24NoMWs65kQKRsQwregLC/pQzj8kPQX2Eyn9Um1SruoJqMn3h4vKv QDHAP73jvH10ADN8UpeZ/ZqdjmwL5ka6H/cdxml82zZo/ygBJYK72kSlrsmx53Srj/uCR15nrVXM p7Xw1QO69TkQMq7JIU1ecR0gqebakOgn2YviDh/ocC5AwqzDIDn1nqKl18mLdvO8Q+efAwU0mVXo tjbRQhIwvYdKdOVUnHHnKaZmMTM2LgCuIWUXJH0h1UqA32WkFPguRJN5O18L8yzBGJL+XpRQFW8x Pbr1k8mAbbaeyqrTe+iy5BJBNFxH4ay5l3FiR9xP227+4+M3hmCnSvFXjC5Aiqv6ToXwTgnu7gOk HgfF6FI8p13GKhRu5RDd02KOjefIYUZkH1kH5F3jMk67eTMrIIEkcfL0w+F+kh7aQxLfIiE3PXZZ 3Grct6vI28Tw8KmwQ6c2cIIsl7JaX54z/8oNKB+De7TI+MgZXpL4K0poIFl1iMaeM51RkgkVSGFO Ol/mtVrGgmYvX3dJcNNg1Y30zjNXWnDq+jZ83mX5zPG6t5MtNPTWf+YLqL5nKWEuqMHHps4klUos i9tqi4HYxZlmIDAn7wx+EEF2Ukds1Zn8pvtnyuGXpashq5vsm7MTM6DgqsOannfvWhtVVsBjnhcc Euzd+7m+43JWxV0dA4d6xHgruS6W+N9pWLNqdSbrDylnJRTZA2dxnUeAOZRNM4URE682gTm+oGmm p2tUB4B4cdDCl9B4UAF24lxwVRWez8nQR82Qu4O2/t6CC+klltxVCoGOUR4ZG/yzdV+DsIRVMDue jfBJpoZt0iGjoUlz6FczREpKhzlZ31fC6mVAfQUaYnIMtiwnmvtFkD1/47k40sADHIdW3Qa8KnL1 v2X2tPxZ6sfiXHrSARtlH47YSO+ug2AC2yGyRzBtTB9+kK9XqP/ujFtGm6/SNECmR7YLPXpO66E4 dMq/woH9lInUYRsi0j3P7dN9zDUV1w9ArPJpRdXim4G4SaeoIUH0yBn0y/CBG9LabwU+0xjgQ379 YjM9IHDQXMi1VHX9zQVQ+tQM/pQqN+2DPU06HbbDG5OXfrFyX3npIWsjl2jvkH5mzeMc6YdBg9bk kQYTYdx2qOAA0z9gL7LbCn6+Fuvabn19QdMNOQMnme1xK3JWMLWF2b2XIoDoLjFVMXTTDEBbxbXE jsbW704o3JaOfITzKucBH9cAd5dlpGMTjEsrPr+HhZycLeXBYTbFh4MsdC3Kup8fVFfmTqylLbLR 0GWttdj2cpWiDbfosVvaw+WvHw4fCAE1/EaD6810SzNMuo64Q3BGCE1bNfW5Czcr/Il/jw1dC4GI tCLNqJ2SpnrsgeOGBad4P8OSQwXHIBLbMA8F4WHqSdHpXTNyIOhlLT/jl7Iv7LqGV7vrRL2zB/gL BSlmhS69k6F1aVymiU+k17Wj/U/UNXQJ8/A2SLSZwlmOzruLJzUq2oDoTx/8EnoRwy8wZdyKMiZa s5oMLkb2fEplFVlU7YjXNhD2EpiXSf1UK+3l9BZyT4TiUTcoWIJztDAb75ql95B4YN35Dtiz3rF6 M8a9HF042d1t52TVtnKKszbuLzZAER913QNV7bLf1HtjVrt74cdMlofOLQi3MqDLk6J/ctMEugsd I4x3U2UogI2PMZKLGG6+B5KPI12xHAQJ9uFmPwdVpNO89HzSH/tIiL+TuCz5FHvWLQqRY7GaTsWz hfoF1sJrXED4+njn/0wp/QtSaqmFbbOYh+M00q1YnXAL58vvHjQw2aeQgnFJajpSrOUGWtb++uii RwDHF5r30q/PLfFGBf2B75TkJAVGa9RnzKZHZylMbaOzk/9h4S/1kGeQGxOvqlBpMDCpntaoZroT 2hP1P3SXa8zElUSJOzAVGZVB86QnMk9YjVKNSXhUHuVz/LIERrVHYcwdyMx2vCILKoS5aD2K6Bmj 4QyznhUtoDTvpES2E/MzrBObeOvgkZnkii9by9lPKJSuNTK910UU4n1LktQQTzDQyXlI00O8j8zI uNJdiZbc2KkF0Jssr9/dLafyQlqU+T71rDsSe7e+vUm3HjLrEbpedeYg5ul0HAKcbHz364JpG4UN SvCOlKEe48+MCNZ17H0GovReofH/wgQ9HyNHZeCsFUed2uKn8BJ27wSXfk0MVpgbgAbvexbl2Rn6 YpUeA68ibOuu7hQp3LyIT+zyZuS14zf+cupDP9FaDkELAgXyTKN3nqdGgOPmRSLwFhokE6/VcPeC KY39kDGY/b3jiTLJ4gf9RX9fcg3dAnwTRaabb/jirjzcMy+1VUzvJuIl130hU7M2dgOvb5rLMLIh CZ6t6p9wh/PEkfAPPNa9JVCMcMSfDto8/dIAkOVgLIMtXnocbERzDkM2nL4Di2pwkbCwLQ8wcAre sNOZ02QzLURuCk+O4Im0w5SGScV8tBnpCt89QPwLx3px6brVOPi8r0OPgtPY+mZmr70o20yfrA60 vo08OjqJEnexdbjH8jdGesrWRTQ+MhgIebUHspIcVaKiGZVLMNcfDdHgj09BCCrAkyyFXuD1X3fT afumxRoP8eqb7144RvYIflr3pjkUNw3pdxMKrFzXlsLawSTdRFKfK8PxtMLraFxtQv03MW5qn+AM tSxVluMhRy6+c+Bny7pE908n09ar7DTV3NLTUx92RShXYFD3lnCVzFkmECnjXnTrmY7aEQRDPBbz rBKCQgYYIC6KVZNxopCIAmrlbtXlBPZT3IkCYxb8o6unROOgFiPnNBGLPAx9BWoBHxozC/5o8DsQ 02r7J9l4Pj4brC1xjNjDNYiB2yU5h+8zdT8GleXloSfNFh3ftB8dWgTT9x3raZ69KYvEeXMUOQhQ Rj+3ZXvRoEugbIMAL8gBfRW1cLR5Z3RAQv6fYmcjvF5guJ1mrGHnnWjJwUqz9jGS5mfr/l1u/v9p IJeo568SCeOEIDCjnXU66lt5DNTUI7zcUu4UdoIkEv/7VCrcVyv7Ud1jU6Nuj8wO0odDVn+h7WQJ Q6K/YXd4QIHSsb76fk3a4rD5piXBit5ae0rqJ4vQbET4pOZhhJobB2S2MbYrgfxrM1KYcmrTKmnp uSL5l/bl8elU9UPOsDzz+BcoO81P/36RETYFy+gETx6p7z6NQiN0HVK4tKT4OsJmnW4s7++sjD6B Hdg52uiHmnNohy53sQ8wYbxLlAtC0xAOCuw9Q+UzFqRjR1kNVHM2P8aj11tk5FG4yGx4zuPWqOjA dUWad/ZIVLg3FBeY4PXwGBup/ieV5dVHmQv2J1cblJ65RnQp9crcQKLq2XJN6SUQo9J0xGzIj5IV w4kqCkeNkEu54W6BAP8B8kMkZ4ywSiHovlRHtWiwZ9VPFPlpBCaXR6VN5443SZ97W97C7Rf3LFRQ Snu6aTpvtO4aVyuYIfQ/kHiHixDZN+Zw2CFjPNZgELHaqXEqldskdqRdFQWTQ+E59p42w/lNwthP UTgp1YRXnsbrczp/JHjmt9ORLRLFfrLlKgGHpz0sbKQCV2ozPEQfYs+FwH2ISzOjbtkFmDrgIa3H mdIMMA2O7OFF2PbMSOE+Li62WLHPUoIHFe7NhzBwd/sza0QcmsAKws6mbEcKTX8pQvfwi4xjb8vk WosUbL41p44am2snbqwosXOX3vBAz/rI6328EG1hycKNvNWlT1MDbOHmFaHajt0JN/+BfsHMbECW hNz7Xl/WsZmH+KZCleDJevB82WuQtDt7aS1QUujTlReYbWyUXq4p+phS/0JV2w6A2l/6AXyJ2hnA T6aTVpVumTM1w8ZUw6ueV6l7ouPB+1FUAaLY83+WXUvSWVC7Jo/L03TLodoIZ6wnKnAs4REuWOLx oObbUZ7MFENPi1qIVEw14eKX/UdEEKJFpvs33ZACkg9rQhkSrm0uqK5Wg5OZehmHzCpUplMiykKe /LQAGFtYH8oymn1b4QIX/zkSn2s0OKZX+BsT8j1IOl5rJhUR1qwKsHxtKrx0IDRxOmHAjVvn0yrk u281TQmWEimoa5UA+DHDiZ8NVwDi9cxtue6Cpgy5nHVObPtnvwkbfEuxsNlt7LpH3D5AWvcPuNp1 eZODstUsAjpuxC4ZuR7fxXc8Si3seXq7ojd3jLLOPPgZzUGOFXkCj0VIUW4P6S21kmeEb6Mc3GKq 0Y9kQdgOKNHPpS+XWx0DGf5c4UOAHBubW36ZtLcoMXPYH6zKkwDC+S3FPYTqpOOhnjr2GVU1ccC/ cHna2SFQk3B76rElwIkg8mdUoeOhmS99/S1b7xrWgD+5bEeg4Y+GKdCl2SGfSmIo9s/xvlKIgivE QaUTKpkaA/aQDGyoGOz2X3TLjF9mkeqEySdiMuPqzg8PWZqO/hlqTdiHLQSqkgqjU/dt9B2VTwpo lcpYvFtfTzsoCC6n9IFWzQFve/SA3QFWsNSIOSr8kW/uZsF4JPkTQwJuezcUu+iPsuTcplKFrfFP 4eSG3wyGmPDQKrumvGI34seiixfORGmleJcnkuZaFLA+5TtaLRSp9Dcs3LoR3tYDrDqBWXZ5xqgb PKjF9T0wxquClQ== `protect end_protected
gpl-2.0
ec3e180df2c601a201dbd26938ae8acf
0.92917
1.889751
false
false
false
false
amerryfellow/dlx
basics/nand3to1.vhd
1
679
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use WORK.alu_types.all; entity nand3to1 is generic ( N : integer := NSUMG ); port( R1 : in std_logic_vector(N-1 downto 0); R2 : in std_logic_vector(N-1 downto 0); S : in std_logic; L : out std_logic_vector(N-1 downto 0) ); end nand3to1; architecture bev of nand3to1 is signal temp: std_logic_vector(N-1 downto 0); signal s1: std_logic_vector(N-1 downto 0); begin s1 <= (others => S); temp_out: for i in 0 to N-1 generate temp(i) <= s1(i) nand R1(i); end generate; OUT_GEN: for i in 0 to N-1 generate L(i) <= temp(i) or (not R2(i)); end generate; end bev;
gpl-3.0
394593dd59af2757c7adeaa15e3cf180
0.639175
2.399293
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/cmpy_v6_0/hdl/input_negation.vhd
3
21,347
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BRnNONd7FLLWhqAKhziSD5HF4yWaDTCe6isPiNv0P2t2SHSoib8PN7xlqLESrOrU7tyXJ0DmKOjy QQGW7CHisQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mkAR3khSSzNdpEUnLvpBFTeNfWgXQ5oo44/mFHEYDSSnIjsDm4lboYzSL7TAxBMX0zhFg8vDk//n RMjxXj9U6aNTIcTmT2rNQLgWFRhcRJaC3urPkjZlQG8sJd1dNrZibeaL7v+wgDVM3al0damyz7qt CMnTLk8t64KyZ9uKsP0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JM71bm59La2yA/1NAaADjWnb+2/OAFq14RjvNVIaSWup9kFjsLtyG+BYPIseOk8SIn6jiUGJwcxL mFUQ8LqDTGP21f5P0hYxZreBIU4Tvmc1MkhmtzELKm+2HsHZD+qDaz18eIZJDrmvzFasyQN2Kxdh TFv5bkTeCGqFxE6ChwBcrh0vFb1S+9T17VodlGAfu7taFN7Y4MNUPYzORUoDFb+OAUVVbmtTK7A2 fO2A0NRNTjiYHqzVr5DTD5kyOUEr4RLQT7NpcQHz4orpcx++tw+0siq/MuWguTjtK6IATvR3YC2X fX13USccx0rnH0c4rjkYB9wnju6RpNJkzU5j1g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block W41dgtyaCvf/yqPE9dhvA+JJZ/rbLGuZ14P6cPddbqPYtUZrBYWmuUCH8dHcfiqGP/N+uC/iWzLE xWSi9csr7u2vy8vAKlgGXlFLYgsHA/8qelGsbwT7OYlAg6tsSRfCFkZLsnybkw5kiGRVdKXnx5pA yetWHwqI5MYDkSWcIyU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Vzd2Eta0/EHd82PMDruuCJDhCU07iLlDs7I+RM1w/hTdc6zLDJH/5/mSXvhyOFpK+7vzqMQdRBQX 4YIqkMrbFAIFWb1m0lMXIobE2yzgQZeYoFfzvvkTpx5bCBFcLc7ef/JGI7b3IO2H7+V7+RZWNHCZ Q/JO70dgEU0KE2uYNiARfiGe+AWOdT8vRTtr6L9F0osDdohXdMVjDXdLlOm2iNIq4vq1BvLxk5JX fbZwh4UpqXKpYaXdOlDee7zDpqiGpK2rd6aB/j5ZT6k8tFo7mpuz6sXTC8vKhw9EmbHEHDkHN8Ns LUHibg/DUmf5+Tn6OrAObS70R+pGhuP33t0f3Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14064) `protect data_block Ibnn8I5kjwi/UxvScFGSz1KQBeSid7HdrusOYFszilT782tnaFXkHEcTTiLMczfr/yphVI032XrQ 7YjFkQmP135unWenuy1NG14XsuSf+Yh4QYDrCanDcn0m1gnxqYjVwNzugXIEL84K6HbbC+BLjdXl fJxIda/2Er6lb2Uvjj3zvm/Hze9XoE7V9LeQcxMaAUmSYpLCzkK0vDDc17j2IO52BQQyjmWkJD/1 tfaamHhOnW9FlgeIj6+U17lvJHQGteBED70ZFAAeAphbJUwsKZUleqSE8j+/SxuraFa6PN1UlVsy sIMpBLPRHUZfV6IULFc6kUMzJuehutJKl5UjxOufYDIfl+PbunE9yt34yixL1L/sinUefJGYtp8z /G5ZZ8+vDr5sLVfBNEk/6obGqA9he6nxAzIVXAQEKy0u291p2S7foQXfAx8HVU4l05MGbUXHjy7a Fs3cU0QfewH7l5L5C9chCgZcG5xtz9f4iF8kQNL2Wh2z3wYFmXN7I5cdSof6FnYvlIDIFsElxaWY scSIR9JR7KFQ46p6XrAxHvg1Crbeo0A3T7UpbCJ5iIvxzrZi0O4nxQH6VzlAVZeeQZ6s+QWhcY0U U5F4BnTnajnliFfaam184CqTTJ8uIufV/leX0meMtipSm9/9TijEmrkBVMwNKefdHGl4EEa7jxow iKQ6VktzCWmBHY6W+yg+eglyRkEYbygVbp6m66h4mAn/nkTJ9AhRp0hnJyhudlD4dzL3BIptI4A3 CJ1sTNjWycKwJTaUh63AIB9LRokYfJNB0Q5c0ETQ6d/WzIaUSRsbKkrl7tevWQwxtr8ORckMVSGQ APfIvG+5I7SQXN5Tylrf0O/1CVOifyskSGPME9L5gtjVAGXhF+Xs5sEqQ3ULp8SEEWjUnltMbvI9 3eWcldmIEKFrP7x8Ivy5XAhcCfSay6lR9ft+y0FC9JGwUhHVk8boYaWCe7WTnAuVgvQlR6j4k6q6 mYNwalXessaiG7VD5mcDKMAFunYwaDHQgvfyfl3a/ctwn4ESEhV79z1/W0Q4YatrNvgyjtko503N vCMhCbyVHp0HqDdBx/7DDkje5lpEhlrOnkh77LYZ7GgJ+kcF8f39SRnbFIoQPA148xK4UW38yJqB fJAwBQXlSSuaCnyqROl9GuLw1eDEOvhOVQzkEPbASb10Vr0XMuUzEAnqpe4alv+eyRHeSsJ1iZyC eieyB+JtLOvJVlvfaZQV9hZiWUIWQEZFmiQpknIdN1AFvCM1wz9FPNwtLczXYoR969kTgsi5ibAo ADS5umlOrNIH0gLjaTdfm5StmsShPdpv42iMjCjFoDX0dUPxpArsQyyLOIHT1MZfZ355yX+hJrXk t0hbzXaIPVQjbHPPPTtLfHAaxwC1Ak8zEAkZQUa7+G/CcfjqtAxrZilA+OfAOy2yQZBdIm1DL+9T n0d0MMPFgGsuU3c2l2UNydSLf16wwUzL8wO07e+Jl6z+VuLmFHOGjNUX/4pJ31lA258WeM4wZoeF 11zDyYHmLYC6pvuEeR6CQo3lMWWZo7QI+SIGU/i43qS73vZdM+Y7vDp1OmejbLEbomIP3IkACd3a KmiMVpXr47P1QyoEriBKbHYjoqQcuGLRavWUttICXf1x2jCnQ9VMYj4FnQsni/lsHK66JdBCRFRP ngpofhClCe3TeQVA8VPs5W7u11TU2m2TA5/L8rcPlnw4W6Uv0FridPGBVZhCQ8l/iXAEY+UcpOZG Iz36n8jML1Q+8J9uRZ9P3kbu9CbyDNFY7KHJLV2TEncIe+jHNQzefomihA6AlyaKG5+7EFe2xu8E jCr8pWbpLJdJU9W+5K3h97y20/EaW7Db/kGO7AbuqZc6ipIdf8YwdqqV+0imKJt+0cmbNg2pS4zV fmclOQO54Qfztrq+B4PeevNIqjaG0pmMqubmZloXnzh3BIusjbJ2sZ4RT2lrPDfcCO47kZ7AK91m 4JSFC2w++qo+/MouJsTRaJr9CzSCTLyoc/DUf2Ks7mAtlX1QdF6kJO2+RbjjPc6W+Va2z64P60dO IJTK+9zFLCPWJZMxiV1ZTmjVE0zlgg/mGLA2aH2WlmCYEM5cmkzEAa9tLgklgZBCMk7iIPxppdxE FIpBHL1eAc5xh+T2MDJhQXeFhsPGp41MTQeCFBYqg9BxeD5SySBsGmudVkugdrVOxcpNShMEjOZU 9r9bd3gOjzeR5oDamXMrUiNSVzW+wrx/QD1toIqcqBDLvloCkXc5iEXMMiubXDnyfZlPpiWeFsQD PudLDEASYxYNK6Nt1gVG6hewYUYR4jP6n0yjFHt7HXTD2InZyiwYHTYBWGVzZ/cAV1W3+PqkFKD6 4yIlrcBHrEzo60G/GzQne2UksmJbzaNc5FF4bO3wH5cJHu9KAvnbqL9yc81vPPyFV2DwZecsNlFY 0B3Qg9wl/ZXFHk6992SYaU355laBWgqqhutbLJTN+QhCPNj2WyEeWU5vCItrzi52xaEtuynXRZQ1 HXTz7Gks5PpzPOoRxGym2uW4Xp5kS90gzWWJwbsMutVNLm3arrCIzXRt3Cnx9dZyhjuidjBHOHxS XNE9HQiXg+b7lIQzDajL8FdzutRLGEjkLsigzN0OTWGeMv8nEVzN8G2sOkeS9idu3Hu2NhVKdkci h96scGYIHj6cNAZlYb1uBziO9ldAAAKldvvtOCQigUxDyrUur9kAT4e3LyjA77hagvfy/6PkNA/d cnYCg9O0S/kgXkq/ch5i8zY1J0LHjWl7/kxiIwM9GsfgIy5zUoIf/SdDmxbky4s7sZSilFLLcxTm FzTLKoAbiv53kgSTG9JT0kVPwQs9SwBQbciHCvXXp3nZujeUHlsKUhi3UH/0dhDJoOnuUDG9Q/an 3fbxIiyjRwp23PvvE6T0Fi2n739v492REMr4Fujv2jnP/UCHqzsnKxXxd4Zpp1pkGAFkSsbzDCBf OKF/97SJdChEcRrxQhDlAzJ/NGReLaG90L9sbWzvpvs5xsJJRUjtNHCYLgGEHSVO//vxpSEjAprS aHEJvcGGp8qwMtJz1YIEt145PPlKLerLOy22UZtrcolP3QXsI+8HqkpyLgIaz6zN4rqQ1Rqwhou+ 7YuICz0DzLiu5CLDzO9St04hYb1Inq1WpKHRnjrZ2yoD8lrvw39b3FRkQQavs2t1RIZUzS6mahIG g4K3iLiyKOw5OqJen4sp0mfxtwNrR0XL45PNGw2j/TbFfGoYcvLEMyvbTyPpNLs1fxKfjRORa97g /bOqsfbeWFq7iIVT2CfVzdlpzljWJhFtByKmJho5Y53xmVqDvK52lahn8/s+nK8Enb95D7cZps5n Rng9CZ9AhJzILTK3yO24hcMnOaxoYUgVzSrQaiaIQk/Xf9EqQ/yKeWieL4LTRX/T2m0uTwO8nvuI nUGYMO66Gad3UXGjdusQjrastm/HA3Q7ByHxB91ZAkrUtrNt4Z3todcbjtvH3BL7S0Jq+ygaMNI+ 3/APKo6I4O0Q/wJ69FW7unTmgSgDtqmg9rRiNc9xqmvBZehExC3fR7Pmts41akEVyDLlT8uHh5aU kXdMWrpbwcQC3uoFrSg7KKqPQbYEDR7hnzu05vUX93kuKDo1e9HonLQcoxxW7GfhWgBolcnlfCyP 3vQRdkefn2knL46OqasICmGwZ/gDIXND9yUJecFthj4hCY1p67L8KvPwlrt0Yp9XP6lOTPXiVo89 xaTfEBkFD2o1Tv5IdF/qM+qMrZyEk1PUdQ+JzH6LOGWVxUENtZ0+CeI3n33NzwQB4N3pZvPgziiF 7GgUv+LF01Kt0r1Qd8B1L/1aghsf+fg6wg5SWmwpfaycCmzZfHcDPv3u6ozwuxtGq77G4OhYzCW7 LV1p3SfMAT/VyI6ROd70B718yCq/h35kpL2YqYqBcyGO3ZPtmsq9RhiGv2PZZ4QdnEphzOIuXlgJ EH+NjLn2nTDnWxIcF9JluoslNlhCfUrPsU2msLqkh1mXOr2aN009nMvBv0cP8LDn3fStsSqnCldd QEhVbKNQ7bb+SuZUg9qDfv8ZOqNG5szZZL60Wlzls6g1AW1hmy7P1v0qmJVvczowFOXALHLLfcok h5jQiNEw/JleCI39HSgHu9vC6wBWl5VvEtv/HzskHRvbzHajkAH/gAnFIJFPAbY89R2RuCTjc7m7 yg5fT4VILSIf3ynqcO4EtPbf0qQVF3DiMBxc5lC1rAASO3NIED6AGrsMYZxaqbrWZF80tSvYppeW LyguqJVfkFzFRLaLUtYBySpmYWhYU0jkvG0xciIiYi1WGUpf3cjwCuXT8ppNqQS008Fevnz18xrH yoqn4sXiSrR2f7Zo5UFkWNH+SfyAyHudWlp8LvMuXhQpIEYlfLqHGMPdAEgZ31usWoITcDnwqhWf 2GqqC4EoZ5y3LD8Hd9Nz+K8ePstuBwfAAVzl4XqojuM/4zY8jZdu8ecGgsWRfKh1cB6SLmtrXKNS Ncyd5cTBzRpIl1xtat/q0a0CgM6n/JEorL1z5KcfmomELZJnPloUF552oDH2HUXE+eRdgSiWOXyd 2UeUuA2UoFVJ06Ln0gxOuWbfrMRPX0wIeBn3SGYmCuS1Bk5TsuLRQA33jgy//Fm++eHe/Xfzxih3 MbKiugorgv0PPTOB+YrTy0ag2SWC0Opc1GXVSSmXWESwSf3GBaKEPiRZ+QgXKNwyJDxc46v12tos Rqqe9378itKqU+JdPBqj4pJySO0oEc5DdWKVza3mTPDulZ4ZEHVkD5nCvFIvFDB9HCQgyOO9qMLD 7hVbUubZ8MkLSJaFfcmj1j2afPFjbu+I4UtT/DzhJ1L5ZKyV4TrpStHlba3nUNSSjYwXK5A3dbUn fNRyNtoIHYRWLmw4Vy9lgn42dD5RPJWJcqrkmoi+wYKAxZU2oSulMkTs4cOsEPd2Nk39Uq7mK8In jc4xcitYX5gSpp6B06QQgktr3T5WMKPfDKZ3MsD/R2i3bLO2KX00XtXODYK6A9Y2vx1OWd09l46x vOINg6zYf1VN+9xVL1bUWHs07WjO++AEXt9TfeJr0gaqN42OweRK+uR7iFNX3S0rpCc4+LomcXLt q0fQhMQS3wKZAwov9lrQutFZx7lPELURE3OPdD+t9v2yTU8cwueSQ25pveTUWdqEu9vqCwGvnDoa jDbLqjPpATD7X4kM6n5EQht4y9L1sCV9nHvcR0lkbycJeRmY8kk4Fql0ct9Kkogrr3FsWdSQ4Hpa KSW7q+aEpgfN4a0SWC9JEH2SUycMZz1FjTq9aBkRf5o8k228VObRwujeGHYJyM5KsJnwO6HI2rJy 6u8/N/B5klQruw2TxnuZFBoIbfWvcOo1jRJxYm0Fnw7eB3iL/MZAYfI1fXq3cEZM6QaVPSMEnMB/ 6Hwlm8W1SrEZOdj5iH0cdDCi7aLQiytfdLGZBMjPKsZ+wxvuBwb36r+GkbRfyzabvblzP+ZkVGrp y9YpsRsqoGx3IbI8octQgAeRX0K7UUZasV2CsAN+uRF13mZH6ReyRBlIJXp2uz6PqUEHn8hDGJqa OYdn3AZk5Xey9PKlpT/pj2LQgZGt4EcHl9SGTEO4mYCfCotfDa93mC9xZwrLQpDgQQI479f3gBnb rccXZIiKEQ5lE07KqfLLCo5DPiSiWF5oLQSO06846bOPuhW55LZ7l160I3CQ3Gt2t4jMsahKQQab 7gj6S0jv/FzVYaRn5NUyzw7qLow1DZ0ZAlcO33axpCLp6ymo6dLCx+JtTZpFa1Kty04d5fjMx5yR 2pHDe/MadJY16Q/eg7Vl5Ic3ZU7WANIGqCDNo4cqer9qQq1ImeJjW6O8QlB7agr74FKz/qhrLd/0 IWFes3A3V/8rd23rj40j9X0SMMLhKyKjgRqhTTw8JZHFFcOWEPPvca6U4Ycjve9UCcAHLP7CbK71 +i/FwH8SvntYJQcs4azbVjC28jqC9J5Y2IUq6ivDOwGeBRd0KQDgJDBIA0+pmdDsuXT/5WVb+Dzl 5LMa65OFTS8jrUvUE4azk2bW1tI0lLZ4urGwOp3sCe53ldFTzMbS0BdEnIN74WR8Sd5xoP6yP86O alz5HIVex6kf6TAEpJcd+kRqLCLvZiT0MR6pbhAlX72+4TbnY+VccIhgVAyYwPHXLCyIXqRlHWZk nf7enEJVseDrdo116KbDkosa+SwkpTfJH0bPXL+i0ZJWJ+BgCQFTKCWmEIWQVvjo0QhDWbxv1vFW s+Y7dt4eqfjO1qkYSp8+vXwACNnmFXb2CiMPsjq22q8Q1dvA5H3C5ilPm/oNfQOOQ2wYgvsKupgB a50KnhWEllXjdgRknN+jyleNAfu2Lbi1jKovwtlGc398lDhC4nDzfXEfQtHgdalKKcAbMjSBcrz9 I0X6DC04ruiZaOPvpVrr+sIvsvqcUG4tayGQvmAvAcPffKyTBDaKYhawsWZsSQ4rDSD7VSFrQ5a0 Z4h3/DBe1zt2h3TH9lKWVLGIwdzTO9yPZY2q02UfgUVWUGaxgSWeOvWUDRq4JOPEd01MiHkMdBqI anhukfqIvkfJ4rfYQcU0Qfc86O7OIjEtzdK7P2xGrKayYt3QFIqgpzPB4uE4F5OVe6gy5RvXJf5E uxnh3N4BCSIrr2iOOgqLOtKkG5zlehvp/l4CztR8FoK50C/ZhVi4OTb/IjxplpHRhjiGrdvV7Qhb j5jcnp0uPbsT30zTkXltirntfOSqP7HN9x/dFjcbBEMv/1ECvlS3A/ilu0Nu7je98nPsRuDAGJDS mY5Hcf8uTW0nZoN3ZCoWa/7Hr7lx3O/nN0rY/gCxNy51HO/MKf9C3NBPTeoGryedg21zd4XvldJi 3CKZOiLNf2iNAtbB+obz62hpIcyzv3uSBqlfwSnBe4rvZgTjThJQi5FwZnfJO2tEyZMr74bS/eAZ SEe7Yf1j0BBaRVLqc4/Br1gbkyrh4plQo+oJ2JJ1HX61YznIAMybr9Xgz5KwW6J7067QXA8zcDsR E9/GbixeaxutPy52gNGzAcfZtxJHAxH+Yls7eOrNWzUSkyfNvHGBT74lSewzdwR5qABjzxlLoTlp 15pcQvSjRvCxSBz+kl5idFgdPplvsjlZKdqKCj3qu1gV/ikuUwtZ4otJW4V2KTWDRgP+doF9ftQr 4fK5dM+yHUPbG36SI47/l3o4ZjYCVo3lxuTkS6jUZG9RL46eEvaLwCxmWgi8laVbDwHQSUktDawL Ra9gSj1IVhIBaqU9R1yL6fUt8UHvzv5AR4OwNtZ1tKa+AWDBd9E4sgARYHGap4/h5jh0enhQAhPE bWRfBqEyC9eQNrlh4SBtayqY90d/3JBIuajLiqb+WLWDAqkY7H4dmXwMrHPZWkQushPYnFs3qX4A 3cqZKsdSrmfZhFT89tzZb3BAU4zVyUUydZc9QzYjEAKjZbR1OYVK7ixPk90p0SeUMmiVWwkltyKa Sru+2z2uPBxmLv0U3A6INeaO5Y5mypVq8xKPvTcGw2Gc80yobTpglL2MHJuAdzVbTq9aysVNXE/N xjGXua7r3wBHUL+UV9oBA4Ro8DNbsuTzcvMrY0AJYw5SmEj3OToowOkfx5YmQbeANxezyauShcUh mByEXJxNAvxzH++I+rfbjd8zp26YSj41kkIc8E/cN6XiARHk8ZjOZav54FadVJg/Pff2rJIpAtME aVVBaU7kPXBgDh7t5HaEyj/LEsRNE6H2w4HIUp/DwLLuLAOKguN723Uqk+aBpiLZ3qJCh2xft97U GFq6NgzNPLgJGED7Z8A0q23oEyaPau02HP7h+RpsLxZ2ajlsbXzKDrLw3qv1hHLxD0r767a5aOcJ /dgiHgScuU30UQkQGKmy3KK3sflTiIGGm0zup1QuEHHGBRQ8d0NWdKTQfNDAeZnWuKhbsSMe0qQh 2JpKs6m/Co5/q5NjBsZxy+sTYLcrzEJ7xhWmo8f9MqC6M/8V7usHpy5oY5fJLQyQyDzec2iuTJt4 j/dq0C9az3mqGW2HZjQNMjLQUXjIyAw5b4cpHgglpbN0r3e70qG0AYc0bM6XqxkzHKuvkVDGTHx1 JuxyBo6RtN2HVKIOrbTDMV1aeKB1QORAm9/nGC9/zckNCxp174ildqObsPJY4AEkoqkI27r8dhWJ rkjN5wgcyOEREkej+ywRETse5YZz+1MS1LmC6V+VVgUFrOfpHocC/y+4qExs2Y3rdo5jPqxJwA3s DjzHjPRvIWREN+pvP5JunyGvROI8wdpV0P0B/i+BfDfVTRmaVI2K9emFh2KHcLjR+klIYqFTaJqu 8FoWzxC+gvj5Ww+hmHZ82MtHjlhSX+MmtOzYxT0h3lNHNKLUPIgk5ahSerKrSUvKDr7kAEXNomMy 2eCzyLIFZJqlrfuWhddRO0wWS7sNh/iFebmpop+HGa61/PkhFRzeQXUwO2E6okCJizEzbIpHK0kh RktEwkGT77QzkbDiRAQrkdkCEQ5t/LcUugoe1+b6IDPe7oy2SDyRSjjrKSIN7hBpBc2S7JNUEjyL 7YuF6Ze886S3MgdOmtXDs0z3cmsp8wzXzMyPxc4cVThsFlTfc45S9wIlVr0V4pHCac/oOJKcQJEP 1xF0n0hyHZDelHTcr/trM2bkvDZNbC6aqbpMTAu4FnpHD6Jy/C+/kr8khEitWMImHTahhhbTPNNL k4Qzz5/zsJ0OezXMj1Ce6P8PyM/EbQlcUETpLzXQLD7CGmuJvxMc1AMdOmxDMyXO1oKMpaf97wkG KzwCPuRiiA0LhylV6Y/gHAh9y7JFhkHD79jSzlCNp2TELXt5UjPSFdCfCi7CRxo0RR0hbAfJjhk0 IlJmOKxer+ouZhJYekIRzJquxefAqXCTpz9vjUkI9uuabJx1nCKZvt+2jJ6TNksJ9D7NXwv3Cf4D cOuwV0SX6/7we0ZFYm8YVbO13uh+2eNe0ifYfmZrSFZf8nX3CGRQVVQoqdKWRPielBA8TOsbrVvf uxDY7siB0ahOd3Bn3VLmC2+WEl+dAr02fWrK+lV9nsyTht5+hsW+lQl1b2d9Ri1Zz3xVfFhMrFdn e6VkLBIk4fvIOnAZoATbGTzpz3Bn9TXmYC/tnwejvWj4lZACntYblaA1tASPyslh62TaYCdrW0xc 0ao9AHzYq4bEkQGZRfZDnlyzkqDx6sGb01FlkZIZdKK6HctK4SPLdXjt/bToyaNReJvexCCg2ugB B3RIUZGvSh7N7q/0/Y+/cIIbmoFDLaxKcYdCFDwXeNLsannZK7UDHML68xeQUXuGoyBKazRKjDpf TIH26KJl0Xzx/jK8DKV6hmzy3pwMbgKe0OTxH+ZozUqOMRYOLKiW4X6UKmXJr8M15/9rv9Ut+c4B 8kXRU9OOu+t6BnB8Y5UBXPb9w53xrBZYomquvgpVBWu34frIOlW1oqcaa5GEc3yMftbi1Q1TADhk LurQsQkRGFtJGU0pjf20R7qq7Zcgbe6JD0uMkt7QeT33PaDEFTNm/72qBLsHblm+ylSNEXMDym2E LHN32SAE5VCfq+RBWoePNuolTsKpfBEKhz3nKa96ZcIM5HaI1JgV+7Z2t8Ad38c25CqEoAhh3Qxl 8qLBwokw9tpGlHjunLoAxP5mDV+nLu3ljZFC6aTCB+bo2wDjS1lRU5r5n7/GXhXp9jfciJh/jF0M je4h0XETEFyBgUbJp50liiSWsz48pi/tJCev9CaeMx6FIXO5LZzehOa5+afuqhTlhYbFnbPptDY+ xKpWXDDqmgvV3ZHMjBKMlhbEinBxfkjQupM4ku0Ol74j3DZhdyN2u+mKfIdKwYhMJQHjjFbY01aA y1zUOZDlWADUGhvSj9rqcOhf3jYH8u2xq1fH+WTsBmIW3/c5VBz68hwZo94LmhjjwUhWI1HD1kRw ouVir7N+GrZPatLHaFrazd/AFkeJjK8wFhWJM1r730FNSDo0qcAiS4KPLwg6k/FBZZFFBvcqRqxE T6h5MEPqkVq7637vNxEzvKbBfZGjBGpt1El93mTl3kmzAPj1/+vxB1+usEjM1fyQp2zBIsQ+TmQ7 iLNZnQ1v1PkyzQRC5SUsh8/8ANufxegxra32S9gig48Frdcw7g0P5q3r1p63SyPjlyMpayCBxqYW KnqYxwM8ki0Au4CGWUsG/ubbjKl3AOmz8jehukSBBD1+mu1j464/obvrXx+kH2uiJRtvLLofwR6G mjHgDpi9s3NIVnod+ldLCrWH+iEvKSXlGFjciKenY00X3ulpeD/I2xb0u+MHUYCKsPUSc0cnnrgn 6ayYAc+Fur8kSNa2Er9Ewx3iNPCXTq7HARthuGtqvs/yGP7wG6I1e5eVcfnf1FPjuEfUbEPZyjUU NRLZ767F/oej1uoyHd4izMMRDOoeQ0lBC1udZ3LhgQ8o4vnBTO32O0Cr8Uf6EBQE3Pd7VIKbTrUS 4j/2ZKmFWrkAKMO3Ac5tctGeF/DNcY3yyaum0BKWzvG4dU6wfE0AqUI2oXUhkCnSlcCRDzZSqeYy Can6BzsyFFs8Wrsywr2dRvx1VIhgYjlk2/LK8FP4wxwWF8sQ06grF+wwtyO/NfXnP5myRu0Hgdgq uKoV2TTUEj8Bm+cb3XxEE4EoZZf7zJ6dyGo8HKr2rQZ0ko+T0DqxoGZzcscw1P0KQpUwNdCXhAo9 w+N9gWu+jIzHsPmWz5YqxSwoMIAOnyr+B8/RNQ8ywEVmu5tWsLiZ0Gj7aB8zMBDwVOJMai/EtzTX Ox3KGf6r47S6yK5nyjE922pN2lvzgOzfv2pVillBLJD4CqALlqbsRTkEsr2jiJnVKYJrDPRcDVm7 T+PaGmdYkEq2JJw/z2oQnvyiZGBpPxffNBWzQjBnFJEnyrhjRluD/4WppcSipTjirRcX2e+2E++u pmFXq5+oV3gcdnavkuzIV/EiIZMbjXh68YlvL1zJQZPEJMnjujfNUANAyYlZ/gjKIsitmqAOqDfy KBuJ3FC9NyzggMtgh+0IivQn0Va+yBi6opEOMHC8GwymBT9yBWMhfO9V6vFr+8keLUsavgfGCNDE 4b2W8uG8bfxz4jEa/WjkDahC16LrC7vUXI1Mnh17l3ylusMRnWeXUkvzXZWFxHuRqG8dZ8Tv2tB3 H1f5juFEvOoh2apxcKaqwkIMd4iZuEkJd/okpSXQcZiSeH7iUGyG1xTarmMRosPLgsrbXakYnLUT GrD2O7HOVLq4Mlc2dtyMVsYfZ6FszG7RVARu/+X9907HGom+z3NM2DjRjEw4PZHDWZhCcw6CIWng YMuHC4j8mGw29etnIyottRPxhLNxVSQPrfU1tDGDoczk8GoI1r730Oc/UrIRO4fPkGu1wGFdVpZE LGBifpZg5S+6aMzk7mp783/n3jNoFXo28y7EQbBmq9xZBvDADlwX+KzrkAU8bKIe4XCH77Q66tX8 rI7VL7uOCbgEjOq3zi3+rvjHgoIzP5RGEF1WqQ33MuXkRfbpege0qBMDwdxUcDqGprHcHtBK9+Ge S/lipu3GXdKAenIxxJeNi/URv9DHCerBW2xo0biC+vI4rNxXeU/OjoOPDMB+iJcXd2EjS0YsKDF1 LRMXDXKV5SpEK6m+7jsTcumw02Yc/O1y8X8/42jj7tuFu90c9wOBF7swxarJGS3fQiQEZoryuPGm mo8kem87LoeMHRqvn+ALvkO9bGKBKCS3nP2h8sH0AyOvsQXDzFU7U9ne+xm9+84EhvLvaLhVSfIV 65ZBkFsXaXJWziJNle/Pzk4cOXZHjcM51FXhUfuQe4tSULYudVD5CmbHVT3Cp5yyGuDPtRaLuRfn q0YGKX6JRh52r8BL5C09nILmvaYB4JrHZ0ZGyEgS3ZCYLAwXbmTXLxC8Waak4E86v1s5KE8gdemD FXpRbx/XBrLcBHvo1TRZsbRNW7spCGaisHqGn1IKO9ANGnFgNKv9Pq8mMcxdMw1qI0opy4XPwYto lFhMoVlze/1VO69sSf6+SwXhNO0ReeBh6FCAhxPuPsUhD/jsFX89/GIbfy9CFth1D6qlldoWgQES gofeC4hIigqi843oGETEGZakrjLSReIvWcWXfM2J8qfoFhFTGtOm7KIqtAhYsouRtvUtJ6pt9639 4OK5dXkHjXg7pHk5fv99xvXU/3y2ePXyu3pnNf+AuRaU/RiUWqjYqMiPv4AVsjZR9FwiwqB1MiN0 3FWP8sWzKYJsvXIIrzLUrxfeOSCMMhrXxR6hVVm0Jsk9O7FrOW3V6OaECS6JQeKVKjHtGjKijkXT VuHJsX7DFeRvkE4lLz+KiNU7f/WFvbbGRg59dfNA/V9X4gATv1lFkN1XhNYe642GXui8MXTgw8gq tJtFhCnY3LwDDfTbNnLRit416FAfH4LMj/zVaIJYc1dKtgkr6t/Osz4gQfYhGDGFZ4mnFkUEHOj8 1N0+plfYVIDlHzaOtAhklC5x+D0Ix+OEJtwKE2qxyzR8+UEAqog7xaTomNYaR0jeA9Wond+l7pI+ nDsnOL3a+FSjFXCA+oqxdAHd3Qa6AgYm/7Mewn1HHSXrYE+Gp3kd9Ri/ixYMJ7pPE7RPCohDulC0 b0wp9HKYyfZhIX8GSMYORBLGNIBw46gtzE+hFPsV78s2gDZc7+HDzzDqgQXuIuH63HlS6NfofW+N A/iy6dC+vT4iPNDEgE7sPGxQDRkcDlURivAg+88ONPpohhNpRmatmAvM7doqj65XCMy8AnSBErDm Z4//B7AFRdLwXqMovaszhj5FgTQi3MHQhgh+4BbkOlDvVeEh09/PWKc0zlNHRjQgFiaIy2f5HrVx GesUFolqocn1X29mLurFzQp5N7fnokG4ZZwqqldkutmH+CIRqysCKvdJngQil5W3JClJuC5U3Ren /VDpOxcSezyKlkqTYKAMddAp9qtCKBuF+1XoE/WguBTio9i0zGEj2wac1I6nblUpL08rsBYtE6ts zmHMPJih9nqXm2z1qK2/GqEgycecAGaAyLVezVfY2sadjQYu/ocy+jsmhEPBUG1eUVWRWoTnNikx 5Sgmy6pgJ+JTZsyuHw4qfvmiUdmu4OkpXUTiwTK5ULeXZXvxpStewnZVOCGebrErNRKpPwO8+ZVn tEKLSmSeooiFBwvl9qqzpG6ntfNg54gADhWDuO1y/steYArI3qhfQv+mb5eHu9GwKjGsKJFnet0i xZfzRzXMtB9P3w6UGpGAOJpxXgzsl9MpmbGCoximjD2OAXM7s8tpSGT8t4qIck1/zVDoTh7yO444 FtDKbc7x3NfDmoNsGZpDlPlXiB4Xf5GoYEhuylAj5oWRDcr4u9qckpvEMeooBTLCtH90ZMtdqIsI KdRs30NrJL3HgWIeb74+UHfRZ8HJP60x/pTsSKWeWrKDOvMLOJUwVI0lz2tu4I7xPkNvnaLYc7S/ eZ+0+XvXa0DhDy+ZkMh8wlsTqOcv7sI+0oX6N3UdwLNDwEFwk1baMTFCjdRV0pa48TnoDJn2Y4Vc CvmSmXZVdjlEQq0zZq23pi6krSBfYZZ/TKp5FPDWX2xNx5uBoBl2a64xBSyz7OJScs0CWlZRzrZh pd/RgA7s9/zJeVlCw4kHt7AAhFJaAy29iYcaZpcYVRzfX/LrrWmr/Skwx4WFFWZmDBzT3XO9nv3B XJW7OQjq0uPHDvelN9r8YDFSxs4FwJZpZghoSqUmX2T+fBAWaHn/OFecg+zH6snP2A61ClNh5cxc dKAPrcX9SiGq6EStRSrIzOCLscJ5VL33zplxqWNoQSlsKHAwv+PTXpNeDvfXqJH70i7K4E3+3+oo ygKqIfmJ/BuyYJ2L4gyRxh7zvMME73UAtvmLAeiaj+fzwOBDMOtvVteWYECSKT4NKhVgaIe70ACr oQYwID46NK3yZp+3NYKVAZ9aTlpAonbNyvXx19162EcWjU1rGPpfOlbUrYNgm5mhU4Xr30TLRel8 uelkS0pj3e4LiAajDVbYBK6K3u18fhYhBwFDGONxobkLcBY1NjA2ZEYZOkCxzupuVgyEohQDWsaK t+xvbrTK4w0cDK4iPcke4egQiCfWz0Z5wVjc/PDfQf/m9czefWXQfspJ69Qz66nOUUqmD+Mb2ivj YcyhAxNRYKVbEdpQC53YFD//kiv+UsajUg/x3a1Wbx93ZBH3OK17r7rhRBEovEBPmpJj6silz0jO Pq/rF83qobvUtQLwzTUTISRb4Dudep8Aox5FmntkhslKC50bYYfuQ7zEq/CbkyTu+JxW5ps0N7h3 wYwj+TMyM7QncHIiLATpTVbXZc8jp/nJpYsIFDoKq7Ky1uS0TmUe7Y3K3tVgjNpS6AObk6PvrgLW AlEzytPL9rT7+ryHvUZVGpYRRAzSU9FTYFw+A23+SoQ4DDlC/gQSZfDFJEUY46sBZL08XSKSqhlZ cYQ5952eoJticg0o4zWZJrmFJonuvQftH2WQEatcfy8Paa34nYglM3b0cdK2+Jacm9qwhxmRXAyS BgpIR4t/hh1FxsvCG7oL/IrEsqhvnMR4KE2kmEnW2PhkP5Nkhn7EL1gNMz7c4V2sX24UnKogQoWU aRXiy3A/2N030F4LJHoax1Gvor5wsbAWqqYKT6Z3asx9OinXDW1l5fNZYvA4ZOktCuIDODEw7FQw HhZn6X8dbrKAOjY8bcCFLCsve2gNrfUM/0i4iDt813U8eEjt1/WUUp50S6ZhPQrXykmkCaPH8KhF DP6j6eDaS09HqqU9rT8lPRigRWOHGez7LY5m4GCESPpFkSLc2owRvA0C+1h/NCvd6OhDgSIHg3Ab xu8ntskDr52z1mh4u2HTc2NipZ4iPDFfnH+8qffK7aH14H0gnYHcnG3B9yzrLgc+QE/w6UX6QHF6 XYBy4AqESJ37RkmWSTcgHCJIF043ZfmhXkRn1Qcp3XG6+zfxXqeeRq2dLgfP+7zX1TtEdpBTtIes BVjzjeDT3N6VIMgLT8+aHLX7Vi6nHcSshmR2UhAfigGOiMnzxfXQ17DiUGU3kWhj30yzJ/7qi2BD DXsdoFUATCln9s64Uc9ygC5IL0z1Hpsv5UL/iqrqJ+1W+wt8062GzqCN/TQtdMvS1HtgYxaPbwAF ipvfG9k2UNiF2k5iOZLXL+Iq5XYI8nci8qWqkf+KyWvTZaLvrQPr3eJYSM5jaK6or4gAoNISab1e REYWW4NGYHHeSyBpmuCkpmCIssuqhWxpHJF0YArbwW7ZoNYVoDQs3ry1xGByL6xT+MkOSYOjRlIR yFQJOjRXPRJp5jp8mZIEUtW5jmXisqiiYxKBxGg6eYgIM+WOhz3JBGXUlNHeBFXIqvRVMuT8bMG6 p2lJe/NAI9FQuBCuuzYFFZb2M2gMmylTVqoqLj/FvBL9zGpKPgP5/+DmJHxDlS1mdh1h1y7XUqP6 y1sVD6QhDpUEaOWwSua1mkTkA0LGCamta3stzRrRPORAut/DXMKsOuKBw1YZzsfD0+Bq3sNVJWpD aVihUHC7IBBHujGE3D4NVDb4E1+9nysKtyeoUnvHmO6KGaVlPkDalA2jFfSBEtrgqUafaiiCMrKH Om4fVEZR+qu8kuzxp+YORY9bazJniQn/0vuvdHO5idyR4uQZWgQvS20XNjBZL+nAjtKw4p8bbFfv f03BRQFQ+7XjGYJCGghFGqhBzPyELyXL78XItkPA+TLWuM9ZtSYrLnxmhgi/pkhU+cNcheYeycLF ikH7E5t52UcUL/JQFY6MxkvdMzs480ilV39LCsTzoU5ypm4pwrIjqBx94SQriXICFIlV9+GKrc1v Odu9nj65py7I1zlSGqPQUilGadV5RhlwpbyR0DXYodb9jQo9Fwg3bNszVnaJ3fsGD3ILmKhtiFR3 F6HqnFIHkBI7TAM9xHBQYk5nFyzLIUULpjA11LNnQnA+mehEWYQQpWKn3I4GDk5DLHfEOWrrFXra imsN4HdUraw+3nvSbmma2kS5J8eZCxJhZud3US9m2sSht0vmR6Bp+K0LsIgRhmvf4ehhqrrpxydQ l+7DoxI2aegoMRIWr+tJeNqHFtGmW9dOfoErXtRKRlmAtfD4fFfu1PfO6UenyycVxeMY8xdPC3Hy PjYV2aWLjXgKnEPOkk5JGd7uBJ5bf9FmgnZsMnAXH6yMTad/ZUIsxRz27nxmHp+e0V3dC1sIOzGJ zEFqd46sQGY/yig/+q0GcSuespAFWGbzR5mE1Knn15KLnWkjGJw8y+DRQk+855B7XuEm5cXKVhn/ /XSzdEIRgn9cEDUiYBST93K68wBoNY5Q/3I70Vio7UbJ6yplJcGZVHjL0cuNmGfFKWYZaLdiclp7 Cj3bM+XGitWrAHJ5RxlCE9A721XPWe0ADa27VP9caAVarPxK7TB7tmgsLGSIlU6zHDmrChpVwr0B rp53BOPAXNGyW4ibsm1ejwIbBa7CkIBRJpLkG/vDt4hNlOxth75IKpGlYbZypPGCvYAwUHDEJdE9 QgX6/ukaZxdHLmBUg6He1NsywyW3A1mubkEVK843MX6fmnQLGTfl7GMcOSFxfCBUb8+z+ki3R4PS W9HsKIGVBNUyCuO4qJU25TGCJP5jFsKBvXMdtfE5BlPb93w9tY57OxgnFHh6P9HNqww0BbNcUtMF 3kITxcGOU5hzgTZHeB5iucm+nh8Y3l2UWYTRWZ3Y25nuSPyuQ7wJvFJ+i2e279SL3k88Em/yn373 XGYnMk9rLBIxyH20ROBbNtaj4FjTQctx/J1PP9BVRBPCaKNWLRtGym4eFFRCZvlYyqK2tdmkFyzO jAJZYwU18rqSkKSFGYtfU7lN0Z736F8RYBop4T5chiKg85on8VuUOs7EPWxodT1yrUBUlhsRtMeA gto5hWWophrQuYR2J1bUpGkhxcg5HHqrhQVqX93p/uF4J+yWHL+KX4N+P+FUEoPdPyJdbpFizV4e KxAFhdV9iO6rAiyKkW4jSnzIMN2oZ6Cm7Yb8OZgkfMWK0iNb4arz59A30ILqNKI8rI6EFm3Fq3yZ YLkeRSf0MwFbW/3fFr03K3WZNfmcJKyw7dlhU7YIXL4Bz85FyLZIxZAxaU9pMKkYXU4kW1k+I+ve mtfswyLjqhp1ayYP2rc69u4U7wqUfskwIna/eYzPDS43rt9vxVwqET3B8YCRyHau9i2faqbkH6Vh T7x98b7btXJTgEvkrotgcfk5BwG+IfKtUGKhaeIyo3BYDWSw0pM8YsR9pPiNJzYb4tLSGAsgJkO1 LaC6UX1HP8L+jmMLvtGEciMJE5ebgjYziC+cHWa2s5zAn85797V7lPUvdAlemsQv5vT39LETFr6g Bb8J8TpaxmIPzpJqtE6nDRPhC9oP1QY81FGEby64NrtJfd+wsOO5WmrETtT1Ycxb0ORdDFydl678 uhP1YN3jKDyFi2f5GjhxGidSNN3PfW0DZQhphSbhlNb9eA3ZMqsgac4wdZ1scSTQzZCLwWUkK7J2 Soewck096mM84B20Zh5FfoRIF8imK8OgjvyXaJw0pI+i2DIbk7oJE/7IxRE+lckejWizaEpCv7v7 27bxUMaEvtcUepJmmPNicHVAM01GQ2ER8eaDZEsbm+MQRzG1h3MzWKc9eJKawA8I6xYFruCe8xG9 qLxxilZGiXfwGqbg+aDideetXxBE/ZJBgSKoaOq6po0R+00pH7Sj5BRZzfQsCca5lcVmwIe6z22m xH0q/iT2C5qWuDUexQZqT6Lma+x86WaQ7hZ6bN2g0bljNZnjxIa0gW9DFcf966kT5AwvSAqEWxJf pJkkPUjGsu69n1TMM6OJzId9Z71BdhcOsszwfDDVnEpxfZWKG5mgM01r+HfbCR6YyrAIHwHPkDg/ fATwLzLaFyT3rCR3MotcvPEnNMNLXj6as8an8VpE5w8rwUb5t0/8Ws9r0xg29tqPJxnP7WsX/RUy dm/EpxvNeRqbuP+ezG0mdzjvLX+IOlBA5jrH0K4otTyRVsh/1RkZhkQnrSm2fW/EbQGXHaKTWhqH QEwqGYkinc3rrqEyFX6HqW+lefcwwgJj8KWexLudRzhfeE+5Cd7RztIJtzXBs09mGC8AVF38WLfv D/KKsNpX6Bo2h5Ka7kpYphaXtmTztTU1K/he96IY6Pnm0AhlPjtoiC7FqK7LXceGk7aWQQuZnIuF 5hcWR2wt0emKYguZotsBrivTtMI99uCDsfFF1BDWy9bwEyc4Zb49E3+mBEN9CKckEImT/xD7ybFM qk75rilN01Z6P3kcA0O7FTXMQrwuMbg6136RNkm0EH7dZ6KvpB+dVVojwPrRw1Lzcm4uchzXLH3x lfI980Ax7+Uk7XJiR7kvVx8KqciTdzAjwbrvGcF239n2QPY4i54Mzo50W23N5qO/57tBmtuMbOSd wWyIIQCzHTBuAUv4E3qIxfhR57lItz5J/Mn1/URRlUVBD9b27uVuYd6J1Pq8hDi+032GV6N2plLL vehX+EZuR8a7qp9B6r9Kken7sFf/n2afI11hi51NXSUBjqc64ANpglwvQ7mP+eishk+V4pJWgqjs 7qOoaXth+OV3yAGD7DRzyqFqIP+3eoDdXB28IcStIOHFDqR+CIHQBbdoxC2WWlsATwQU9ppnndkv 5N0/VlYtaAk7JXgWJsD8yJ9/hpxrwyRW7V38HTxCOugSihvNxyJqmsB6kzqte2bMshwZb4potvmT 5QoN7jWeng0LXhx0My/TcS40kCDcF8b9RwN93DTWuOTZHzRyz5jGErTJoVtG2e83cvreNCxmbp7o HBjAY+fPw+sFwjoYAw4xjvB/LbIK/9s6gO1QRS+9GkrdWWi+5sSzZdwj `protect end_protected
gpl-2.0
8d15c4440a46e284cc7d989be6661c9b
0.942943
1.85111
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/multadd.vhd
2
52,451
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JoebDWcYlkOHjvU2HKmRi2IPq2IKfzMyADf7ipQmnq6p1pyLMzSFTP7/1XG7M77HOVM3SXm9S+gQ RlzcwV6biA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block k8vUULxueW9U9HcziM2PT8ceHNns3t7dzMLrZ8seoaB3Df8Aw/Ovx02qwDodcfaAe1Fwvpwa0wUi PE+Pg6GkAtDElsf3YNizR5k5kMtCKENPRgHVaTWrSPbY8oeTfJUZWltxgCPQj5zwlFBQcfStGWIf yrtaXeAZ+NdzXeZZyAE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block L/xMMRcKKAGVNmFjHVcsXDvECPTC4SN8TfnHM+LUOFOpNppp6SVz/TvXBvZHk4BJTGOf8HCvpqWr b1t/WIlalCDTK5H+8vScdsSearVTAxauZI7OjMpsvbXLIUWdigqfacEdnuYVXKx12D9mDQCGIfJF 93o/xsOPIPRRrpS7RWGCtg/knXGuNaCNGbmNy+t9j+Eo1nyonSdY/0FlZqqMY4ZkSm2jCdx0cjBs FiPnGHBZEeXCAoOxLXtzrVDuQYE207wj9wnKUvLBVg9CTtzWxClhQdbFIHF+3vbqbD/eyzso81dU rvicTcxBlmUIuDFcJExuV3OjUabyI68YSYY1/Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4sARaWuxHivXyPa47+10U3MJstnjV4iU5AQbQxAOgIjmz9IVz2qCQ5udA4GXsCGUpRpP/kUqwdma HPjRLjXKbF8bgOvEtPo0rt1bIFXIVWV73qTIOZqHDhYEQLw1uY9FfhslrS3GMt1eYFoYen3r1m8O UrF+deTl5nqkO7p1UhY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sMa8/fGtZNcS7csY6TeRUT184AxeAxezcclVhHDC0qumeCFV4BCmqmBCX0ebxotImfwNdBzo9bED CUh9TE6a7MvzZ0uAR8//DDHYUPH2llx4fYYEqkZdNtVDgbCNU8RgeeIUbsobqcloOKkZJPG6/tVu dgJrJnvGdMbU/Wz2+1hxmfbwVRYpm9hxtyfoR+BIWNfJV/WlaD5XlRBWKUzHsLrD5PmQbyPqCXmq AA+qetLtxPXUjRZmQr2QQgHXgTC9PCkIRL69zCeiy4b3vqNzZ1P0b0wZkX3RGP0tzBrZq8ftLC8p LeONVXizO7QRClOpt/hUJADi7wnOB07MzObKwA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37088) `protect data_block wXzPBGPafsSCrAw/gav6XYVMUUPeH44+T02ep7By5B84eE32MM/nLGYt2ZZ92bAUkMaY9I3dOtCw IqiRxfuxq5giklBdocF0tl8c89iS5Ngf1ZuvHFJd9oqmOljqQlTnz0uU2BYHR/9sKPIw51pXUoVp lgd7terQvYq4bmSD2a0toJAGhDoPW4r3BCGa9mt4ZDgav7WcqjynOE7C/8SDAMs2ss3O0BCdltdU VImOA0uIhSBo9xrK62+czx+hsrrC6R+NHeGO/Gh7bDOA1tvyShRNeHN92AQpCIomN3QNMORl6LMC WtKKb7CfYTTwzNcPTHmisZzxynoSGFyn7vRQEewKS5yGcUJVVFs8Clz8YpHixL1Y9MINIRorPCwG zFTAfNxt5x/UilpUWrYnv3wR4/HKUoHnDxumot8N5MlonHhKCNkc2DNfE36YbQC+2oJwSzR3K/ZP dHx6uf176re7y9uOu0SW5x1GQrXyhxNTkc8qb7WMWo8YTIKWOH/zyo5mz3+u3Cj8SiNWDtu4UwCR wJy0ezTFbdLhshpxQl7ze/e5K+9q3B9gIVLa9vMWEx8pk64IC0c0GlRd5bbWHCuq5QYxrXczkH0C l6ZFf+sD7h+HLrWdkzfeF91zKO0OcRwDxRGpWy/wLETKnsKhFjUvG7LOaCWPrGLozi0Oqx4+QgHr vN9Y/mPi9fhDiOlhg3D8xQQxbKmmAmP4bo0gbamA1xgbSnFLPY/Ce9WF+rYejQMEGUMTnHt0a16h XfNNQI6Gl0e1oUlsbc792ualM68bTED9i0lMd+uI+/9ethU93xfutMWhgMAGllZTWhXXRlc0QkjW 1modRc8Grz14/e+za67vJuI4QivWoDnnXslyqn79du47HY76Wd9gEqVWm9ZrzZwhqX7KcBJbcBB5 8po4NFsqMsOTMBPgghLdRWNRD+G8SlhvsbfdzlZCaDjvaKuycifqc7ve2WLJX0xd2+mbb/SUyiH8 VNYPVl3phWSej2gEQlk7ftN6w49Y4eSW3foLJEW6e5krXkZEyCfJXLUgaHPReb5uYkYK95ssnoi8 nTfkyC6gxZCjN9ojOIey5/xODMKz6YgWTCiq5VFDVfTTVJ4VJ9qaorHHZW3sIXRXx0NW5WVUCN6p 5HsmHbMgahfNEu9V35Zg7BSDXbJ5VP3VjBBbLYvS/GMO92LF6w+bwlnzYtp2kwQfQYzgmxvRNlSW CKGxqvqn9mrXrZ8vpmrPz9gn4k+p2eJaT6mhAojC5yen5or1Bs6Qu7eiKfRPRGUFfM0Yae9ylTOt 7xLzb9VDD3TPp4Qp6rly0dKH9z6knLXJ4XUBqNtLMtqFqK0oe0/j7xSsrL2ZRW3/LQoYPM9V4Mgz jyoQrwklahCe8ie44ZsHADVLD0vRu5YRarpo9yY9AE+dkbEIhA0EOQSmYlXYBGgMvIVoatz8iJ+N hgFbXcM6tyo/t996j7p2ONLxCSU6hXwvwT2wXT6OIm3WI6etU7V4I02Z1WzQ+x7Kv7a0ACp206B6 ZQhHS19HLKP90yE9SX93zNNMlY8DnfODHk6hDNF8tYqQUP75IX8q4abVwrLlrGlzCrkau0dQ2+hB dHGG0K9mDhWfRsUBp2ZryMkQey05nePAZ+xZ/+Ymao5LxoALWNEre1jZLEoZGaEqcjL3RACou4s4 0FVAFCoycmdXg5va9Ss/BgKoJFNyDw0qZfVHfaJBxKCfei8bk9BM8V0fzkPQqsk4gUIJhGyC+zDK buuVoedQInsByXm1+r6pWcqVt8roC11rRK/lXYjBvqbVsMJdzLwrfFnZLMWV9uqAuLGz77mUJQnT cKjxOlEFlXUgaTs30DCEuNDymLNuBeZxnLmwby6vLlV3t0MXzCA1B3ynxPbkq8F0CgLxeDDtp4WF HbR5I+rcepcBNjtweT9/a7wnffUD1hB/TklwYI17YhXDJeQ8n+DBjWJyFDBq2zME4062Qoaxvrny JqY22aNeFKr/JIIbYp0GqXKPdWJ7DLihFtiIksecpzwTbiCxQJi8kC6ZQfvAxIyceRmC1DROLE0p foB8VyFmZQhKrYhjuSFc7P/3ElCG3ghWJMus8WxdNhl5+eqmrE0+cPxt/PyzslQfS7fJiZ20i/4w Bz4Yyqekw6IxRZb+k/+gpQONLggmGZCbNvs/8Fow+wx5kP8WzF4nSl8/ChFcALTU1xRTvtUGrgsz /lrs27HgnFY2XJyhoeJmVj9uVnG7kcGELLejc3JNFHK8GGmK+rHkjt0IyzicoU8fOzHtoGzNFsYT Nt16kRg2YaFsKawWE9gJb5Eu33J2NP7P3Ff30jW2Drs1o6Y4pbOvpMvdxiKfDTy8nt+OeO5TW0GK B5E8HE8Jh9GKaxdcOy9dpbvY2y06FB1CHG6rpW2H/TGSZrRjsS/SKs/80gVEgKHww2x97jRpF8Cn 7Eiv9fD9cHd4BXDQ6kRFPip60MB5PY3VQwpUdeO7LeHpAEQbJqurNpb4ZkLGfaFGQwvCwTbBTvX3 a4Mo7YN7UFx4T/FteMNe0iSq4UpK35dP6Ut1hMHJZ53zojiwGOyT3Deyl1D8H9gBYNkCfpys7PN7 XHLivSXfIvyQJ/ZmQ/KKKR4VsS8/fa5RPGHLkBS18KW+MoZ2HHdLmjDUumPIKCH3b9Vifn0yYDur PKVQngsvXhCNVzP88gE/78AJ5D3Tg7sjg3d9mWC9/xql9jBmqQTx0+s0owXlM8PKekDGZTGs4Xwt B+H9LoyB9iWUzO+H3koUmFqwENZt7puOwJ8vwX3NnMeMWHZfQSWtRh10hmPap7M1n8x6DmsCEqaW r6bAv8iB6OolLnf916g6JJycocFZCM8PeLF5r66kdNGGPOmPnvUNEz5iVQjAerRHnk0wMrLqPBAm +qwat6tIQxc99kUAaQynW1u6n/kqoW55y2cfm4Pqrkj230XX1rzx4mnB2rhITinDpiwPFzjra4cI 0vLS6vBPuydQfbNCuCQ7RP5HRV/reJuJ+ITVHKvi8EQ5uEewKSF+DgatCDhQ8ftlO0BXK0QZEYJz IvyEKqAoiuKIyjR7b1k6IUz75weQbmlunRrMjD1Jf6MH/OczSFuxUu7X8mxyXz2V6PconJP+8tUU ge9fjBvUrYhuBCxYubxfZVCVNCAKwCqbaH2TmPh1h/nqdM5tLPFHWGKZcaKpwWDbxLzkykrLSDXW AZ9ohXteU+mX3TPweajRfRc5R1QOQ/tzD01zIIEhKCuGdoXbX2UaPnGbiaRrdL5seGjlobZE8J6k eBY0BXITCkHVNVKn9ycuMgt+OZq5BypsNGIbTClzAUU5fscvPq6s0d3xalhNLJVqTUrVWJGYGFkx hnVhD+9aIhWcZ+bAFDBCqD1UnoRJEaxJ5wY80h+kgocadeNsxxXfYnmBj10aFLLiJIF21QwVjsbh CgVJTIOgT/+RYlSr4K7EZqIy67ESSMGlYC8Lxcd+QdWbuLrYCuvL7M/ppTszKZsKjHDQa2RuK+/e 6nnt0ylTWE3OnMugaZk2X+7icLkcx+X+EOloRJJPgwH/JKEisWagulUsbIg2mn9LhhBkDfDffv33 kYq7stqcV7AWjxUE9yCQ8YP/NKtBtz6f8JbZZ/Y7+drG/8ag0Qj0IcjMUe3k683yfmkjzHGOvbOg cp27qN44BdxEh6O9waPERrzfBJR79pa92g3zogjd3gFVZp9SFwcl7WRkXyFnP99sP81BW4hlD2hd wnsYUfS5Pp380CgTRcHBISsaywlPSFOnAna8C1HbUNXJI8e2T0gH1pnj+A360zbkQALVQ6gKuIR1 LhgqEXa0ZxjQ9TlY1cdRlrrOp8Z2Lu5PyuAqF+eBWyMGyt1YmXa3Bmm4/+VyHlVBv7kiqSoJgrGR jvukUZo0asYRiGh6Ru0rFEMO1ACRQ0nymv/Pla6KkTa9npwHRQaQS++7T7wljIa46keYllCEs8+I TaX4Au+SGt7LCEHJ3QFxlY9y7n/oaqfvC7nFBvBI3Qg8/7w3MS3vPaRauXGVL1U6Xo+p/8wOk6cN 9AIgN78P8i1XnKEvC7MNOvCA391XL7cXun7QR1clxqSlO+viTNpfu5n9Blx5dNd3306z/HXaVtS3 7jYwjFO8EuU//Db7sOC2lvWoLylV+fgT4Joqya5rMJiSHt8EH7eHNy0HcTFyqFi+LpYinV+jkQII h33aifK82rveL/a+UeeMjnO/mbXleWYVAqLEq1fUz+3W0MGEV8AoxMR6veibHnFmn5O9I73q6dVV 56T+n56PokQMCy+KtbF82K/2a61VJpf+E7AoaFN0xK+YgBgoafg0fqIKPpL2R4UyuMBAqhN9iHUq peM5YZ6jkYerB9OSMZd3Wwh15EaEJhEfWY3ZI0lqRLebHSkYcqlJgG/0j/8KC6+GWN2d9ZKQSz1L dvb9iSZNCRr6u24QrTO5WeGk9YoG+G8dLSnfjXY/4AU0avCebhGT759MEw5m7E6C2NrpaAuvC120 7G5M0MNfr+3egftrpK5/viPBnjLFqrbB9H/9loWfMhnEFHgFt926oVeSf8UcAFcNfzmuqRywUqd7 aJDeQ0bMR6GUe1jjwNr+kJ0DzjMP5fcKKum2XGLVkN+M0uzoGL9a33nFzPjLphjH3rHjuWE8mfed s4O+iaGUH8ySvrrmv6632JZvmeu9u83Jgr/Cs2dTR07+1hufGONyS3u5gSsBvw9wsyaKSeERTffj Eh8Y9PoQ4jbbYXMXDHNd8dj6D2aQStFB40XozVpwMGpqQRbYyTQcbWJQapOrYF1suckg/vbvxAyx py/EUZxa/MputlAduaeHNCYsSM+ZC1LvcJRmhAqzBCyYZ1iqeu+m7+N3TiPjSMvPsHwl164p1qi7 fo6h5710Wzv8gCcHVjHTL2Hq3KfFNqMTnPUu1pp6cydxJIgD94tCbsrOhKDWWoy15Xvra4l0o2rc 4Xjb4ctyxtIEHwW9qpc1ujLSp7NljkCJAgBf5a4+5iszzgfKx1AuOE6B5iVRYMsYxEchTbCUAzNo I5CMXpkobvgbB55zbzEmF/SeEIowXjZWtdd4PC7/bSiG/N9aaC8Bg1w1rNnIpZQKVvtgtfMVrA+1 oJQvEn1pDGk1ulBMCAMUzQy6nyNpfcFkZmaQ2Z/P2F5LFGa+TIyhIzeZq0IDdJUhJwdglrSrFGF6 Z49547t06jpGLImY9adNysusf1zOsSQiIq3hCSZly1WlaF1UEHAp4PkcPVVdu+JikqkH2eOU5n0e 9WZ7CRXWlcFv+Q0b1BPE8dIvUQLHFN5+d83727W8+OpmsRs6tOWqw6dwm8RG5Fp/JN7AOs0tORcs xKoyaUQ2DDJnCneIZomBREMnma/WREiuhqdoumvO3/W5ug9opIfvW5qk+Nxw1ODydwEmAQKAczUT 3rb5Y53+8DNqDKo8yKXfzk7NnTf7+d5IAxDeaase5dSnYjJE54LBC7heiLVtvEZ7OkfaxvN/tdhQ VqF9+zVws/S6QK64LI+ozUgO2OTJKNZhq+zSabMpF5zf5UmrEchGD6/w3xt9q0x/ppprCgl0jGlo 8PQ5miLICiOolP9n6JgqF4gD3XkeXY72nX7UeLfnIGPLTw3bk95nOfucxX5yhpD8DvKWxUiA495A +tBsmY4YlcjD8pLR4BvZ3TdvXSDO6vQFuxfgQa/1m1OcNYctjR/m8y+/BDTgPMdxIjBTUyhiAJfS PnkAKErd1wdtWfLy1QmDe6vqxXBVavZBm5YqQwb64aosR3JBwUEUNQTRnR5X7FepdE2ZKDEJuTzw tp1NCqWq2VF3RPxxAK5LuW/UUCWf7Hy/XykrfiIoRS60hVgZPprbuAlPVVgkm52NzXaoIHFvasrs dg77uLmTpgdETZMgd1vLTnC1eENZWmN1g0Omeh3A0PCoSm18n2mtXHMpF87MWH32Dol0CJQ9Mth+ r7jjmisEw3CToNjJlJfM0jZesk51jnnnEm9nF3aU05EIk95HCXzn8skIHRDekoBvbbGRQLmjNIgW qJnNp0pWL/A/K3jYreexX7dv1dR/6pXVU/Izh2n4w050hW8D9si5/s8bLBV1BYAmlk3SwN7ICn6O 3c2WQmKHaV6Lo7anAhr1Xhju6IQgE00vVqDfCXTesuV375k3aG+6ZyivIsrNUOfwmuJbNjR70SHr W7KVUh8TLyzu7KYHp0ayL3VNl58ZiD+OxMhjxuYAdy06m3Cb5froUnN7pfecS0Siv2F6hURWCaPU OrydoYNABmypVshNvpK4cS+9V8NOE57i/FLfaEycx628vTg34MHy8z43R5q2xuk6pyRtgzlZr/Qx Wg700VGqMNktmG2kW06E8t77qcanmbzRf1XyrhWnso4wOi7fQuuCSw2/KcUO0FouRLUw7Kwod3jw CF7dOOECzAihHt6mhCkklHN8kwJyV6D71qWgS7ZR2w+MfqEklxJBIpI/OqO8ITAjFalZhLqLsBYZ CLoArxhzjIJbL9NzMAKPfg3Syt7RBHjrczyx4ETZcN9W+p1TZKnBDJBEGHlav3unCfJkJb/j+ipk IDYVp4dSHZSanxBCspISyO8JLonUsG8vEASb6y97GtkK5A7bhbHcq3QzkPqyrRke687U9L08fv/A QKLaSF/XJGg74qrZBvKK0GxY092RaM1IDIPOiv5u5faQvuk2PM9dq0YDkWRgCttC85NEfPfVO/Za Q8QrMRdxl12h+7CUJ0SXY9g4V9G7CQg8PK5rWmdmQmyVoPaWU4dBn18KZQdemcBPZBMpdD4KL1dT Lqeynr2l0qgcNbdW1KLm0HgBh/NuOt7jiTkO6Bbw8MWY7+gvEptxA/+Gs5hyDZyUkA2qSj628it7 Nv9IuLQGgQ3LMuJeDd1Kih03eWyWLawuCTVJLO+LbZPYsSZMfA2gCr6s6y1DoXhbqI2mLud6M2gS 797nErgqEnQ6qI8kY9Z4undWjKQdAbe5CLWaUrcc1JwjlkCfP97b8MZLeijcTZbC6KmBatQ1Galu 9KZuiKHap4o4vt9lVmaJTadG6n1HEL9Ks/IJ6jLk+F/YBvc5A8dg9IWMUPdipoBCGALJeMm/pe1L CQfOxeStgPCiTjXdW8YRKc0WMSM9xKRBklWa34QxYMzXOfBhE7XsUZxWdh+IGq7yl7CYCVKQGLpK YOClffHW8jNpHiZju68j6qHuOonQt+ryE9XEv2ESbKYLToPHA3Z5vX0X8bbRbLpqz98bukklgKrv /daE/XieY0+UmJVOvUI0fRW/YI8Egb+gzF5xgCu17egLouOGCploI/LkS+OIIWTNYIrV9trfDZ6a A9zSHs5OmgIjD5bRo7ylNg60hp7Ip3VrZQCP/ZdGEmlbUSSYpem8Zs5vkOeBhuUNjAV7PZ/89Uiw a2XPXBxrkCYfr+iRt+NoWuFaRxhe9vGMph+mQxTAopqMWgt1Y3cZwYz+dANFTjnWhvwv8xolFsS3 1IKz3QMVhce0DkTpVK1P/lBVpyzejgqy4PFSdsOsrod/tFSvMh1Bz1VFIOFYA+bcZ3cRRNWeqPUf 933GgvJAEHEPutXWWrkRIO+bsuIg2fyBbDk51e60iGtWZQl8k9oRQGJGOPKDl5mPaj/rPQw+H0fk qPeO+hQXjTW9TvbZZ7ALwis0e3KMZYp1YjUmmNun0WSjl+vdH3oiBeQbxbxoIMAMK++iSCG0QzE0 /dBDyr9xn4oK30EGlfSZfjaNrsSMuMmM/Bp8J4ILIHsStRsIVtN45oCCQyezo4CMJlwd1iTN/arR HWUQ+3URZ2OxC/CgYjIQB3gC/bRBwletXAI6j2W69A8eDSRrexUuuddf5klEOYc1uXhNGb9LdKtI 4eYQoB1GxQVOGCI4do/yOIc4ZEzy8Fg0A1IYOKwUsGKNgXmFMqvgK0paLqd+wUQ2Raiu6m0U0PV7 c7w/5KSSueKCPtNVBp47PTAoDr4tm0Bd3CdaO/1Q8rdm3CuqTkAAVoiiY/u0iHcgQFaCQ1b+2Max LDHvJO4kkN0ZUT7kTnHtX5K+wm2J2KvxkC90DM9oOmYfGfjpL14n98vdzx1s9s69F5ksI3giFYxT Yf1srKn2o8uELB12X+OHztNNR9gLvuNsWYO463+RNLXibnfl79iF5zjwN6/QC5ZO2WW20+u9PxaT IwohjLgivZyY8AGgXZJioS71YkHBWiC56pc0ScUoRkDiGylY2II2X1AcqH4t75nWdJX3phKl8JVO RgMmF9xi78Il254xjJuVGnUOuGwzhXyJwi6HxKuTdF3Vf3U01/vhhBpRm+Sxl2sb8KaNJ3VGiREz tWwoXY8h9rABvQcEot9RBtOWA7vD8Uj6kZZKXUmQJL+HLBFz74qYxxxwhhxNqcSLDD1YytRAqwb4 WzA7ic2jECEFakxImdNk69YkHA+vPFdCoRwnjvKFfZIBzvSqekBHOA4TA3JXiVjTcMXuUaZaJkK+ se8fGHEBsOD+mPdPO1fYyr6EQz4fAGDCcgnVZfJ27i8iZ/twIqLmXvlXRIqgJmhajl/4YN4anc7v gx3T+lEweO4lPLT3NHjkewkG0LAIDLhMDpyttFkKB/ApiNq2BqLWgHswVd71hsM04E0NPdopvYoG ZdrrYqTNxG5u5QZ/DhpU/gHUv5SwB+c32XTS8Ki0MoQKzxuZK8Qj2R0zC0PWqB4cZ20R4FQg/6qp 8V+zm5JdocC/++Q17ymwlg9rIbaH8sVxilbKvRGt1I5blAN1S+JhehNuKAKK59c6EazCIMkzdZHO CscdRgrmUB8QGa6gDDGoqvDIWJwjEA42N2aeB9a0/ogfkqKbJ1S/wxplbh1KYlJ7EmT+vdq5Zl8i BtDupcrUAmK57qMO+BNRvLMxl8kDdQFx8n7JUIHl6Uq0siB6lRICzpZvwb9Qq+cm3fIfBw8msDod +dR9fif1q41Lw/3ofwt48h0olpGprShEDl0MzMkL3LMeY1ywWJDCiDPWgwLH9gJI0qkqPn2M37de sMvTsSRzFGsAE15kS2zLAHeKC2How5HF3opd+zguFgJes3NNoCCVIO22j4Wg3wLWpdhdquYjL1yx vAeLL/w1OIpeepeDgfmuf6Qtc67aekgNs7H2dRAC5mvs4gXbbdMytPlZ4ThvFVLEfTZtd8dle9Uj SrvqB0v5RECqLlBodlhj5C5UqlQd69qRknYBzxW9hC/+7GJYkrUrFaHMWAeQZGp9RPe4SMQHGQ2u 7L11R3gpmYuoK1o+Wj03mD0DidCC43cwllUU9mPmmSAmGPbhaeHug9EhE5t1jQ/tzKXVAksm/7sV JP7L+SZFpn1HRkRhz/MI88H3+e7y2oDWcGYQny7SWc5OiV6y97Hm48KAplddOIIXkrBkEmlFFyU/ l4ScukFLkr6quhi+70UbC9J1/UmZms37sJkxGNdtEvY1gWRMudIp1+OiQW3IzVskbxtmVoA9HNzX jJC3BU9b5hDRbDO7DgPIufAf8/iN8iPcGCHO6H258+mheCS36YxjrebQ/IniJ80TvcQT2fXeY/1D 9tvWNsFvwNCH4XiwYOT1UNt7vAX3jimgpLo8BDOFZly2uIzzns5scxEpm/CQ8ER595STbI/I7sUZ L2jXl7A63igL40v92ADDqqP/fcUyxyPAgDh3ADylsSkn1as90peagE9QWOH3nTncdtxBSkiIK0ZS nspjDCxdpqunwRyC0J7WQDU3R6/TxzGjOFbMaWtHh9/NNwkh64DLfdBjurCf90bkc1mBaYaYALoh F2utE2XdtJJz552sd6chSTn6bbjQ7Mwv9z6WdWZXxcAMhYP9RlAVt9ZzhhEWr5n7qUdJ5IgmjX1G NsO1qspGeHWgR2om1xoazpMxOYep5kNdBeVJQ5E3WnnM4NgaY6tGbDoVizFmfCEtDdlqwZU/riFP 6OKx0ajz/TCmiej/KzzRiJ2PqYwGM86oBnYFcl/JguLokLlFyX8yfVzo/GCsV2PCHrD79XOA6V01 156pfZLZ3Lhy3FtLMRjmpepbvQYB+xR3t8x4G+AefgqNpdjhvNN20mx0NczoJO1Fol6bz/PnINy6 pagZtgfpIx0E7Xfse77ovEsQkHYDXVaZzugdYxrzQIA1OJtfljhQ5G8sHrI5tZkOlc+TDTLabzXi pqyG34qAan3VfnOyFwpAKW2VU8ulaK1IS5+kYXZAwgBspnFOQ9Uy6ZT7J22EBy1AWAg0jnaKPE5x /BxkYB2/8rbnfsajB/+3wsnx+mKmCNlzDBj20eFq71IbZ1lmgClfjDdiD1bHLyQYzX+ZBcw39Fpv OpxyxsF5gfO1XIOg3dMXDfnA7kkgL1wTr55ALsDM0K7pGrpDVtp4boH+h1/VVV75/Sq4jlIsACdX cS1FmA+MkgUx4hFAgLsfLigY6mNJ0hpSdHwywbFZC8+2+3/hQWj4e5hevomcVGxSVdCuHe/5c+f8 160PXVI2jZukjfOZIAzWG73rVYyagMmpxPs7Q5Eh3Jd2+TOoz1nrzTGzVc6iPAy0tltEf/atg0yz ys7xdlwgx+GS9W0Sgk6pDEya4ukYrsJS6RaObpjFMSfb2ENNAIbaDId9nsepM1vCPesTp8X7M1s9 oxU9Fay1J2wnFPAYXFGuTGASVXlT7VLONEa9DK0v+Uro33qkl7Gc7LojVUR5U4LRAdFXl/iXxwVZ /erd4NMQDiX5dPTtDTKa7weGa1wBc7oIAJwxdnGmtSXCzrskclSGlx+NbpRg3hPOSVkxtZcb9l80 PzPULNokIqx0QyoKUnwHrjmmA/g+m1I3c8hXBrBs0wMmvhdr/7SQY8g+7tTFTAu77ToJjingF4Nv 0MUl0yWE5QkyOJ7HcT4ZTIlsYUU88R9JutRmVMCNYhiyQ0CpqJTL+TnS0P9U9XDDkhOITBpCmPWX xoKiT8zAgU1IkV1HRwvgHc2G4Z361v1P9+vQRFo099mvejrkHj5qKKiyndC4aBlfj2FS+ytSRB2V 0y6Y9ZLKM6iXDcFdUAEiK+8AMLLGxzVOIE/oInKUVDjr03/bOrEBrnrEb1OuJ4663CrMRiHq2Lo0 RTEoy0LBr9JXCp94qhLEz9pRcIcqCDxggt2YsSOApe2lmeHABXkjdRb/PCijYhtBmLHUET7k8JLY i9YGAWNNC2DIuj48sE3SpJXGVrdCEVaHzpAatb49XPyHlgC6Kaohq5u0uJrl/V63r2mzF5VUX/wF r0yXGHGUAVhNb8GqG9NZtiQ7jlFiaaNnaVF8ZOnmDh8nruc0ucYjJDXAwFXDa9fHNjGbpqahyI67 muCTJL8X43QV31mUAGWn9r9hLesXwoh1OB2nppxEcnAB4otFbbOFZ8uvLOVuXDsQ2Q00BjHbcqcU PSEJYUFyclHE8nfDR4wMlLbRneI1c2SQ5UyAn0j1V6P29zFI5Zb5oa81TJOWCFDPBz5yISuQ+nfb Ks/vsaVsxRZh1T4/ZP4wYYNuOQrHunapoXRY1p0nU5cGzmva+80tLaQNjsghHdBlBVhbYKHJV9Xd zl7EyM8WdC79R8gqCB92r9RzATQlMj3X88wXLvz99zar6csyKaJAiVTv3XIjbl6WCiC0FAyC+isO zwmnCYzCDoZtBxuSZlNU0qgXQD3ujdeosOxMur4nfIt1m11wzYwEhIf1Mnvwe5UUpHiu93JaFE8B 5DfkHOL9b63bWFBulxAH/Z9p907u8iH3h5jjMBkoizek4KAiKmu0Y0ktG80oSWdw4j39h+a+EYIg sIZSuB7VQ2faS3YDo3ptqsa+/z8EvZBMUrTLmASbJfZQW/4iNpxNpECyS/o+htUthaeS2GxSlc2c pyTQjdL3lUWzFYbe7N/91Pw34U8D22+DA4uWbtUJ/GAKH2pkIi6fSpkKnFEHcKO4azMPjH+zGfVD jZV5jbmrZLTn+E1JnsscZuFsVJBYxdT0Rn1ATzjWYsb4Q6CXFegXoG0sutWfmPAllfmub9vDxWyg 7F7eY3zO+akjYpOpda7EOPXxE4DD9UtMonUkyYP6/T8q5/Pwe7GAL8jD3m6SNtXJ0I4iOVdjHFbx s0iVjlak6teALt3S22DUhe0rkbJZk9Pr/hfb4cX6hVLJ1qiLPnFz24mDSJEA2JotFd3SrZ910c4x 74ZUYbm9Kr3qX7ECJZapzIglXA53wNVhRsfSiWRYnrwQ0qoOhXz8dnzQSDmqzmjhBcWHpnMLFYE3 cEvNJ5G3fCM2uVdY9C7SI9XF0MnVS0JJI8xme+w13SopfaqGwAXe6WenPKMmbUx3t1uxpdU20iyQ KoLak6kWBPXhddZ0gLtnN6yIAoWK7c9jFJ4QwurltFI8tUgHQVB421UWtw8b0cURoSXfzLQHup3R BvBFF8yYRIn3Dohc6e9hGce+Z+idhQwVFXNviEsPgSbJP5mtAKhu13FnYOUvpuuydyVmiXQONcaY T0wNdHEYlR1PtZMrTcFJzgBa+yxH9cOdQuIculRufFzITUSsFlYTElwv3a/qbX1851ZYkcAzyMO9 T5LqaAlRZU/ac26ND2JyPQcabSllQVklzg+5qDbxqyNUnnBwTwwEL3jRFribjqRa5BnCCqU1K8wi ur/m8AWx7yytV3EANC5j0VCOZdk530PLfBHA7nrZa3XPVZAm8IR8h4VBHLZRwRtr0CrEl25xi/3m AwJhVmlUFtnuo/8xRRGTV+4VRXb32j+xArRTX1SyAqSZBTP43YmW+oQiYisAQ9JzkAVKFTXwSHew AwVWZBXyUqvMvGbhbiEi8Jy441hPOweNJwsyJI+U/EOiU+YKd0UvXahgjlsMNr/8t3fboIlRGJRk RhOipDDEzxQhR0l3XRnaKihoOP58uQd8JoEmQXlwW8soLfWxV1q5OEtl78AJNkoX/ZdhxbPLCkQp 3KwJE0cAdYgH9zmvW5N9hcDFOYJyNXcMHFajvd6bafmel4CmE9jG4umFzooiAU+oZ6OrArVaFMYB 9HOnsF+LCramkyVIeBrJ0ENijVWvkZvB/XSUPd/STYYbtcTPNgrgmwknKt65uiHIPhIJqjQzW7yE nyhDIsLJvVNgCOtu6njdHhbG5qPamNZ/5CCujnmL1GdXqOgt3lQoRxJ0J85sOPWBh6OIBJaB0EHZ L/SG30dJqaduW7K2SmRsrQ0Ni9pQ2GRdivGFqKaXSKespGnmakm9bCKKQtix4JZKnhlV1LJYWywA bn9FbgH6QOod1UWDnOkpS0Q7WnkJ63lq6pPCxeGrOGxss91mSu0Ip1nt8M4HEdiW3k+EcviFrnhA iL6wile4aYR/ZYbYaGPz5uD+hQcQjg2Blp6HO+Jj09N/YZdW3ia44EPrQj94xxoLDBSXLfVIOdNw Rb/x3zvuMZ/JujJ1R5X+gdY3VpLxPuvrzVPG9fQPnDqDT38hsdvjjDXp8W9ntEwTbyQUrkJKNrC5 ckvmSL2U8kGiS8l5OT65xwP6HK6n85ZI9SdtGBUhGuOysOvjEXvPaU03dgwL+6nMv9tUshuycrI6 Kiv842UbnjW91bKzG7qKPdIamd5omtmC9cO0TavQoOiHdMI0QmNnP7fYa8yf4mgqq5kkfEAFd5zC Qzu5oPkuWvxKAjXAe2J0zYd1vxFkF0/KJ+K3CtwaZnmoH6Wb+TjEjPVXFyLb2zNIJ+OpdCwtCE7L wHxZipktDnkZsLl+Ae1dLT57GAWLExAAA2JTGTHb3UjZ5ONCByhKuJojyaPb/a2zYOmLbSPBbEYW WcH9pjIh0N8T0BwagrWclZFc3ISkuT+F5t1/FTF7mLNhSMihrY4fvh+H9lNraPNVlHoOUjeCl4G5 vArsvcM4CjaFNGxwwm2yatKOioP/RkkD8hSbmIF+Uo1StHUQPH9RXMPHFyzPiKEuJZdbzrmy6Hnb aP5J97zgwNbm8LQoTwRWHYhWqJRKSnvdhlIPinUNgsAAJ94gsCGKELz9iFPCEPjv9SLHeeLP9x6M QDRhIKIu6A0HqV7Z0EQ7DeJU1WbRcDHCeWizqXqVIL12zNUblqzYw5EDWUTQ3P43GIyHHJfZ8Z/Y VxIpN4sO6ze2yyTrfUiD+CKh4uYC6OjCb+NBCkr0vDOM3zzvotuB+b1zq0dfnz6/rEQp5gKBA6O9 VBDCrFBv/6w82M2okj06jpB5zlyifgYXw7FzauYWjDKPNGRUtw2YfA86eF5IwZI413LlUb6Asz41 DMpdL3zYzZ4VIa/Bk+9hGvRn+5JV2OkXy73iyFzKFv4vc61ujBTdpImyOrH5sNTP9+c+aP1xvUj5 fq9TGEPgTfXPJNpWRfntmQTA47u1x1bqZIQK7WYUj8zPCr1Nto/dTk3cdvgpiv9P5k1cgwyjfBY+ rqyGXZXs+Yg02nuZEGihPslFrAd9ViWq+Zl2VjgVx1g+bHtbn1A+H+Qtwfkc7NwF7Nrbv/9lheQ7 zkkNVTaFvpn0lnnSnxUMWeExvb/6wNMaz7Z/SU0JHs5pt2uK4QUJm0c0RLEBbPfVrS3VnuoOSUDb 7MNjVHBIqd1+Ug6yZ8H0et+fwY1rju8VHkUDI8R8SK0Qy3sbmg5x5c/Tjr/YMldf7re0aWl+Itbm /Ik/hatWMmHkek5Gv64yXyHn84EwhTdR5/rUIcwCxLcPLpWPMWnR1rg5A3Q8iV483/hejVJJfoUs sDt3D5LiPIOgW1QaHcjE/IjlpZpyytQhKDc/ffpz9bj1jXfHnsia6/m6Lq0d5UNkxslL2qH6ojF+ aqydq5xRCLubh3SlWpEvQH51UtBjU3IRwxelmIr3erMhwqMx8ud0d63a20NYeWFpxjEewgy4yIQ2 CMaiwUoTAlgcfHlYGQjyUc6F4CWWLBKDLFEzyoVksXpuLNHw38bqSDrs4tCxNdce04qoASM4cwxA aJFMa0ceIglPf3NMftB22im5fROnbj2fHLqvZMoKkzkP6a1cygXTdAopu1XSuZLpHHjE+G7nwW98 /27fJfk1g6OEqw5cTVnWqFt3uHFCo8WFJ8LBC2RxscfQ+YfB0VJm3KiLJI8Pt8LqNSD3mv/yd7pi O32/c10q9IBVj4dL9icHnZLmFoqKpA9gEOixIH50W1blXv8kwnOVUyTMPJXfsNVAfeK1o87KEljk 1RmlEsM9I/jzrc+ivtmaFeGAxnlKkwE4KUqguN/11HBWBs4BhP2rSThoYNk6/OQzbw5wfGdrR/NT dtKvGnb0KWHgbaQ/MqEAiBBLW032zbyG/Z/xsrn33YZWd65mEMvragGNhEKmU81kJMilFV3KsmsT 7Yr2sngI+m60zYcvjN4gAaQSanHiDwV7Dwz7bOmwjIBDssAKZuRo2Lo3ybM/RGc1PiYHQ6U7bZZ1 f7wWzwMsQSHVcFw/Ol2LD/hoRVwYavwbPXEyfnZuKmmYEjBb24dBNp3SOPkJbxj84pPCzIwDihk/ oLOiDEt+lzpoqCEsZ9nIVOnDA7lHmUPUQfaKqZSCaGq6wDn+AFuScy+YzAw2Je7ZgbNF8uxA7qln 4WoVfNDVXHnp73hc6XYWnuvCOnZwZsJx2ChqX26SYH1GN/lgw73MS0K8az1FlqRPK82jdou6rxNs KUsIe1JwsAdpM+mHv85uFUkStJ9vARmAUE8lT9ud4Zgfdqjlpk1j07KX1tSyo3Pq/6vysZbmZf7W C8yH/1t0Y2TmHCJf5fdxhOBXmjDcKbB/othmIRnTmLnULv12cWlUxKZy+NkGOrwudqAx52lpA0CT gT5KuZf+LhLmylo9qM9g/kTJ9J4jBNucKsBT0z3b3/J4AYSbxwXZj6BBMnq/DQVkJKS064/gbCH+ nQdImUEr3kVW4RhtPBmEptNWTkLdJWnvMz4isLQ5L72cGlKGFGTucys4FqOtVlQeutZr9x/LejFq Jn/Y39GZuHyteX2Pm6zrSXId1wpd671/DyhUHMHCMSL9IDsWB6q12C+zFtg3TyCutPV1gyvybNIu oy7FRLZXUt5BPsoSr0rvPccZXVOLkvSf/ZPyCBa0CFLuvI3fqKXH0DTrY+03B6tSv6UtYAH8HzGM uvYE2OU5k12MdZffQcd+5xNjzQ6QWHg7XuKkm3VpIJFm+g2YEJenDHjPwiq69COAcTLCwOFOEP1k BW+5nzLxRXK878NwaW38yN73t8OvORcOYP29Fpatz4HnCaVaKSBwT07EzRhNjLvgzYxPY0sBKhsy 6eu1w8PIad1Fm/dQtX1JHTjag4lMa8kUnWFuIz3kxnb9LgiNweqs/cBCtzVgl6vW1nkSdNfuuCam InT+m5zYm29bhuJokTOZW39wJ/X9QVoxef6/T+ziTJUqv55q4DaNzFrZxL4sZLau440/HlL83aNR q3EqJ+X8GxvTfJdSbFqpWl4HQ9GjVRqInDcu9mHHvnt8NbUcLB7gubbdlQzjpZYMB4fJ5UK4zXep +0S4izJ9V8iVvskI/y2hG8zEQwkVpvvoR+4hfCDn3cJ9CsZy0lOBV1ACBA1k9SB/PO1puy30A8F+ LHkwdIezVyb7kBg/UIypmIxvi+8WoT+u0O0METpdW/F+XDXtGEpBphbnOQYXsFbt3lpnJLMCOeUv L8nTzVGhtMm+D4Zo867lvNNW0qRidyOX7PDcxFZUfg/C+zIMAhsOuOP6S/kOtfjfQyYq3FxaK9Xn IUbb7tsT9Tcp5GrE7Sxhe4r+e36AKue9R+DZR3BOSHMxyFsYWpScw5VbUd/+25XV1EB71xvHCXXA VTdSIrnPZN8zVspjxAwsz6duHRBybhSbjIm2qP0QAdigvF0fMKLUHaC9QNysRvqjJsu+KEDL25si BA/ZQVaH/dQxJDj2qqJrW0oqnRSW4B3rhRvc7yccSIzOq7L2GWWdE/Eq0oQH7o2U6vCebuOzv7eJ xk7GRRh7zWgFcXjurnTlZppXSx+r6k4MWEDWR1db3AiIHER80tQ4+WqxQwxsYTfrHN+WzXdryd3n xPWbASiHfZLI1w+6rHhp7L8VTQke7FYYc1YSwrRfrpKnPZxlg6nzptZRP39I+raiGdpmUtKUhkkE dbMnsmEtWVCS/4qxQDQBNCoEN+OCWJIrpjRLfV51Go7OvNaPTxo3Er9nqDOghOg/V/wiQcnDRZ9l IpJ9P2fALMSg3XDf2WZ4t9De6CM3846vXCtZ6ouYGY7gah1n1aZPJnq4QVEE0W+YkImnR6HYNBfO Uqaw2XBumEjUvQI4hG+ViaLFbNjMSYKlJELDMDWdp7Ci04LjOQLaNBA659Uk66AIvJ6nPGtkGe+7 27bAkQnhqZBa4chDCimz40P4YqNvGoDxk8QyacvEMunWnscYiU6GZCmu4WuZIBVXS2Q1wr7ItlDS WD4FxhUv6uc/tFRa3MO6W7bwagS/o3DKPFb8V5bot6sR9YmVgZbnk1O76xdmRoEL0DYJWMCZojE/ 4UMi0JEdIURimE0YtU35ca8IjpcxVCZwm1J35xAxYRtuaZ5gnzf8T8G6mQvQXQ7gKMEwWZarMBS1 z+79C9fI2YMgpWhY61LOCWU+HOpQS8jfRKsREvq+UxfjqVsAZ6raWfGFWG36AWEcYfWLLPu8kCCX FH19yoNIqA/CQ8EJsHapfQmgGmSsMZtyTlxJPntwAA+S6AwXNNOcdWkljUtquS97cfK8vBcowW5M aesi5jbUpdv8d+bWZTyFRU4DXo5o0bLO+UgHwVLdep9FhbET5LlDsiUKWgh8O2Z+g8vQ4LwWDxeX cpZYKJuNjp5c0Py/yQkSfK57BWZjS3m84BmPmR/m0lgBWTIyW7bNhWFctnLmr3eWGDcJnw0mB1k6 NHwGbxnnw+6fdYbVtjEltbGHwxeDRlDWrUwaBol+ZXuFL8HsahzCXOAtmByHat05SgZHRhaoRb8t xRMYxm3/zlRBLFuGDg5s/Cm2B5fzKBU9cL95xWLaRmrMVsjF2ptUVHecAndcx09hxpfpFsL3SFzp 20OVaxg9nVZPGFApSCLvufpfaZIDfa6bqoylWML/lQZq5qyP6HmAj0xpyU9hxPfzJWIqRaioxmtS 60ebTSMxiI6W13SKuV0R+R+7bsiuMPEqJ7BLa8cNqcZ1m73DQY7fFpIYDzn8U91EKwoWE3RzlOAy RccBwF8oiSK8i7gIjvF/aI4RbRlJDDHoP6CsqBgQXFQNAZlc2zIfQIc5H2kdrYbGPrO3KcpbUlG+ RjbAVLEczrhh7E7GtfQVyky0DyPH4IgstvCpxi8dGxvBMMZAVZ2f1esngRiJilERZ5yB6ZLs6xUg WInIrzwIivQVoTGympBL7E1szR5NqCL0iExfxeqVwmnHr0JYl33dFDzHtGwpiiIyijwOlKOSoBjq 6BAHJAZCBgGydCWhZKzRtppv5SFIEMpcllgzKHr8a6hAafwh6ARVjh997aAoyAacFC+Kpz+fNV0z HUyKUTdRX0ED+hUyDsKkjiaUOFPAO/pxg83JJ/M2ruHND6uooxfhG90d47x97bsxhNS5CnmrZlj+ ogeOjxfi7jqKUJN8mPU4MWKEBYqlp4/CYbYcN9SAD2XPXR4uiouevtLcv8SZze1jv0NJEWhjANyp BB/op6L6Kx1cVNqulTq3N9zfAAcljGgqNOJZ9kJAdhjUxh09Uyldat2pM5WBuVA0Xs5ZOn2o5SRL ru4I23IqTGKAEFWuYmL4fo3RVLopWVf9da15t6uS6aLj0Drd/2xJrUv9efEkLETX6BDdF8uuZrhM 2HGUWR07EL/3bZPoPTBYYLrHSCO3XVgVrwRuMzrvi+mHA/vcxv4FGSBZt34rwogeRCe53W8qlr50 kRda6zEnd8a8O3MwtaGcw8bF9az7SjtL4fGGa+/un7fv0mxd2zuSJw0ihBx0XEsDOXgX9+RjnThx mVSyL42XHuADTiqC/lOfCi/QecZHkf5VdMBX/uqqrIC8bfqkz0d5N7OcwQRP5LmesQEFMsgy/ekp E+z7Pa4EDgDHn6iTC9JyBevDFErJvf7o0loGGaa0NRzSjYcMrRe3RzvircNiLMmKG5NS/kxgVinj zrmxo/ugwdK2GyD/4zoRaU5CXHjdvmnWEpYyzBQEVkT+eGN9PWjbX2RGfpdg1+1sgrlwrkPodBH7 UFCk7fMM2408CJM3AsUUd9D5sz2sGifaTnKXBjM2cc0R42EbrztyZIU7lCQIrDcYsoXI0ydoDnXE eKSOLeHZmQmxmzA6HsA8xlGimiN/M8UDRUvp5NX90CAdbJHq5vR6qhCSR//SDuyUFXcq/3tRJxk4 9Vzs2q7VExrzs97FFyhltroOlty9oLqexOtSjPYhquVvB6liQqRHmZ3IxaDVdybxM0cbmiPzqfoP heCgc+IBRIx/g6u5RqNol6kpE+AEqSuFWUYbEYF9C58hLUmlHSSljhwVziotAOI0Xjdsj52T1JD9 RlsP9cSUGxYSbvMbEUjmy64GN3P3zeC4mXGDJW7G1QjLX7T2B8ZsASs/9jC7Qorwv8/FopKuulz6 EZKSXysCHQ53c8qYtKyMSRV/mJy/pbTh2JuUvZxIUu7QJ5sZSgPDTWwZCyKAoDs0omoT9WgffPiv Wtywm19aXh2LlAECCWwgP7VRtdmWGdCFKk8ASsUoXkqdn6/0HkkVSRLvNKPlDuDAZPk1tx2e9QWA Tyj+d0at/n/64LO5alcun5J68k2L1yNa3RSJeL8YC+cPrFeqXnDknjJok9TvBl5Hee1CbXK68BC2 8Rh4sh1Sk2LVkaPiwqnjotKuGxNfA7cedaJo2YyyTt4IqlvBmhzz5JLKWGdwKI8/D9oZ8Si6yyNa hNg7fDtRPJ47EHdmBv4udtl/By8k+aG3fbP8W3RN00Mgki3SL06H1t3ws6vQUWyHyeRa0lXWfel/ Xx29gXaGxPTJLpnqWhuxqDerv0TLsYgTnKN//B+ww71MlIIreaUsDYbVujRR900ytuv75TxVvf/C eYrM5rbIDo9tBsWUk3AE2DpcaCzUF/c6n+iSU4a57RbJybNF+mWOsUti0vXBOfdVqzetB007+9re aOGe2ENHWLypIS9xlFzuKRpBpsNvUtXey6FBPajZ6ecAgakroJbKkBXmO06Tg4DWLhE80v5ZSeRR l7W0g4PKMWht1R3ff5Hv2N3VgztFpOCcCLudvNkZ1H6fFGdg+9hohh1+wrPnErApHM1mhqrXoDBd 2S7xJBWSoqnf0uR+1ngd/jOIh2Ei4kh0C9s3zzUM3uoQx0I1eUq+YKFlSzKhpMbM+Qm/WBNzqolS /pkRt5nU1elF/V2Q3leR1qZRO/FpDUyVtAqmmc7yER7ON417a8S7JkG4UthiJ43KKoLqAqtUwGqm cFoOGXE52gwPFqIecWQGkb7f3rvJC4X75/eEaaFkEFq6dSaKT6fFdth07d2I9DquGJi8uh1ZRe4M oTvcm3d05X7I4gmJ/SCSLlltf7IZK3ATJjqJakdJwblQewkp7wBivhEC1OHXjiihRs6YLuOah/i4 6bMgQNpDGirjrvwLtHBQDjJ5WqK1rSa9hFXFNk2rhWmfGzOgoQDKzdvAB83kgaQqmAB46LqJOr0J 9IM/Ve0EkdsDaA54XlfaPwy7sllwv2vPKr+nkPUNF/UMsVzoCK6b/8fSlK5C238Q0qsgaeC0gjzR 6IB+d1p5HT2AvYuwoKTDpZabaYxzbAGmLCOqxoVdH/7WKE6L8Dwm091451XtIgMpZQ4p59yBnVwp Mox886cTif907tRFnyQes5jtReYZSkDqqyv/96ghU1R7Nmunoo2onps80Y9EHtEmQ4HOX6p1fdIX 8Zq9agUtdRoq0VMXaak86ZVowPF9qJ2G4pAltHcz7mDBAvNJuXPjvjvQMFhLbDPEdYh3xNykkdDC +H8QSq1QmX9i9WIIoI0J6xq+RVuJPYI7+itz0Rh5lfLD/q57MmRnui8rqpMzVAxw6idKUaBTy6d4 eOZ/6S8YJdHRdd1YzKVn1y9W3wzK2lWheyQhGWp79bX4uc0QDfqvGYOWbQjXqX+bY7qS1Uxpx7jD kLmG4dKOwQ51QOrCAcLpmwwQZxzycU7TYFY0sEdx1nBt71OyEbYl+qv3lIMDHeYQOEMI+HKFKXDy 7IrGr8F0LC6QTlhmB5D3w4Qdaa2+QX3ZkjlnLkv3ERggFzTWg7wZsYlCkX3KuOgnj6JhcITtHfnX 5ej08llRiyQ8E8LLp7Rys4izHmkXnyyse2MpIjV9HmTq2rXZBbWnVHIlK3q4UtJeK/IoB93r5T3s USbNYDP46kAa98QRLO4s+dfzPe3/AZs4hXbJwI7c5HtNrO2EK6xFWAU7PP2RDoMJ3CxI8kfC4vWR AthqTXs0fCreT/mRWDN5kdq7ZEkpm2dNzqkeq1BN8tk/EgSqcCngH2mkDjbp3QYU0p2kKRl4NlEb QMlCVkV63EbuAC5wyodkXMIsE4SLOXwJ9edDGdpF4STiN7UH5sKDQ+SbS6GNPFIagfxyz0ufOhf8 Xf5PasN699kyVpiignZTKMgGokRsSwRse+mkeLkreP+4JiZtJZCqhazvF3mvtdLh5MylfSx/4KZt bbB/jp5q4zcGRF5M19mSAUaAOnn47P77MJbF09IBjAyPyAT3sSz+MbJ711YgheUtml67gcZ1L3ua NQ1tAneQf+TVjRX3wcJukYJy6NhLBC/jkbzbxdlLkEYS4GWa3Q0bryBOMzws+N57R+PWNwSvkROX gkHU28IyxvmAO0HBuvnYcEcA7ToAhbwXHWwddzxMMShyEHxHheDAbqBiu2RJX55r8DUG0G+UNYtJ dGtNZeUQUGr/usnPAJo/gdL5FTounqPY6Y4qdmsMqCqChlgAPQSled+FpSUFMoeR7L77/WWLnPoH RJqaSj11ocJG4qlwoZJwMA6IH7XBBB+MrzttNIqhrKqiPVHRO6mK8I47myHkd/p5w5AoZEx6rSFi E6NL3Bkiy3WbUweh8KZqkI74AU3Y8XiTXVh4GucdHUmbzZk5/4x3OZtsAg1v3eXb4yV7keoeN1MQ ao5JQJRV4rQcFIbH0Unhgf75G4seerI27VT3AG7nnt78sYZdefjbsNLDL6sJRWkn85q4/dIDAddw ko+PnZOsbXtoofq6sbyqAalTntRfcMpS0w8mNoHyliMkGWo5HAv7ty0ADga5E8EARfqRSu/uV3Va iy0sLKEkRF+uU+2Y5TRBie7R88K63tYF4AMSpquqWP7zf8zmWLEXVfI+gKB24PC0u12NwUcVXbUI ZzB6+KNyHrvLRWA2SrWA640kD8u5Enaa4xA50fdOiprRkQHgZtZ5o2nfIdHMOZ7EB4e1srXi8Zhs mZ7Z3AbZZVsP5LNhDq1Be4PN4bEpeFxIj52XVqMHzbQfXJLGn+pRZcn+IyjWLz1hBNZUOfX3jld9 Xq+sr0odRG3Uxr2vwf47KlfErm5ejsjGCWMEUtgVd6Q/fPLFUb7Vdl9unOZ+IY+J5VirsK4DlTy1 ljWEvCdcftnoEfeb/meS0ELG3OiWAE6gBqLo3DkIHatGyxY1GpwPOwHi3hmYk+t1xkZbQZVRJuCO Dr2w08BD7Rl4sOpg1UsLn9TNZx4/cQxDbrRG7Hwia5hC+P/xUDsBavsBQV8VMa7znhXLtkA9QFDT CgXxE2Hr1qvFoaTFCr1WbQwuCMjm3zA9aMnEW8YpLO4xgiGwbyrwinQLv7G5ziZ07mr2zavlYD0p Lx8n+NZ8gDAdRQS46vmiYyMMiLhbpeX9ziq6k19ksBzVD/i7hJxfV3cE0kbht3hC0jr50hwDXIei 76CqSY96RzpmZg/ng3PcPghBZgZ5weB0SZDiQN3SH8UIzCRs3eisjDtZf1hMA3KaibyDfArlTUba 9o6wgloTZLaNilwbP8zaInLBIwodVemBTbMM63Zc5yZGwdbBxkqF7JY5B6mRDNvj3Pnri6xeAWst TjXfsouU+x6iv2eXREzBk5TdQYe08x3sifHApRoEPta/4D1d4WHv1exUAtarnGRHf3CgK4MdpCkE p+4i2hffJlvQxP+vJ6yI1rmWi6ceDen0amvbOCl75799W+QjaE2Pn51EVqoVPO8tcaDt+X4sgJom 3/FeJUvbTc3gOqBeqXb4tOqUtmc/rKWfXgtCa8pvFuqEal1uckOyFK03bJTZxMkV3GvYB/Cl9Suh yuNzsDe/phVrUFw3eBtvlLinuFI9qlIE+Qc7aJqBRXNIUASDFJ0jHb0yF2qE5aIatV7Fp7qEIYYp lpMdwayUI51fspXkBkGhh0a4bQUETCHe2JY881eW6rOntato9f5D1t2H7dQf6H3/irnkuw8w6UNy 5R/3W6yfe48g+Y/ObRKoDzc0WBbC3WSMbIJ9TR+RvNlEeolRC39bi25/qH3dYRy7njUMaQYEjYGw /1evk+P2d45JgKPqmgGXFQ8RMten1USCTDIEQskyc/MIpoD3X579EmHNWybKt0YzcoXLVjmKuzWx 34YXc5NAihXBRH4eOJFFoq8BCaBRzn+hMeBTiyCZcvJCHKEgbTyS1kANxzTMvJvXb6IT2EtGWzK1 d3HO6dZxe/2lC3OTQFPFJkYHGakJYEVOKRTbf2V/lxk52N4Lhl2RsYx1ZrBkcuJYQnioMy++lHnM pt6TadAC5u7g/nvxO0w5J4ihkObS0tnmS1gHg4OPm1TQs2gJkAweY0KQgPqhJIbHjz4o9giRN4xm lLhl/Kew+leyhEFOAT4sWEwHOnRDxFYf+x95jCGO6wtaKNsG/6sLtl/lE9/l1exj/rRec87qkC51 qwyk4rpyRKXdGMnWElJU4/hUtorzTy59f5gSWtN6sgbgm3pBTj3LRdlHGUcsm4L9VLQ2kY9s25Nn Ocbk3dHgNlcbNrAhtwg5MFtmWqZQsPtRxuoGKrqPgqBbBai+9IMzoFwBngjOvNCuP4eBk8gGn4bJ YzryKcbz3/BbChbvAyqaUeB+TmzvLH+9xcKbTA3jpztiEYAx2shCbCHMhpep8IyFmRU7GdcIcmDI UxWB5mzFC5xif8kq83/7Od1AMlliLopL82tvQe9/5ji3xfC95ba+IswNCxtV+TFRmVYKbWBJc7JP 7kvQzo9lvsXdsrMkZked9BdaZO7AzW4SxCj7qN5t1nzc/gpMCOkTmrdWF97M2qH7CklPRQ7o/Skk dQ3XnudS+gpbB2h6S6uvAQj5SVkb5qCpBROvGahYgNLR0oLVPNwmUvT2ejZMGYnyXcXxB5PtGzPX rvL/g+eEUCGczs8HNmpcqEugszlMb+bmGPC/8m52jBGfMqTLEafzmpN6zq+4cJ50S7gE4rC1wQcO YDKrklwc94JQMVMizuf/3FFdQ64qDwoBmLcJk+/ao1UNobqx6Lk+BZ2gUbAO6XPf56raHH+I6eSy 5RP9LUhusdaj69vqpGwtPndxZOBYGo3fjF9WWhl8JErNNeFNkwQTXqx3Zn/PGTarrWUxI+Jf9h6T AQVooeCalDZOAJiY12idwIG587DqcInbos/wqOC2KGH8N2Jrm4zZgQHytDnNN/l6EaioFjlCnR/O av8M6+ZNzGFWbHX5mFC6Tg2QvuRarsdk+Al9DUFrezw7wTmcdcKG/8YnuLCvb9A36VzwkqS35BP3 bvvX33gbfXy6124rQSSxCfUYvV4hqKEYPLtW9dCx5o9hv4N37sXQ5QA32RJr3+Z5Xbfugj0S3xTQ M/MiD7SQZcjNk0TO+cnj8CzaKys450fAkRSb/XAHl93RWFvvypKjTop7cJSLJb/gYo1DTj3nmCKs aiyKFqmnjODZGVvX3wn7pxEKQf67Vwzma+JtFuwFLdEN9w8v/ex71bAmYaKpKJwjyYo5t6Knqdgq lD1mSi66vzwKi7Y1Bi9TSrOFQ9LM2z7L70pUOTpzz+H9nluejMlAekCaG8QyIzInVwb+1oiZGNbj Q6ImkVdwUdyDMVPulOsBWHbF1mjwlh8kcrZeYNLj3K7iYHD29y0b5CjX5/Cb0a+BDQSlxDjvls0z yOt3MWJEuwZNhdfevLKI244GuEkUFFJzZkstvTu+/u7U8c+UfrbKtafrLeWdUMaGC1BL3OjEjbmv yujEc1OtSMDWSFC3GvhxM2VoEE/hAoB61KvHRUxXIKY3DA53A+45vMC1MTeVpjaK4iFjoHIQBUbW Kk5na9ynFQwDRvXcj+UUsb/bC5UXrOXjl7RjQikXm+wGJ9HPMb3nQrpzvYJH1J5UB1VGtQRML6PC fRtgbx7seJU1J/9D++sPBkUKrA63plgmJu7I++tN57IOPydzBuLKKnZaWHpmViy4js217NlcLaku M0RUBhd8v4zMJ0y7V9f6SItAM1AN/RDlA65h5/n2iu8/I1wXnU4Ya6xqCsQUsaiRxHMt5PrkUt2X 4FYZhbvmVIV0M6yt9JyaKTp16bkr0Wi1/mylwjMXX4ChmFxQK8YBGWti1xWJLfp9VMZ9axo2NwNN ngAX3Oq8MI142OSfKKqDgxXVZwL1ArLsV/1ktYuzht2P2bhu5aumvVjs0m0x2vzfRI/ZRA2wNSgN W279S5Cbc45WhA76kZ0E0Fv/uEKXFCdS4XhJwCcxjOSRbCZBjOsfuJS5JY8MMtSs2QxBOHzjAIhq nZkGIRi+QU6YF7yk/kStQ3YX3F1p5SE5Em4XHz0BdUG1gIJ4gO9aCME+EvEMiRdk7JEP5y49RUWO /2cZNpyxifQv07hdilFR3IBfA0SQMJZ4nyuW6chj6RddXjJLvrvvbHs3aIYSccdfSom8bLSVKjPw 4ey/fpD1DHRXWjSqadkJxnH2+ywAa4YvBi/e7ITk9iye8epi2amouVTihRqtP+Hh5mW5r70p0XVu 1QvcWq3h8VhKTicWEMy79hiQOsP1opFTA5UKfG7zY7dtiGgDarPv8f3VgsrFTVXJd2i+wMgKVkV4 aWIydNTMc7h63N5dqAYmMqY2q6L9khZfurWk5FOIhBBKMFamOXEsZpYx6UI5e062+j1YqeEP+fVM g0BhTnatG7QrB9iUTsYEgZVAc2BLtKxtozwnOvx4Lv6OBmgYy0d/MbN2gOePQO/rIdwA7PggqRmh c4lDyR+fyjEQbmYUQr/QTb7+CRqSPQhqNT3b8LdiDbkYvpr+/RkkMMDApzPOvkBn0d+ozw95A8O9 b95y+RW+snCxLHJbOAtYpfke2ZGV3W0ntB2egdo1XLbCYkQjQuGJ4IhFm4zTS7OrsAhLxRq55Wwa 1BqaV0po/iihwguIwCYP5vwxicIR5dpSi82AZ/fdDnGcHXUBYRCayIrD11mCniq01mii9zJhQKXN nSfQCcNc4nsW6MFdIaH+pbs+u4pG7VsZaa3GxDdQB7wpjLOXBdg8+l4LbuS1KoHcz5eGRE2s8uUW D8ZobJtILtSmrR2AOLEniibKB1UbywXAKWy28qwGdeJ2HMh8e/V+sGFJF2kvopAn7LegNNwRJOFd Pwvc91TPTjjrD25t+RCglehG6VonQd7f3amGyfoxmDjwpFAARlpnECialAp+Ul+WO85jTjgn57Rk 6diFSs9AIjxS/9QhUhrLGO2Dd09Gz/fQFALutZNQJvaXrMbMzELnEXJf3NgkqZ5nkNqfVVeh/ioG 3eWAz1Tto08OGjJK9YQEK9HkYLigPSQKGvUIPSObmlcYahTDNV0+EkejMYwKGdzTECudSpVkCEwL zn/qw6OGUvE07U46VpFPMoLNGLR6anEG8TjXfxi3Zp+CxrDzj8YBvI49ZjA5uluBPEoaD3pqypBf EeJhbGM++7zg6ZlIUskrjQsHPMN3MSdAWCWraUWsgQtDyMu7JYPmuU+kw769nbg1UgluuFBsXvKG dLRwbkIykMdMVRw8DPG+i4q9/PxxkmkGcpBdeVnar/cogaXnriZWIupSX0EZuG7OUMXAR7IAikN0 lf9zCuRk5Ee2IMIFkLet7y0omiNVsVkfJSnSolLyEUxt6NOgorTm875jDHkEWN5E9IF8YJBux4Vy TJBHcwKV3FTL5el8GTg9JoCwycjXcvB/5lrJRfpNx+O+F92qbt0fmJRJR8KnJ5F+vUSW8GTi1p6s 0SMq/I7iyVqLLP3NbGX/Nqi6/3cpdl5GGknYUQwZig/q5wo3jlWiaHM5Y+1TE7QzIueEDAb5A/x1 X7RD7+uztEnPq17EXIgowOjPksfsE8mpaHGFiaTNWQ+EIAanz45Exz0JzJokM+1fb+kkiOD1yP+Y 3kwC01X41wvj6+QxP6D8+JnypiMA+YRop9fB6Nc+XpFwqglJYqfdnBGYzMBqnH+mzoWlX+efg/TS MhmagEkantHhHRLGlqjAYS01YT4/8NHM0viogvjeJhKc/yXRqad3XZah0osAVvaq9CczO438K6De wyaDWz4axAtbARyX4YmhmfKV8EL0nrM8SXch0JRy2o6E3p1UJvnyoTEoHuIEi+ymyyOZ1aRqBW74 AFAq9dPWVQiQifhrRUVz8Ov/4g8WoLgYkRYf+JV2vRiVzOSE8EAOvKzLuyPCz9OUkQF4tquRqlk1 sho34aBi8a6vJcB3jrXXD8uv/zfODB5VwCwPfv80CkdYLxauJ8tHPWHiIz/0X6kQUpgfXh1jsCil HHkW9NQeQ5UPr/BB5G9njF1y8IZEs6wB21kj0hPDu1wUGBkjnFCMmyAbPv2mL8O2TcUiWnvc2hEw 6n835SqYyJlkMnkUms8i8MjoZMwoI8Cenpv9G/laF49YdndglwwR/bVXZkwfu2t+kKI1xkzaizZn V6ZGYPEicjRn/TEYXdouMQxNcSNvKHwcxePO1knjxDCqVTykfhVUSDz0EyBFLdLD0p70G/yqRCJY EDvDW1Iq935hLxQS7sVU9OmD4lj3U6KKKUNf7xGKs7Oi3w5DoUa2SGoZ+Sn5471lWu28OfJvwJpH o098Fd6hMhL1KOYK1MSnYtZdxodnyVDPBdkgx9vyJUuUx5QeI1P6ccP1G4/9upKPTIZvIU3rCJyO KndJwHSb2+f/6707ezqnYrpuwfQFMM66oUp4aruGSfjZ28GpGvlVqdNn6QlhXRNZDCmrfBoTMLXc UZgrlVZwplLy271c1kkpUxPD9m7n4U2iT3dVv6078yGo/jqA0W5rNtMhCkphthXLkMZUjatt3CBw fQngAAaWd18LHjl1DDLEeMPdjUzFFFsFra65VtFvHpM2k0DgqgQzL11VpkBhxgP+3BBdxMANR/sq qOQYpbzfCLOTIZbaljCEV5okXvgR3sv5h037WvLOh15M9wXJ+gUi863DOPnhhu/fAJhOk8Qs/taN ZGK2t+a9By3Kuz23V1k6ISBZyuoww1oDvreYdAjZ68M9NvuYRyZoG/WTfCxSwaa/i5SL8qmjEZT7 4K0mBSjawNu1OfdIc65SLgi3XKpEAk+DGFUP0OeF+0/CwAP2hZL5FXEG9LEk9PolFWXH40ImE4K+ 3dayCAmIgLYs4jBAGkB7LLN+L9u6kh9eemdBm4XeDg37LcVGzDZTat2bmxXKC+vZ8YDtMaJ1FP0j WWy4QclbxaL1q1aQZhJvRiGMxSKcDoHjCRklW0Nd8aeGNIfsD/Rn+0KKUAdILsPhsa2wnnZW7j4Y hjNO9ZMFuBfOUrU0lPC6ZgLjulvpeUr7w3arPuglZytCnh4GQ2oENMnbDdWtsdWbVrggqAn/1duc plYtuX49kk64NUeDnFL6uKPgCQKmrui6kQghzF7ax5dwr9wJjDaPDITc1Mukzrz9eZVmMtOac+bg YSq4sBMqFdXAyGuec2Xd91s1ZDJdaaKfd564Gb8Pg9YJLxRicPIW2rAX6kKKAPtttpWntJ3Ds+ut RJOYm/IQtCO7MLA1nVoUkcX1GYwWIb91XrSs1ZAO9iWXwyVng27l+olVWEJVvbQ6QDGu9fzfJPtb 5Q39uq6QnLjXGrmSpO3DIyzrfe9H8v0LNDWX9NXYCj2Y2Dt68bjGx6wZISnVYKfXI33YFUmHuofB DgkFyTDvD6R4JGj/yjdJZRl9+wb+OgP1yadgCljzEsdB90WKC0uBkYYh39+BnRLQ8Ah/TG9Xcg/s r3a/axJzxXURtSA34ghDj0SRvDtAvaZXQJEjIyKGIG8VcJ+2w0Fx6nXDPRUi6howiSvoe5XAMaL4 IYMqSgW+2m7zdgsY7rAciaCrdYVTV81Z9PhHoEXJyeIFWzJbNCWeFUclPUEdilE5+1eK+qsO5aQi n/b+vAXY20RuON4d4/1abNQlUvjhxwrUzZ32qIDRXxA0TmRZVE4FY2ZFZpnK77rLFr9iKZZNxNub nDp+2eQ7oLbX4wTWDiKe4anYahrtQZLvuCIBEzD7Z4TDq7152/vo3dt1pELlpU/Jvm1hDf2f4hIR ehPWs/8ex32VsdiSptQCXsbhzlJxLERGj+z1QwYzZzr7K/y/PvM2b3R6UyeWJ5Qx5scRMr7WwIF8 j6LSQQlx4jZty53BqmWCuYJdfrR55KxoHmhV8oqUnLotAm515CAg90qRn+WEocqKYtqaVqAsMqli YvtST0oiasAGSs6NHElGfajcNGW67oDckfah04RnCWpYaVzsbwPdI/k8MTqpKq/oADnF9KArNt9D A9gtI3I/B9yWshcNosao3yUwBeCS5MfwqL33yxfXE5JzyfCV3F+8oo92yNrI2g2mb6ZK94TUCRJD DgZPeTP3DHeuxqWkmOZQ20izowFvifkw3wbKdluHavK4S9W+KnKSL2HFkVRslrs4Huv2hMiW3jL+ AAU67buB+R9iYuQpWkLChCojM40Y9v4aLfkAWHchfZKMX8VwtymE5m2mkucNd2KE46VaBVKahbK8 eOfifQD54K+O+MC1/ZhH2aFQQ9T9bTR39G9sr9PREIrroaF0Cd8JxjXcMfiAXd8srzvLZR8Rrs3x eOCu882+cxbJUu/tNTTMUcseXLjtnnaCNXb2I35xth0r//dBe7EChyKIGigcUIc3pRXx47lKqz0d hPx+PZvakUwVhCuMLNQtQwBrocRmzn6sIIEjs+v2Yxy2awqTfHo2fJIE9YA+QYmzjNfU2k4kMElr DrocpRHczcrdzaSWNKkP89kthiVK4/sURFf7rOCcspJCjgBzz2gkWrY/0tSVEM5nDIOm+92KifXC oJNJX8bYrg9APx6DAJM16vXvekpy2H2kKJjzCLCDAUJW8VO2CgHZ+QbijgpWtx2TSi8dXZvnYRqI X97iENLuV9+jtmduKGiCHJ7guiCTarHXtocK/nzny5NXXVf0QzLTfxF88Peq01W9kOl+GEtgMC8X pF5QtgDBjkXexy0P0IO+9Yk/MlLk/Mn1ClSw+1ntisepCrfA1gAz0PCbMlz9INtHTzdPErmy167O Z6EtoeuGKjNc9y7eMoKtojALJltYXdq+rKD/Mv64HaTJ3olKK8EN2WP0YuRl1/GdkN2NGiq94Hov 2BG+7966k5ydIwip1kPm7rGkSdC0603RtZXmZbKFWSF93C9vQi2aaRtvVVnwlfhkyWXPhiUGtAM3 N3z5lLUexHIHEI0n2/6CnuGYZoqNTY7/YKHtKrFFoSUVP4t/x9SUwDq/BePx95lEHtjbHFlN+YHl P9hBRw9n1lu8bqXZ2VGFMj7vOMYLt2Wcp4RZJoLvF5reCu3dWf7Ir18iMNh/2woQ3AhbryE2s4DD sAEChUDA84FxTHkxCY48H+SdqJ+lliL8/F92GtFZ9jOl8dpdY+hwzI/SUxIZ9m70IUZhqM7nmv8X oIb+my/bLTE4w+NXBYakDjTbVeMtT0mQkQrPvl5rTxq2zuzvrSb1L+75wTkm/HVs3Z1UeF9Gw6Ha xDxAgjwOc073GWBY/OxqbzlrQ/iC0/3mwyFdr0CJXBpoYmIOxVlcXmgba1trkNS1vDJlvS0N1JxK IA3ApP+7mYI7fw+Z91CVXsjKoIi4QTLgd6pWaMqz89VPtwoCxhh7zeTlFu+GrcVq2lAbnVd+6AGj 4dow5KxBBxran8E9PYiJtql6uiCiDP9nYchdPDN9J6cYL73UIybhzAZIj41MpbX1g2lgCsOrC00r 1u4wdn9ZrErduOyqGAkNnD7PyhDTkaSMlQjpUC/f7XlNSegUYOyCOGcC8/JBurGmGTPqwTFGVRMn L6mxDCmH2DfstgC+XqjUo3ka/j3DD3f/9OnHJZqeNfoQEN0B73nLnnT0XI3g0WoB8Fo9Js7op9v4 TsgAVoB5Ms1mYzQntAUj5WqYCiMYIQEGZ9Y/kI7Em4Vz82/hFUBUvtv2Tex6p0reT89ubSpxwvZi AmCUg23zwqZSy2H88hsFh3Q+FnNqUAwGLHuPU/Jw/acFA5D3J7zuvKcuVXxo/FUEcFK3Bj05tv+J sLU76FugBTI+e2FPQzoalkzCkosbW1MRiZyotgSn+NW2TyQ4PsPbpntQIXyr5i2rRo/DgI7X9Vfu DBdLtLK2yQUBxUIhZ09yNg+lTOBPSk28EX7pF7rNYn2Y1Fw628rs+m1rWT8OauMDYtVuhLjomtXn M8+Roenw1FqaalD0IUgxc7R8/wuaAPZbSKDmg5M7bMlYZIB2l9VNkatqx6LgUKu97lS2EHyevrz+ fsExbpWSsuwq5XeYw5XUNJqa7BJf2uwK4F6lV3tOq7oxAVCd+5gJjqBzoi3nUx+k/pk7YmcRV9Rf NeoLfa6M0Nx4xfDx21XApPu0LSrg9hIsK4UJeTWeRD4+j7dCGZmBdFDS7AZiGHbegOwbYNLQBYFv yzUXK2Xh20UehgoiC+asshn92aYOOEAkdWChzDXMneOp8xeHmQkjt3hLEBOEttEZbiuEnZF6xRXt p3Enshc31c6VLxNdwQGbPsdvq9mqOyt18oFlexcEWHlVve1mOOLwVQ0Ttzp9ILk7H8HCrg9h8ok+ 7FhGits9orpGqEwPBJq9vLY1/osR+cpPz330s6gmcqF+yRTzEBQ9W4V49uAVpfAp9wcxGl72m+8U vzMUXF5roULxsaqlZDE0s3ZvJ3ilcuKpSftMmVY3RU6YD4hhuE0GrTf6wgbP//Jw/qKTA7lsF9jk w6mdcLhlwETxs7Xa4/vl1GvfSti0TWRITupOCvE2Nr/wCmBVtIEJMVXSyFfaqKdcjvZMzhSfJ73t Jvu3yFuOvzHmUyGHGO7MJMVGLnEnBkgCI87rDScXOr3kMLzFzvj0/eYxbpY2h7daBYMqizZ9AjjU ha/oOosq4MocC21sViWjceHgg7mjfhwohOMFmpZ48IgERUpQQystpGibA/BH/snrK89gyaXQOr+a sjDfdny2ZhMODW9pVd8PQezUNa63KgpN+nFu62GIPc7KnQUCa2Br8eTIukPRAtZEtcBpnwQElmQ+ +12y8HDbYcjVyucjshdLrllyK2RWupQ1+dxOR70FkeB+1MV/z1BizUJKaeSRTfhXVYnvNjrv7BWS DqJE7arFdeFhFZhnrXSHeuFqh6Jie7fvUf7eiVFCuBxbb9fPuXUlwcim+8ehFW0AZtwkLrxvDWmK QkUDi0wBCLt9kFw/GKnrze1iVPIw6/vn/ud1klBtGPrpIK+GsP8u7W4NfDUCJ8KamTzGLsPZGfyc UTG3w9UBOmVcazJV9YK6ViMeYgOgLg0s82LFHUS5w+NcTjj6hWcq6FTo7wj+U0MQdXPJ83RQXHUs vG3L7s4yymBLrAV4FnLu9Mo4M4V32LJfdV02ybEfbnRXd/4zGDajpYuDfHZs1Nt/S/7WTytqKC1r APyoQ+Dou29oZmzRmPaF3B4HO1/+larqndulpnyL8Q4vV5hiY+mB19H/wEOjLMPvTwb2SjRjYrB5 9CvYAVCY0d42uz62CvQJuJSC2rw03K3RQwVjX2nrwHtPixH7iua+DiSaenoQDwnJd4HikyFsTv6Y bTIv1h6ouqpvN2YVPza8SnEEsNDwU3U5LSCRftjNhF9rS8AwXcsLkWWccpZ/HaCzubeZB8NJmgDI nXOLrTje+xycRT9JznKWcoxnzLTXKbdlMyC5yuvxmpZhl3W24KWVtPZwXg/nSCZGuDx5KTbnxct9 U643H2Q8nBoi0Ml6Rw7Riu7iN3mdDJIG3KuQWSivZdK8GZ+8yzKyTrBtU1uRyEe/lkoI1OMNcpQo jRbedFdXp/tiDg163ClJUMksdI8etDieJzwhRxpf5gh8/aH0BbYNOIwStl2JTfw5HPvIS+eZDTuR iwAuWDaxfDaBwEWjb5s1bq29on/7R3/rt1c4C5JcMoKgi1Q+GyD2Ah7AdZ3nMLynEHbzp1a4RCqM te9H54V3NHM68/Gkni5vgiaXIeFsYgLcWxXeaVm6L0wF9DN++y9yDH7RuCwHAbMLP8IfXL4Yk4f/ +MwoVICbraHSbpMSZ+FPSsGBKdwr2rarG3+up1s1yZQ3843YLCqisssm2V54FJJSZYWOkoO8Qyzm QlBq7Cm9h9TUqsAb7mYyYK9JvXSUB4z6OGXE2tbWYGTtf4Bisn3wgkqRo7eodRqmetfCwTPRhTSt nbzPEcTBQzNaDFjd77VGyMctIHX7+gc0PojtZvmNldHUktIYQOzPg/3QcGTNn5X97+tRPSQUGIMN 7R9alqpEO5axbXzG6rSZHyJKdMunMdRvE8E71/L6LVCE+Hgpj83mRQUF0KrijMBgprpKsZeQ19Uf iqtbbo0Jdrf0fN85UG4dzweW4k3b3otOzeq91bbf7Eodg4i6QY+Uzl7oV8UfhWNti10BT6reSVR/ pj5z2h9hXmVvhALShnm1gqG10g+tqzzSlpB6/Op5vJL0Se833+wlPxEf+RNTQkJCXwwKd+WpDCXc 6KIPss2rA90Hh6AiFvSM3airU6q51GhQJ53wTQHn73CCTDcY2R9TEIk6MzXebVdME+QQ+Oad5XOc oT+BAj3/lQIg026uzjMPT3Tm5L5N6AJ6aqLQbKtGptJdAfOhw8Pl1TKh2xstx82HeiXs/lVOsCpC xHpLxkxv7OAK2CPpMy7Qo5lJafverf0XxZge69oZ306gSTCbOCNJ21eWpmOmlZARfilJ8G0dE+NX gERuMP34CaKm6+goIAqDZvBWQBIoaEpJ667H3SPwta5nQzVoLviLlhnGMlpqR7lxR0jVOxTetR+7 yaoZ+4I7Z3Ahw1O4wjs+n0iGajNMsPjP7lXWxN0yATWj8go6oRHAV44PHuMoaOS9rQBriIeOobss 36g+FIstmriob7H3DiBsxgWcg/XSTQKQsDr3NfwTzguUartQ4EfxvT2/zahbU3awrwoSlHzO6JRZ 55bpzAb10jjXEZrJ+7jqIO0LG6qO14AAdNgyZqPhT0t/qbcLCaQE0/Ets0u39/BU+3y6vu8YCK3w jzTU8ZjdHWhCu/vxhR/JL/OsPTc4C6mUV7zM+ZMJXHOa4VB6eXST3OIyEj+De6oIo1khZ95WRWz2 oGwGFMcQ9YfYpxK++ThCEfNFq+a2/DIVFjj3ArZtpgwW2GYbJdOSer9MEj8Kn5XU7OLw4mmrw6bM UgPzwZqXiXTzEzVbpjNiS70v3DphLJsaU4XIXkpDD/vWENRrpSAL2+EHAca9PeRabcOTPN1U7txH SuFKj06SvWFz6ZgtClTHd/9TVmh9qEBnUjqf6PBzN+gkZMGBm0QqUkFUISY5IDpssAf55ggmIGE6 rPL/yA+I+M54wbkQAU7LGqcSDAwCr5veWRiEKsgwKsvCe7bfTdwn++h60sxdX5BB8coOzVVBfa6u 6+ZmKISfjVeEuKwKxQEvn/zYZCJstt6W5RocSnJm11JhdBqlkpt3qQUSWaNRylB6yqYA9UNrB6Y0 m3tFr0dGWxWtO8qPjOFhaeyCJM/QWdVTFm1UXWTcgopPkorigU9KjsFMucElcBaJd1vz8j/65VJ4 o0Wgje9ICsKIMcpa7rAWX3smiNJ3uzzwax6kYowtMVtYJgbXMKOj57GLXtiU9vnkAUsK6KMshgsC WFPenacop1hUSQVBzwjaEwtrQ1OybO/M9befCDYSl9lkTFmYA7Za/tXQulVJrLOET5BFT3T3+90B w0d7shZjZrn9xWSVVRIup/vMxboiNNaEADKd8ObvK5pXM+IFiJ70IY8xZNzLEaXGj0SAeuAErHhx SYtVNubyiqtV5jBT0tgBjFo67OPu1A0enwRltD83/V0cnNBAKYmOBt0EoK76sGx1j4GbpSWJANYH GIGAo3PDMK0FuN5EOS7U7yrhG0fSGeloyfOjrBtz7Vrp6NXauAHtbSXkLHPjS2ZJG/8sgYGfW8pq hLODiyEQQ7AWKyb7fP1hz4tWxrC388GIwJBj1QrfTja6NIC8K+TCuRDav4cUGIMMDwU/kU2lb3uZ 94UhpYrj4dqfe6Jh38ZHwGczaWhMHL5IwzXDNUX6wcMs3qEnEt1ieo9vtDQRfk9FzCEiyklqaWQ/ vj4wQWMJmSk7s+6m3RANHjWV7IDrKsNs1zWhtcaneSFToWzjnyipPlLmlAXbb99tZJxlueEKDkj1 gZHgLyRmMKeYkzHPT4CquuOIXSeFEIbzbMcAxR4QgKPZIlKq+3Z9xclPIMa9+1Ep0lomtXTmWGz7 7MuBQ8iNGFr4s+Oy8Yd48zSv8N7wEM8tBkRnXWkLOd7QaBtod5fGxpEgOp4wO1biaVMet+aTAIB5 1+cyv18wea6Wi9MrPhkc8puro/3kbb1LUDvgKiOgPR/gm7rEATA3fmF43twrZCVImdHhWTgiorjV yyTuVc91J021EUzFDMb6jeVc8U8XIIeEolgMW/vwCibr9Q+4oGCX9JDXDrKnEaN+T1j4C8hQBEoR Cs4yBD2ZiQQOgRQr0n8zeCqAL41avtIsOpOxR07USQQ8UxIqWH3IizRu+bNV+o7VNh3nw2OSscQX /rO2Dkwiwoh5PezlBV5EiKaOtuWcknTG3DIWkCokgPbea0lMjQyUsjVy2GjrNrpc4k/6qj8RrPRP ofLFilVJzew/QiQgWeHh5+trTY/A20//n94y628SXvb+WrOKHe7mkGSl3MvyTNnItWU9feQoO/Pb nDBgvFXZYnGjZDESZifaOvh8A8fe3ypyCALItWWNCTp6bUFCke3bkbP7mNl72g3ASjx7CD5x9N58 QDLPsY7CBj+SeNZePZyaiVzjFSRk1wxCVmBRBt3RX/FMG+v/920MNheZOarDbcdJWHRE4jaLKrT8 i4ak3BuQtzZYdGRpHp1eYTORxdRbTj+njQKehPJ0+qRYBlbBa/0RtWXIKrRrfbNAp9Cjv4LR3L77 ReUUnAvbCjx+zxXlzaAdasSQkO1j7MNnm+LDhsjO0M7rOCvihGrg3cczn0fI1m/mFuhwDRvXsguK LDNVROAxpNs2DMGC2WTFYZeOoZmVYWiMgQTb/CZCtNVTGgf/uaSIa4lsfKJPRANjwZGVSZB9TcnJ YQhG4qIiCr/lKs9ZtI1s06M9Tb3wMAAC/chYZ8d1c8a/vS4lroM+/kX5Gta/Ykd84yLt9+Nghly2 qs0g6xQQ9b5bhKEYeWSUHDM2nlADDRdQPXO9x5RV4XK5MAchLSdAvtUo+0gWHdzLzhZV8utf7unh sQlS+LhdGPb6TBiUyRg4dKEE9YJ45n4AAAUlI+ah+vXcmoxOEsRXDuLvBm+VBxABXxWDI5olJSi1 heDw1MuX62HIt83wcWAWojLcNUyW5ydfM0AgAH3uNcdTt497yLJ90b5xTvJN8aQ9c1I3doH8tz4O f4oQ/I6exaPywsScU3a3KaqD0yQtUItyMo7MQ+czT73fwWjF/9p7ofY9T5NM7odCImueverFoEB0 G8u/JxcdPqUNBFis157I/LkTS+98i2QoXiA6SUSwgTLvLHvHB2QpbRG1DF1WoJdG82/WgKcCfI6x ELNOFz/UvBMiqj5A8XZD77ob2WCBXxiW6EHBs7F8wfYgsjBGsq5qVXxpKDHP5sDDJhPhPH5eni86 r5pXB6iLqQwcVFF5mkNU8f3huZ7RHVeJ5G79YELfJqgCOxf0ZsoMnNL2wwMKJazRoMLj4ED4sov5 9gf0KZpBe7SzSKr5UCzSX4AzzezXHoFEenoZ1S7HoTKvGv2zrxCQP0N8rjZsYN/+uBfcFyttCp9+ W6x5ozCDW/gMsWzNXMo1pcfVxs+7sOsEF8YWZQ/boZcm3lJMZ7cMn3njsd9PpekplJOtWH3rzJjY ceBg4INFmzqChHjogbCoJPkgASTSrVKY/q0BoUU9s2vVG/X64bM/TJQ7qefexmYVRgWrJmiKtnHb Rhsh9uROc0kQAerTiaZ65GxsHKhgAtG1pzOgjIBgoWMfbNPwt1zk4q5B47FVkNu8Qi90pbnvz1lF 53pNWOf6b3qdMVrch9OlQ6dEx0B4y+dN82DZTxrvnxjoHhAooETLMZC/SHOM81ooMpBvmOUulMsY cn249+00BCcPeJN/SG0hqRIGxWcQbVXKTpdme1QM0OhC/hTW7SRkIiPr/NYVxcC9yGhEnh3lkJOA mveH6ZfOmsxkpNLL3L3lNlKptCz/KpIvUma8z9ZCPXjhei1zIeLhgfTI/ypAMJ3vLuwDlGhS/TjB WYpTlFvK4VvbX0r6bWohDxV9gIa7UA0pbI/BaSZTwyqTxNrSOP03Q9Pt1t5kRH8KM5JkysO7F2Zc RiRpoP9LOvBedlKHNI7QvrsyCjCyz+pArW4jIoZWxH7shaxbuB+KphJl5qpcuK+a1lCXa91Em3e+ DRmwfyYwtuL86nOIKhWmg+WL63eoOK9l6uqXfmMeGbd2Z/Gsms7TfQgeSlWK+wlUxG7t4IdJYIVT DKioa5fIaO4FFX3ZAbMIKgS0FuK87vS2hzEV0d+vNgJUcX9KwOvJx6baGigdO5U7sXa+V74zJ6rv T0sJf7Ybh7bspwtOT4o61Wk/cmTaJWzoVsQvUj5ZvRwwA9cZdAURlMFzl/Zsl7pXo2TfU7Sq1dfY R/SssBVRAz20FzDt3wpEqgF3lL/ZZnJU86Auq6yWqdjyAbhJetfiIw+RQXma5NYc355icj3Khe3M QIkg2654HZNybBnzS879PRAjefewRC2u11smnQD/VTvBUhG+sM0g4TSQS/k0eUVwiloml0s8jply fSPGzt+edsFnTVwWn1M/i866IVoJI0lxtGThqE/Tdml5ggGhwpb/hFWxToDFqtYWWm8cGdWt/QEA TXJBUpkfJGneBnmBGecER50S+HqEPAsz+dWi6g7BlTP+lie7q9DEufCSx0JhASFHPBQSWr6fllkz Uw+th9+D1oWZA6F35Fe0GVFzlTKp6p2IRvNJay8jXD8xGXVf7GMEhaYuT1x3gYgKh1lelzwDVAgk QzEGpd6g8p/Sa4txI6RnDuBei65iUDaJuzB8ql/qCPXpJx/cCZHY6E/QRKHmyI1/LYsIEtUD+57W 61W8WOUZR4/n8eBWpqgE0xBtQjmnfylM53SmNEzWh7mClpM8P0BT+Hsym8fFC6Fx+PiAu/thhS1M cVnP1r+LRZJenacW1cvdfj9kay84JQXcdWQDa9cl46hd0/mN3aSMKgQwGvtFCIQTZq57QdNdzctb noQKW5sX4pnw92KUMcoHBXfNBOdGcBhF8A8Ak/tuum9oy7JrlAfE/DbOwkLO9iHyuU+GiFN6Cnhp 15oc1+U3aQMUGFRkXEVYKzAN1co9d68Yd/2+f/drKgDq9GgewzhwVq54DZ/NiZMXXVW6WsoaP2nB bdGusBZZO81TxUCDYbFxwQ7SByZuz+ootyu980MwYMxdl4e3m53+SI4S3Hy2C5wPPTBJMjg7IYdd BSHgqFli8CtlzyW/dQS/rbsjX2U8uZ3DjrwVzBIU2LqCHvDBFc/q2TW34DZkUrfzFhLLUezU6f8A Jfs6ym5MQceuZclpM7WJtGwqRPPYRYx8mCsd7PfnvnnY9K9y/Z2KLeEy5Wx0RZvhnb78c8woYD2D f14zEKtvDlQIBPLFHOPlBP7dwFL6BCx9hBXNyrjlD57GZwYWxlFI2HwWU/C04PT2QUvSpQWFZLNC fOOLPZcr7ImIg1RvaPXpw5NmYv0HMfaWGpIeiQmQZWNwlhTISEQc8Bm3o4snpEsjdWL/DUo9p8Wg 7TLdq0KCDV+6Pep7HRaWx3/Ojin/MdGsD4EpnWZvGMOhOa67lBKj8RgjPKl3M7MlUQJ7Q0xT869s O5BqWtawB378CdwWLuSNM4iEf0wsD9tq0/PQoA5zCzXtpzzLhbrcAXs6/KOMW8E/vlBqglX5DFPW Kal/HFRL3nSVgCKy93VGvev3m2cjxW5QV4p7a7AdUXk9vEOUHUnrk19zXkBBVhvRPVr1QvVSDjIH AczCoStIPaPHuqlNjbRbg8bBb+IqTe33JaeGSVJ6DFvXIuO3I7reepz4ujl23y4a+ah1tJe+R0Y/ 3DfVOQyFpTBg1Gwf9O93L2Ez8YKlAATRDmOq6iw4A4bE3PqG55MxOszI1HQNkSuUOBtrzfMpsPJI GD35QBNHEuBgu8WZMw9TEh5x9v0cDG0D1hG+AgIs1Nn99MeTehl51UGTg2gznYS4ZgLSFpZvK8zi dqiE6dp6p1usnuO2piXf2ZPPcJIoY2BwcH6vIyiVbgSWiwQhkhNRYuceKBJLvY8EGT1ABVLgq2Vc pBZjhkyc4g8gFLv6E+hQhEUVLHVbmvb2hKc5X89/raJvQM1G2WNdI0k39c+QowRYfPQMDn5BscIS ULL7RzlXKh7aUbBczYBYnBUKO53KtsUGmnH6vysNDr5Ha7AuZsRezn4mCKqU9BS43odFUmQ4NSWk jdwBI1QQOXDasbVO+X6lDSlW3C4U8aAAV5gY1uBJyVtM/azDhqG4d9tYY0sOvNu3XF5bhdPCGqpF VXKr/S56Erk0mxJyfUbpa6W08K9g7Hl3ZAIIyydthgYr+uGY9HouzrgPH0BRzFMNvO5Ym2hQRvta Vnac5Lg+AVG0wEliMsoJ8ozTocTWGQoZIRiHmpE54po0mTUY4M5SNfWsvIwedCzyewZGBJyuisjG pRjdZpN9XR1F07BYxu/3YGdWyE6/CuTl6YR5B01+2yGsfXNhfwmN0X5tRMlTSdsnejU8j70hHRvN gumDqYagtNaImorqhemRCJ5CkpODBA3ZOLENM/mJXcvo8uLFUSZhtujwMigCrtQStM1KBso3TuiJ pGzniPi9fhUBtcKx/eD4OLl6ZR78IcV/6zVERjVJnF3/Kxd4UcvR4dWmal/Lzjjr2DxNZIqa+24W x3ieQSHNfr/3icuG4IX1jUnmOigcYzAMhBf9sV13xnmia87QXryfwRBKQoWg2UtYtp+yMIiE2/xl 629+3g3/uOXv0H2O/tJf88gKBSmEW83JrJbBU/F0neWJUQjx3rDO7eXehLhg8Wy/M1uSqcyyidWl /dw8LrVtSLcE5UHDSyNBg38iglGTFBpj8g7qoBGiefqy8UaugBsjX/yiv5OPgnr9ePxeNSPFgK8y rlUG8hmPSAZEcFQVNCn3cT7tBwH1Clwm3lehKCKUfoiA5IrUAnF5nOVbhrEPyqKWVEIS4juxKIhw ozMlrLOUZBUXsPwp3OFLy2InYk24Zy2H51A9QWJiif3yRlg3P0No2i4bmvtiaYlj9R/AMA81iLcr Udp/TEkPvIQIKI0mg6LNxJhZzpN/eChunA+QMA1O73dQa2OBnG+2tcvwnuXIyjCk8w6bRh7AgvK9 1bbsaIzhDyn+a99A3IHGbV1PIg4q0PdV2oJp+MRsa3Uzbwg+e4K2sWEdDDTvfQ5cCqrgUSCJebNA oe/isfP7/MTdnYPf1RfBSWMF0lZb2DJeq3Pvoa3Qy1j5wlJEaNiqhrgMgIF9UG1kOUTeDpOp3IWy nVWwcH6QTuOIxcdns7F7a0KHeavYxYxt9EHr63gmtnPaIAFUk9PdtymmcDCjhmjEoAhpXFji3euf pi9Tzin1/zhlZTbFlHw1ONdhTbaH3S9gnD6v58ma6+1uWaV/TbyKXlDVAweYM8WI7zpJ0kikpAWl 1VJiFavZ4EyOTiamaKeFuOv92uCEl+A8Kx6WRrJUCC5lvc6Q/pxA3qXCoMgr104bYLasErW4FfKi w3A7/7S159rNkzMTDvqZNMwxoxuINPfdSNJn65CENHb8k8BEcs0kN6Q1KbX/GU//TijmOPRumBtu sd7tPsF+G94P7YloTWHJfWKn1Tl03wg5c9HAofZ0L1q2ZD9Vn84zZqJBjLRdNEzmWzIGqH6PnKby ybuZJ7VpiU/j0Md7ReyLZD26xHgyYT5f+TF6A1QTquv3prulUlxkZWPJD/Cq9k5j7RxwlRlyPo1v LoPLQQo/DvlYq25W3N8KosFQhSTXz/zGKxgqi5oQFPxw1213qKFL2DpF2qJ+T1HgZRKkvjtrsnsI 7G+rbeBsIEdp0ZDV0EA5CCzauBBGte3Q17kj4OjS12tytSXhye/NhiKdL5UVVt5oH3kMJ0SMivyT 8cnZ4WFHLvtUorLl4Q31RGJRVd4j6pAwzISKB+Rj9y1nVkEzW73jx1iN91MEC2vbeT3kfEsCNsWG 95mK+uXJpeyYT0yC6rfJqHg8LPDIeaZ9eLdED+gaCqBiofnogr2+LmEGPy5rKq4XLI5UjULHvz4N iY2dsgvRWGZUdBu1GXQZZ/zaSOMeta2VNOZpyMqPZRO4I8plmdpVAUca8hTOgzIrSr1f4369CX4P D5h9Oh5lJkeTpCGrgkmSk7CRWNU7rd5+AkcKnE0tf3gBZGyH289krBp9gvZT4NT4Nl+3Ap9IRAB4 A7k0XzzUQ2lCIDjggdjAok7bvPz+27qTOsXgnUUWa6Xyz/HZwgT+3iL5bgSLplft3viboo0DJeyy NTFDccZY+OohtEKjuiw6ObpWlkc1LcUAJ9Ianj2nrQsxYdRc6jzAXeeUK6SrUfHezPmBcEZJ5cET LeLkUvjVz4fsby3z2nEZr2RXVN3Hbgf7Gl3sQasIX9OW+ig1HSg4qMnPLaK0L5uDm3Zoi6k26VWo NZz90eDpg2x4lpS9Tf88wDapEla0YOh9QrAEBHWRjddy8RdtZaGVz31iNnappectYntLFWTxKzJ1 9XECGXae2p4Ubb4yvihGfiRJUGKDPCrWdViuu59iaDdHWmexTeGjzqTdAnlmN9V3oWqmoIq+Vqhv /xQD8EM+1jxcTpFVKR7pFi7TdHfhCKnjwV/48UguzcS4lJviUXbtGsw+VUxlpBGeoHMUrtvjVmSx egAt2fcULIr4ObSU7t2hk0tWhHfaIhqqlplMZUT+2opQRfGWJ3G6IpqBD9/T5eXle6eIgo8bWNW0 lwFf5LCh5hRoxZ5uquwZ5Y/NoleKHpMAY/YQfBHsnHHqHxcq68JXdgzsDu1mklMHoJqZqTHC/KbJ +83BNh0tVARWqkqVKL4hLFNcR/+RMwc2Lpx6+9HdASXq7WgkKddMYTfd7LS/+uv9mTHhit9LILii gXjGn8pkOT9rqoyr4BnliEQ5rrguv0i94o+p4E9t9tZp6VXAu5PVDY9JsrtkkZT+i/eOXxoL9I/L QnCItK4gXko6T/DAmE2Rsb4yjqNg3yxrcFlYDWh4bXaV8r6R4ZhDwOauR7dIMOyLSdXk33Vr6Zgh 4QRfHDpgwg2OWDdP59rmL4P+IAn8mF8AFG33fbA2+Q+5732Yl4vJk8y/l1eGx5W0q7e+oTs4zf2k NwbCx0HG4pG6/TuB169wamd+xkIjFigmkS8bTASUymNlqrd21qRPhsiOzwjMjVVayr1HxrCq8h3H XvBFg0/yIGWjakzk56iZkh/ACh6Z2akinjEAkh4uJj96+lgPxRSn+o0teJ4HM7ZJzZYy0XCpUEpt beY7tREDIBEyZDJ4mbGDWbItzXw9UCpPoz9U9w+Qpc/uDYVu7VZOQtu1bnrihyd3PNoRnNzKrfK3 kihESzx57R/5u4aIj/9CbC9UG7nZZSeVvOT3Pwt1C5d56FFXGDtpStw0MARjc4PD03qfCVkeCneD rrebWXDQtfDZYcOo995atoYiRDY7CuAcefM076nY776G+NeIMkZrBHN88Ex/sOmG9VGx0w6OSn3l AlkO+/FaYeJ2XvsjrgLJ//qRLjaCDbThEZs8pLcBBOBMMKFML63MGPMquOrDRRzNDZifZJpcdKSs u8CnMcAI+zJf5LQOhbar/iYczUpfVMVGthd3EpVbbP6sDRBNN2l+vR9LmeHKkGwPkNNnhLgDwG+j 0T6zsQyS2PzjClqVC4jdwfKkiqnhrrJHvANgJTt5dFGJQlsrEFHPnSnMzYpG5mIQq6OwzgukIlzA YQChSawlHd3ztkmccyvZERuEiNqFPKkTDmAVSNnWLVQDrej8ZW0krjsKu9yi1bTJ36iEqbxda3dj JFqY3QGK4z//sPkIbORT8O9w3IRsNvwcBvc/yh+uTufu7ef8GnzMmjr0ROWcIiuzPtIGXedegFg4 7Aj5ovL4dRGz23lte2GHY1NE2K8/Y9Q2Mf00hUT61GLOKfx+TSekyHxlERNpZ3xKpFuy/Z20nO3W NhVafII9vjwQFk47+YBz0BXuGMSV+9A3tcffYwumy+Z73005z2qV9yB4zQQ1EgKuWKFmbOaQERBf adot7mkmT302jmVlsOODv+TTcyYO0jAvXOCdzgNl+0ZvvyZQFH7/DBH7SEZGGrSCPPXEQAiBixXI hKG1eiwtpzFKu4KKlPBOGo/tiQbNF/4ig5Q3yd3TNzYmoeI9el6575P0NTyusXFJS//CRJ4O/+x7 PxkeL4NEC3SYvDJHv0aJ3Ac0R1iZGV+2+1juGSK6FO6+eEkeE1XlLTomNlhPqqgAwYbukk3ww4Vd OAU115vIyxTPHgOJ5oBUR9Ph19QEhzp/wfcVPPAcSamAOOuCdXydF/AI8WiiTVFaVlw3t/nn3yI8 5aG1xCAoZm4Pl8JFlLSv+bDgU9dFqQRuv5kMDzC9vxgxzVMHAVPduhFTv/Y5osDaazVTyEUzg9u2 RZ1BPVzg0qPBWNghhOmx7Vx/XijLgIxqJn3D5NsF4dnr8UpbvbPF0CJbm3/ZvEkWVchf59cJw1I2 9wzRJute3AAmtekhcDYVQCuELPmay8p5qfWUoKX/3a3pXI+zLTwNFJBP9BE46sdPyoV5xkL7KVM2 nGsuIMuEl7pihFU2f/XDQw6yCehEGQoIuX/DOMjawTFeBgslUAl4sNN4aVqwekPtcY7kdNEEuGjI ywFF8hLLLjkoQA3woUhwKNc7hXXi8qG4efHpC+wXyOSSuBCXdqhgFGD0pzhlmYoSaKZIpzbNiRVB 3TA5HxMp4SkOx4+iYPJCSAyzNp7pqhgo7sTbmqmnO0Kek7Ifpx/Clc5cDbNZVa6taEpRoRtXz0WC Q9JJF0MRWcvxAWfNi0xu20qCwXh02NjT1MCo2aPP7EPomCzo39oAtT73+PEyOEWer+7b7OF5Oh76 LSiok8XPRqyIsKoCxt9qLXYlEtQRMOS/qRvO6GzA55K/OWsSPhah6iYUvB357MoEVbVE4Ty7P2PG NuytH7/rTNfswmjJrfBpPCDAu96NQ/DLHykodQTRRQXuRiIrd0e5DLr68iTdJVz2tBWI8qvhRzl1 oTTcC5Ue8uUzgFR6gfVU4DPsHrU7t0JPPwp4jNBrfWniU37750SKK0ljcgZ0qsmzCzWBYK2/cI8b tARPhIqeehWOFeOk+pRsIlsensxy8Dgd+N2nVmeMqIlC6SZ6yN8fJgShdILv1kr7egxWftp5JXDk oRWl3U8eVYUMcmJKo+YE0VPWkecSGU3eaBnzEzpy27jyFZQ9p0FKXY8O34ZUvpLYY7sNJVQ3+2KH nC6rkFugiopI8oGlLK5YugjyRPGTd9nslsOlbbCZysTY3QQmpNMqovCEX6uGdgWu8c3zmU9e7voN Tyrpsze7QeOSiyQc5Qr5+UJpe8QsrbK2yDE+5oT44okTxa8bd5Cw3FCyVo5tjMZ3va6yBFsx6Iom E4+0aWizHhdCwTpuHnVosxKrLxA928s7FkLrw05LhGW8Y1g1RvpFYh67KmKViSChi51JqwLV8UhX rc1tNxBUppm/Sw7gH5MOzzx8ijc6zIMu6BXiGU4S8fsWA25tRaVXTVwxwr1Ai5MVRvExplWZIg1Q jXGGvnCqMfHJgBSIKPBMRsMREx1eV3uTZFNqj5rCtS0w2HlJnjV/3hnQXc8w3JE/SQYiu0D4G1Rh CilJn9IkUMwU0w9/iWecxdhNiae26MYHMw3wWXsE2ajPPMQDAfhLCguuZnjTDgE8gcV9Zb8g0kKt PXgSUyAd4NiJXhfhSADhpFwIOrJ097W2ZxFghb1vZpTqDPr3hYnEH0Eg99FxjLEy5kDe28srazp0 Z0rXgBacLYGztxTzrAzFr+t5H5wm6YOtI7qRuFls3w8S7Qjiw7u1BsvA831G2y/+5VPqoC5n7ak4 DJx19bGtZhBNxWfMS6I3UAuYFvjV9I37SsU2jKleYRrsTkjG0dWJ4OADB61N3V+rDan92/bQWEn8 Vzo66QRBNUhYrjVJLzIyTA08OTawdoR7FktdefJiuYkWekAwsPptXUq7MyrMK/MyBYBFLgE/6Dxr 9wsdKXWKyYljXcCahzcPHsoC2IrR7QOCW4apNNBKN8DsvRKEAALA0cfPgHsNooLalEO/zc3dNeMt 7Pe6UIVStMvOaXkhG+rQWbCzeewmGn1abLwHf5jdmG++3DgbYB50Sijwu/8jXBcQ/9m82rbVmb/h nB6HNpUV3x4Xbeqaf7/HjkBLuRetYHGwTuukra22uKUYd8/WczW68Ybp0k/S3wca7ORHpkrPXsjm gEBjKClHUj8jZMEYBAykHNdZHIGhgmrAFIZE6MqKo1fOVybluG3KotqYR/ui5NV4juWrHEiDJ8JH 4tGCh0dGXuf0bMT4fbq5bV6nhFCU7WD0I+HtlcMgMkS6PBp5G59U/eQj0bBRIR+e5pc9WJ7K80t+ x0yd05j9PkM2cPxkwaCtd2kj2YT5OabQmTaSPJuG2d2f5mSbMMZJGf0yFdhGZYLMRs/R3652mgie Tj613abWVud1ff6iA/gUgSWUtbFeDdPYtTj50hfJxrkxMhVakb20lRrsGdQfqiNWbQOpLtnL9fkL a6oxOq2BORVcXEYR+TSKTsVzJJX4km+VJXIg9CsxWG/q4iL/BgFl27fKoQF89EQ0JDb8qxhdvM5V 81rG0HjJsyirm66OAxBizg/S6m9VVStVs10+hK25lcjeZHROrfAjWBPflG6af78WFMHoBb4410Y+ qCzbyc8ydxn92riwEtSrncY9YjYVsmKJRCQwvPHTj3Rr2WMxj3tuuhfvC1k/RZ9jTnfxck1B83d2 b7dleJ6Chc6Cf6gBcIQyRBmIVkZgUIDIxLXWQb9bY//6mXTM4nJSyHFMEHj6FWuqhDIow6ccteNH tHpJ9XS9Zwq0tBa6OK91FpgEpWqqPuOgK0xEQLkd8i+VJTA59EWk5rLJjhJm4f8JNOjfSXO3lZ59 f0bynFR5rzvBif759801akFY03cdgjnrWu2jnD2q8l0HdIvhFkdt4O6uTrhnkS0gEOx34dPBVVle yirr9v42P9vZU1WvypMuPu2decW62bnUpuc166zU/LsTCUEBqxLVTTQqRC9hUFyeLmuweYQUXDZ3 XFfL1NVRGVzz07OeRc2UQk1YEa2JUqK8pP4c9LSsUm8CcNqd93D6HKHFCsQZXgAaYrQ5T76lmL1V O+8cDWOlq0GxRmDQQjmS/NuTbU36vNoBZ1ZgHkRbuc2mTtgX4lDFDi8q2yLOO7U8Tg4dgHnJqRvj WFzovZvFQGePwUkgk1hEo7NAC0PxaOa463pcUFkraTOJp5pOgGbIYNOtDIZsgf4uBu+kprolPckZ e9lxoXqUTaK+ODeQ5AgHk33JdeOSSuNd6lwXLYiDPYFZwOtap1ROf5imz0xepMT3LEGaeV5iQ/Hl 9CR1DrqWuja7T9brLWWVtqVtjaut32sLzUmmRuvS/PL4NaxMZd/+pixk19qm5KHce7fkYmPqp391 yqdK1Icn9uOzqNiZ4TnRD2Zw23KiFriQIAKTQH7MUhHUG6IuXpGJTi6mcli39+Klf+9l7Lqo0dA6 7yTYuKAWMoZsigLKFqCVjLMovZkHsVrX1PQKWCVga8aJDpuli+29CtzyfVkbGzqTFUik+hG3Ubxr FApmAD6nPsp14m9m3MoFqIE1G+BUvt6/N6A4kZo0dzBhQakulvChEo+OIjJhsrgGYHpLCFq4froC s5PLEtg0nO8iRgsA4K62N6Y1rQ3vkO9X4npRaLsbnIK5/AF6JL8wZILBAmr2oXq6P0hNwoHmNQDQ PGp9xaT3+R8aoIKXflYdSr9bgboCFToLRjh/POCoKLYuIJ7wgGMgwA5a8D52hNatC3Rh0+x6a/Z3 bH2GTIRujjQ0ifoZ+86n9vN2T/5QO9iKfCfZHiRA7/UOKyLEyl7b+6wLTM6VSVggEZ4wSxBK+wey mPcyIYIvVtKPY7Eex6x+2rEFNEtqyf59j2ZE1Q+LIY6DX9DlxYwYShaIUNLNE/VxwO5TFgLTMmJJ z5TwT2102FA0AUasufrHagArFajfLoVQ2Q4JFw7ZHd9k32MxAHa1K9gV0TVvwc4bvEXoBLHAneMZ wZtkIqGfgW8TgmROb1ijmu0aAUqvJbKtIZ7ADNDEktUZPWpvJBYK4MFRXqUvLClxR3AbVfjMfl2H Qh7DcIo7Kve9rfsUjaCYEYMqu4BCU93bSWa/+v7icosYZBTaOm8VGzea+be2xIK3dcANOvIkR+yr fEMKtjT3SzOEz2F9cvHSFazaua3TfxkMMFvKfcB7csur5JoIz5uARi3DrEF7vnUNFTKxfcd1yXOm aZ/vYLxUdkCfa55iTYG8rW72lKEQnl0jsZy7d7ipTuy1YVviG3Ub3qo4Z7bULjYQI3n0QOa7+vNu iB5FqhEd1wjzMG/CUdYyKfygcMDd/0k7+SckoCmzY4XBN0gOLhSyOAburVoKSUTwrRPcGTW9IjCc Bc+D48UoblgomkVq2kHY+vCQr6d7MAvq9+Idh71VLT3yNkY+XmOmVwMuTC6oTxyNiGb3uOc4aPy7 K8yuE74Ah6eY/E99DH8xb1VhsmTYmXgl55HaJXVSWRjoLXdo73iOhEYYPcRnoRZ0jE8sJdXFja6L MWmtCRIXOwylGo82+V+6xOs70SBgul7KOBYlESLNjLerKhflWNZyIGuM+Zz/wEcxeeG1kk9zYVAx ZYO3ZP/Koqd+KxSZcjx6I7qci7vzUcIguUZ4W1QqQHAd+8o4Sij/FQg6lin+o/NNYeACSkC9b64E FO7xLi7lk5A+FbYKiX7Wx51bc+aixFnyxUtqQwM1tur2r1u5RMAgpEzF7n0h2SCLiLP3IsYV9Zt/ mMB6KqsLJEE9qHSipRdLWjJJ/Q8MShMsEA6d156l8s+FkB4CQ/eXphudmaqXaqct1JaRNv0eoFUm IlLgc0L9PKzxPWbIXYESH3c5EeOsATRp21XDJMnbJgaW3HRdrO13vGhBVvrNTwQavX90+GbkmGV3 quA6sWLhs/OneP/oo60EV+rqdvitOJidkCWZhzA7A3IfS49HLkb4905dk1+6gWoOO9YIYFMOCNXt pLq97LGMRyTxA0CBC2tkg+VqKUsYKdTKrnI411aoGL0ZsBlgUAcq9klzQ5KLkVmTVUVZaItOSZ04 Zr4J39cSPrQHlUpnA6tcsshsPbG7S+i5LTcU02c4dWDn17bEfcB6Aflxe00e+cl2m97IZGMIyMwY eqV5q7S8ke4wA63x1/urOtlmYusHucu0CF19GDyyiHD8fgR6OtIrdsku7jm0IGVuYm7khlPEOPnv SnFXW4tDdDAaqVXKbVwAIo9cE5zyqjKWsfjisfzmaiTNvn5aE2CLomQlrpQsh6kWYnI+4A9JtKc+ o/2qeDmE2fYR4MNGU8xjw6GCyqX+ZJ5YAGQzQgkEeNEmv9RUjdoEzwhZdXd27sI3TRl4NX5v+73D ixw5yHxoJQ3r2egNjAm9a2SiMeItYDr/fRFMKgDqXaJkSAgwWUqO2OuKCGNzXlIg8QpOHqq1s64P A2HQtV7uaWT0MUA0+VE46Bky+RAb0B4kgiX759yopuY3jt2b0I7/y3z67hBVEUJ6KowQBRe0T9zt uENeSdnt7195NVZmID0LT8SaODhNX63y3ns9N+xyV7I43mzyG+PSzXbmBCDC9L+tyLr6i430OnG4 tFX5VrYwa2tlo1e/hb8UGL+mpl8DPT3Jvi3MqvRWYymP9NZPDA4w4kt34E4HJQ7X2eWlXZhXUJl+ P2m/6vP+T7nksU3Sz/sXzOxW07Uf/F7y263WST9hv7M2FMN0s7i+/gmKpjtNmVGKUIttT5EiIh7J ReeR0Chi84D4dzh80b9CLu9Id4MxojGrhB0NTWlzb5g2FLVcZ+zWtiAD9lV7/WhaqA2cKQvoKH50 5bN4Tt486OntQVHWzq5yo+GQOtyioz/j+omMSmarZR8uSzvDwxUsGnO70EmzJWdZVGw+iehfCXEz aoUn+fDLLUteNjAeJ98MwVCOiR49VEGydcl7l3Wv56b0oFgUsAkSW6oB2WgCL5nhSaVCW+jXkf9H ZgieDo90yAd7N2CsoaW0TbxFpajMU1F0ofbjbu5WHoaBepN9r49vEK3rlsTLIVZf7XI/sC6AF8bJ 7Uc/yRgGYicE32iOIcA/ZHOHOpzLSpChk0F3ku15jjcEwdDBHTY= `protect end_protected
gpl-2.0
2eb32c884cc7d9be0b825b417b4c4b30
0.95022
1.821785
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/dragonfly_dsp48_bypass.vhd
3
11,989
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Uxd09ZAml20bR6+v4ob8wkkBG4ES/eHi7xeopF9XJhGcQAv4U4MGfixrZ3r6LtD7wUJYlRvwQC3f GiyWXv+b5g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bFIZc3mHG6x2VHvf/E4gqzc+E//OTKHY8Qqf+dzGIp1FGv78XcY7AyRmg45i1PFTV3NM/NYhN8c/ rswN/QCUJ8bGTQaNIcXvPzYXHfoYkRQ9CKDhfvhgUwcFHYKPfQP+xgTPhX0rvUwizMRRUXFXJ/H4 ub2L5wbqzqRHA4uGCgw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block d3YUgNsrTjnZ4/B5757K/XD04B/NnaAImOndta/hFxe/TuezDQjb1IbVEXkXIGU7gU097hzuyUcs tLNSWx6lw/YtJMhCQzzpm6w9pJjnAUK4qM8cqjuRhnoxFctj5128ZAnR/7/2sa4e0UdXh8NxBb+f d6Nm0wXtH+2USfCuI42Nr17ToKHGjSkxUfBuZAgxyQWgvfW9BHhH6umZ/GZMr3d7Uo3h4mF1ocJV ujNIbwaJihPiZi0HqVQ7qXCUH55sLvKSe48GPYsnTYpVfH7mGGDhYc3XV/lnPNb7R7pKRBEfd5Qj O7z4JvS2pnQKfprPdOmGqBQv1Tvjqv7qaugH/Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 0h0xsvKuTJP+gso0fg+vv7PmA08UFrXMbtToVKjZi9VxRYkDqHZPO8TCHUendCAnhKJaurTp/Ql3 jSMNKOAFo1dvcO1DZqzZScbd227Q14hOHdvch6s4WfrHl06T74kqJgYkEBXvKgd6jGMcMu8HPmPU 1ujvLMrbdMZ7jm2yviU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dGqrhDUCifsQH7boxgmyye0qu81zs/I4bCKiVoRDe2T1fXvcnFDO/iU8yIYgogDofO5VgziTo3qf l+U8jnbvjufXyuVgPmBEtL28svd57WtZikVntJKtn0DPxd9V4sPWjW34umMDBg7LioyGKZzOEzeJ gOxzTdPzt3nnpexWTfV3Ma6vVWv40UKegFDaPcR17uryVLIPvl2hGpuWWwJ3pp5nCL0NyGCPNirX EYzyLcSm4E/ndQnMZxJHgEZ0dWbPva2n4eSTMhYS74LGoLdfYeeDDA460EiozdhPKUSj6RedPzws FuhY769qmcSfZONM4GbOdUhEAwnyBXgE3rGOSA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7136) `protect data_block mUjliFY/XwUXJgdMY5riV2qgcfDMT8YacDXD2n5jghOUfJCEQIE0EQpm3qS43TVB59npAbGqB9Iy d3oxUOTWfzNJ0KIi7/BE5UnrFYE8qJt1yNOMqEM/cWf/Qum8VqvVbwnTiw4tSzrwsuAjOGiWWQXU VxuZjGsPuCJlikgq9vWBE1pfYVKft2a4Zoy8BrP/0hj358TlMeWkZQjS/BuKWD/Lz6V5Hn/N5VJe j/nxN/pBLAnwWv8TMMuk5yGGtmV3rowyFI95l2FDELWrcQfk5zsnR2SyLWF9U46TDyonhO/5/vEm 8anKqDkh5yGY+U5eUrLKWgQ79H2ZPOJ5FuiS4iujFMCyQGY+bydKpTrlI8evmpmyhdFqIikzKhOZ ZLycBx+LvHVpJfqEwFBu0PAJxs6nNcPYM1z84w1grB7PXQbCNn3nUNQzlnk6JamZJNJd3prsr7Sm 1Sdd0npJm2PAam4wjxrzccz15C9gbGYgK2kVKWVqaBpIqZ4jTYpP7M9uUuDJEHagGt8NRbEXgS2P t8baRodpb1rouP0qjAhfy9xBGxDXg6G0HecXEbcfOVmnjFFP4dLvqTIvvT/4WvvXPoUaNva3d4DQ WzEUoFerlTRr8dCr6SZrGHlIoy6tZBRsyMWwwc9FkkJDhScngpcDNq65jhxh2YY1OqnImf41sZmS 4oej/mx7gcAzYJBVFj1l4w/gzL2njOmGRQyj3eiJ75fn12MRv65ktI7d3H1fgq/kCf5hwgrANznu 0SQzFrqzz8ktzxl7q0DVSAuH6IwBVCGzhBJKLX/KCEvzbd11DLlxdJE0541vZO/4fBnP9th5jwvR c5iQ783NLLiCsS++nK+81mTjnRSWMw7edNCCukGr0w1thb3Wj5hCWR/o/J+JSUGRbgL4XuixoaRP 97gkm8WrChxaGa7Fo3E/OvKTIVW4FUFxDUamgIlSJ5GJqNv90XSYrTes+hJxi9TxHmjtiJvXM3bP LMwcMkjCXX0ag7+1STc40wU/msou5KKqKUC6dWFFMOSt3zSStoWOMfmjusMEBNpPr2H8O4CdJvua zk2WcHvvdzWw1+DDH3U49p+wDlG7x02qJ5a6JPysFEb2D+2skwP+MhV5jZ2vLPF8CVuw5F0g8vvM bH67wHgD1fVnEgbBvL0XKE8W1HBYqUz0nSwoEpppoZGSCVKPZCyIkIwfMMdNn83jFQ6Z3WiLBxKF UJrHKfZ5GchfhEQsu/CZIFQe/SzJcY+B14zKpsQUbeH8erDEx1kqd9S8+72chpQoJhmjujodmA9X qxmJnxjq+PxhOYfUFRr7WLEJ78vznLgfaUsHrXggvlVYUoGfjDzyynNNm84cv/y1EATZite0hRCR +iG24wZGIcEoiyG/ya7w8jpgK9Evf9sdDVW6RQOgbw6XdeHffeizcz6CX7TaeWXNAjJ+McT5KyK1 G1JhkloZb+bnXd68L2TCSUqtr7SA/Ktpmsx5d0DZWHzgmdZUcBHaRhkvZp/0Bef2nKcfECMMKoiy faAPf3qIwuWzxa/hYeduh2iGN7IsKBhIz29xCtQw2t70VZ2G9gjs3UkHAyz0AMXJVG0LP2/zgE+S JJ+00fEIBmIu6eHM2llmSl0kCvYr2cxqk/TAjh5sKSSjMvn3MguXMERnC7myQkEigS9IPGSwHw0a G9Jxep98k3Lp8QNj4mXi4TydiC45xu6LuaESCgIZrSdEXd6FHUipPIj7eCguA5K/1w9XDcHInjJ9 vI2tobHmEK0ipObxB7yDTur6ZxZUZhDXdJttrkf+1UwxrxcnSPWxbXHpLmMf/KP1pxeLdzcagiiB CpWHv+nCazlpZT8lJ9A6VYqj+/D/keewKpwjX6lxr4UmROP0qzd9PyhoOkV1aVzZ8fX4TwF8cb9Q YheQVRXfGJq5Yi/nvTfQsZkKxymzuzM6sz9G4mEeiN4zkoEzdJaITTPmesnifjgp+h/picUBY8SV UPedIftZGdr7PJu8eTLQRNIhSoNKXBNfKmoapxi3jy6puGPXgmuo5w8GHWj9WN38iyr0mRDJqcqT HtPW7NLQBQOcpPIBmtedRwrrzKuipDDUC2s2Tvzb9MCGury34w7jk7eASpGMHsBR6jYPV72a8Y+n TpiTOMU8jez4OaGEasHiT9tooDtMOnH+bleQplVCGlpUAa9yQnZd+5Aeh+TQT5rDwTD6MqE65R1K ZCv2YqAArnYRVEZPvgMb2/99VWUvWEC+gVdY1E8BEXwBL0TAE3duKAvZA9/NewqQMGt/MJPR5Fmi tFtO9cj6LIYsyYS4My6VryZrWwMtnK+AZMEu5A1tDjsUGK8slnXkN2sQSz3T4VhBE1zkDAVs+Qu1 gravPsE2C3UEPhagHtzKVqdsDXTkLL1BJfqu+AvwoMNvkPTFr1/oDRCE+mWjFqFL/3H2IEvIWsRh OTTPuZqHRgS1nmA4S4wTRf6xAneKa9QzKi/1KVmAKI55V98gxJqDOtxFT7yHQmoHKCWXd20OEY9A w7dwWC3MloxEHmP4lv2HX4j/50u3CLl1ZuqKCVhuxDc08H0KkBF1bVX0GKMW+A31yFG0UCtNAYCP EVnQYgSbT7nnQzJjfHqKqRijjsmAmU2VUJ55P/1krxp3XrCv4D4Vnw9IQjZAWcgd3xpLIbsx/77B /DOQbRWe1w+PjWZFR1SadoBueOkBQEHagKY9ZqWfLH4EzjpaJEsQSZ+In3A9fHbbWDH5JfYxs3YQ KcoUg/IKVpjFG88EgrzPicFFYHIgDmHj3nWiJYw+zG65XDHacJNT7VvLNXkEOJ+V82jZbRlcgDvw r/C+huZCPYzceAepB1Q/OPcu+pD/v3Hu0TYnILNcTq8EZ4J/TYgYZHlU+o/gaHaDd5p3cGEPWGww cE91uWMYBuKnPYPJ7cC36pNmQH0RY3YXa9VPc08SXXEinNHVdS5jn6pSTpeMRHmiM0+Hgme059vk 0y5B7kUhe2zG93hlfAX2xE1ypJTktFbNEvoyNMvcySESsSmkxcQGkPPwtbWm3Mkdq2TZ32YLyAJI MM8u1yDOHmjKXAeDruDPHMBxCe2T/jNWZAX8XT4T6OR7/UiyvopeVkXjp+CkzLNrHn//K2i+OXrL JcWGZO9/P7RUsZApY/U3YH7liNJglB8th8GR6fRIrRcKRZ9KSMosvDqbElB/mXtwHryMFMxjzjy5 Nyqv8bm0pLHxQ7yVjZNierpF0jvYdLA/VOHDDLkhxxoG/7ZSj2a3gHPIGLkZ5YBdvGf2d3nWxJm4 bAE3Pps97mJmpCIzoKzJoXH0mTEciz5bzYK1rBFyp/RZNHUBa3EsvglZfkeBWv8ady9xL+kseJFp kNTwQoSbVFrg90wfZUG6bNILO5zCRSHw6gwtcy4QJSc050bz+2dKGyX7V9YmTFAdIyk2eBXzlnlK XvWa32CWF6Q+4Pz9bDGUnLhgdbxYkp1p5cQbvJrcRIRHkBD0IIpQk91XD6fkxTJjqgEtQnlaZRE7 z0gE5zvNI3pqtyVn05ddjskdtNv0f1Vn1cfeMWHbID9wGq4WM1dy08Vl+EtldVpaJqpkJhoc+SWh oczitwndbr28Wn/JOQMfWgFJRcRAcx/v113of/ANlSLtv5XQlS0FZTx7Iw0Sl6uXDHGVK4bm1OAv l+TKwfixQ58OeTSqgE/42+zgmKtCegO3iT4sqZJOM2gKcdwHXJFY/IgL9upg2C8thushybj5vy7W yEktHqKoo3vDTGkIYvAufWlJlk/++b2vQZsxLxyTZWL8KlUF67tfkIGp7CQZDvcMfp4edguByhNG Vnparj/c6z1N2lM3sZVdLvFiulP62ye9eR9r9/geibmQNlnG56RJ6f98rzUOV2lXOWyGnoi4ax6C oGCADUukHNfqijIgGfpOV20IU/GdUlZpobk5ifLhFnumyFTKhmsUFCWNDZOS242ENtlXKFXmr2dl 7FIMplVmB9w7vmevRiN//Z9Z00k4YbdyfPi9DJJsCtGUQyZH6r8nj7uivaIXVKUcBayLHulQ3CA+ SQ4m6fllU9nAXRP0MZVxk+pN3FeEnzQMvBPzoJokafUA+uMZYaXyJL/PBs+SCeCIbVVrIaSsNq8v QSg4IR6YmmZSyzh3UaNbX7fi7oR0yT+sLN9+jd4KzVxRKMpo62SmigsAoKaXPfeyhloLQBnxfp6B xL9mpRSKGtABeMMNoGFch/OwjvMZDFNjX6HnyGu9BX26uvG+4ZmSekYBBIYNGXLaFcxQLcSxLGjS 38+HjAUFnWRf4LzAl6wPjABXItCCsCZGcza3Mke0qmmGGwrBgkHWtydy4/BeeW/gzPxVxdw9uL3q srxoSHuvyLp8DXehpHsT8kRRJwUdnRk7kDGBiX46H8TxOQYbvkwZqRdZJ1yZ4oukAZMeeqUcaD+L CX5SDMuj2r+XnOfmW5OPyBj+yVHEb0NDoTdEQ+7dPonn3b3DL6js0jCh9aMlKh1aKPAD/GcLf3yV EZd15M2qXbdKjqpkmG1yzaA18dZG0NFAvMAqyIWIUImzkgdcihmS1b0QYyW/x+MlJ/SDs10WjBs2 OWnyC549LSD0h2r+QHz7KxZ6NLQjWMtDkb/y6lDGTGKLmQiiW8qv9ecIJEOCkBW4IB+mcOb2b/L7 FnvMJ3OrXiU7dFlvnFHq5xBDe6zovik9I/17pfVP5UrLFCyUZByuyEO+YJ+ih18Odw1PFIINWm1I wlvbERMupVf/zpW9aCXyaJAVJZ1TK2qJMK4IVqcOdL9+73ObNg+IcMK/Zf8rBcxcE4trxJikohLJ MqQEHat1jo3A5tiZsiV/Gg7CHyvW4d2tN2Q59kxmY4Jo0QyL+4Kt/CT/gX/aCeXoUZWQ/dpFtAhX JtdJa86YWHeUJn3iY6HZG86F4IK3cYB9aU/jnZWJqRbCtfffzdJFpTVZ9voNjUp06EuvUN8AynKs rExdLFJDvIy59V/vgKMHRlbET1lJiSp9ZVQlA85uYUMIrHEH2m2RpwjD3JH6vOXXLubP2R4xcOGv O6tkbRHkPg3qs4SDXBwsFNrfPcZXY+XjaWX0CnQ7tNADVbtX2O7dI5Nc6DDejpbobo/GAYrhIlDI RDYwdmfDCUNjRzDblKlQIMzzZeOHu4e/zqT4wE0MmqOIxLVmm83I4heIfLC5AMtGtC5CjsadnqXS /1OjQpkYiC/XhYENhJH52uAqJri4xfwG8gtoekz0PpA+TVNvQwDhIakMuMR5yW95dK90wkYguWya EMIhNbWHrgDXN/lZuQ9vQ5M25ySMzWA0HqJrx2lG1l68ULKMNWkAAKLoTw3PgcVYTidQ0iLOjEX/ ilZCFjWyihzPTkfVkOj30RbtV0OOV5gqwPjuEgqa2wypJ0NfnLj60ERN9WZuAc2AOGF1lb4tbOaD F7omOGZH3I9LQcyoe5jPZBrLXmshLjOf/vjWqo9EX5WdyKZgzm03lkjp/qEgq+VbNQPxcqTw6IWN uc6NuGMmCdxLDYmz5DQbXJ1lu3N73Er1K59NuIAqMm5SPzIgqtG419Zurfikga641pINif8kBHMQ XXjGmacrwmBldwLpUN87bEkvbj5OR1huFC0FH+bNAXeo6SnCGK3OB6q85Ebv0lKmsVZVIvC8q/ID c88ukgvIGyTqM2OYgGDi87tlkK6QW99jrj5tvvdcG88khYquD0wzd1MpVfOIjcNk4KF9a4wW/qA1 4PYt2f7Mxpml+y01q9z1boY3GpErXw2uvvG2D1izfUbGUe3mNNQ5nZaQ+1LHF/n7+InVF8hmZlkX D/h9nVclZet2FWWYGMrGFtRcCIxtWL11a/gyBR4xvxSe+QJT5tS5plwDkLNgRWae2NzsxHaZrU3h hz+V86fhKV7oXacss1jjoJ8kdx/4URKiXwir9aNfSYvYlWcCgx0HtkR5IgSRACb6EV3ptapooLim K5JfwXoxbjSLkRgxiDaGSMSihhsEBQoeHET1mxef4e+qKDLbtbSR0LOC6ObEZRDq6ySzyT1NF3Il flaUR3t13JE3rCFsVcsWADKMafH8+xpVY8FpLJXmO8QhO3Bal8fsA2B5O/H3VkNO//yFV8sMrk4/ Ms+h2Zja/6NhNi4y/rN5uM/T2O2bPWmE0A+ItCTMp423WlyiigwusCLM/DiABR3gYbvzu4p/rh9n bzveajKtHoWiX53NfE10Zqmj6cGaj+SbJTucKKaOnL/ZE5yMyRLh6ycjOzJQRyAXu5tvnBrX/XlZ NubQ/i2S2NqubOxgAT9jUChOAwG663do4l0wSE9uvw2OxS+8kRqbuDEn7nK0nceGn4WP7kJUkXqz Xnu9LjPjaC2dTZcVLaIh9tLSnGrEO+0p9P+GBYcTUmE2mykFjKwvLf3QwlZBJ6Gzd0tUkpGdXgp4 kONJdi0Gopg/EYLg5xEd2BeUgrklKBApEYIH4ICdFQN1XECqN7vbYuyGPhgtcz5VNj1NCzgT9Z3v ALtCDv9I436TdFQgfeng622zGqfKVXyzVx+mb/iIdAzvtQu4Mc0fMFKg++zHLDxkJ5niTJrIgXyp CwkdkrOXqa+g6DDbUtXBhvK1u/vaU/gr2UxIbN9Kj7vAi7yEj5hjl/FhjpkShA4cg//Dmamy6ZXA v7/mmrPYXb3IG8VlM4VeuJ7rJdXjyRZO9SiHss94EJX5/gBZmEtbdh1VZ/qrrjxXTif2tyWYx+Sa DNDevfbVfOkIkIIvgyXqDjKKmv8MkoKqxKNJ4B3pZmHKCjJQTeOz9Wha3UAi/anFlZp8Tk2i8iDT ZR2WsLxzfZ0boP9azHXhpzrWUU6waIGyPbUdgnDxKmBybfoE3H07RzJTyKRDe+x9vID/OTwPf6fT u2KYJhA6nQfoB+EK1hdqh60a199L+FKBROu31/zq8RtbiuCb+J921ml2V8BouDJZLw0M6RJjph4M BKv7C7bDBgHi4scxYU9950yzQYCrobAF504TpswK4KfB2drhF/utCBTIFASoG251HFCi58DRq0pf K8R2e/Gl6orGuy20lqIgSOIkrfwHUdzBTvN9V2ywWs9yj/JjjHZVKRN5wje44ASEtcnmxFFA5/XF k1WT4/VEb1w2oOJ+VAiRXxfVebewxUMunfOoye2alZ6vK5qe9zhtKV90xzYVvordzN2mFIclb/55 pVkeeOlEuIwVYBnQPRU9faQ1biXuO6nb9YGqSoIocX+xqpXjsBq7EmBKWIFfpApE78hqhuSo8GmU K07UjGC0pWH0Xl3u/ebN5zfifM/vCqUnTAvkaApOIzo6N/tQ1bn0/ILWKqREXUL58fh/C9KXmIrW Xkxkr2RmZH3Sb0AY0fxXlb9cV4F+HTzuNptrh/7+/tZY+9mDovXReWQs6zkr2mOnVpSrr6sjOTZ0 iepwmHxrLvSCZkHOu2mEkdVe6+yjmBj+Aa8oqaQRYcjFo59hsEA91T26I/ibAUIOVNwBqhkq/Bai JZ+XXaEEeRzMXUpKe216dqetKHZ7GyshVfV654Vl9zEkr1rbOjJbSP76VclTng285pLT/OPTwl57 3cInJvJP6+DRk1VSz7hIug3vhd2RLHRgPHtyj+xzSYg4cZ6HalVX6aTG/YoZqsdtFu0IvnQvS1il tQ5icCIko/VeytyGaH5QKYbUQlXtxz+JZ0s6EiOz1WwTHEFiOclGliic/W/aSKOecbCJThnF3nYB X595c+pj+dnjaURGr7eEk8JNUZbrpKf9pw7RaHajA9wDWq6G5fkEIvvgwrxfTi7W0qyzI7DFIFi9 UeiYPs0H6PxLh2KQHZA10MDKba+YDahzuJEOOnKflukCB/VSmO69dtUKH+wcFMQ6HfeNW0R3FEcA BQIUFwkikyooftJ4NDjMFkqrnGY0xMEVK8tzYl2nvpzdJyCiDaG39v+79uEZBlsTC03zxJ6gXbGQ b419tc+uCDyxvWiaLlrEKW+UERU3hq1/o+NboESv6TLON+Wk18Qo4FMy5chj2sjol4fDYX0juMnF gEGPLj4jCFCl3yyckbeGuSBLvTwfD/010DARz3tE/CJ1L2v05bzNtSSpiYmdvbLC0kR8ofJDzfB9 p+Msr4Ccw4mglVvJaKEvgH6zFirx/cRoJmydiLv+p63h5urKKnFMpjma3N9pZVION2uuyXw1Upnw MPJPhhM/HNj7ewaS22ZuDUbVDhKbx1uDx+Fah0T1wq6hOGclsc0gqFQTiHimikq4hZMa81+vPLC1 GBAN1O2S5P4sQZ2dkvJ4WAHnXUA3jYSsLdyvWrr7WmsUCWnAwEBrqOPwpcyG99KdI77gLMlaAhRK iaH1Yn50HVI1SweO4Mzy1aGXyrHUO0yAzEralNheiBJ1s6ICeAyx40T6WRkQgoN4B49yxFkrf1ZH rWQYYsIfFt9N5/TtUXQurJVQIM70dT0nwMdwH/yLFSznRijGJ+Nh+KFKiW8On2VHURyJuFsgKzHI RwJoTFpPssoF4G+srQf9smXWdTDQOIgtaxovEGSAN6Ge/Dr7HkbkvZGjTnRrbVm24xbJgu0SCpNb b+k4WeiSgqpo4pkXEKgdohQaTG98GpiYZwGgFP8KRTqVadDfZd4o8NBzlVEqk+WpeOnI4PUDkjdR d7PvZmQeWUb8s/h0cU31ZNSNsuYWnrKCEnqZQOnVQujRyp8uxq2iXo5uTpMjcRda9pw+Vk9BV+KU MOyYKYcJGigIE5uNnsoT+92yazz8TWfIu9FdAPNN0oaffKdlIrj/D8C/B3zSKwh0kQIc7/gXF1Vl 2khp0IIY02t5YwNC3ajS/LAuNXhQuVmXWvnYlFbqSl3VlYspPfiSway0ijkNxbD/x15Vnr6ZFace o4rK5TKH2xUCjhQ/ifp3Zh1JnXYPAYp+EGqIWHc17baXkjMr9FXBIJ4RiVMJICnGC89SG2OM7K/x y5bMhBwvzV6FloQKk/l/LRPztyEixRjLdCyz7SGgTjyr9mVh6StrPORUQ63fZ6JaKRQgB2khtKal +Rd0HDhp1YY+BhA98zswch6ipz2TFt7L7RwnpByGyPPwuNcniuurPGekO8TVpr5H36aLgfuMJGfw R4ysIdjHfMx9cl2WqQhe/UYKexuYUmzAQ9YxqHc5s5Do5iaR5PLcDsT+vpSYqa26GEl0Tfis6VA8 aEspN4I1HY+kri1bpL9HrO8UzeP4uoAdFq1XEmixTZNPwSoUqyZ5Od5L3pQoou/AQj2ID58MIXMa ikqiYhf/ekeob6q6gw7D0MjdZ8ClRO84kwyvPUBYFgb70nPXMHQRjTFN6XCEsf5fwHuaSQNZ9UrQ 4Q4b1sFl9ALuVuJN4Np4qwwGwk8UAPcgCDqzbevJL0Inu+h8Xbafmm7RXopj9AdKqWwY63j2OF3R HAfUi3Ajut0Gluy1MPx3az0+t7d9G+2T7xiaMw76bpHEDr5nwnpEBVitk0JkZcz2m0gvK376Numh bD5b4e5XCDWXFBQsg9h+0pn0Ld6IUQrkSF1937rS1oPyhdlgeBP+3/IGEnDGCrZ9HMw/1iSHlgqK MCiXwHjVT42NqxM= `protect end_protected
gpl-2.0
f584ee9fa5bd3a681a85ed0df1365721
0.928268
1.895194
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/mult_gen_v12_0/hdl/mult_gen_v12_0_pkg.vhd
12
216,373
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block n88cX2Zddo9hCF/vQG5gWA2CsB/NxhugEioGwy8vzzTJfJgiYR1Y86uVLOsAU+Pba+vR09EA8YQL RaBliT9OxA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Jtj1cMyThi+61jTvwaox2+7+Jwqnbq+rG0oyrMcjsRC1JqePCsMiI3RK+BFu1916onjQHte+FUqw jzujFKMzyT+U4JMxxne1LHz0EqczECDv7WM1X3Z+/RRil6LAfoiUu4oPWKBkqJ3QkI7FQHUPjKOm kzd4a7S7+cGdl36HArs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qEMOOi9K8amNroFNIEotb7n1RL3y9sTnhjv/DxkUSKl08KG35V+4EN0nJNAP78AeBk/MOP6lhyhD yznQaWWuXm1lJgaV039JSSPrx9OjtMlRSRTt6chBS8kr7bfVWcDWaM2CqWSwl9bYv7FcEzgfKP+m vX7lMhbUgVc/Xj2YFeUFZPNoqDi4TAiZZVg/BE521VWCEVBdaImZB6yZJIckyXcsD59STVUMjE1y fbUs1lwjFxOYliPg4TmJViy6HtGYwnRs/t9Yuzd/Cgz/aiAvFIFSF8/GCIBU2YXV4nscpslh2BQ5 BzA2Jsen3nj5cPNRyRotGf1CAIloSBW2XAMhaw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 39sq6NKmyKkII6Qbee261bw7jMeBOpNoVT0bIpXXKJzbCgQKMwSkepxPD81RCujIZtWp4bsdl07x ur1uJ4cPwQ3WcCDv4ucU7LlUxv6uaYSlCGg5sS0R4SU3q6AtD5zMxL7TAdsFgLvfLtF87pmfHeko 4rm4tcnCZhZ51dZ6Des= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block elnbtgEnpXsVV7zLjWgBzK7HYuSsQI7qzjANqzb7pnXcqXyXahPugn8SHQNLsSeNObhctj/PMapX HD+lI4kth/BmMBwk/MLL4EEASxIuwfg2w+ukfZRWbuRKbwNmbvRGdyzn682foBeHLjeYoEtLRFz0 RMNcMFUJARCbmEyWb8MjHP22hLxzQEDA4xbq4Sy0Ik5/lMqTVTffqrlYA5jLXPboNcowAJGzXseK nzqLTNQMaYpaoKbyDwpKdbed+c9HWR/FX4oR18IvTuUqerK95/81b7zXrQQPfjI2vdakTQf4WZ+I GkXXmIfF6pmA6mz7wXdKA9m7FgTY6FdGqDgO7w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 158432) `protect data_block joh00FQZvMWQ887KLrzxPFRQU8XFTW1tLHmi97qhnnmJLuAl2t9EpUj+8wvybWYhxZ7E+20400bb X2aknCzTLej8szWVNaDSZPk8JCmvSjHnUjkAG0iMq2zNfWfL47NExSh/R2nTXaNWqhD+emtNulE8 8GeaEg//qTFhB8kTWEuCTevWkOeQOjgK+wg9uBVrcd6fXOiZ1eCXolU7Jd9c3+DOh/Mzh/5HOEC3 RwrcePpjhWzWp/xkEYWK7WuxmoTdkY9kNWLWiEN7JQh0Sr6zlfIYrNXwA7Y3FEqSUw4QRKnOySk4 B3Kwli8QCtg26p5H1TdpjT+DBCs/GuI+qdeRBgroKyv1LNKrV56wwIAey+vfCtUezVHY0uec7RED M4g4pA1p6PvDFwfIqBdU4alzA79N/ATxvY1HfO3ZCPqh7oZNKuXjtarmqC/77Le6tK6D7XHAgge7 HlgoyX2gg2ol+DM2kxQUo/XzOFhprrplzek1lNdi8QJqP15mVxTvM30IuE4XvSrN01RhcNy2u2oO vIIiLX4Yk4mDdqWlDTgJbitNRcCmCpsSCdLHfoq0/KZY+B45V/HvAVD1f3VyGcaEekOylsnn1uEj yEBZxwkGgg5PB53o1wkBXMtYnlwohmHBQ/o91G1bAW26HGKqNfgDMQEJ876fIITweXklOVNbL1cy iN7myNfik7117C427yoExPpgHuTpMj6gUvxgcYy/4UbJpy41SaIK17wzRvSfVaPYtGwdv1Q+pQFi y/UHGeXgUsL/5p5sc2ax/PLszvwpV6dTEJdx6QYDmJc76vGpUreOxtdRGRwPEgJRvA5XfPWHnb3m JKGjPIa/vGouRtCt6cXISZoPOpqDaMwvBGkhWnidyEuslGb+RMc6MopUifa0Sk2rRgG6DmfUEx5o 3ahUS+WTSSFfNI8qt/VZ2ce3zf4fo4F+yK/+AtO/Y7aBEmBo3YSVz5W/znbWmfFUYSoFv2M5EffJ RC0q2mLx0ja8J5eFWXi4R5TzNHVfSHtpFupfsKZtORRL0ehMlAf3TohG7soviUqxcM+xXZn5yYGI +BATb32HLKd5c4bW+Hgp9vPGBwMD/p6ImI79/e53k4B6fsulXvKvxrtgtDIyiNHcZtofCzUwsMSo hxz9ycZ44qUhPvUjoPg+SvmNrJ4yUTr79hsO4tgctoTpsMqnPg8QVaP+vdB8VF4JK7uPdsjU/732 odOf1u2atSN3DfcPmTUFZHQCt/3Q7+/LQRRhiySp5szqIp1AvHBBrA7xY+JX/UThbC1vv+JAvFHj GLQR+JEuDHBuWtPhC6Uo1FbnXHvGj1Yu68LhywzxAd/dGgYrT5Nbrzg2pgDwGorG2wFzPGg92o+p d30PBvPrrwOQht/WrqsEBPzeTZou332/A6MpFn0OTYQ27cd853jTpGMik/MSW/7eDFgeYlajPrC4 2wFayO5pEpp/qKEPJvZTr6kUbTHFJoA9Yl7LabMMebvDVYYv5gxOGNSMQhYvuXBEhA3LnW9EM0Xw 8/HL4MXaSmPEfyj/EceGFGKcVh2ZxM8XXCRtpj96q6UlxwKEY2Hk15Tu2BCBJyl1SqWgb353VfQN +QXxvg+Pyy+q+lNOwXNR99rZ5YSqQvpCk/+Ns1jj6RiizrDO7soCOzUGsPxaS8mIuWrywUm9IXUR XLMWFc9vdYEdMaixZXCM6v6WHpTOovZFKM55NYubkc/1RUjjdPdXChPq7gqf8nJlu128ejxa4X1Z c8fHzexM0iz3uMVxfLcBEaW1sCFGrZrl+VzdgZbCFntoKrVajQ++zVJPlvk2IM8+nDN0+vTmGbHk NRWxZQ/oQEztS0arvt6ZrYgnEtDSIc4Uoj/L60ks8zXDTEGUQ8RQdKUYv4mytPweaKztMzClS5Kq 8BG3p2KdaZQ6/83a8WTKx+B+44dNB2UHdDTJE5IXOTlnTkUKTPOu8ZpWNPrCtq4Nbtl30cZqyBut JSBIT4O0eBQSfwMwzFWeZsGKzWPovTGa76i94XL9PPKJ4IX6yQV/KCCc8JtXig71cMyJRpLYLEfD 3TvNrH89IvPhCv7B6GXptiLhZ4BaVFDNkR9Tw37XsFFT5LuDVG4GWXIHjEIuvwfGcuOhLQSxqF2g tXQQEMEtrm9hOmEvdNVcEiPugSpV1mXpfEgEjpoaOLQEqzbhA+bqLPuYaMLbAly01TgyWKgNgIi+ 8Uy2HGjZZKs2QLOkAZGdOd87785sA1/HcZkXGUxNe72asa4g0WcgN4aSNPsCuS1mw3jKtdEL3vuE J6uD51jMiNCIzRxS4cFYNsMLRtz3YwWFN0JkYVr8RVv3mbg412PkqyuTzQDgzl61RMKpfwIohntE uHvHNe7o8H6WWCVurruCSqC63yrUeZFZfJJwpOggjE1xlV1pNjmUcinvoeBTq8/ZD52hy4st/Yey VdyMHYTBu89NXQ0a8ZgKwEiZxEQGKPAW/sREwT4QXquO+J7bZ1WBxPRqXqg1jKtwYKgyIro5B8fM W/R8YZ2NJswcYvDSmjij1+gFrkq3QJlaUJui0i+Zj8pZwZEDgfVOKrsc2PbeDawA2SVplrG0G5nf zexTHXtg1ziEHr2DwJ1ifdbuIQOpyfR8usa+kMtzCuh93Pqx9O+EAtuTOWfTwhQ3z/a8O0zzBA4k ubwSsUJZ4gRvYwAC5ZJtUl8+Oek0doTCrCqL7/EE7OjCm5EdQSymaboD4fagc0wzjEh5CXFUuu69 Zuo4b+FtX96RReMGFKfiOV+gyer/adfI0QRjH79Uj0/eluRvh++UDErEedpAfs7Utazk9+PJPry+ r9KWq/RkGzmQX3C/dUxT87LMbkB8BW4Zqhk3TeTov2uZ+ML00KDFboo0ygU1yF1qi05JD339Kgrw dv9pCmVDKTvCFM6Qkxra9tupMg26jPOLjsKLbw+ArHlFngUNsdV67YihAvB5pbB33Tb0AE9cvh1K T/NFFamU3BxM9m0LKb5VyEfxYQN0Ol417HYEEamTh0MkJ77VkeBGZOkPjNMPA46QB+bB+pSr/6fR AygNIO0qtXBWS2OZ93/LyNqJ9iPb+L6VQDtXQ1nmJMWBo1/J5bc1AgCqfr6/r+xtyDwpxgJmvLGd nDNEPhincqlU9OxIvLymVbyCEGTDuj7IR9drUdeVPbwwN/1ZMTh1bqrGopKJQinlmdqJp35Vf7Wb 47IaLWQRVXcoAVKU107fdPO3A4mm78s37P7mL7hNvvo6vGSYx9oJ7Lqt9M3sWAREAXJfe3DswdbF YX9QwlgZIQkOnCgm8PNJ1n5wHEr+UkFW8B7sg6UB+mOc2EZ816UKJU0chtBqZuSq4dEDr9WpKl55 ++0WJFQIRIqowSJbJtOGcjoc+FRFrt7McU7VBQAbvyzSMp+xmCVVkj4ZVbXOt2AIO7p6TAAg60ns Cqa+gx420LOdguwfb3aKSESfd5yGokhnPchxRgA/qb83Kagm2nxh4m06RNFF4sZgXuhjOqgCGGs1 31v2LNju8BD2D0QfEjiZkxEVA4Rr5DV+HPv+X7d7uxfPtTbqrEYJJaCwFY7C4S1/D1JZ3IT5h+ve wdX5qVo1B/kO8d5FBUvZR9Ts08lyvXAbN4Bp7zL8ymuGJxHfksS13VGZ51kkJM3udQG0ZQksjS7t qLirkSP34bszOdT7nKBZAVl6o9lua4ONZf1QEUMkQV+zRfi3r8aeWsE20g2CeFJhZjsCJL3SsOUL 21RfXgiRCdOZSfAR7PnlSRVfXyFlORQ5eiIvoQTn4+szQ3VpcJpL7LByGI8dgYlxYD26IeN3Oc9n vLAq4jMcywIER++x2CvfZ1DgMkEJNNvJSL4TzqX/P5zuIoSTs4tzhzldYSRah8p/g/BdHCBNSicB Kw/HXbnCK8yNvnjgo4qfOHwv9Rt3QjS0jcrHgZM/6wbQDtXU4fGMtswXsKohuBY5xpeoWtLtFVrE d8HTWErbvUuewRzZFeaPVBPXQmC1TVuEdHOrRHfDyKRb77fhMiHGqxSGejoghqkhAByBn45xFp+g rqZ83Ol5FbB62Nd7B4U9K8v/4+JboTsC2jmo4Uva76EX7I5dsZ7601eCJobPisdKzvbvt1kOjCrP pNeiIQ+4c9ct05iLF6qb1ZhD5WocLzcdpa6/fqGBaenolJdK0qHvgvQ/4jJfwTn31o9uyzIBZbOy SwC+OmI9SwaVY/QbV/C2eXCM21Go+AqbzOUrFNybFbxuSW4iiPEcKLYb5i7z4WnYUW3ekInEMH0z ipR1AAr5TNGINgW5Ooff1roOdQTUZD0QC6CW+uWadVZAQ1OCAuf9PEP+W/FC1x8LZxGBjSq1wlxt FdiiVfrNTBIKLPdXkOBnhDagKIBSdBEQzOF8/0Fj56k49rm2R/zErZoVf/AeFoYan1RyZjqJwxYu H+8VMNLXkr7dnMKX299awKWCRmasyoQpDJA8+WNxVivkzjN8icXh23CIg+e642QF6QvCDnmVR523 AOgabFxLjSnH88DJ9tzARYTkRGQpvRjOz8FJmV3JYNpuksOqrqkVpae2Q/6/7GX9dIElCiHHWBxI 1tDmylV0mM8g8sRN4UZqF2/0yn0ivq9IjdDEKQPaC4iM1JU3WUo/mrrtTLDvPsTD9kXZdYev2ToM 9fcraB2KOgfoknvMN7wWQBpPX7BPBlV8kxPjjgDDYbmrU6foi9dponHMAHTFCugS4twx/QWg4imC m/0PiiLzYI4uawozDdPx++qmWTX7B6DCPUHgJWGyO/YdFTwsCqG/xlu0PFobNBoM5uaYq6RIn4fG uIszCM7HyZvD48QF6RJdhyzglP17CXL+9ph3yXC2b/F/8EDcGjYVjcLo+ovKozS4iTFl02mn5EhA j7SoJVYld7A1EEiUH+gf2j6IbiZDZHh33IcTSLVDPHgZP4YwzMqeRFTXDCFj7u4KOTn3JxZn6SrL zYgLRB0SipJm9HXXIkx9OZE0U1I1G7LSPlA1iyq0bfJRK7YjVQOpD8zLwe1Ep+n7jy+GB6cJ+o5L EcICnEVEWxVjBF6mTjttKu0lIPsoBUVq9c1UqmNRenP/v3DvnfTZG7351LMlkifoG01mNc64+rwF 6CdjWrG6+e9pNElYBHhTh7uV2KLgi76s7V1713uF/DI6nvwNexG+R68aO5c2BIHuzsvpIiuqohtA QD6g0I3Q14pvu6nivtlq0xFzJjzmxGxjWaOiGg/bTSXtuvo3G2J9G1014rR4MzD/fJGNNYIW/3He uqX5AE0+G6AHTZfn7LmnnQDjFhktQ/gotak2kMPVAp0Czc8/zd5J3yyukPaPAvuAos3WFIb1kpgR N7hQPMblw1K0JmDN+STasHwwtHTC72o+gCqVnaWvb45zr4krJLSuiU3CEM0XPmQ4Dfot/gJbnvGA 8AS7NuaRU86TFpVdLXGLRiPvJG3LVy+QpbFeiRxEwlYOsiWnD35C8pF+M651pCT3omBzlpVfivPr a3DgAQvpv92+PCRJ/GdoLDMo7RggF8WNBbROJEwoOk8Eb1New+GKWb1nB2MHAPkbIDMX6gi+GJ3D eby1TcU/VvAmxjTyqG/fLX/Ph/4IfFrTyAAi3oULEMhtyLQMY9lJJ/9JV8c0h5JzH1XUGM6ybEfb 3s7MaA9pXK47Gz7mi7R5W7QE66rNdY7Yyz0zQrdjX8plQQTI2nYqhbjn3fsIcEvRRxuux7d4WkUT 99GwQDE5EjYaCuIxjL6fBp5XvCKbwb9pQiVg1Dl+fEyhWqLy+0iyc5Qq/D7VHszbl/u7fg5eMwCI mD16Xd/21TSCdFZJ6FyEwoK5ZIVkQCa3zvTMYUap2zThWONMf4UrnFhjVdH24bEk7PriIlzaDN9x 5XEib72eHqf7SnrWzsI2TYCAhbSklsr+3lQ9E+YZyKG4ER7qRfGa+aQaLOhec9SoTy321dTGvtnJ SUydnj44/S9isVeIELGZSu/qrPqIoBtFBC1QwxiIN1STivvtgOdx22ZN63cuu9evMUGIX0OMok24 qIOSEhhhauGrdAFZZ8LftMJrzjXqJ/r4m6KG63BegItJjwfNNzq6KPXSEjpRmwNBwjpY5SV7FCc6 cnQ8S7zcPVlLa4/lD7CAOX+5nnptdhd3G++1JeIzSQ8AS0eFcXM2PcdF5j/gpGgnH9ZFd99Gkj8g kWNlc/FXKUsDpaHFeoWN44QQsEh+kEGsgV7b/W1k4Fv19tvC4XVWVOhHFZXrFRpGs6vI79m9WY2/ lUEMaC7P5buEaYkh5YCCyV4CbzoXuyxzoMxZpuO3SEd+vW4EIbgEZCrHZA+xlufX1ccA6XmiF8tq lI/vRyno3WR7hxDFi+YREpWF/lDvJD4i9m2R6tp/kNE9kqrBz8a+0Qsq1h71h8uj7PCA8FNAKswQ DQ5Hh2tEgM8jDPIAzzmKH8tVli590Cguc3GQS29KolO4oNXwzqKW+qpmX8VUIIGb49IrkPeGDvnE ZN8QGvbbBCQcaktSTsgZkh/pg1B6CRyh+OXoVA63URlSTfbJRp+Co8WUCkdCoDM9qJMAZCYOIUKA CphlRbY7F2maYKnjhaxl5f8+EbKRNNXPvU0JjAlxoX/i7yFpqIFjjfsVGWNoIaYFhMH5mNF4zmWy 1Crg6YWjjyhoi6zBtptWWOyZamPpLbU/BReyZ7UZhtXBByHtSYOtNhF7ZSapZ3ugj0Th5bMBKgH2 HJ7qBM8xzmLyEAblQ5rVRf1j4VjzR6KNhnnVTOP+qJgc6PAQ8wovJsDiyDO17MUStC2nWHFO2H8u 0mlwF3l+u5nLdVhgCAB4T/Z8guJOcDb3uymLTkWEbKv9tVApmpeUblKfUkW+rh5wExLTGIvq1RNZ MAF8B6Bqo5crRESTfR91/6avO13yM+Z0+QKLk/gWlpNvWdHcA4VxtXS3y9KpETbt21/trLAfl+9s SXuqVy+a6PQbiMVEljPeaSwZgn3ASNibpPEEsVBASPxPmWbYCXbs3HY8hQkho0l2Ll9Z2vvHKarZ wH44p7xb/Wa2z5aeccqdOilr+77rIdY8q03YrHdFqRyHHJnd06AGrBmaFFznVQEFC4vv0v3yZOT5 fJY+HPjU514SDadJ+lTSIcFCPHsy5K6gGKZRKb5bT5NOti+14tNU1VcI/UWBNhEZeNiL8bkcyQZZ pX6eO5EHvXfLO4AbOtXA6GHTZGa/gpnWJwHGTifPHfAmdVCkC5SU3Z+CIQMSvQ74K7aMRcbMS3qU 1mAYq4JBuEp5sE/oXm5PNU49BX1FmDeakuvPmAmJLpHq109MNsVHEWkGuTjlHkYV4Ie0nTPFBpMK ATvu6OK+jY5rPm7pC+FIZ6TtprH9gSUurH4ky63njTPL00lwAJUgKqdv1uuaAlu1pzYY+sdSgGlN XLjl89y2PRKTS+hS4NlG7OEp/y5yr1YfHICTD4JIvuZvGFgo+9Dk/YsfYw866UX5wuJ3VR/mymsu o+N0YjQciryTKqPFbW1tlgF8hguw+DXmlXa1INV+tqL0cEKVxfCzxfYgRQkaSixJ8G6PqXtnbYN2 ugewe4WL+KC25pLByQTCHT7VVL3h4wXlhExFFZQlLkil+sx4RTDBiwZfzgXzsMFeuTDBqSFtjbX3 bCBjCyrq9PxMIRx555uFpwlR/vkjDEmMlha+Pi1arruYzWeJsvw8NGeZkDgzm+ncS5nCqiWnGPdC mBSBH36CbYQ8JXbB69+Glui28OVNvCF0aYg43BQ/J4HyrHZ5nxfKi+H4uZIbl2MfBTRYvGmxU6me qNyOW+6wLio6stezfKlrqG/ivlayQaEoRoOJg1cTJGQ/yKikTzhO7xrtUQ2C9UdV/t5k33fnbsOU rUCGsMbokQgjHW9U25+b/1eolBLlE9Kokg0+LXg+WsJc+FUvwGbAZCFPEN5rGKIIMly9C/5Ldqv2 4Jt+Adra0Ei183m2sScBHxI7415B+9JyTb8QePXAgMHbknJsiXwrMYcO5ZfoJ9seGBnMvj4A8/Ti NMjmSvnM7xPX1wjptqx65cfH3lT7hlwEamLk+YyOOaZ7WSZEj2tAfgT5G8iJUo2vCC47eOpoI6wn 6LAXZbG5+MVmRCO24oK+ukihHBWPWCwRVpjz4j0QklEjYtftG9SeCjCHM38waJ0kIwhFF1UWpKrc LAkhYYoDnSPxrZ1pdPYNZIF3nu4Mb8iEhpOgX0e4yZm6xVn+xoeB/qei/nHYFp/jMP9UtwRDd2yd MZxxgkx7pe/uDP+VLe77UxGI8dX+q2jBSx4FMfzMx5BZ0+stcynHsMrUaVb0JW6I9/eZNKnKs3wk FysWodO8dyj/B9PZ24W4tZqZ3Jx9qsnVgcZUV3HDOKawfLHuelRATFv2b3/3IskOmpKWqFXpmzWY 861uRjM+G7BEGc5BbfRhqfA8fCM+/w8acmdc23fAvhabBSoFLemB+ebLPrfSvgRSV0+0uATpYe9y ObPQQ1J0lzUohcz9L1aZSr1rJ6sYunwrd0C6eVzpTFEkEgEczvFB6TAE15IIyNNMgi704+UwNM6P MDWJAZkFSTkR/GMB8SOoXdT7qeSk58wk5mSP2JTDSdG++KEpY5zrgrlrh8lInwzGV6P9Vjbgtdzf lIQXrRi3VQKYRjL/WZ6LYhlyg1oktEvHzRlW5ZCGuMi44JTxRooIPOzOTx2ZOT7xkR7Nqft2MrT7 A21unBUu9Lm+4t29350x02tFcIBQ//S07DFKkBnwf8g4hvgX6vfJiAkVRC2wK+zS/aJZxKe9MO8O LeuF5TJLhLaG83Vfj3H/FQ0viYNCDXLZPpfJ9hhgQKS2gRheaSVhIcvRyjOb9ThUo5xF8ynQvV1z lTTzqvy1U7Y9gQRMMF81XTJpaztCxsVXqpdFqewxm7Qdfkcw3DdJdpjvqZ1DqkHcJKtDrXcraTqD 0Wfsg7bO+A1IpE3CkEDxgwT/4t/qCXBTT/Dnu7q/2viykuVKnxaamqzSRZKtVmdvn1fkTdlVdARB HBzsAja7DFCw0Gk89Cx5dVb05BLaJ4R93q9WMO9CcKeQmY1PHG5/taUNZPUzYNC/sde58HnGt37H 7f1j5NIdq9RM34sz99Ou3fASpfnaDsPnfpyYKaBFNqinvcQV56LGohcakqimEvlyLOSbwpq1wMIW At2Al+fzkqMfJ88iRDjgi88FU2iHX4jFsoehvI96AT68dHq7xA9RhpWHa3mfHAOAYz61wikxYeK0 MAHjO45x6dFnxO1RH55jlh1YiWUubmzaQvaLFG5ku9Z0Sduhffo5My2KwDo1u3fiteE8JQ6iM7GI k85hvLgT6f/pIBfiazLapv2sKptjd4aa0+8cn6OmC3tkgFnsfq/WzgWwoAyQj4mwfDfseZjqLYRd IGI6bRsgkWlwbDqAEQmzIQYZI1P5UW3k5390c0BQ43A4u7sMWdMQ1dd0x1uDp3UH83+3OZ1nCntF ncHQ+EHB6L48BvaCCdyE608UpY2wyVm4gwUgFVJJY9/+Nsfx8rvBWwNohPuw6I8LIqZ5jW+UZ7Mf eZ3DzoKgFaqiBkaArjkeufSTB6ket5oTYmLLsAI8YV0qcaAIMEl1BRfag4VXe2ZLQe7vPm+zz8zH ubqvCqpYzhtKa3jseBk54hbqZgzHHgEZ7dX7WtJ25xHDkWpfeVr0XVMIO29kEm0BqF1qpzijF8qn DpBpKTsDwbjfNrPjeek92ornDuGWE5ePbm8yPgLqjS60ppvhmy4G7fuls0HUSAWLEZh20YDGW5ph +V0+HQ6QZfw4v/oeK1BlIOY8MfuIKhkYs43isDAfDEsrjNoLUHSbW8aOj2wnfHkD4kROsdO9X/aO 0+rT5pOMoCGEV8TnwQ/KiO0ohGvnPb00p70TZiCPL9KDr1lbRiyv4/bd4o6RdceVJeV2nP4Qb0k/ Pv14ndtZtEXazMorE/C5E8Lw3rAV4lDjLaOi8H+hburVqI3NODgHXBR7V1SdtKYB5Sp/AxIad3xg Z5bwl1QyM9XXI9NFlCApeWaBB2gpO04ZDnZo2b09IQtkAHSCn2sYLXexQUkUZ2WibiiMs95XU67x r+4O4/U6IKXCCM6eyCGWBsCHISbjToHuBwxI0c/Dy5UNITe0wHxbznArTTqczBYBsIc0t9jrQCrR SNy3vw0Db31f6IUk0V3qY9UE0YkkJmDo0GbJvt/tsJ28qyiar1U03CyBuV+XYbZUrbqje2QFt0rJ txjX09A5Z8Z5GSa/92esFMg0OJhjMBOJaf47NmnWBrIGR4LhxfL59l0vcKpQu7qWLQHmyTJhYzel uJ4ydOEW0QcqbpJbpisBz4Bb4cpWRolKdQ7cMsSpMigKIglMeAdUMQqhQnJqgUETFrEENYLM+huC wPWfX6QXSxL+OURdd30RNBottcMpJBwfrZP+58BMcVS78+IWqhk8v7kGmbOcmMk89c4qQREp+dFB HTt1eohcM5lircT2Ew+BIueZ7u8CUwmNdKCdubdgHhXjWBwK41UmxMdqVSNIHPiqs1p6LC1rLGAN Qr/71tzO3LsWABj2fo5L4OGt57/JpkLfiWTkbmRZL8YPhQZcDYs8gdOnvJFn4UIzyjqkMd7kme0A zl9iP+hdUSCca2f1QiVj44hmie3ngcIyX1wSaM8k/21mfTAn7cAfy6vPoBlWyXWzQJEVqrKCuZo3 K2u2MutIArSjx4MqxU5vCGCBlnUOjB1E4eMnWefmuhVzUzr819KMi5BJ3GGlkn3OOqc35pkUXd2I Vd2amLyFnyFMpyDv+uSBtD54MO5W/meLNNutWyiIprhNYE60bBG6qeN2rJleKu6g3B5qYrET97ET Qfj2cRlTrcyiFpWbd8s7LvaVJW2+z/X6uHKbXzGU2yLKMjThg++ZPaCQRilMO/E/vpEPASarZycg PjEfQhjOrQqP+BvexWQikzhfdScqAF56lf8v7H+ey1KPVks7JXTQGV7Pi4m9kTXYCKACeNvBQpS4 /A8kA68q/p0ZVwBg6oUymVnjMkuU5w2ntC+rNKMH5vdMjP2mVtxfaD7sPvVVxFeVkGGonsb7p0du 7uxtaSmON7nh968TdMCV7+jVW+3PjcLSUCoDSnM2IHKtZccNwMsaD7tDOH54g88vT00yYc88KP2n 5D0BY/+Kfbvl1UTTGwAK0Cc6ZxSdj60JdTQGG9Vdd406ojLjfDlv3p5OQ2QqDsTIevYluqWDimct HnQwlFK7jBEocJeFWq0jPE5e4hIyygakZhqc0qP8GcX6otRvAqeQto20rcpG+jn0OPZqxx6EN9Cp a/42eY+yQc1c37x+VBCRoa5kHN4LJALQX08za7s1C58DNi8aM7+KPMAImuQxTV0Iyxb23+v0U7+h GDI72T6MWh0NZSzZ3kxI2TnvdZPPCIIVeAXdTsF8QvBAdYDXxX2l/ufq9wXy3K2NDhXkrSWGeCRO NrfNsIikDHtH9Kssurmmgs/20Af9WeT3IzIhZYW92b522Kvwzyk2zaKJ5niER1yLZ3PjyxdRS6QJ vLAf8aYbkRPehXaaVgWYFQ0AcXVISDB1AMMWv9PMTI3W/Qqg53wy71Nle469XKgCu70cqzrXXbv7 A480qwlWYhj+IqcXRpV0jDB3iE8BXIFwaGXNEgw9xBCUoDRUkuuLihdn/Ei/6MyoU98JgfRoOR14 rw9HhsegpsitHfZuKAXx4vIYHjLJpstHb02FXTqM1TqN2XMaAIsOTXYW93zmFx5p33AvssNWQYuD wk15rQpiEcpuBVQ2Fgo0GOXJubmqLpx1aFzlzsuc2rwKu1pjnzKg2uReZcGTZOhOPjL4gfRkUosy 54LpRzvAfeXmiJn3Uizkj74r4Y0SCzOd9z5jQhFskIyochIkG99uRl6Xj94w0ILHHkdngLXNUhXn rqocl/HO9sW3Y9rI6qQfAuTnaKrLSKhdTwb+BPeH3npInlNV4nvCje1QR1xd1WFaUhb1V8iaip6/ cXZH5yY5aW0CMO9S+vxVOWzehTU87dobo/ZGJyCQeqbBzRS8DFnmaarBFz0S+dbKJnNjuEOPYdVJ ceIiT1k7RKnnV7QSC+l7IIDIqSJzvlmOFUYkpYZ/gW0qT7PUvvi3DM4biOeLqfAuHOBuRe6YcUjn FUO3B5rVm6vePl+v1+8F15RoQhOWuursvE7C9kWilKaqjWVwUyEF0qB5hS479W9MA+AecgLWN31Y ZEXjmqWLBBVYXZfe7+e+IAbCWkb3paalYFi3IW2ZoyvNjMeYLf9qWLSrwDK/maulRdV+PYmyH/lb 5Oh9HkhOaLIN5/3PVOUk4rr7q2nUmIXMyO9tRbaL9H5zapyKVSYM5Yxrm+aj6zmUZUBV/pfQmJIi wlSCfyYU9ZUn9BW8GDGYSSCY+nX5X18WZ8lTlDK1qqo3VgXRAg+36CqhBqKUyobNdalFMVhOj/Sg 0FvSUOTM3R+ppFYMviNDiihsq587Z8whdwfkV+dndn9rFhIaM5KcnvzUB84FN626hkcfRH7aFO/V Qk5uy/SKl3LnhjlkLzgLYtEmLA7D60Fdk5XgdiDX5fDpL4ep2yoP3PiQe/P6A4COveOcVXNdqZVy b+iCEnG7jXrOOovfiVe84DZb2Qy9uzVuW6k6D+/NKbehDdWTTSkcdD5mVFN2oVRQq9B2PQnIPi8A UB6NS1sy2uGSvw3THKDuouB69d+8njchxfd10fqiXT0a27Pt0oaR81FhUsLfVmXp3glswl6quHG1 9wR05N0siw08hmd4NFU6XcMV2iWnu6flNwfXMzadW+MebYNR8l60gkinfLw/rxxt1E9TwpT7Kqmy FL7qeyJqixGnfb4U7cvZxGCEM0RNZowZTVIbm5P2m/hhShItDgycfPZajQGNZ/GyvS4eZcL2h5EN rj3IE+zgQ3mmcSch0+DZiCqLqzjRqMbAMca1tw4dbV0uooSquYnfUnqRTVNPqJDkG4Jdv/9H4laY N+oA8oTUkiSQOfD5RLXZnV1PJWB0PXsSIS2/YgoP1M2nuwrhhrnPuY/qIHlby8rOLC+yqMFoZUwE Q3ELrmvxlanNFYdBytvcm4kQ5qTwQq1Bafq/NNHhfHGMDUKnkJk+HADxHMTuTKuR3hHD0SLvZeZ+ Lx0YyTc423fohYyfmWolBv3cm4K+66Ats9v8JRb6iXPj790PQrf/nGhodLbK/gVOGTLcCurmxAy5 MqH4gXseAV7yTea7HIMGlyD/bciOzNFYStaBnCb7cEUMXHOGL3aA/NwrKN9ADfmorH/Hmo6sqT2m Xm1elBMtkGvdzIMvjv74sD4pQBrQImYCnxHUZ/17dNUqmE3mlM2bUGy0pTao0E18t8wCw/ljhjLQ 59CVvDhZI4i0x1WDDctu4zNRABsApRYQxv0gSjRLN2yZWKOcrUclyUrPcBt3iBM6oh7KrdGUFKZp R2ADMujttpZhkD1Ey05D+QpSGjbQ+iwKTxW6dwgSlvoz/LdTYxk1SoqgIiq4c3VG9xMGplMOXGNp HyECPRm2WszKotbE2hp3vTEdtjBf6gsF0tDuFVM+1TPf4nktzHKMvPkdVuPcBTJEPzYzs50EEurY 8BExiKw+i2lBlY0NS3KKOC5QJJIOpX6DMub1Zz/Tp+887+mc7VtSNDjMiVT4qjq+O8WlGe6ckuBQ bn4D51FT5VKUje1CYqIbRW/pVGSwjW9F7pFouK2I4CNtjEQGLcKS3GxmrWNlYu/HJ4rzOMIYkzeF yF3UKGSJCEQmEd7E5ibQ2v7NP+kGYQdPECnAanxGsNvUz1rFqTXLh9VPMpcK7MEwSJ45mGYERFqm pH7kPxgJ6kV8Fp4ZsXdImmoEmbF4qpPW8jgi3W0qLNyaRUVzKom1cWCv7yrUohBp8p5XkcmAYaSe 6+I4z0WopJQIpjfDyTyVebja+kK1+lUDBZYliNuxVnxMwh7qLFJdQXoKfqm2psGIyCAmyBsC/zoM zlX2/x0x9MJpkjgyaReDbswdrBtapkrknRIK9SkCEk4NrBRGiPS06Ft9eR0jMoJdhC2e1/QZhEo1 QFp62xnelQkKUkK4pR2+sEGAdYVqaja7DEhPSpzHr/9HFgEgAgpV2fUhmSLKpr8NZd5NDTjiwwQL gEzy08nSXk1Z5MVSUM/F/mWErvrdOvZdkRzD6ak9s9BZDtJWkucfTWMDl58JyNRGB0r/RmzCQL6I nkp1fTu9rioNIr1uRGP2rcfURKIw0JvYp/oR0v7gEYLBMkazpYKZxY32zW2INqVZA/195uVt7DxX U3wbpVRMMsIvEu2mh3x2uiLxpjORd2D6MaGzbUZlMaDbwNDa6zT4h7fEXbWb0jjD5bTp2xD5MdG1 YRkC1SWRjMA8dfIx170rNPao8Abc2V+ndsEYDbbQZgtdiYVW680oPKmHdQGR1wMgAWwFsSwAPpOe a8i0Hs/vHKjl+zmCZqstuD5Z3SE8+gLS16heDtlhFYaQyKUSQ1Kam4xV55szyF4usdlmuUH8Cg/r 9kYvXUPt7S4I0qACL8eWRQQ3nEOmSHR8RKWSLXU4CUIH78O3spCErgi89jt82bPxpdgUTNwz6iVG kXtenhg8z1aStyZoB1w+6r4Qp8ieB0+ZW6l3xOwDZ1CCuc7JqjqmDPpLDbyiAD8AQWWzuQwlbW3Y Pyy7E0k2kewy1QD2Uwmj0NdKaJN49WDEyNrCl9y5EOv/ahLGACyXw40UxsBfjmY+t4Ihxd1Fsrsr HywsWlN9MkKSC8PQYxTldd7r/fdIGJHl8SE+OSVGXMRiyV+xEyCs1CLy0MBGUb/zgcU6G3km4zyL PyMB6gr1WMjO701RmSdto0a955oJDginljqjKe9u/T9dR79ogwPDrVdV1PYRJ3da/S+n39BVT+eN 2WX5U8FZGV+g5Y6kdNSFrNr6WTsLTK1mzmQZaO6kCZGCcrdaJ+g+tV5S/BgiUnfGadIBJc0cEZ8d U4fcXZZZUzaNe9d1uJ2pEDJkyCuqDa1ri9W5svuQrdLDe0U1TaCpQb/LorCbJccMqriRg0Vs8I84 p/TAsksV4es31B6tPZ2SZrkuRcCsrRrdEDGLp9zngl8JyYQNiaupssKfWRN5LCmP8oeKwvFSbn8W 2nUhKv3gQ+0EyNDQs5cC9kPMs1wqv4sOUvSycx6rjRDIzwAmqAfKO+P12W5UP15wDLhUMaw7kVSf MeT3dc5rWrnTYFBqMnPTI53LDPqIAicJTvUXniRkKHhfxd6fpZf/NcXqIJrl28ItwUNK7nZGL1Ne UBino1j3wDVFvsju6cLQITVZghzlj2Ag1Q0MdqA8SplkbuTnipqvlLKACeuBiIXkgY97YoneCOhz qMqdHxBFjV5fTd0eh6S12WHE69oz1igENf78F4PS6vSIJbEfLrb6ppjQ2gTNn9UQ9CAdBr0e3gbd KBpYvYgR5tTHdZQT4h+RwQJa28c4hUfYDqokTv04cgkctKci1cqzo8BmpBLR9UmVbatu9skd5rgW xMvbtAy2Z1BXlQju7WRUAQsHM8t/+fDvyAYj1t/O/cw7FIkSBAqCBxvwzuJApsR4+ngFY6KkZe8e BEN4YxRpzNWqxln/fHKjuWAPLWiW+H8H6/+4nmEyimIBRLc1A3LQPru6FcgVbi/U8NFFLrAKKqdn 9QxrMynyZxnG0cIHZPtU8pnxRDWC65Thm1AsSpuF/0K+lAG4Ua5JiUljlYNAeJ222MAz9yImD9JO R9UzOyu+o2Bg3o6trgYq6g+yic4XVL3aU4QyHtLAkzwpwg7vJHmyTIjMu6FWcltlkz7+JVw7j2cn SJUAs813Y+94Icbv/85QqtBzBRuzH2G+AXg6mx1tUwYT1u6x0L96lUIig18zktgSdLodecOqcGb3 LNHwFvGSQJVSWnOA5RQjvTWjjLaRy3dpZWcyfV7Gc+e41FHA97rzu/pO231wyZTUaIEmxVj2I22A sDqdA3NaqJ9b40vyhedE0EvwWKJC4cKsRfXWJJgamDWCU0mIa6C7LLo1vtZlrC9JIDFuNrsdDEob kzhWB24DMJu18szg0sX+jApP5zVLIUB6Nhxt2NYh22E78i4LWPNqo+csKmQvDeoWn76HMYrqtnzj TYNb2Rrc6dz2PXvouHTGqq21RJL/NPnANp/oln+MdkqsHMiqAUDl4vKhmDhLoO9sXPYGr/sd0iRr z3+uTPV5xabQH8t4AxFM+3H/Dak9XrQki5W5egsHBUQrxGfWSpvbm8Q7i0CMCrKcNBtt2/70G13z 5w1T8Uo6uJzx6PmbzNIhbRK9MDZHMfxPtExIWh9QjBjiIYh1v61ANqmBfsT4mqAqfPC5Hc5JKXX5 Yo1mLzCT6w0v4n8mkGua9Eq79iUKAaePSxkXQN8xZz4dA4OQVsTmzpE7jsi/U4jIpLYnTwZ19QyT qsEpqehF4WSKIlOnIEu9sq4VM1jXzw9KUNU2f2wjy5KDF6Xa7pyHKN30sRWCgH0/pojNG09PJQWn 7asd2AACBNrt+b4mY2GBKr5hOzCwZ03hnET2lT5L1g6Eq10/MJ2smyjunVPowcSsvboEzs6NahYd VHSEIy/vd6ml+hFMnyLUDPSE71HtM/JrjZBlIAa1upVrQVIehT9FV8HUNH4N2FobBNyWul0O/l7Z n3BIH/nHBvE67faFfOGcCO9PH+Mqcsep9yEBuiYwubpUWF5lJ6kHnuo/t0HeiGP8rjvH7WQv2uS0 QgvWQGBPLww3kdEKhZJk5Do2UuQOvSqcfq3jpxwrQdU0mW6qwBkOgKcT8+3KMuALiTGhJDhmmCQ+ m6RcovaOx9RoOo2dpeBREMrd5jcSQIM/qVYvDVx8AVUGY/QOv4smvoc9TFIkvot9MmFKP5ixHo64 MvDKfD6SjVdj3qp+YP5JTL51fzFPusBtuXXZIG3t2nMpeiRndzP9iLz3HQce8I54vV6P0Q5futih xRFHwXSL+hdRdsrfqYY3SpuRjQZldV0EHiQQVqNvqADUp4gFOFoRh5P47FGbvbXDAek0Oa1b0v2J BDwRQAnxqYjtlcIVDfawHfgpBMCSmWZEY9CAoGQ1nWgpclOy+vql7qRJlUg67JEN1pqGBGuqk9GA ajGefcH6RP/88EDEancEEHcu9F0rAjpi2uTOCChGBIX0g7XYH5bCl5N7VMDuI1q52HHyjr49sDc0 +No6JVEhQfVyaIuQIYPc34Dh3xQrzCFum6dIjAMh4p+3q9WyH2phNb/5QGCXcNCOsCERCDqhpe9n hl6H6zQU4WhZMiJk9JDGdhbRDaWQahNC3dp3/u3LPoZcIOYkvkCdr/ZgznfelGXAiVscWYIC9xlQ 8EJ3yvXbynoS706Pvk5lNoYXHkbk5hZpj9LABkv0X8BZM6OhXgU3aiomMcEOVgL2vxP5Osojh5H3 CncWnHDAegkwJcyY4yjjRwCZDepg2rBqOhDytBS1+9coun73iQN+WXyJJ/oDJz7jv1QsNhaAJ/HB 7a6n+kUYDyUN86/TuY81QVn7YAQjzvdC4ZAxGDxf9uaM1uTb0E4nJNqlKCWv5UYnj1IcFcm+Te/W wbcY3+5ChWtWb/TgidmpQ70GDjkRE5tUccFrFpPfnOs2TIFYClczhXg/IstVDnu9wRJfvmAAyA6D AorWeTb+EGJ4og1PoTo6EZaFJYKzRYmyAOe1z7gBuFWfJBT48xGbr/613gUwu5beEpEffSr+dlFT GPTpmdAXttHXPKbPrNIaX8CnGFiCZVTamncf58RjzhymsgdZ3bArCN8p0YfqL/ZFQnmzb7X/uqQw di06S5TuXta9QOYEwM2Bu6RNUcKyB7iZqhLVqkXl8/UnZl77vBEMDivu4+W6GnnZgmWmmPUiGsLU C7qCSOINl/B4KwyzAUFmSRO5j1JPdLwJEmlHk/VPmxuTQ3FJhE+mYaLJRO3VvIwbwI2P1INfOBN3 Ot26wg7MeC9V5d8XeVz43hoPWTrO+6PfA66ORIogLlpIPpy23V8M95nXEBShfmNchz4H2Qnz+8iB p0T0QpwrFuxsfim2aYBp6eE/xZAtwa4s5GTeTrJWbbX5B7IueCW9+OoFksZoAVrCG+mbiiZtEzXQ r/SBaH3GDssltERlM9RJoYTjdazuTbq7nMyv4aMdif5ZrmsvpS7rTvlquf5wJ39Ifki/88qSqloX 1U4KkTen0fPFFWkk1ciM1K0334CFLj1tHHClIrNIV1vjl2vTjVoGuEDzehsEJ8TQFQHqiJt7k8qm AuUWS5AP9QgYiPUbV6Wa40RjH0gk4gHoIH/LstIBGfjdO2ZIZ+UwmF3CAwwvorzS8PSQkbedi5q6 0c47N2lNvvNyO4ADNY5q/B9VJ/ob4CTiUSBFARXopxcZKyD/nsrIs0WTgyc51aXfs7XsjunZ4AbG 8HhTD0uAhAOVGDu2GOXzaRAGJQp5FzpXWwmHr8nt7J3aeSvx55WYNt9z8P5QHoQuliCErYC/Ga28 jx32tVynEPG55BYGqt7DDdFzxVN9YrO06RHCiCb6zg9FbHexEbBNG/63vhjd/IFLOv6U9+rXZ854 9A69apViwb8ppa+MrHGj50Qc91Rvrw1qcN6pQsoHXmlqdOU9SxH/XoNQnamhO1s7pTbuz0UYGS2g 50nAw9Qwla+2TjdLPcooXNTnHr+sYK0T7xpzStnwWY/mR8qeq5D+u+ZH+JspGQOtdlBTofhE/oux 1gNJBsKhxk/dyC5zcQTeuBqFuNGb2oYet5Ycu1UeXF/4bqgtHYs059eXr8bOjHn+u74Qx3eKk9i8 5b6caqLFKkGEXxEUdtYtZOiQHI8ltNn6fUNP6C5g2t538zZDzWqNAjGhqrEuBawmFMVc34AQxcBM DfDbz2ZeS6nOjMkR3nYPGT2ENqMklxDZRuKXI1PTQTeT748h7q/Y6nR0tamgFw/eUi85emTc/4R+ wT32fh6LG2F0a+aMBTOpTmOs5v1/FXPGvbvVG5pY+itoU+6vkNF8cSnHKM92RjBVvLnjNPz4sJGR 6PuyemhwxxC/BK34vaGW+BRoMnIQKFhiL5Mq469rJabGj0mcRb9MNS++RlHGVPZIWDfxMoygTB3W vSP/HYQkXnHhMAeAAmMndgg9sNwCh4ww5LpnGPqYDhkkFIhCtumbNoujDL8k6obcZnRHZN4A+3/2 iBq2x9/bagcW8uDVFZUR0cMHhizyOx7FrSn8FwvU6CrnvSFuEl4oGT7P9J3R3/3YB4VQAB79Iizs 2xlQf+cshulyCyyY+uYH3O9vhkSITS3QRZDibrGr47yvaCv+HEcS5GACvynB7pKelKPyDTj0WYgN 8dKdCtQ8tvlT8x5+WcXdbmUt4+GRRgBUnBLnt1+m6KsyFVY0nxD15C2pVRdPNCm/08DKWjjyJWip urumTIcZm5KZoO0lcnP8axcloA+9miXerNIbQskWM0JFHMPXbRKyUZ9akEz8JaGRhRPv67ttJsDz 8KtTDwoAs2YDckE1mzvyqzytzTnEXiRbWpeqnujJoH3nKNBt/7XsYnTSK+g7RuLcxytu7t1gfALH WXbjS00V6B6W5HixS+hRgXOJABMGPk3OOCAx8x9SBy99tt7nM4eElQFRoF2elOJAgQi0gWHKyoWe ktdairClV9IrUapoh2cwTPv2IETgHn/qJKGY9r4fa4dCrLr3/Ie02GILMaK219Y0JIwyRNVV+28s +PJcuUB1CsuY9d5BZytyIJHUaIIor9n33IO3M6EIBno0SJh7XUFXDbIlUvFzyMgnJSqbJ9BOgc1Y ZQ/C+7v/oGpPOVmynnmDcbFoPQRmYntKKAOsMghmh8YtCElNaOlqdAHU4E+bE78RFJMg+gtT7jxE ruT1VRYZ0eMe3yh9SbfHf9YHnFa3iOEwsgH/qbuRC6LXW76PPBf5rasUPs2b4ZiYT8rUc2hrwY9d Ql6759Mm4q7POwXe1iu+p4ddmlGRkDHBb9051WbJBVuTRWWpZv52A6zFzYgJs4tLpVTayinXwCK1 W+Aw4L6CC8PMVEA1co0wCNCQ9FikvRrQzuL0urUYZ7baRbw6bltpnIbD6OjnpGbs6Jw+GH7PrITd sGmew+F/66cr8NlbLO/tKNt4IAeFkq8uSpTGlM+s3RFDqXKmWB1nR1vbFsSj++7EXgmBDQiWQatU 5rieqd6sUizmWJl0yO2MU7ccnkZI6+K8qYv/HoWhwc0lkIwEJgijBlIQEWrMKQQIKtp7R1PgLTTC BdtOmShqYZWC+ywecMAOSiZxzFu/NShjlcLFN4iqI2SzFigbxVjb1rSOI1xQr7MrKNcPFrPswADd OY3ALYln10CgSvIFmHhzMwBTMvfUvnkhF87TB1/GU9WDpJiftiq1ZSIYqmkX6IJ6Sl3V9yMiI1Oi vljkYQl1hCvO2M9EZUAI+GjxNnV7cXF9L1xMxFFfhR60czDtyyo0n8cUGzA1+Pc1TLKvOdjeUO6q maTOPe/nK898Kfpmgqkg3nhoYl/6MCeT6gKaWRBarpdttkdFlJjcP/FH5pqltbT4DRsF6T8Bj1H8 elbiKwJP+Gl7R35OMJXvoMPazjUBOjZAma+lygxLv1UYdLP9PG9+Oq0GtbDwMtLCPQYRIpbpxk2o HTt3ZHypSoCuOIQEtFfWKMK7YiJc4KDroyJpk9E/e4bPIDEnD33KANvcFFE4HYEjnSkUBWXZ9+gu +5zcbSUm4cEHDbCKt+yzE07OYb6dugo4q5l8/8kleT8bMO6bMESme9R+F+9Q2seK3xVrA7q6qeGn cu62uJw0QOJn1JOloNLUOwxR42/yZKr3XWoU3buAOlbUxU0IuMD0hqjPDa0qI01fMtomqnC0uNKu hcXL9T73KrUab8NlYTB+h4IItPIn1nUs1wa7/DqIjNIUKcB/6rXIYxIuJFN0g2XNL8yENCebNDw3 1/4VeTKzizMRdhPg4N02IRqDlh6/vLWzza4QhUXUzcE6QLF2vxF32BXMO+l09eMpNQLDdWK3qXIX zsjmOV2ATZOhCKChkDYpvrdMHMBQhNlbbeo1ZijX109y/squKeDbIEIMK9raBKyeYskDrkde11a/ 1PoRzQo3L5AgVPwWX4JLCe4eVfeD1D4n9934QM73p1nsgZWNvLqYwBI3FkL/0eAYjd933gonUlHx dSuvYS8BPHntHei8Zyqqjrp88PJVLkHKGAe0Ed6uVanK4j3jzoX1lqI8qpBv/+I1pNd/QmIqeEDA llYB0x9z1JjfCyMAidam2uLoK7ApKU5NP4UBaQChATenJCafRIIRlyTWq7xiGtTeVPk5jt0mwNt5 Yn+DhYMS6jmIyGVFhJsus54/t4DNERa9zGhAjzNtpiT8IOPdrbVeVfSgFcE1+appjD0fdplXe/TW iOfbEz16P6/24xoZy1fUaa3rDOU+340i0ZLha8z8BnhTY8JRdOL3OGXNkYn/fsAA6sZYT+W2MT5d +uLNpwJngNdeHjJcZb5Q3wZZ5KTxhCDOMFt/WqZJPf1h/7eOhFij3NC2evlCwb6HFmO4dBHAOUHZ YVU9xsWDC6sNe5b7ECRZB/5P5vsI6/UhRLFE6xshZ/dlxoy0IHHEEQR6ucc1RLc9Lw075IczurbU PrSdNcFIEULT/izio3QTQOlki4TgoU6Ygg50lkogYfKE5Pbl6bUZDtoN+OjreT5MqUWlT/9zNhtE BNovlowpcQort5kf3LEjPKyTgoxvh4H8j1GjrCVwTMhT9efOFtv9CJmPQg0PpQg4eFJIxEbQvv6E YD2teSLHO53bUOGdCmDCBy7K1zezqQbLb5YpPfALlwJhRvLcw65eK05X+KiqkY5/qI1jO2u+Mc0T nPtIL2mr7a6Wv/qoLiRoCJyOFe6D6XAWjNVEVpwktjjtrhvzg1mYcTp0KxCxan7ZjEnOZLUpJ/EU 9JGVd1eId3MKxMzRJ/VXnloyH9G616dhUQlrgC/YN+AE7jV+e3DNJRx4o+3I8n3+8HicWq2BvrYa v9EUZf52P3VijzuF6f7P5YsNVOctCYS71x6++0YFYh62RDJGd2qni6O0vbpNpf8kSbJx0adfp8K7 MdbpeQzUHpVkZdsZgpGa30Bq2NwkKAnCClXRpmxfwTKTZMDof/B65Rre1cQRpm9gSt+XIKWu12Z7 QwuW4B86oaR0+mwwmy6U0RsEK49JXtz9Z/7lDVSCp/KuSvushSHPK+E9zh02ETHyZ09YrTWyDdn8 eASdQ8I230xrv2LF1hi/evBiwGPUBzIm3dnYjSq+/cirJA1cNWuROJqMAzyf+N2A6xl5DIU+uGqE ExGg6ARpgqBBkn5avyub5TMvn+zzNsWiQyXuP5L8L2Je7+y7a0wKU7Z9O/BHcU6Absd6aKjtivu+ /tFQ2V28mp3NcCJDdyK+ed1ndi+NmHeh01MovU5n4gjRPbbu8blw4Ew6vpsJTFcWg31Lfjxj0GAb OW0h4xdZJ5xEy7oki2jKuCnqPqOCqLJk2/ZT16w4ZUFWiFvl1lSDzZmnd43i44UFcK3BQO6Rrf/u S6rH3TpKH3YpBfqvBX1IjAEpVLdLr4PjjRL/CNuWxt8KYxcsRmyCLF3IERppW3EXpY0RpSDL1MsM QJOWT2OAiMKv5RCaTGKeirSHOuj7yrE+uIEPeM3AIj99BwC4i6y4GTb/HCEA8Xe3yB0LPg3pVN7p sQqcqO9oLkKf0Ti4s09LBs5rhbHhmnvX7KKHrFpB/UDxWtdA7FcYDwnJjbYTxE4vSeaweufRiqzN INCamLgyJX2Ze4ZgIzgk2KeNy7b/40wGzBVyJi6F9x11lkF46c15/zS5HD/pu+LodLFI8Va+b4zu GIxzUKHwKysaXeJPTXlOmyi7+JfwGLb5JMWL4FvfBbgdyvCcYB1+B8VlOPRLzrkjgYqvB9VTq2rE vGNwN5GyvBayXOyeXmB9+cQzxs6ibHrGYfiEGZsy6YL1ZFjcAMWIYtxNbPsoXqG1hin2e3N2WDli vZg7o8gOGf28lwFwajr2r1834H9NmqGcSTNeuN8g77bBp9IK+Ur2Ux6ddVana5LN5ym07HCCI36h DQ6w+9J4JAJs6ptHrOfxA9KuM96Sjj4sF+OnevtPKZ/Ul74OPerSaVMVo5/7cpMzidTcs0dRrFZb 9am/pKAlLmgVf9s+xNyqOp7QnFzHMiGaiQ4R0zjKhbAOKQ89o70ZERVouy065aHOj0lWOgbg6uud 4+i/wm0u2j3dpq5IuTcohQPrSB/PvDTM+4SN6kUBmNEFB0rfJgnH294Ben3oUO8bxP81RxlvrKM+ 9t2dOqI5vtPLCinJJxIJpOl+p28UpSomMY/XCJp9bj/+d+IRrOmV4CDZpIc5aZ7qog2Dno2aIa+Z 8xKyF3/caq+TrXVZCygeP363GD7w7iIOkNtvJfN7oXqkByHHn33Js0e+4CoFE6/vj5q8CBJkh77f WLMgShUJk/5tZkvrZmPN3W7et0afHI//jrjOj2tm4toLyogzL6izUY8lf3w4BCgDLQniIqBnFIoz xmtr6X7VHDaq0j32feGw4caOUvCTgt4HsPCal+TWM75qE349WdJEPYpOplq/agqf5/QkvrO+36s/ gHd0o1rt/9X/xth603RJ28gvs2IAqmvS+qv3ZCzJKJGX7tEBfFu1qtQDFtvWJGYiMXVc5DRLunAu MQ8B4bklL7ohmhAuj4lFx4l33DEQOQlY5EKML2ON1IKVPUCzZ+5DNA/Dz1p797HmBRwTRz2qKRla qydV2UCidFbhOQ2UQ8OrjJibcZY/ljev6fZlJ90Kvq2EErgq5bAn8ah17usY2nRX8oFfYaHUuVvu j37AEllJtw+H2jZ9uMnn+lZ5Zetrbi01zZO4v0EkIqsST9AYPHkyb/yBmVr27Zf2eHn52RHQ8FB9 pi99PJAeTkQNU97ZnI1G9joV3rkaPDUCAyH3kV03JeUZrGODMF0493LxJ1LZ2BRjp1+RFZg3CP7O XAS3TBcHC0kH9H9vIjDD3O2SnYmiQw2kFkTrRSYtE93BlXJvEQXCGiElDs9dt58tKMm3Rjhv/IGF d6qIRzyB6j7NpJB//OGW8CrTzjtJhe04pBmWYCU6iu6gEqyQC2bLT61j+USs+DnQErmtMBQHGo9o o6woyPigYPdVMbGqcvR5KxwyE/Agxd1/gFl9IP3/0mwbfGdQdVZbWZFM+GKWLU7ZA08qdLOSde6C TdGkM6NTGgV5963Fbww10l628y4eKI6++AZ9qO/n0qgNpWPRP1JwKrBd02lLBKNN5R8cDxhxsDDJ 5LK12uOJtQ6IaEgWiz4UoBI64fbPJpXPxngikNtKqWl1TzW3L1GeeaTwktcc3/1WcBfr61rKhQK0 qQ6Z+sVPWaS15nhxMFrdXabcRyguVKo4bj4jT1mYcsLQB2Ekswcgcnyp37kpRWrm5iAgpviPmyOw 9+zeLjCpvr9w9mpwtKOaajfN6+0TCUmHEIgwSTH8KCPvGCFiaox0c0reC1aIST5zLZIs4XBfTP94 X3abC0dz5KhmzaF51EmicRhUkmzkhpb+ZPdTVD0hfEjZ4PQ5QPP/qlMR4wJrDBxVFvo9/F9UcWr7 UB+6kArXs9cxVbdzfjPMPeNAUAJrvjb/wnMjhk7/Zn5AnzbmXrShUxq7rQN34fqoq0Ir6S7Zez+S tp24VDfEHyj0SZgLgemor+MjuIOFrUbFv5zh4xZXu28xs+hy+oJnh5J7tRVJ5zKCH6+avC+5Gtlt amZoSg18qCJxEvWOlRWkPOHPI0XsHjI3oUtSEalD+86b6FLrJqRTEYeVqNzVRZWphCjLIUXnUEqA plwUwHQuftxZc29Q7B+knFtrLXCzPdnNKnr1p/xm2Nh4avD6XhCaEB/4fvTj/munczeQalh+EKa5 f3jI8zejbtFY075QygFttqGdCfKI2Cgn/fXRYbTdnoD3ZcJvr/IRxATRKdIQmP+qDh76K2agEUG1 HVi4qxeSAy9IyhAwo9ZsLd9s+U2EJ0HzWukllgwz39Qg9SthpgIuMKdsAMGXN7d6ECHXP4Ih3JxZ YI7scWe2N4oNyHY/e8+3y1qNUoLA43o/CqvCbOKmAiDutSdCK+ODIWJoDbOR/UEWU9l6nx/VTksr qBMxtEYT14bQT80b72wwzuBczs/mrnMzPpUwxw5DZRg4jGz9ArlbtoezLsBtUfKS57r9ilBW8gE9 6Wk0fG4X1sTszc0DA7O7i8EZyMPYBEgQpKOObCeutnfWKdTKTE8vizZS2Lsi2w/Yc1O0SExJ7MO4 XYuYV5r3WAVgQ6F/16ewS89bXJfAsVCfZfnwGdLH1XcriVMc3LwkEPj4bkaNbserM/yMdYkodf+g vExzeLOB8FcgWSsdRhSv1DNE4KdwhmeVGbAHe5LPHbdVcf1y/xg1Z0JoF6mJBJahy7aNPYtLsHjx lrqzpRnifoAFT5dIN2RhiEq5eXEf1/RO2l/aGBAdNwmctuWxmb4cGH5Z4ftBTLEzE4or118x2XGG O7aosACcpIKL7fhEcGp0NP1DbALqnyXugI1v1pNuxANzeDRkkbSbka+UslCcA6u7uOGiZjKP8odQ lRgFjaN5TXmfbSc4NnKXBMVOJO1P71DfyX1ty5/mfXqn7s1au/or5xJvV7n6UUYpzMq3cQl7V35T GodrvJrBWLrARfycTbeFhwhuDetMf52+7jMd3lGwkk8JpYZFKuZtSu8o730r9oDjmd3uMUsdLqAl gqzfWMuIqCggF/qKCSkiTvs6GLZThUNLXZ0yB9rMQDAtQ8AEhWSh0A47GroZViFatyuLIYze89S5 My9LIC7bP7y5H0wnxsuyZdqR6YCoTh4BXfzfYND/UBwXzvMxTRJz81HVFf8B3ZnmiY5Xc3FplBkl GZUyEG4DdvpXUJpj7LoI3a6xPTKKyNrIfnqiND8p+8r6pLZ7eMfn50lDobMjEN93/E508yHVvLrb 1CyLhhxJWKHNW8Gvc8Jq2iwUQZT5DSXaZpGhYnbnxSiu7YeTzw0VZeSVEbyHReRnIB4qJbW9Xnsp 50Q/9wWrIcR9bVw0PEudK6ZdccyEOZ6TOSL8sJRxdUif/+7ZGS+qPyb0GmOqytZ+1LR3o9EuSMa2 NOZ8OocLN24OShQiHqFW7g7QGKiB5tKtn+atoxIsyS3Uxe9taOS2Ne21y1G2+Bncn7ic7Kri+tdU lcKa2kizjCUgH71amaQlTq/U9ELVDN1QiT6A+msOylXhI1ZCIzl0eXHRg7zauXJ69KPrBbPO2kJI sEJXIa6ty1bEp+BR2/HRxqjdGoqJ9GEaKg2hV//eDXUNi+4wgkS7hv0nkD/dBEc6vb7OCaHj066a aBQRu1OBZNetcRjammbffSK/vLj4rvDEggrP4DPv/TiwowWJ3VF8vmjM0du6LE/5qpUdPLHPfhdq lVMLF2U71BNvOnN8zNt07ny/J2cLwdNr+QsKJXuF5oyxTyecM0VWaYI+g1y6SKEZdVLdyc73/9/3 A+XFThKB5DwVP8KUSJDrycpchyzTJecqo2n37CXFSSzIFv/ONZd+uq7Q4pgYq3D+eIuUB8c7VZiB CY/lDuaYd7Fg/hna7ifI/Wu0cZGfxRPnc8G/cO1vqZtatORnF0H70z1meMc7tdwpXC8AuTELVW5x 6FCbdJOPf7mQ+D/cRvknukddUkD367w3OQ5lsuKbg4Pa1UeAnuLRu0t+R2i+LSL03XC2XKTtSERd AxLby+kpIfdAa3MW3jhq1ybNp1rtBmM3reVEWZ3pLQDNwX/ZdROdlB/ANG6uvmr/J6uOCYq3oPZE fcMRjOvhfI/4GaBPR85kjvNt9z9L76yNpv3jmq+gnmCVX6uXTB81TUV1qpsaS2u32aDGv3qgkmj8 E5tjGMr4Edl0oLjvIgZckGg6osoOl9QN2wS08EtpdaC77rnS4Y/bKXTEtE+0SnEcnpHFWSLTXcuq Q2c3mRhMoLyscNQIfinfs9KAQvHHtVrCyjIA7O9RJuaEcvZH7xpb/RwcRmR67gwipsjkkUR68jxC bUfwIbNHzwpaD0SnKSIi71mHyOVJdhD0X1cDFJWxoVvJwWGtyHa67HYjgl+i2Mpgcw+r3EfllLXi rJEkVEHRC6bxuwH/rWoP+etkxMh/J1ncxTFPEpx5OcSEuBpBEvbq8yWOP5Q+dNQyhOlMrDthFi6z U7bM5cEve6CQZY7XmWzILN5wZI+0+PPyR6Kk0thx6IxGvNgk8E2E9uORWEuPXO9Ray40wKReRGoi Ga/hYbN6e3nxT/IELysOiNcpItPfyS3oanu/fOJI9dzAqlFaqWtOuEXoVJqgLqhz6yHXyy05R7Pw Ubgu1MwLIZn1LmpDIAPSaGeAmosKLPL+RA7EcIrfxZalpgqCQkGrZVGkopBsnBNJWO23A8ZZRq4N wGNnkTE4v9ilvtfjTnamJYQnsSrjXwvfU9fkxhpLZ88bVKmatpqerCrTfcwkxzQrmIBjN3lXEYUL 1DJdhfH/KRydoN2vnqE14II+IWRYu56+1gkYth7J50aGfbDQhAvcCZDGNsHMZ/0W574Un6ZWD8aK MrhjmBTsHN/nU734/BrH035/D/C7TXeBcBRg7cbdISS6fpKBkDfc8zTSRFQDc7mWmqOXg8LlDhOM 6iTlSvHcF6VpA73Pd6uZyMsIr+Y3FB7xreXAPBsGEaBvkqGQ9zAm3B5LHZ+yuyN6lIYPtEo4KU0/ gpejE1cwvueC6KJwpt16CbeG4+82ox8jUBpGqfiPn1k0tuKmvyu0X5VsQVLDiXu+DjEG0NBmSqkt DdEChLJRoernsFLJBVTbhgWVeNj9GnvJS1E/mVRPCFsr916c9y9dJ2rW25vt86E1nRF/DxFR8M2w VZYqB6FkayZiF4D7+JDk/Hj+ZiEnmFjx+BUDn+wH5gSH3ih6ASSRxndmAl96Zp2ozpFV7rk9jpVG 5IXgg7r+GL3/2QX28KA8p4qAqFN/g/pl9/Z2z0uRM3bgwqW5RBECoizUqICmyOvIYkQ9dMM04feD RYE+pT53Y7HQGRriVeqn/+uWhNWERTwizhyapV4F6bgJ+PChQNRF6BnHsFSwQY6+jRtI9mMYR3Ia E3rFoCqDK89mLlsfPwOZwxZOFcFSfIKDEqj6V/ElZYR+6BhXnxzvzBU731msjtvAK8y6Fuyd9SFD XSuG/DnKTajQZswQBnweicFz5i5lIpyP3Dy1MPLJzGJ+pE3bWudWAw8+6tZ3DVFy5KxoCb8N3wg0 pkeyAMNw1bcn1F13ARz3suFFJIqXWXK6QgGFIrnPxrHFQuHSbiPlurVC/pTsb+WjfsUxeKwnZ3Ce zLYkJIKkeZTYAFla3Xdb+VvHylna9XWJajtt/y4eDMSISVqHCNn51Eg+ZwtEs1GqvrlKhjnZ2yuY z3zR+hruxq5mdzOYq+SFF29uDe1a6ZV8jL5nPAILjxxSDe7xK3tw3MYfAXeQWbhqgaI+bm2obYy5 oehgntcucyB+vn4NU9uaU0EiEtbP69g8BJXZeQMRGZuf5ksdqYYFIw8jXu4ivq91CHXX6BNmvnXf DLAEtqNepg4N/SUpSNq1wwfU3L3hoZIEVSHfbXs384eq57KBU+C6U5+tQM3v1pGAOblyDgdNGnGh pu8REILeKEa/r3WQOE9TRixNsyrbEN/UfkT1Q9+4E5iqs5Gl5Eo2N1HCtSqfaPo3awGH2YEPgDIj A91bdhUwZSPSoj1psTjA/bgDG+euEfSqltPeLg9Kwlxp8210Vq003YDXzH9Y4QJX19ePgdjqDzRa uSljrhFsEAxMyoqLSn5eoGahXmBU+XDxF/m0lOTd3pleMf1hgmc3OpcF8xsQFWYGJ/cPjbjsZH76 BVCm0Qb7LDviLdzrWgV1cgNhxFwycoZUNW/8/eSKaDoABOG6udRQtLyhlL/74nmlHs1GgSsGO5eH qjOkK7if07xBboWuhWPAz753kcmCBhu24O5nVyvSjddpbEJbRAqdSRawtNfRr8WfDXVX3jKtykSS 3k/Mg4CyMEa2XMG158d5p9GfTF0iejTg+L+kUu1m6T+b1/bThd2G1hjIbBnNYJfHedKFdN4kuoPV pz1DklkfOsoPIzf/kkeScnqoYL9f/lBcO+xRTTquDCIsxTj4iuMPr1SNltzBWDXN0uuWjH4yrGOt TheMryBJPKoBeR73eXrCxRRkqcmpr3cj/DpHsWMt6HCazGNKtKo1FmBMivjfiyd4JNg1oO1F+GJo 4XJjGSPH1DPQu/0QjrzzBYETnAHPpo/a4XkWJOgPqrwjdRNZJo82wy9SYD3kmjZgxF9dIBH32C5N lsAmH56sxfBQcAegztPmF6SIxM8sQX+ZCQFFYK2EZNePxEOwg1kmCc8nhXcHTMwhMofnb4YTUgN/ 5ekENHdEVvH2yHB60hJsoCrxQiePKnrBMUly7UoH4eXp5a6f/C3bT7fJSwI3zbBrDNUkgCYx5O+B 4Zp1VA4lOrvBKfiRO4yTCW7NWEVdTVogPWYjykrT8c/G/xRH6AWVcwgAyJfhLZNbkhtVrU6PhOmo vsskBdr4CX41vxVFHQ4THck3ovT9W3NKEdXyKZjsFA1Zswg93BRz5xq1Gpg1SlfeAUPae4NwnsSo j9RF/Gy01SqHVMgyEm4bA5ajAzL+SzzKqUK843VWYsrXl+fAZv/Ojjt+bv2F+T68tLZulHZ0dS0n B6mD1xo9w2WaUzyQ0khxx3PEO7EDhR1BWNLyxNo8Ecz8LL3q9iIBAKkG1QKBz4UphCKNcPUS2+vt GgDb0/dGUH2fgbhkbDuufT2p2TquZ9gvnGQOoThpcNhcDKpR7Ky0uaLfZuUTigJRDPZ9kKLlDGhx LIzVWpZHBjTE7MxNvC7/Blws4AKDCrFBy2i/tg3FzPbAoxsKiOVfrEseyqahdrBeDlkUe+T4HYT8 GOh7Dy8Eae/N1Hr5fw1EHa1D+7xwOMeKWSdYKjlIbA1g7PngA8aPxuRqy5uBx+/SL/2FJ6myht+K RLfZcVN+8VS2IJXut4cFKcPNcMxNK3WYTPq6T0NZMiEuKqUOSZBJV+aVF4UfYxwSGtbC+TspJaC5 A2WhpBxRQ3qxi3Uca/LW8M3KJyxsHktuWDZXOwYeATKSlruT01Xeo2sTDrVckStfBpbsRUIhhjaN +ED/aHnHmyM2oqEWGTCkyLHs8+BV8N9GNLGd6V12YK2Gaz9aKdsAmqx7sMxQ1p1xIMY14XgCUADq ZbyXt1w3koxPuwr+sxVyv6UpDVovuDPwDpf/Cl//f3Ho70chZA1jiasEVeP71/70lzsk+foqIKDq V4yOLkUgMORvtgsZjnxW1zTDN+Fj1FRiniEw3G4aewo3iXtKjQmH8GaV0L8kWq4Gk+g7k9LjXpVU podavwqz7kZI0iUXqaxNhcFuCL8n1DCo+VNFwRFvH5IgCEon2tOk1XSvTgd3CuHZ4yr7rBExzz0g Wxz8rUTSWsc/zdI1SfjTy/zNHDNwz2tnZKqV5inWEQZmchFiwoAkyZQN3xTOhmByOgeTpddxnXEG fr3vTUNXJaDSVNa/Fh5S9s7XpZPydzqlZYeoi6/pgAYRAhTIla+cA0sQiMUy3uzlRp1NggVPfZKl JtGhXKQtpFTBTYOBKSQlsre4tO6GB6dFbf6YwCN0318bkUCnyrBmIYQbOIUOVSHnRfmcdm+6Fxxg AmKPN6eicOL35AWI8Z6QIGggD5JlpMv006J7c27StY7jf6Ycp2xChEbkjTiD51JPmYXrjtBefzzF BN0UlFMXryNhfmyjwNsT2ixJ3e4HuybcBfKFTq75VjuQ/gA4rHHqvL75N4J4VMvTAUudcD60C9pn Zbpwk19S92ltO3B+aTFBf3lF7QssGLa/EH/r2t/KFjaySeP+o1d9oQmaVNxZ2w7V32ehmSpk4Rhi KKgOjEdaD1COde8xcDTtBjGYdk1aGJdz2zTO7C36M5a67yBLmx6ZhaWRmwXFPO3Fw/UjPIK6uFGf uoVjf8sJBjRegWNBReVeCKEuVCpVSxiQQyUA1TC2qbiYqCA9uCRKdX65DmKzgKhfwPyAogzCbKE/ 9AHT2VCgKjuYSPItKf4NadXL+G0UacQ3KacT90+o5u5Yb23cf5C0r3wZsOCshwG/ouztk5K+/jIS wmodsjOsI2tWnl50Al11bv1KJy8DdV1LKygWtqlAYc2jXEkeD6bhY3Tpz6zpfaI9FedAjGvOjb1C iWNcvw12Az1Rz0wMR+zO6N2xXqoOf7gnFO9FfoXU6ig79/Lz7iTC44o0d33f6rPS16m0rJtWj84i uJwE1ePT85AVd2zypNCfagFV013z4IoZgcBIC5ylGTiSXG32C6vKp83rYXVf95clS2FXZK0CHLY1 xV7UVefCN/ltHD4VgPXFHQsCSpDKjf1uUx0TcxLHGvocJP+ImLoNF40xf5io/VHa4wNPhyStrfHY gZJsTek7S/aykdhz+9lPybixVMZE3eSa5xE4wOYt9uIXdJyfsKnMpI/38fHXndKDfez1KgByaomW FZjZFsekj9VyCGpMrA03wk3wi9csQcbKd9tSCNNOqHFsCKfJ/1VqpwDt6dXJ+JIi9ywvusgvB843 BLC1jOOLcnXhkUGVVle3QqI+reVcboOXA+GRB2k9VIKO5gBjJmphjuOsEw+Nm7tA9+c5Ujrkoken KvuNCjHDhN51g5PcERx3md2n6GhczvOckDVAtqa/0SyHLadApeLR66NcKF7c9ODtI/DJWBo80X57 bStETHDDdwEkuI6Wo8lLC7jTiF0e7xLUCogTOnFuuoy+L5/wKegErBsVm8aYJIdkOIW/+hDkO6cL JPrlm2yoNVid5D9qVUk4Ssc+F97NMFrY2mnvyALGC97Q6MK5u5WbjX0oxu+4evoWiXeGA6QSIt7n MxksRYm5hdiGCe2pv1EqzjYYNfevh4k32C1qhh4eV0lhXLifKZKEo4RaGCMiyXXtzkgnjGJY61sR yF8kVCfd0iKM88P/7nsfcpNNVzZhQiAToZ6qa6AGRAfuWa9ZOhuqTrJ61KefjAR1vPWSkns4s+CC ij3Fh54gtiKa5wqnENyF37JdOFhTYU49+8cHPaaixZT9XIlMefWiTOVJvCRAgNR7OoTfFaBrTEb6 nUAOzpTHgVLLRbQmMvuDexW5xQaltCs/tkEWwSSQreuI5CGq2q24guwkFVgcEvkSrr2vmeWCpNZO bNAXwmnjkMZbFPK7jIFK5OQKQEwbDSfO2negNDWYaKwI0GdhISlnnQi2oxyQYn0PvjE8DalQtn8A UkTWRiPs3lcYJkvnd5pDbYe5Dtveg43oKzVJ0jl7QdUzcGCfG3qze8V43g/2mGmzKoZQOrbkvoi0 ryn1usZDwdN6cwyS38q3vBPBBRcVF0HhzorrKtK7ujZyohTimkvoAWIIDiMUeEFy26+/HDzzhMfS tdJSvWGQhN+/FeYzlAb8QR7GyD1RpQ4UqqPSU6HkFrUUsP+xDiIOl4IrqObgwlRdoW75rcZJJ8PB AGYOMO9JbS5GbrJIJy32TI5vSUVUnv4EvdTj2WW6sygerVCdJ1PQA8xssLyIcPYokECIVMQLg7hu NmyRMBqaSeZsNhmTNBgLZu0vDOrhPU/yhMZiWpuzhFH4N6JHSc6rPAOg55CdUV6uzuBkBK3H83Ox sNq8sr/9OzPBPnoiVB8mYsWtN9FpHt1fV/TdubadrSK2zjFXf+UZZjPLwRpu3UQaCpJ2WM7wNRRO kwPbbilynSVhF+e3jzXlScSm0s7sHLatNV6FIpGCxyCKTZqhFctBtk5EVkKqj6t1bCgBDIPcmbrJ UIFo9wnXtUnoW4E8lHoy6DZBi1wuuhUR/Pxz+prNYTjm8+V6ES3+0O4PzN3daH66M/gG/bSE0daG TcyrQ4CtHF4dlwr115ALZTA4V5OZ5esemTZvnL3LVGOiKdgB77yNlo/Y8uUnNjkkqd4P9Bd2SEbH OWuInwiwVOZowxTnXWovkFdMBntmbi5XTi3Uorjfag5Z7LDeoaG0kXFCHdUad+2HyBz3/bRGBgko n/2pDzuHGKNpdAZbtB9CNb94FrHibXb9YmhraOuIVlZgQTWiOwAFtpdtCeEU95sMBaUew4f5YqRX mR0eQFb02JrqsObAmlR9cDMP1OiEeb7Mf1ivGDtv7zMAW7t80LsZ7lg5I1eiHiToHL884mQitBqs YDkPQcLlPBbhAVz6rdtg+iCyZJI0EQawyMZJd35V9xllg055YPYcXTLd+2B7ssZkhqAJL0UQW+50 euUXLiDroQqB72QuuGqUyxxD8zeF/LfjrF0GK9swXJgTtN6GG1Fv+bB0nDoWFM3air443RoyLINQ MZmTOExfhK1e4/LDV66mIQDpwptnO96wFypKJcHD4fjQ++W3LJjnErM2P9mt/WJNxmKfcE7VaFpk N/NtAk9ctjLf3qoZ0bmh5q0rEgVGorJiQkZXaXwqn12krX49diZ+rRXJ3pOqxbuCj+h0zLnDytNZ 2MtszxPaSy0oIj4RsNHfontLdrgkcTcWEUrY+IbWrmGTcsNvq/qj3/m4k1L1rzZYUexXeLJoSiqL FDxKSbBfjtVHXipMTRZpgh5dZbKbrbwkXk03Kv854DUGp1l7lbpp6b9kiDHnD50ovOfWghmiz0N8 r4ZTx/8lcxz/Ts26HIvVgSQHaQEZEVCdwFuKZWXghMPOVO6cPaDBsPJC+Mybo67yVWc/25XMsSca VnUbmmhYlFzBb1kCr42CGF81gAX7LHDJReY8FipR2Y6nNuWE2atmYV5XZ2/gFnzuiDzojPEJQfa7 8tRvpR3JcwlEs7Luc+CbZBVgmgFsYJBSCLDndg1CWUQt+QF8Laqwj4EdN29OplVk7vt6AKhU3uu1 dT18otGbwSov8icfYqxNIXZ5IwY91rVhIVQpJv4V66zF7cEQajqN2/a9ZbUnviJrgDfEVEgmm814 4ne8EZZmFPe+b9FIlXf692LXA0wr/Yy8zx/d5jIpPVMf85YAWmDJVLA3GL5xo2ycrtmNYqK+8ejq eodsM5zU/Q5WtE2uvisKP0zwytTzHVtUdsV5wO9nTJEW5Zz0WFUIsSEr+zO0R+o1HHHDyCbIpyWw t2OM4ro9CUE5LVxHxYizs6rsEZA/cIFaK2bK7huBdHb/cDe+4MEG4b1TDUSsQ/3zQ1wNO666pAyw KIx+44Vrh3hLiLnkhP9ODapVrJpMIjXgEoynYwflMcH1tbxDQYbTYDU08Rtu3jjXsIbNt3VSMraY WwMrgXI6o9bXT0AQvaBXI9Oiez50avIqegWfNe5BirKLIm2q42VQDmUSF/WTrbOuez8O/g+y9GCB 7qAnTSmB0cQKszIqyuAe6t4kEoMZk6f1yIrNzRSknoDD/yrfifLyUufTRYUwNh/pKKc1v4AlU6PN SoAN21ryzWsHHpDbHepAXR5qC0pRVxWhWcSE7sZz3nKIJZNZuNKmJpmmRPwQrwn85DBYLMPTqyUZ FrgVB8JIPlFxsubZv0NqTmFa/2mwbkDzNYXTYn3OYd02OjH273WJtb/H1xh/sPhIZp2quJ07a44W f782HrilT4ma3RN1Q6nTKoUFVkqnSshD0+Su9O/xzIu8ax3JFOWDnx4Rs2MV+B1sHN3/O4NS6HZ6 3d8wQu/IGyP/J5JaeGML6HHRtKKILcxpguMkRXj1dDp1ilMEBNKWEQL/Yr5lHZrajMTT68qeoAVR nGtGtDhjsMVyAg01YcuWgGlQMt5IA1PJjLv4f9YA0FBwWtSoPOljK/rHzmFnmmWKF/92UHYkEqNb Do33ChEzcRq1WHb6f0zFb8wLHpuBuaQJ42q8pJFIh49BD/vrzirVmPcBkn0i//ZXX/4rqJA7MQEF Xuq+c5xcjAzeEXJ77t3HJ0bHLsiomgS+JQte+PqZkFZZhF6dwK/9uUb5HwlKgrwZ69xZI1E03ZXl x4TsuBJ3W3/K1OncyhU0G/1aK8s6mdy7Xehs/7XYyQaHeksRYr0u5apvvogcsjtfkzT26PHvJ179 VgEWbMn02Trzd+99cX2mYr/8DpIz3xUuyjjQznYWm2Jaqb8NFeEJBDPAw2aP0eFfJS3m5ZFmnYud VGdZ6ttukMU5ujfU95BHlZdxFIyHu0pr5lRXO3hyrJgEYTPpfVJy2yLfrSBuxuxUkfwoZpG0mPQu E7FqhrszEFrMMI3ek/9NClaKzYV19361zzE87hZ1CgLvnG1GEs9lVPXJcXA7SHhuP51IDLmzrKss nJBU6q922EJ03GwaQWJUAoH0CslklNb0jKa5UV6nfnQg9m07/NXhEgM0JZahfEkaCNEOPHw44SLU L2DBF2y7ICFuAqExlmsHZeZBosD0DsLdAAsUmrH5m1cpH4h/+8bF4/rhraNH+CTv98OjagKAq+nj 0ac2uPU/zO49SVwx5mT/TUCITBp0LsaiS69JG2yXOg5dGgWldlxXMHz/905TjuR2BLj+HLIXXHvs u6/64QAMMkt9iitkKnZnql/PKn+IcC2JXSbLhf0hZagOnT6sLpmjd1zzXJkVpKGDWCWyOqpBbfG9 MoU+Lex2+R9NMDHDQgbNY1CI2hvOfUcuqAKuOzk0uXjCOpL5Chhj4jyUN9txJhBSfDRz33KyORyC igcY4bVZYDwQ3QKpq+JfiTgOxBhH+6vpcutYVO+UA0JOVxvOcya1IUKrukWCkJyIOhjlxB10sq++ DFQYPl6xJIlrV4JC0TGh8FK2P8E/bG84ArDx7kAY4M2x9hIfHZrqxENTHOywLXeJZNMkbJdBzJRd ZevjkbxF5xbQ3CVw0FyC9oSSor50nYOZ9dgVFLAdqu0vYMo8F7RmgsEkHdbGXNKiSm7b9FmBbLBG qrEpvyZEEcFfRvYJ/VzCnKejJajfKvu9zSIB1jsNOurdasOt0nw/uqwcTgRL295El7V/fLuW7w8C unHSGSJsPLrYPCkANDTzsydDGGYOrmF9I7LYpxbr7eVMpO2jx0Pfz8HcqjNCcbYhPR5hO0Oshy8c qSXXAeX45ldYPu1GPMcFCWSrOBKyfrGkOvMpwN3hApj3NX0pjKTpj1oIbVwSao2UYpTImkb6QRHM +/XPRciJ76NO+O3/QLZb9EclgK7mIOsKvXIb0wzgFk/ORQglPWelQaSk2LeO+VHGJA/i6p61X5yl QqNAfrTjkXVrP8kyiUqf763LzerN2izo3PzCpK4i1zrCOm3XT4k7bd0o7ZEWREFuLh3XM2bA0mDK gF7QqZWNoD2O6+btwdOwaeKcIXDGV+AKUKFvTZsrad3v9cGFW/7pcWGhgrIok3s9S95erD+mMsvm BQMv67XpDEaTXw15+T84ryIFbXJku9XLrqWxRhKRhu2fFC47KBHjowDgmZ9UBcZ8lb+gmewRGEg1 AwWBJqSnSJsSFyQ+AQluAqGhZSbffbPgK4CjeQqcIzesct5yw1B1vpBNhs9RqOZe/XGvBhxQwrAL Z9jkHoDTEjtte2K4qMx3qZQqCK2BZJaLsXAdB+QunWJM3cSP/onzgZPqW+Jp2QIltRmh5ZvHnzaz wQ98qN/YG4mX7lpAbmFJvfwJM+q1MOywNtbhO4Fvk8PRlUsvpjBcoR8f6T2osxS+cWShqMmJjRUi 1uut5ugUqHSA4fktZ81+OnJXOzJqIEKMTrQQk9jsYgXaYUX+1hJh01autqyD5l87jIz4ygEz6XT9 WscQkQCjfQ/StMO1rFdt0i6tcig6P1uR+MLg3DJ14pn6VdJVgddC7Z+K3bPiIdEaY6f8w0By7ptp GMnd4O6LnVVD0Wz0QWEvnM1/rkszmZKnWdry5lkv5PYkoX0ny93qREbXN9G4/hX5QphMHK4btp4t I4r7G7Ah/+HUjdFKVFQNyK1vo7XCLaZq7DuEsbQsImN9FRSak3wDLWNuOr7sNwqhT7qFt/tnXfpb R8uLO1JiP96O9QWMBmXRiEbjAMZHvOv74ebnSW7Ae5rXArRxeJjgFxs26+Dv2+gROgnMsRz1/C59 LUbM9xZv5kL5wFJVsiKxBmKx5Uv9YS4hnvzRrn5caI4S4Mhe+suLW8RbXEyejDHdv7t7qZkGijpB AL4xkhOTb9rixhfCGuaNKXPLiMT3KIt3uDnrtXkizRO0eGPR4HOLSwx5GdXVE2jyP5ysrInwwwyM bu93BZPaPeiUhfHmKOA5W9zQvrYjLwsqVgPkom0fj9aMRrLOzbCejKoQBuH0B7qQdbrb1sVx5BLW 2NMaT4vvB5uTTakWE4XA7lqk79XMYa5vfYZIxdg7cnOv6KEQyOtlucLxHFOyrUAi4SwAf9sMWW7F z/Gm4Xm4cp5cxJm1yq1rK/6yBkruuL455s1Y7Ktll+0gLk7/RpKHWJcVFn3bvIhgeE+mG4ana67s kFaZUb9mLgyM3KMh0tS2CcqkQ3WD04tOeXiVWuUCerGP0RurfVdRWEpiDnU4JH2b8JwnZ0oKculx xpbLpvYsjdiFuFxMKuqfCNwwor00wNOR7XVhhd++OA6Zkcffo96V50fCT6Etvh3K3fXlws3egvVM x7aJGWpMHvxpth5uVnES+KVW0DL1jAtTwTVBRCbjRttUdW0YOoWyi5jqp8+TUdTQxCzHqtGaJQHd jLk+vxnPcYUm4QYKttaZ//yO2aBEQ+pVs8HxIe7g6k3gd9dXta3iexrdej1F5ROyvsn8rsQH+klS 4538Vyk+0D81g4arMsrKyJe2MuKeurbF04iJlnuu6+++XwtHOxWGRc3KVSv60I6gi4bjbU6nxoP8 Bms30SEsxxPlW2VbzFUynzrK0XuvT2GhdhgzRfuzK8NHmY8dFsu3mwvSH4Y6TNvE0b0ODnpF6K2m K19vikahxPh8hyiciDotUD1WrTz7famftdVcBz7Wyh58ZqtmHmy1S8PhPFD7hWqfz6oZnf0GOyVH MREq6PrOSlKIku/K7TM1VzhFkbGRUsBHH/c9jZt/+OvbtCZeh2LQQnNcn72mWbW3YcWnPCf5ogYu xpnAEkPJRBiUJYCdfqOH4+HwFoN1Pnqfp+jN4ku9RW3DIAyRH2DVtBHVr0qxy6KPoPmHZ5KkIbty 4zyd3/4+rNIGoQkc5ZCmN5pT7g4jAuqWzVmjG7R3O8oCji2B9AGn3Q+qGgu2JDO/5YAy3sZTP9W2 6fUav1kDj8/SEV1Q0z2WxWCymhou89DOcPPzQ94opXjccnXgh9VzoNmCIvgSiIwKACGAOr+w+L8Q e4dW1IdKxxT+6w5rD4AX2r9sy+4/HOssbQtPpxBZ0Fk8afYWHhjCINX8r3b7u51pUvU5ryYt3VHt q127g85VJhSdv4va0X9wGxHiTWJh33sczl52ALGPGFeA2FFiZyGUOJhsQCCtcsBgw24EAfOX7a/N 01PLowBrMpHr94d0RQNdBinnrsLEC3esS4uuSNkz316cBdsKL8SFqdW3Ggj+pkzOZ/7W8ECC9oYh 7kdRnUkIAIcKuNlVceGvhuKG6rCJfwDOIA4pemhkYrYrdtse+x5v9SuyiVCeBU89E+7v2g3OcJRY SSUSLf+qWWXiJ2Vbd5olIsa2irZdRgGwUK3K8+RDQsCIHch3kWg09VePEV/yL9vraForVj1V4zt2 95fPTV74ZYvEoQsNNORUtf2LHgJCNaatxPqB/vGETn59sfdtCBhHRRlg8cA//50w1f8CcBL2Fcgy QVfBYN8cjxz3RO59/3UvSCEzVVb6JvwvGTtwGuiwJjAklnLzz9veO3oaPQqlK1A5ddGyqGyJdoXq LXcggpqiQ8GdVKKBRSrFQpP7FFhdWA6+MY2GHBxOFuSXEmUjscxY44uZYruEbczXqINoFFUYkHn4 GfHT06dj05tcaDkKka5r2SXdk5hkuSvARcmXwS5GazDeVwheRHsfgLfk4COFvSAUvSo3qEHPVB3c V18f+tSjkLZ4V2L89R+1sIOu8FZM8EsZjiOa4CCvSK+H8CxwXWGv2A2AnjMqYhuYyzQG8Nonp6iL GdcyedEm0phn9sTbJpEl8ON30RVEAnWYlIDA49LYY+2GONSRxWyOcaCL68nHEQPDjHD5fZClYB+8 +D8EIYtuCK8lpXffLq+SiJlshPok73FZv36dkhyMp+4A8xgr3wt4i8+LScPFiFhT/3w14+X7hCuW +atPfPvjK+gASibvyPQCFSBm/HoWqQ0m7hkQc1XFBflpcif2kimgecTLbbnXJB5tyVSBfCx0b3M0 Pd5c9AECOWB7wASZ/IegTD2dsYHj7tAe4UD5dFVZMIQkutLEBQlWbLl5AHzsMsuvnNpXfLy2P752 3fH1cl6TT8T5ns+oPtagtHG8Uy4dp3XSjmaiSE0N/Ek5x0DtTBzXEh2n+sGhVVPJcvsVueTTmKgG hhWGNT4CifmbkV/Tdt5sRySYik5Lk+xj7rNvrlUwthF32EbakgVSKy/nWXVY8B8G3UTXyqUDJKRK tzbAJAlxENmsadbbxaDuAM6o/xi9Hw9oKUvx6YYeP2UZwiQ7mBR+HokCSOA+CFZpoyLMEfZ4jS9k voShvlQRDkhiRKYpRhl8OgSjRuw/YwED3VMS7k+Hh2pWIvL5lh5aCGfwqtO7CqUwxivpRCARLO/Y Hjj6OF+cq4FQtVHklN8NCNZQog3N6ecWUyC2OfdOpwTFxJH9eKaqUxGWrSkuKG6e0pJoz70ouP02 h/Bl7Jzpfx99Hm13qlKP4bnWDZPv4Uad+1iLRiH2/NEXnO4fNHaW/0frfj0qZYr7gb9cp3s72r1L afGvEAceb/29CJS3Qmj5oOGV9T6j0JHa3dmaOqsthB7Qtw4Q2u1ulH80x+BiRQq+CR8KeyB6I4wH GlFY1PGyLlsBmhpltmo/PfXpJzqjPJCPpkicfR6F1egaXORkEMjuEJQykGLqOzGAXJTIIlS3jxoF zakh8w59J3RvMEnMdjzIaCS/fYe6jGlt2UfB0M3EvsrziJcN4VKY11vvdtDqYzxQ8yIm6047kaHw aX431Qksx4JB40bL76C41z1N+wdceVao4fMl4IlH9rrLea4qMeUrPHYcJRunL0uWh3lSXtiPjwUc SPQnLOo2Cp1Z+icNa1ovVsEeDFxffi74h4GMU9Pu1+Aa5q4gMf6fSkWyExa/oU7/+EB8cm8pGwsy yHzTsE+HhASKl6lxFbULAo5M1xnA172uDDQZo7zM8UT147bAte/V7VkIraPX9NBW7ooWGyroPkz8 IERM3mbSjlehwE83Udur/FqMeyRzdWugL5FmTPbCGaJ56j3oZ1Cd1m906sv5kJlUsNCElEFkshuO quK6tFUmh5Lcrm+izkP4djc1PNxBLDSFDpQAptSFXknwJ8wriDIb9PrTlLyJ2H0h61g/D4fTS4i4 HsKaOWKZCJbF8KI1JliUXauk5gDl+Loa/XZQ1xgVh3O9SiA2zyoKBXoJcqVC31R6vrgJmiG6/t/1 GM+A3e5hdYNX2yXBFXBz5MVJ2DPQDLF+QNVt2HnMyG4fM5xleRQnilP7TnGjFmYn0WMCTUnTxNq1 PCTpWCUaqoFdQlJ3ikikOVo9Rte8rVMSI2erXART89c5EGb5FHKhQLuuCfxg9zh4KgdrwePcENh8 xaNNlgp5HFVnz9lfcLK0e3VfSS7s54/pVYk7B5lbmT6cNIjFHR3aitiGyJ1ZgyrKTrrBLAnDWdxo 2nkgFoh+vzsE3La03SdRvcH8Ur9KnSlezYs1dOCaYOm8werPXJ+cs5qaHVrpTdksiDgTj7ft9oqu b383ybPN4vitA3nH2Q4YbZEljsHMM8oXgIZxYGPsJYT8s3du3QW5x2xmRHkYLP4pVhse6G1RB3ES 8KijivqmQxtp49yHSW6F2JvQymCSkSs1ipFzLEdhVv8i5U8t3So6ZCbWKCYgfhdPWkigRKA8FIAo +QvcGpwxAWm4EB77wocg+fl5pHyBrxpJEdwEfawDrhzzownoFbtBg8pEeBuE1GF5b+bLkEo4gnXF WRjQpyKbLBUKB2jdxfvdjBwmg0g+4dns139FbFdYpZuFcB7+YA1E4JTk9ha4yYa3BPIFpLP6pwPq 9xX6FD9MIC7zBoHdEUzZ0y9PFiASJ2v8tmV3ViHeqgYs/8dCZFlHEUGCZwYwkDYAGFNebV8du9bS qlJGvTjT4oLnAcIoDTrg49PRV9+FI9NfvEC+1PCiqIPs04UZslgs/Pk9f3R/zKNXHnbNGrxq2QS8 jLiliedAqFrdoJ6atxOqJDPWIiokuaPqdEDJ3My6FeB5ybpWEADypuJlQmXeDiK4Nm4+ZeNTgpqG 0/4JF/N/ZaxJhLxIJdeDBhtd8EX6eihMEEHlFvA4YryKxtdqObjNo1esY5PP+MxVViCUbmBMxdGB h+/YHdTY9foXlMXv1IRJaF83sAuY+kThJVhTuDUUfujR72PI9SMpqCvs/egLfc9PKiWvZk3DHliJ /oJ/DHwDpCmDZh5REyirjgdTwVs5ESUAM5rEq4TPPiSHvbJn2p97dee19H7OMTSwiGjjVFbbCcVf NSN/VbZMPI3L6XqxszYj60YEPt+YP+dDx5A/IjC9i3SFjZWYM2ZSAdp3KuOS+/gE8YTw1LoIh938 Gmtfuq4KRXh3dWS3wUUr2vdUB3LNKnenvKUUwEsPI/s+PnSMOl1o5iars2tbcGkGMAO1E9STAF3i 8DSETlboyxRnu5h776S8XEhU01OYUySpQykKAKUCkT4Xub05XbgOr2NAVJCmxyHenIV9SBupdMrO NENG8vtt++NyuNK7FrMHpX13uyLXuHx7gsxIaS7Cmi5ZjSgzg+Wq2QGTREdY1XkuhU/plNDzQi7u Pl/z9MBCulYb9X8Sd4+xs73+TZ+o7dduEytYlvyk4XrZ5/ExdKxL6AgOYxCYgtoasZS0a5EqulYI wEZKE5LNBGsEIE8QivSNjJ7txfgIJCDPNxdWZmJi3bHKqRLkBzIbINZ29Qk9KTk96tkVjuik8TJB QQ1t1JLNSKlj6T4cd4yzy7lhNIqqMJDr7GSRMHdBHdU5tsq+VZ4Vz/4qBtpyCGvN+EYmbfg/mgFM sCbWIigmdFb98rHCoQcH4fnIlsVk+1VW3nB7izb1khMuICQShvvqEmGZQhciwNCRKS/yvQn4MsUv yO0o0ch5jdERsqzzakIZuLOtvxYMeelKmAKELC+XvPTD1fJgxpUSZ900aKEwzpCos7Hf58HbrzPk J3+QXnozW8y0tSLVI9UPxeq2Y2DHUdpE8ss5nS2IXr+idlbZeWzV87bM3sjcR15r5dNJQfSqV2rd ovDMfez7j2SWyDiupXWcINHM1pgVsNyvc1esSj1H+1rsZCJzc4EaCvFG+umtojKRfD45Ec0DUjIA 16ZNTi4PskoNkCNBmf+MbGTYg7KZsoCA8gchLA5a0A71F9RUGdNPzNinnfF0zpxWBVR2cpCuIWJQ 7n+jwdjlIV6jwAfaL1Mjsn0qk9KtDtR5cwBoEZvkYzawlF7i45zaNcU81xs79g4X6XG1qiPYckzU sz6bYwbU6ApGgrk2sQrOC6sJY4uqWK7tbfWlFqqolbBdugwrlqgsqUXXPPzBOeLMlPJSn4IVlL8N /mDU7T4JrgQDIWSpOO9HnbFPzIoGXNxD8eHeo6XM2Xsz/YsG0D3L6EPmh/ELJvLSAIgq1oaoEOj/ +lk4KI3dKrgZ/ZFf2YyqBew0v/S17J92I13q6+IXtfWAVCokS/DHuvekvIAI5EdFgqfzalH7lwV2 9FkhiqapCSCD0vsWQJu36NMN7czpvk+awOsZsTJ8rcK9TjSCY25UnfleNgCYmb/NIE2F/Y5rsqYm 0xonPvInWea1+YzVlGkYEY5SNsrdlom+dWxOWGwfRHapm9NVtqevFfmI793cBalV+vcqmX9opq+d HZHrnPku4vAr92PPlNtLbd3bV0L+wENkP0z1+arC4YqCzMm7jt4AuEHkg/sWgJtPbv3yNe/lryYm L/qbaAGx0Bxv2S5HoQZ7V0Ov5TfAFW/tgrgMataAt5Yq6A/pAgdZgRb4W/ndTSB4zXlNGBVmpdLy +T37nCVYn73YnDiGaVTgfXfFLhyb+Uw+IFVeyaigyczz6W/t36B4209rIzRX4f/0f9oFcrSPeKKW dUN5qPEQAcAVRLNRoN7SyxcjTbIP1L0CHZunOQMNWfB4pC4ei/ccOAEBiirEF3ieoe27VD5L0Ghn NbRoMosSd3qQEEAGpmrrZ9HGgSq/ei7p1oXsrs6tGsvOG+G9xwccHrVcPk22OR7g73xwS8aBr/MZ 3KS9tb37PaNU9OkFSdKZjL+16IcW8bAak05nRTel0S+QE5LPRZ+hggy6CboIS+4X3wYZJiu2hmW7 xFd+dym473c3Xu3vuZwuj9eYAleoHM7IMagQvpO1dYuC/KOYVG36iWCWyz+7fnkOlVKL0lC4gqaw gRPr7IAwM+GSGs2SU+y2MrilC1OHvYr/Eo0rt3tuBz9dmm0Xo6Hzq/RXrMR1wlshQ4GaZDqCsAQt MiAIqdK/mUFKWl3D3z0GY9I3pHLjW88rxjU34Xia4B9T/f+xK0VHQQQOywaoq+sKVXAWGlNYFPR+ urTRqrOYGCTLSfEdG+PSbGO28/tI4qHa3le4/ffocZst2gmBHW12kims15/zK1aEwMMAY+NKcS8o JOLfeDmZOX2IXskI3rTy2r3Q/xL2YegL60nUzWsRBByCA2iDgBanaYwI6CftURcATALR35AvLdxm Y1L0Xraorp6h7CTL2D3NSdgMHsvNF0jnw4GRVUADeVjei00APsC8jMMnoWeCNDMCruRXI7i/XOW7 suX8NoO/0UjsyWYfSsksH2rtNUDbkFvbyHquPLCu5O1jbnqdmXTI4KMEQd3k6ug9BqSJ8cXJdYJQ xpiWU/nDNnSY45Rt4zqjN1tiNtWrOtIWygMhT9TnyjY8mlfHdUFmVu0zEQSBaQBmCa0pFZRwPeOj +gdPRGzNK+KyLVIWm/vqn/h21Fz2QxKWuPiZkbQUYqnESUwx0IhvLSlGpXato/ISt6Bw3MxiW3ax VmZsoLMEph8ueP+D6nC0tcdZbBljBMfFNmhrXpeNdeqZZCfS6nVDYF0Lu7aLXei8JAfOyuZWOMtG QMGLcUtHFUyy4XZHwV1LSMccXxRgmzg57oheANK47zUMXy8T7ufYdk2JMO7cj6sf26/TgheX43fc OL6Xl+dvIpM17iXda5Mm1tfm1FknzEiXrRH9hxXDy90anmTzODSZKRhaxUQw4xwLhxbxuZBGLaZD 7kMNhwkXd9soOs5pN62bawsFPJG4zur3L/GWWsKSX55kkH/QApuNGvntIUpg0pp42+OnsHHhxkuk LAw0er7XyTNXiwgY7HKfLTuB7QycSNTIqJ1aJ2glLFWJ3et6SFK5r3+E63+KSRzBDHSAnI89SEBi jq6qNFFG3xnKP0mOCEurpubPED9n7EPC7y6S8gd1TlEGSt7b/x/Ngvd8SIxPjlbML9iiex8MbzVP mben5fUzc02wobsAVzLDfnXuQGcY3f0GUBaDhZl0vvfrkqkytfDuy8TSBeAj7FZrBJ8fgw/KuGvb pZKFndUqius1o8CweqGomKRFei4MhdxhzN5bIttu1LI3kBl7YsAT8goIWj0BkUtdXOy/ctqvgSv2 qcGAgw2o8ecnxFCc0/RiW2S4ybFOs2fZDZgAfonE52wNB4aqNrd7vHasQnH5U8xe7pa+cmgUzn9y xhpGynjPTTwhwubpnYIup/p7zK7r9znHpPJwJLdK+XH6uR6JsiVpJMjgQqPM/84fvv3bsqXjI9+h ShgqikXOKKzDjuOJ2hPHnZ2cJlhmF1LzXcvokq1TjvaVaXAX999zjuVSCLZMMFam8vFeH6FkfARs xceIfEb5qS0I3SoUCrQoQnVyGCBy9YN79AVtPU0qCe/IIIvJyjITVLNRO6cvWs1W2Q/MoLixxioa qL/cSgLWfUX6JogNlp9dw/CIF00ebFBYtoYNYw3//uy/A+c55e/shk1eFf3EWHHlmuL8QHp1xSM6 w5uNcl+uuvaz+nDIktCdQT6Jn8iMIpOS813F/oPVP7UY/GHsvWqfRwk9VON7I5G73bzqlLOAhc6S Z1bhbS3BJaGOHF7xl7v/zHyj271GSg+7joq99agh02KeEw8CTWu+GUCIHE/bAZcNVY2D0NLy6AFs F9p2btst4P6wRW3SYw+69VJ0E3BA3U/L6FkTpHPJKUCLovo5/oV4zKVMV/HoGPvXMiTSVgUqaTRH aB/3gHi9m5q/aqFV1Gwkc4qF9uQaGWgUuMfPvrcn8EA/bZ5AmHDZuPI8/Fni9tb2Chq6o9oo8bcB bvdBW5z01XcVUimr1Uwrl/fhH8vjhGEfq4N0xGyVqpg9yRElEFWDUy4i8cu5k1qE4hoqquA/7zoR NrK+8OMJfTn1ce8xrb8H9MDDBG30fHj4msyMrqn9c6wFLYoCy1DLdFi7bRpm+RhAJPEqh0g/OKM0 C6ObQCrOBylb7yh9epntHo07dLJEw5gqGUBkUXcVT0kiibhHwsCBalrcTeCLP1Eie19YZxbn+1Ft NFByTcS6tngry97O8Nasprz1Tup+Zin1/RIgl/6fTF1Appwz9UDTUoFhgyuRb+QK1JitYd67TSSo BqqXlw96FqxVV7icM/OgMrekaM6gUG48b0RN4BKVdAaEup3MD+LEVXeVYXglDYWSC19c9RlBTYK6 vJR3qkRDTtVEd2ZxEBdBQzFbwwBUE+biglxAwgJrUc54iSBaxEvSYWvzRt+BE9P2N1gN8mYQieb/ 1fWSKdQHdb2pocV4naEQkn1aloVVyzw2xJlGkx318miIyv/PxtsmLNpMgmOI+s8BQJ+wJmq5TzqO mOn48qPMPBwn/UXJXng1HdAcRH8QiaQkSPaWVpcMYXst2N+AwDoH9Lsgx/md4pxxj0KbshGMKJvp neSb2VwGYrYI6H4GbPvqpGlfsMSeb8j8Xa4JuRibciA3CBuJBjRg+kL+CY4dXYQRuho4TNoc0HeP A6AwYS/IClEGGLG8xh1+JiQeHR3DormJMzE1ToZvZwRcpZr2FtU6oWKmRzihaqsEpIMG/OBwoD2V iO+sz4ymcaVBVNW8tMIOmF095mTL7WMoK12UrSQh85pm2eGAOMDZjnWpP3l34vy3xWE66dNgkupj ZlJkAxUegBb/10WwEpazdwJleTVKNGcUXXpv7NQZWsszeEuPw/HxXQWrudH2pAktyqBWHHqY0dfR XebDVjyLO6gtRtdlGgTvf6NXwxNmO+0XOX2gYnpgb00Nhy2EejcMg6Iajtwp7SE/1lHjynAUz1H5 Sf6xr39TRi+VLatlw8wUMDoAAoTwrX/BggfEsUUAokmoQqWr+f29TtzkFjJQKlQuE1eQM9PYpUuX fEypVQQss7svlzM/k6h5kUX5+W3u49pD94I7G0if3y5ezFr1SgUNqjKE8UaSt6QwlltEDBTXOTjk rAX3NtlUKUTuSnJEhuZKXzSYn5MIs+SdZYudsOff7aw+6AnPQdJ1HJ89vHLc4+Fp5SQh03h7IVyt wv6g5ZxnuCV+Vw7CVV9miMH7fKryz9UagYDIL5qK+zB9RaAyHWP8/zq477A3PE/H2khYp5EiH4yr nKlCgrcRtzNoxJXhkEComYziTfb1tb38tfBjxM+tQM91mAIpWK4aOQRAgcTRrNGZk2jTLAPgpJh+ lgvfdj0RBX/fmLQ+nUgm993YY2+HuUK+vfo8Xf2UdR4M6xlDcBSm7a2Twz+LgAy1rdVcJnlXTlma Fp6E+Sw5ZGuZATPcT+mXEV6Y/gKAmdd1lT2mq8bZhuQClSmuhSGnzGp19Hxo5w0D8XI9LB9hzOLt h7gQmKqWs/KeYknkQtvgtP/Mi2X0tO2MjsVP2CCAQnvbhbjC6phChbhUL/oABA2/l3gwTtbDJaoN J9GMHeUcHiFYTUEPmXDBV0mlF0At4jJpAQ0gYe6k2CBqeHHWoGKt2JeREl+zhEywxNXYPZXVKdE0 wE6MydW7aFah077sqR4iBUw7uN/puHMp13wp01NIbj414Zl92RMGeCX4Oq0kOk2tRoV216TMCbUv qCbyxoLSmkW2oaQUbovjP9FIQrpnn5wxXbMM5s6gNmwI7KCNSnM8Nc/rFeJ44uie/hbTDTtPOS+Y Vz2n181NTKcXyL539r7it+eTMikJyaEwkhkehtOddm3LNrBunK7oibNYnCN8F5NfqzRGxj+O7z3M ccLxCSh6hF6nDxYGhp3Ah5w94uw9kmsbskm4gl3e2VkGfQqRqGcT0GvyqI/6TNcqRSIim/Xpv4oV +dcOBS6g+GHG2TvUbtk+EwyA6RuO9VYICGkF0X+PC+U5khMJlJef4kQoATmqKKJ+E6wC79gc2A9D LJ6w/SPX7z0I0yg+EzNnRbSVXs7YRIwPRWyuwDvAhIojLuf2FJpAglNG/fxHxLC5zNFEem92dQ4H EsZkdJ825163wyK7lgbWbNi7odWO886WG/WltugyC/wLyjhHaRmqM62b1bDXk2M+GwOxQNaV0rhe rMH4nBpRIu/VemR7Mi4lkkWyjv6JKTQfhOTSfpKMM3sGrNZcyy+8zJuoys8DO//v+xvI6g/O4t6E oIEFcsQb6VNK14S1paR0Mk4H7aGyK7Q89Orzmfhy91DX7hYevYkAsIc09SIgzyZ+49QyX/byyOsJ evIZi2ZBPNBTSigpB04UkFo+FDsc4Te/xTDDGdoBBGG9QGTY5pSY4qPdzuNdMJTQLlgYuvWF0biG 3C3+dB7sAJaHiIoVqYitGwvd4ckk3MDUwvrwPAWAgcSW43jGo65+pQq//SZnR8bcBHzoe7tZFDFx Uaw52ZEJF82DAACKIqqzESEUxpL8+qkGIMQln1eqMMuI1FGMUO4myvzZ+edC3m1WkVquHn+q5yC6 mvpWksiNxVU1vqFp7NgXkLfa6IUZ4wLaxRrFmyztTkbtL5NknjOGV81LV7zeOigy7Fgz0TSP/HYE CFpck9OwKR8MAOHWEU83M1W2FOuBOnj8ctbuE90bIZLC6gsS5wDx8j0zXQRCME4emvUEmb8dR9B7 oxisCoYjBnRyR5LaEVW6KSUqaoW/VQ/Wy2a8PS+9qxu690O89TL6qHBqpkwOOVD3lrkDL7yIKlRe Iw9FTM8YGDtuK65escQKVWwDVgQ3xurtmFnpD8cwoZw8+svg1Tli3BSKqi3JVIeg/q+B29FYRjPn WX4kAn3etG8TRiViqnqltRrpwuW2RcyInvtwnYo1LaqtqtDCPInlk5S8I8yEGx5Z9tPj90GFLFxE 8g6cMFCOUbYJW8SeCsWyPluKdULIBo9Gb6ISBqN/MWer7yZuUoHBWasw59YLH0OmXCkeTWdf7sI1 TNdGSp0kvvrMBXPPRNzm/1d/wDR13GtVhPi5FdjuGANwh4d4zPuw8ZqCIdk1CZpIDy+uOfSdYZwE 7RWxAUIViulzCIa2FJVQgbx7cn0TX9/ZjOJS3To6GICqpthWIHcB+gAzn5AoDLteefeWBiar7kug MHWY25B4eJMFIGQIbL106vFhZEXMzvY4bhE1Nk5zjY5dKIXZ4H6Z0e6cdlXdW6o03AFb3aXULOx1 Pwp5ux2sysQcq3EWIcSLG4QMOfw4wtozuVX1J+zTiIqeOy/gCEe0VOWTrvMFYVDsiB2s1heHIsSk m1vUfX4t3QICL12Ux8qsoM/VU7lteTCdHQ4RbJBJt6ib9hSAYAMV0Zdh6o7eEvRPCa8CKJsRoP/K nOXZMDQ4iBEFC/wuuyaiuQolCQfVUeWnsa+cmTQcvHnnPD09byhvi2QHWQTMKlO+0gcFjAR6qPA9 LpzQ20+bfUv2TU7Ek+XLQTTAUC5ICI2KRq3VDcy3lizdIgeWNfaIxbK6A9lCVJMVae0CL2sQkwlg Krep02zpbqytbL9Tb4N+SjwBoVwR5rG05Ffp99W+Q0Ferzpg1AKWZR5LbrUAV+Vk24fzqnFAoH0d BYTkQ5WEFISbzGbQTd+YR0QPgfzLCi0JyNJTVaPjCoe5WYyEVT8iQGydcmarFKedkbjQw9VdCskH BIv2Tv7Jnt0GkdKb9auBSHPEhbcQorjYXF1YZK/BC9aJcPMmku6ZPfgzyZ7iY7cVblCOiuxlYnM4 GEmlXcNbuW4KykIq9EkNChi8cfnF7DYuc/VCkj+HZx0/buCEthcngubplIFNPDmKfewqc9kToFPF nYSkM2Px/iCvV4qsi/8W4/hEC6EW0K9PJxhiIsbHHnMf20lOvPHHDWu/GOHhkX+1q6wa6gAWW5+T U33X9utvCqlCvx1dlBU7sLbNvsAJ4ntVZfuX5IV2juLpxXpPYvUOb30qHu3WwZQVrDrl8xoQmOlP yS/Xm4z897we9nq0xdadcE945V+hZOs2+NWIcsDGijKTj9RliQsd8OkCgyOJmRs7AVEo8YDo6bcq VAbly2Pm1jYCyDGYKcYwC5jDrLri232L0RX40aJp97h/m7qytaFwlEYrkgvNfzXK0p69vCOucEha 2ZJPUUjS5xRwIOfHGuz3FXLij2LODLq5GQY+lUCry71JJSKXnlUAxBYof9J9TYuJK49PsJ31qQEI T+bb6FWEZaTWzvM4bHnC856lnUss/1BoljvWQ0CTOcQDTqKgRUzRVvYN62qUE1RfCyqNOii5NWOc J2sjo4+WX1h7sDg5u25+JrPW9J94mu4pCn7tFIY0J9YQTil6Tjq5tWWyNGcELtPphja9lGbIOnnB FHrcnFPExVHj2qUQlFzR6vJQu055dA9IclLcMU6CXIUwTlWleSd2ueMKMdqrysQgViMp+iyW4N+O za6s7vJikQeVvCKcNlXJP9WheTLUt6bP2ilIVgWTDe+OFiYMTK5frZQ3zlQLRV7O/NYuutP5WGxP Kx3AHcgqJdBJvAy1g1ysQUX43advntDS+oBxhKH4h1/3wtdngGZo1Cnj7BUL2sIbbgG/PczBjaz7 DcL8/ds+AFd0V8mTEhf5gaa9CvpMYX/vzdXqqO6cQelYvOBcwh0Qj+ezmcCsT1neVuLfGIBsU8Gg bZ5TpBLDU4VXHkJm9PSFaT8PtqsVLg/HXQDBqSVmroRC9i2FGJyCYjiy43iMrMkwooJOV/PJerEF R0pLEBud15WGahqJfREXAqfCMFZ6lvNUYIrF9bz+BOZHEBMjigFiwdSEllxuD6Qcxoz2V0Fv2QFT 8Si0c993/1/ml+t1fOso79LVEu0oWrRpI1KCcx4MDqIZz9dBcwBxdqV2uNm+qu+U6/r0LbREqK1n ZY0r0SdM5kOj5BtRlzOn5CWOq8NzUxXykMccUITVIhXgIoUh/cMl1MqhxG2uMr6YbgPm6nPGBvx0 02nVQGXR7FH7et0OsKVpXE9wLqxKc5b8L/oYt78Ym/sEUhHv85HRe2yHBS/yv/FCKKn4b6lkHtVW n3X8c8uTI8AVylOXYPeu6rNarqdof3IjthHyuYaT5G4s9OCzxveJthz57WQm8uFexXJ+104Yllkc cBsqPbjuSuJ4hD0FEm2HzzmVVJxY7FYY5WLMqs74CufA5dy/d87Kao/hMK+/kgOHRcIvYGV7TMAi GnwBOzx0Cjx9Rk4md/3XrEmTcOU9DaLagFoA4znkzXFNyKnTOMApA08tNIRNzlm5OxisIxycUFvM GEu6Izh2kYKBbRQ2bU8U8EY0/5tK8o4PApJ2yCBLs7wpLgPFmoayJevD+YhviHVRPIz0dia6CnB4 LvGxFWEtCJdtGmqcP4yU4pSpneFAFFW66dCM9iBH48IVuhBl/I2tbfFJKIboHJ88RUbd4erDyNJR p64HjErqUxAA7hFH/Xmq/akJfLrx94Zs+wCz3keR04rRvDMv3XRw3hF68r8suJTg4Eiw18vMi0fM Febzz9ETJgWcvkuuaPzO+VB9kbc57Rlb2Bg94UlZHLhlcLR8K6QnxD9HDihWFQotTcS5rcZhq21U lU4e9zxkopi7FegdsydZ7x9rcKP7dBoHxCVhMr2VOEMexAlym0dX5N4JhxljZ+iuDalrg/jpgz+W scOqiX8gieXrs2UeDhCQQ6wVdpA/MfZm7N/ciZ2MBSSUZM+grFRfp6HKWwUOMrrncYmrgNz5RPcC 9Lpfe5YHjQpu4DeLFrcV7piHS6NBWqhHp6HEoh3nC38XFwks+Nrs5VN7XBz9WWuK3VwgD5liELLQ OJzGla6rAkdCWGEA1vW3/+Y9XBUieuJa0DiNcHiHJPH+0YykGc4IQ7x3wybGbwW4RbRPvVNKOFmK iMmaEUOWOXRA4rpBXN1VMZkKQ3P7++FvYL+aSFpXuW+BOUebkBD6y9eLzTtVwU4+qbduvtPMKYID +QuXgwgeUDf/+YqVarVNvWaSQkUE2Vrq2H0nUWknqKZPIJv5bVRyrDZ6CFywU78QZdfuSIDm+4iN jBSrIFGXpv0IvYFlvzWWK7DOz6LiV/FxlGgABVogjj3VMdukpb0aJIuAKgW2VIv7adLK360N8Pmt PGNjjztOZAYZQNJDz9cSbqnd8Ad0dMISNPsKtD/6y29VC1+GwCbDssJO8qMYrTFQmboeMAaQHOMb tJRJl9woes8SnjDw1HXxxT0d0qFcEznxcmv0zi8UKeABh/BZ0IcQmCjtT3bLKRKhDASvlvH1zZ99 mqDtgJgkJBpZ7JC3IxAeNx8XnmAIHgZsTMcOMXEsrdtOk3HR2Ssv0pKgsfOZm/lnPUp2/2T7KC01 azCxD3skOrKVrYz1KgPH/I9xPlA37/s1pCLsjtp/tf/QYFdl9EdfAInbEzjiM/T2TSnK91uw9BJr nhcbte6iv+8m4zpmZeMzV8Am+sEsSDEufB4H7FOtOmeiJvrgnVH2UrdniSKx5OGcsjChlTYDXuwX Ym92rmcIV4okBdp6Dr3w/ARMmTI/nuz8xu1J/lLXOleXdg/uXreHeGpNJvaQ8p3jk4pxuU+Yw0YJ i1QUh8HaBodWwlcqhvubvbxnZMIC9S6ksmd26NNKBvsWvV+AHHMKyI2Cwvz11eUYV8iiA7CfqGca Z/pb0Z/Vyl7mpUwUNFEUB49GHMcSPy9AE7TOaqRCHk4dsMYaEoyX+7Ito8LRsAWToN/yix0GEM+o HC53FXs5c7ea9/0Bt3yHI4Fh0xItZqgzApe7FK2dhUrs6/cRcKzxS2fLJCrb9mElt0COm91RIDdm /GMvJi23DaQEzpGxqyRv+ls749adWB8qdFUyEa7RtaoCS/YaaWX01RRNdSeOX1aT5syLWoWy/OFh 8Y++1jFReHorXQfopFE+8kjQeU5zjLMaugELkvGOtWI1/Sy81EV3IU6RRSaZUB/PDe+DRj8lo9DZ 1ERY9plPt18KLH0gURLIbq3yyBNGaOKk6U6PqrxGDWMJklkErIB71zJKfaB5gRph3sdjb681a5IL d9hW1r+ApHtf0UP7inmEQOiQlcSCG5IAxgay8oaYkdcZGOl6XG53/FTPNB/6x50BPrBSA7bVkD+X eLAC52UiWrzOA55MLefDozKN+QIugaeP1c1DNyoZVpL525S4uahpWnNJpSKiXQpQWUpXDA2YAWfU Caw/mTgyLF5dVpIQ/w+UTseXsJoVi3JOUFtUHxIUX0fK06X9k6Bpt/ZgDsIF5rNGj8ZRRnISD2C7 uP7WXOhnhYT4Z8k43CGoduaMOLzXx8CaPkX9osCJg8wbzWH4E8oBDcTVpE8HsgMRMdzSAI/MWhyD i3LXe8eqbdNuBH6HpXFcZYn2ejpXJEkGDc2CLh7STHp3OlhRFGIW53Ggx3jMVPwRxBfTRrKKUijs c6mVx+wq621jBSLOzTZ681m5ztalqxMUQbSf4npnHHz754KhPga2LhaBbvs6mLCTPmBPH9qWStYL jlOenSajGwT0vTgTC1s+pTVLiErUsRfzrfY3heZlHJj3g95UPmvwbj0RiIPZWkjGFID9K5tAzEYD b3OipATDBJGO3mBCWV9Z+sBEz4cdNeFa8GOM9J8sqJ79PWO3+6BUQDXSlR/cLcAbMWaCRvKpjuih aM/PVkhYAq9LKpYg0MgmUQa/dbcJC9TV0MmxKlpfDCccFoJsRmk253A9dmsHs1mBaVDGmTfZHxGP CbI8vzS45Tg0c3/esiBRBg8oEJHYIxqsSkuRIEFIktrFtmOV20hK//JqMtsXtjQ3RYbfXtY38IrJ 4YBrCJ+BxSEENk1fyyVBQJS1YSmIoPaSIgiwTgr6SFnPJNREYXohklOaHs9koA+4gwTJ7jVPwk25 kyo52exAoNDh5V1IsTS6alxdVKsczdhX85hW4G0E0RropestKzx3Hfl99PEBkP0Bt6VoRYKEKWDr oXSiW+eQ21nqI5x0foWSry9hBIE+D7iqO8G1LbRlMZOQJFxhyKJsxUq3opUnf1XxwGXmroEl1iET os4dA0I0hFxrh950RTFiDeQJHt6mcSlxmCzkUBA6GKd9YtBpue1olxBiqIQKs49QyQb4Fh9DJV4G I9ZPVT+1vJb+7GgMGI3WL9MNU8NPVZYgPPe9poT2c+UeVS/0YCgPBV7YcnIByVJfUecUJiBbG01J N8Z74DM+BnbLk5kafHxNu3seh/sELYIHxWgzgMPI5q2+5ilAplAeKAFLoKxxajemZwq3dWOeIqsB RvX5xLNcWqELlmxya8SqfzE4sMs6S3VQ5NP+Jo5k1gVyD3iXEEPyYyY0ljk1QxQpX9ZQ4puqrvTt JNTEuWEL9wXHqhZtj65R7FhLE5wAzNuhqEJA3D/P2hiSdaUYkf0jZIgXpOzLGvEVdAe+598s78tR AOVhxM2iy9i0ylowls3BMYoBM53AWCNJoF2kkC4I3JcGggYQo80NY1T9l1PrY/s/5zDW9c41UwMu 7XzU1m47rB4HGwcy/WFiIQ3YbENBapdM5NGF9U6dj4XBh1Sm6s3S79PDO60sEBcIAe0QHK9zGZNa KDDWZ2zjf/8tU+GIJVAlH/pfFq8wPVbCC8yiZ7eyHImYeOqZLGmC4FJ38zsoueAaHqyKCG046f+U jiYY/1sC568AH98YBHR+DOwlCymYIQXsMhdwW5cEhM8eL9CSbm/8tADyZ1sJbJRpANT0SSK4hTTV fAvfHsP6TvZw1OP5ZJKe7BTRh8uBrFngr16BKqztcRShXmWRhae/zbUS0/q1eOZR4qKoxfuda70n IUCBzgSMeAuQONNRTqGz8gb31HxKsyj9lAKVBPK/pAdYFBQ1+OXfSj/nmO1+sIDMEeaUBBEUlCPW cbKIPOwmpDz8fUcZLhbY9GnL+ToJg/SYMuI+uJly11u3OFmsbhUf0o1BX4qoNg4pajLxQ2DigTCV 7NYeR43DtAHictkT9ggoBU2Pi4vMcRKAa8+gBrKFKjHj6nqSMiKVJDylTiv00ETed0C6NcDjha7v Q5X511jSe8xZmucn4eUh1hgNxbiik7vVOMnCTcPBQOthJPk2vSLAuF0S7M8MDV2+m2rok0Fs98Y+ fbr4dDv+A97r5Bh+kzBp7PFA6LZyAmGBoaW6mfP+7k/xnb8Ed2sy4C+jOY1oE4w10rSgCjbbneue OzWAWr7pA2a6fhyyJ3ahXLhmK2Y/qIvJVlZz675XLYVhz3iiEcdvK/xouMZyPf4XU5D1S01VQvEo Zv7qQavJhBT23HXyjZlKmWhAyZlO3ss5doW7tSd8qes5HeBioRxujqhR7PVds4HkyF9dG5eiBSSL 1Rdg3c/of3PQbpaycvskDIfRTgcOdimYqzYrCs3WL+GlfHAHEnDJvdi/83qGbTeDk3r6CmU3apdL hnD/VpUc9RFomPRFdK6QO+7TAGE5CuA4pqf9pTCS3J62/zmDoovIBbq9ITKNrHMmEXhW8YoXLsDZ uXArfHwwFuu1QGBZeLK4ajF2E4m9oFDCQNdGckRjLjylOGHBR1jOataetzajE/a6HiF6IFqmFB5j uC0jQk4G62idMJPHCIahpOfUn2OjYvmevFD3uZT6SSBLHVANhOxwGBGJNxBM33hYXPElPORQ5VXB J1QaL/qHHHLQeKeHd4nl7nChDRWMLjqhPnsMHM4MM7NrE2RQxUTvLKhv9dCpwamaNR7ExynRJ/KC VumSI3Uy0A+pu/F30zEo0aVmN9RNYyRwQGcIbqhtz47MFfGhWCbK6OdnyggCXvy/8o2t3u6cf0nE KYPP92d5X4HbJQvMv2y96grXou0g9O8TVNBBsziQBkApZ7nBuGVCpGXv7mezYFDQeAuywrJKor7A dF6LgjTocJKbPgH+B/uSh9XtYnM/pwYiQF2JNXo3taA7JUU8ufYoZYzt7OljCnTncFsxH8xlL80R So7Rdruf+SyIS16zbA9jTMUYgiLpvjQEuNIqnRzjnMXOILUPxIn8r3IdtsFy01DQYYQjPvkDGiwL wsPxESSo0O4jHzEtlTWuWhNU5caMxdlb6ObZPjXVIo+H1KBjMZMETmX4V3InPiyeL0nHKWDE7VHH 00lIBGtMjQpmorpXVUZVtCoyMfTV3VAa8IS9fPiGwYmo3JZS3j9gnMxmFIGm9mCDmWlYa5Pd4pcs NLD1tradRjC7lo13Dkh0xUEywHRN+d34gsA5rtHpLo6ydt2Wdydt9caUTjFIkn0BuinnC0p56Qcl Brt2s/yhZL9MZlXbO1ypYSLaj6HDFb6RI2EiI3JJlpXg7Es4LeIQ5HFtyqTxDi3ObvRxRHpE4s2t IxmEgr5dXQESL+Da2YsF9Hg5+ed0fxJ8AyRB+ZyIL4prAwBx9C2nB3a5oz4v+Ibz/0z8viOG0fUb vclSwht/STJw/a3sWSO7XOP0ZPSByTBXL9c+eegjSBHOpdKIIsLmxQCvwPAtQnZMdRq7oLXfsPDk mBDUbFnTh342vsJwbizhwoav6pG4jyz42kg6qbyV9rPpmYCgbZVBU80ZAc8GJH0qhiifuv9/74OL 5ozOjwwneDrJ2jbaQONghE7mUNXH5yFGq3cnPkhIZeiA1hREU0XJXeh/OKO+MLhEoUigax2hLHgH rQO5/4vM2T939bJR4rMBv6HJAAbW5gaFVt/JXRrZPZZ+lpByjHaPI/b4s7fKWcX4DSQeoO1fjuFh fmj3V/7Uaze797AAzqLiXsulHst5R8CnI52Z7UurpaDuBtOhKIQJjeP4/R2MhCwAf3gqS0X2dsuY QcjGzj1uHgaWZb1ImbQ91vAFMY/qAEbCXu+UCp1SHtCmOHalKRtfJeZJ+HOoXryOqTddlZHUsxGe NF+bUD0IOBus1NFt6yzE+RJHjmNSbQ/etHzq5vskxSzbi+JCxt4A1zRPPvswBfFmxuligDtwPR9o Dy5aYeQAMyXgU5fcEVDDjNVu3uMZx3I+KB2MDIieE6/RKPxe0P803UAHBsYweTqFutCRfmjqBQ90 u1Fc5O4vndUGM6+F3ZMMxvoN1YQQb8Al6+k+fXjfz0XDouCgkVWCMn9SYoQUxF/YB8zcVwh+UUUc Wuw+TcA+v44LKi3b4QRaGs2lAA2B4l5j6HviwiSDRQ2zCNFNvhjP56ZxF/BVRQAAR627bR61X+V0 BE+fTJw863UhvDM9jOSpeGWHW9Zh5LTjL1nFxJzA63MQ0jVxBKvhSAUHFGmak/D+R6J+NOiY/Ojg a2we7XFjNdP0DLaCP6ALF2L2AuSPbh3OI6Xh6mHtjIDpiTOXn0WP1DIaIGpHoussDp1NXZBbcKpE G1OSmIiVZACqvbFlOMpmerpQVixBQyC85r4/OFDAaLi7jIiljYgYjYGBLgQj9Oz6EeMb+YEGLx5B ca4n0E2w4WL2d8mUNY4QOadTVVDvFrcy3lWCHVj3RZYlYYbcaS/y+OqbT+4vFhBOi9O6xepw5v07 YtWC7syTRuxl4MIQ0Sd54diR3kHw7TzgdyI+xA4VtzZf3OzVQcWWbH1XHsShw3tkwghTBGyLMT0g 6eEQvLwU4+ERE7fqDB6BB9JLUDFgZfjt6ryL0hSwt+vhEyQ0cdwaZ270xm5WkhcfTmtH4CvRAYKf Dbgs2RwmHKwByuttwqnxxpCdz+LisXKNNNe6GlzcHOhY+G1Sa9BpyjBdxqoEWu1KdctDaX6SE8bW Y5Ec+7fZUKIu9tDgHmIJhbQZRZGKroa3KsP2a3C8mp6VucPYxk80cvIPe9QUHHwJ5MWYvvwEgv7O dcYI54SZKpiwhzehmiwVsbinpbxrnLlHzyZK7n5SDJebbnEcNAL84Y+fMkNTzicBbr9qioOMJCRL qPGB+NEMullmezBnRysIxKyX/YSvzzg8kHgTQHF3tsChUQE7R7B4yl0GLb70cFLbDRm79VDxEmoH tNSaDL/ZeA0VChzlLGTqaliBaTwihOAo1yfWffaG0hizH8vgcH8ZI9zofHDkkeQS0vL4XIpOK9a0 Fzewc9YzMqWffwltLwCxBtaIPQNb1TYbC2RUJm30Z66bSj9nIFtXxtrQ8Te18a4CB3dMHBnhyywY xete16Dp1rvzIKxXQzlFFHQofb/1kZETLPsxPdGQZA+xqMMXorZwW6inp2L+4Vf0nMQtBMwzQCwM PXqM+fbfgmadWYE3OyKAubAt3WgFG7b3J/WXaJQzJFOk4hJ/5CeRmYWkB2yfTLm+SH6Mch5qRbDO RKyWriHlKsGNxfI0DLVIgZe4Tdl76OzDMjr6KKj5PNAO2inVf3pistE1dbMu5W8gXAqTwE5mkLdU 9g5plKaIjXgUeLH6VWD48bs0JOel0udgg2ay++rdDOlpWjE3YQuPc/3dt1YxuEuD5ljmazCbpezh EAdBS4WzYLcwV4p4Wc9/ga74qIY9jLs3aZmqvtD2KVXsD27Jxiy5HVlDwGoFBU6SLgGKnXPktehu FKie9hCB8Bog4bvNAEW920WKZbsYOVqDkfDfs0KQF1S/eqNb48fUiRjzMDZfoz6es5xAYm2TUzD9 0xMe/YrFKZSq/YpEG1eTGTOdyFVDocgaCp2uNjKNvOmAkFEgXdfjSdBHtV4MRFNpiD94oFSb0Alg 2ZvF0Qv0d2tssg49xRLhYSS5qnoiZpUv1U8kRLjI2eKdLuWqA+7qd0Y7vfGkg4JtH/SVYWytUTTC o1nMWifqV71a8ipHFZBUBDkOup8d/n8inC1a/eP8EkHujJychfWzVXSoOPWEs/k+APHlgNLcb+Jv vlf1OaSftgtT4yp2/aMZN4trzjyF8uSYo8tXO/YHxJMOxfILaoP28EdvlrrUtEdNqMHK2lCgjb71 rPjzR+XYeNcmcomfG0C31wGKqNW7j0Sm1Wla4NPrTdL+IGR0gREA6DBy1ZEZYNbZqCL79ddiVgN7 fTB2uKVPpZkWmxgeEXPAJqQjTfM7Sab6+g4r9wzBzKjJ2/kIKT8cv/pdYvqR2Ch3Pznbgb+PGe2V My6g5/gbOyemKX/S5qfSW0Dnvari9qvK4qi/GzAZumhyrntfEJQfbJF6DaWuVNqTWyVzeKIhIVz9 WuD8Ll+Rfo7MzpZp5FniRW6qM0EhYDE/BHYkuJ1M5A5Y4+y8DpPLcuUNp+lS5qa8AKiXFDMH3Wx8 qOU13cCXHsybSQFzpDLPO3A9Z6Q4QHr4l8FLs6tsQAy52gjP3O/n4/pO1krLmdUDKMQg4u21vxP/ +uJjUozSMtKCKdXAJHBpxJemSclh8lJmZGwgpD6qWIpieZmsR1gn2yD91/+VXHwrGkr3YbOiOlPx bLeBcIVRBIcsyQfUwCciE20flMF7bgedFNSU1nynw/eA/uqXrdhqGPQpQnDM3lvdTmpIUo8slViy ihqPi3kplhcA+PtxWSPdBrbAGqGvU/eZkEgbGeQOvvda/gu2PY3vUKSDxKjV9UWXp6kOLD6Zjj0q tvUgFjjEe6DvUALKccDPDxI2xPmL+8q3aP6bRGwUWknhdEXkLFxEabQ8lteiDDG/DhIEVR/PtvqR vwVDxhnhn89XElRxYonsrVZCHcm5EXbUPm859rpqBbQgyqcR91+GDh14V6MbOcnb2waJhlNxginV /cdbh5m+r+kpMShKj3eKTvfRat21mrENuFSSCQi4u6jx2FtMmpteIOfihXPFXvIhngFoHVfwxy/c RQ0O3dJBaoT5s6Ek8dX7aYpdvtLFPLUpWouoaeHJLvwsK+k332E/9NVcqQThm2DGhpk4s+eYbQ1w 6LvR/rrKv41g1K+dosHu4nRxzRiuG0smE4CFZpC5aFwoKHq8T1tHwQU+TRXXhBUOa/OEl3Vv2lk1 j1AWWS1nv7kSXVIllvyw6OWadwPNnsD+O/dsi8FMVb3xz5Y28MxYhbs6WqlMVGx+mfX/L1e1rjem WzuRWG9OF+3MzUzo6HHzu4U98RSXhNA8yDqHQrGR98rcIJlO+3OrN5VPP2aanAVVFiyvdFmOzykk YWkp6XikQ0nczS3gJOMP7Q9+9QJXLSlr/pTtmKnxQ3h+80MAygE6/QMfDTxxTltcTUv+WmvZCoSU Qj7X8+XWqXXg8A9hcAKqDaxGaF4BVFvanz8hH3KnYYDZ62HEpgBGvIIQiPlrA1RgdKIJQPSZz4nY 5EoJ7eGpy7YodJWOuXwOj99L0bU3+Rz79obz8CLp9wsy/iVwu1O5sYdHtNDK15e0N+kt5TPVaSb7 iqIqRgqBdDwoNMsfYdMtxTq/QZaP/ap8T2r92zyYUar9h97u2yoThh5hcON6DBDVeV9ohl72Dr6U kk5+REmXWv4D2cT+I3BPamYR86fVDBm7qeVziwqyDi+xkB/76tmcZAvcIIwTHT0geqgpn2700tqi 1MzZi4PLMxb5fuuEh/UbM/NgftDESY/mqA0kxdbDzbui6kxZox1y46giu7fkr4U1PIsm9M9Bjzjl 1rTbNkLMDk9r2ays+fuRE4LrgHIVWOuOrFR9/tqT62alMRbVqiOCkNeF90MkprvqdThaAv0UynqN 0YImRfifAoCvhZarZ84r42ehZtMZFJIi+bA6sSeTEmSPOR4SirJvAV1r7m6jDf557BAQNewaxuGi EE2OPjpSSx/xg/yKXPtoxr1AXITLlV9oJJkjk+52G3l7dJtS2UMzoxKWVs7e2n4QaCDu59Pj/pkT NlKRYzp/eRGRidNiKAbggG95sPU5pJFTSPKFv+bA+69fhu8KTvi6/nPShfAmWiJ9zZxbF5+BzjoF 8UoZ6TMI+LSYLLFEPrpPtrDL6udijalINI/5+N61m726MqJg0I59O6tS6qj0UF4Xz/qYE4ZGNfEb c2rxpSj4BDSlHO1b1BbwboUkxCQiajn0wDmKV6Bkh4LThvlsMTJJO5/Iup9poNs/buHdGO3JUIXZ +hlbCoL0iRfEADfVEnTh0RFBFVQsHI12PslJks4WmN07zwxEGQbLowFoYcT7sv8MioN3BeJfvuYJ x4mKMwTHPzTjiqwZfjR3HlX/PazUQjBINCjdbql1vo1nnexDlHrLGukC4ICSYKgFJvZ0kH0y1u8N Q4wMUbD4VlzUR1M9wfXrQKBWT86T2GBFt0p8C33jSP+mro78gbrLPqK66ffyO5v7zpxBdYZq2tKL 0/qvuRgoXo3UhbAwxY3FXQZLrhH2ct5FuAw8Y6wl66PG7vXbJH0dIR//SVgu/wwDh+5Y/u3jDNi2 aH4wkdpAv9/fw3gvroOggGD31OCq+mDLnqoMr+hkISVqcUN0nmbvyqm1NPV6yqUEWhpQa+tByL4K CCCdGZ08TLy1pyPTMsg1uiCbzyZpH8gKztK+D4SaHjDNr6DZXpeclqjvNZSLcPjpr6D4JS/Ov+fN BSL7Z+vesK1sZrt1z3DuVR/ANAX6SmTwDY1Cgs5dfjz/8EhN/j+Wn9nt/BpeC4Jpp+43ioEviRWl YKn7KCy26ttktUn64UFQf6B5S/6hQUFmVtxrp8zjG02haywi8ANhCMI9tZT7OA0eDlOn5rYe0smW +89Twnnx0l7eM1lKhI7k0LwMHz6dx98LbEWFF17NQ9TqeVEIpSjAMEPbTCQ3q1gZBGBjxOBa0WHg Vjh6y06JHuW1646nw/5Qm4VgA0/R7M+S6YGSnNisgyG0q26jO49oMOVAK9esO9AcwTmUHyq0q6QQ 4iIR0AdVYsG0Fb3Fe43CTHRocDekg0mLRPMUYnm+IfG/HtS6rW86qEO/qKV5jqCn+YpfTMvi4kqE zA4kOZSUyz+OPrsqqfWN6dbJhcwY9KOEqKfnG1mBORcqwlLB82EZVdjl6z6k+xFs0uIFoeyATPiw oH0htOaNlk0UW+LBsIzER37VRa7fF7Z//RKFaGPipuHY3vqTud3nNMZfHeKQeLUsFdM3S5m7iyGX sPCPj8KIE/ZK7F2PYtBueKFyOLTFxifSV4XGtuUWq3cfuizH1I35mx8xnkyyPbNMoxjQ4EY9+Ik9 N8KGol5O1LE+MrVY7DsNuvO5hhmlIsnhDJyDeRKXvqj/Foea6Q1syfk27RX7nUKIVsZCQw3F1483 nvWgmq+2keXnVrC0JX+b+XatjRI/LzL1ax+f7O3ENUynhLtqlm/EgXbJm+L0tKu0g0klRQgMH4QA fCbD4ONKh3m2zz8Tl3OrbiEA+euAmQueWkQhKAeJf+RvlrjM8L2FFYsPuICdwnCg6kecJnEkAXVR wLgKa4LI1xUmG4Vb/xlmUbilt5m4IBchIYS8IvkI4bePO7Qlyak2V+DDIEBNnIIlsiyp4Q6Ww9VY 7o5/IijS06TKcZDaEn1rlRcmqWCBzO3Xbr6FP0xuzZeNX0eKRinEJIOP0vC8suSLMqFoMH5CbprM R+7BfC1jkQC70CFmS3Az02SiyNueZEyJiQxVP7XquPyRnFqYL6Ea12tz3xo+P5b7Z8AbENsN43U3 YgBX4HPKxnwHp5hap+VfLidECszIUvBIzBeTxeUEHWLaLabS8DPGGStEtgcr09o+TwwDQOgyjC77 S3XVK4dyuiEmnsO3so7S0x/CVMrIB6BjzEy8DA0jlys53IbSZ/F1myONQCgtsEZLaX2Z49TOI11Z ZGP6gJ/sVckM4pdabd4DhwvfN2qMZRyAopTA/m63qQGN1qiub/aP9K4w3zT1I0xIhd68/LGoChjW 9tt9Aq/2pWACtU3eYwd+4Lq3h29bdKr3mWpepeu+hRhwpTPLUyutqaaYISgffWwbDjFgkPCY9kMo YcE07V1PrPqxFvDthv82xyY84ioZiqr3PKst3C64Zxtq5aGK7h1FkblwxNp5flVUTEJCmjEWh1Mp mRnBYpA7Octj/6jkpjsKJ+eWf6eYd3Sb7hhM3wQofjAUmTwYtUwm/J5cioIT351bPET3Cwo1NrFI nGGeBBY+MR3EqNGF+V/I/D3ZHIOlCrO/Tj8NRdzJEwhSYrq1kt+6wh+2Vy+vIAq/8XzbUO28mmgY 4uo9GxUJAK9t1fNivsFVV4vbKWI8N44Xw1QxNTQOTLdgzceHEbfakJ7YHFF5X/6KwVTtRV4qFTq8 XdjGKB5dW+Jo3nSnx3ChSfCB99UOji/mTTWZrk0YrAYCv6NZrYGvkz1EscrEXzhdYtvHnfKZWPX+ 0ZpBSRO2qLXgBIzZS6ecxIi03jtdxTtoubv5GzqtU8wk0TpASPUWURTJcxzqRl6AR+m6uqQJ2Gws OBoEG4xU1jdM5iYTpq7TvyMiwyJxSa9Bs7XZ5IBdg2HRNmKVkZohcjPfYoFglT+leJuy1Q7cHrZb 3uqDyc4d/GEvXtOsF8u7/fFL0nx0a+I7UUaolI2MnljBsH9QjKY24ZtF+pa3XDxTYinHS0wuwySN 9nr4vp2AgnCFqQozEVVl9kjWOCjnyutxZwupGYc8KD4umvdWfyQ1OVPGAxIDyjYrc2mSqudnFpRR qiEZhAmx3lxgR13juNoVRNjfq5JibltsL7PLBVaLJy6kmByB8J5GRbozHgYe7vlWCpHhhzuCwTh+ XZyqmPSbjDrC39OKigLVMpXF95lLPpctvUsaDmKTpZaVZCks8qex453qp1FCkhO2Svbc/8/pZ6bl AebtgutWj8FBnWh0O9yHQbN1nYuoGgLSF8Rqp6yG0Jkr4GwCXtj58kum6RGX8ULBqPCmou5SQOC5 1Ue6M37UpYHccKlJeeOmCdhoJD6A3ISTiB0WSrot2qS9b2CmxpaRyT7StSqq3cs1ENdFGm3ourd8 hrHk/YnBiR71MxxWolszChOFpZ8NwytFlL3aN2lRT0D+BC/LU3ZtfpfM1BiL81/8xEYcdGd1y6Jp uZBYVRjN1AAI4CrO9xQi96/vLr0yHoU7xczP/0OJIVoKs3RDWIWvIyaQJ0JMeNpskrEEcdQuldUA 0taUPq+/OkHB9CiIrOr4L3x9VU/+6uMfx06MYeK0luER1ibNYE/GCp9UNwmGRD3Y5ve2urzen2rj Ct1L5USCrNPsyuGpfVFRoDSadmY8CovfkV+5wQXdyVKHZConojvzrdI1AkwzQL/ygzdaILa8lgL1 bzoO5FUNoO0DvwQXwCWBgBXN63x56/e1PbC/JGVVDOoMJlQIa1qLh5S5xUWXNyy2VBZlCCzDgrFI vtKeIIrQlW3xsd5GnOlvpTDwEmJ57XkzClCAClDd7GEkeJpnb9NL8SI6KPz4/FHGgFEKX9glC1g5 +JAALXlWOgWqzjwe7EdccI+TT7xy3Rrkk0+JdqM9sY4IIV19vt1ZSDrAwTvdC3fCEXJK6g7KQLp/ y1bcvM26LikWM51JM3aBuq2hz6KBC65ermyQvWnbRjzfMTwWoT89TJR2LiM9qUFOSXDfsoQ2kUod RFMxT0XRWJcwBZtsRq8edeAzXRETh8weVPh3S+7fWU819Wvh4XnUdxeYkyJpA/ucyL4x/w8EZitz oqL47bXxfnkxtqniWhJkxk1/C/+JJ9rphW+tqNJrkDhcfui3qv/HrGkFgTHoUmOrWz335JZsWBle 5fbSCPpb68m8sN+62++u8dcyJZauL4hBrnc94YfdMI+g5RHljwP7qvavY6FhseWGWr6/xUzVs/ii e0lIsCociAN+5Znw6r9cJYwpEwS1m/wyoBJcFbHbu5bBSJigfjOE9WVnzfZeIUZNDp14ild+gVU7 W6TaWQyWQrHlAyB0GhSUNZ7sA4UA/82ELTQ4c3lnaxRfjwFfKEBAcpoIxVN8j61WdVgAJ5M6PGHX fwhluFd9toFwIU4ZAvqsaug2qNBhk9zJzFE6FYE4bnsrevhpcb7CL0Orevzob+oTEPmp3DhMSg/c cUK149vtN3SIcqnaNYblTy99Y9BTITGD2LvlMbDsDzaAyMLqdHB80j1jDOWLsKb2+MSMqbFAVWyD dKbvMCsAcOsmQ1tj8vyRveVqLTcSouqye+hnM9XOj0ZYpyvt7G6u0SrhcmLzNjUFSTY2QaLmjZ2C /YR+G+Wq4LJpdKTEizWD1iGrjhwd5Fb6gDjMWACQ8AHF09iF6thhaJ7GvDWRrmDIHaqu+l15ob+b vk6gPFcM4OTcVdr7OctC8LYgCE00ORX9K2/MBTf/RfdsBivdhc4YskbLRuw3+ja0cs0IW4Dm9X/E wgjW1/AlzXpr5TeV5+jHOK8EislHaw68seB8XIfXuGDMXwX/cv3lbp+A1KTJ3eJmDWYa2JMD/X4A wMEivMLO7DEO7jBSKYWWTld/kiurdko4FtvyN84v1r5EvUAFBJ+88KEOwdtcca8+ScQhLpfHIJuw VNyllR1wOpMPlgbVggsI5Uv7tmqGBCuANckluVCoIw5KJ7SAGdw9qgva0bZCzR4Dl8e7pjDPoAYm tLvVwUll7nnf3Pzd5mHA3gFvSJFK7u6JsdsGgwBM5bJeiNXlNZ3n25Txge6qqVHxqnVSTIdOyQFb ucUaEVNOVgAG1X/8B/5XEQ1qMrC3WDOIflGBD5s2DW3KiEvG6r6mvUmNIpXP7Lz8FGDDi6kwmKHB sfKK/9ux8eJT99W9nYrSJ0OADTbunVtcatN/SGbOgm6U3Ne3F8r9YL6qPvjbt+bP8rbqm5xKPoyS pf/+EMvl6YMSYZhDxFCLpqFWbwIqdKayfpnQFB8Va+i4QH6zvTyPKuk2VORnD3+D+vZLJwnfgVNu ZJwp/8fYoEdu24K7YhDZRFs8BtNX2wTfxQ0/xqQldP3dBdyidn6osGT605MECSh1XFvCLaybjYbi UttcLUpucBk7CoTPEu1c+h2HAo8bxFyIQrnTpKfiNNxwhB3hbxOxkAhwvwXhpdABFdGGGdoCBu5m ZlU5blnjKyldLEttKIuyRWDxhMGHNMkeUL6nBIUA7h8hSTODTMWtW/CLW5bnfyppO1d55WreLjdN CbPXPvaVO8RLaypRfuWLgbEB8pU64zBWBesFXcKiY7P71v48o72SRu1OK6gmIQS3oKSq80wDyaQ7 8NQixrZ+KNcufrFAqedGi2LfbaIioIq0GbYz/WRL2KZYlConKQaTE84wh2i8zdXfFEBbxH3HJyom dIw/eF+vPZic8kyiVkZ1ypGmI03LKxNFvDLWDwMjjSswJzDFfvLlSPc4CtisvUJwQ5aVwQJHK5AZ 5VGNAcHgW02t/7wRMd8I1KOO7tKfWnDgQMfpYYAuDlJMaT+BnnhxkemPz6jQHktdzmdRFMM/QflQ iiHPZvShfVT9t402Qr18PMtM0Zd8ghCqi1APqzxzIkNwV5Gks2JEFQv6aqTZko25S+/4QknUEj83 2JH5dP3uB4Pj/BojOAGnePGDqQJuUHUFQvMxPFRdQqNeoPV5ABh6L283udo4TE9WxVzaH3dSxHlF 0LccUFptFFKrLjitIkbcXcTcmSvYovujs0PUhkDPU5DLyjVq5iqHdVbqfvdBMv+7pogwe7VFSiHb TIyu1hU9/12nA6e2d8ZwEkcOMRivQjuWxktU59aWgJmpAdo8ZJmk+PZAKXc6Q354GOgW9nz5gGqV GP/yA3bb+GvUTKlUwjJNhPg88baCYydScyHU58bCcmIRQDEmXkpzWCPFWpIKl7e8Fich/M4z/UkD LPRQQYBvixI7JFereaSY7XrIP+nJ+KOv3EEHN1Uyi4Rlg3m3DBJbjaHGAXKY8Q9RQb4eU+tPE0Ge ngf4Xo4zUI/RZlDcdu7NYdXgA7IKz19dofURx9FemJb3n0oSX9sYQ+rBy3YOFlzHsW0qCrhP4w91 R1rQkbFojqpUd5MbxWLd3u5ltPn/7xq7xEv2UIXai62ZtRMwJQsXfSAyZFElCQ9pospbiC2C6r+n 4nczWPsSwRDPPErwT6GBTmI/940S0XPP+uZw+G7wj5os/QC+pjAJ3j8bxI7vEVSTSHJ9eImkH4Bx r6NQV0Hps640YHXsDmYbu+Z85scK/wAWaE9f8UiWM0uz8Jk4/aEBWAiGM4PVlH8UWQpzk18smox+ DKC2E4iOIy27q2jtqhl+cvrunsuwb1O/UmsgDgcoBs8WtbtjVUQpt1rVI67yD+ZMlZmpa4q0QxsT mNf+wYxylbjPgY+Rk/kiXV+840ggLwQCKHRsl6HkI6lBOQIU00tG/uw7FYc1Ufyl/RzVducASy10 PME0WECuZ3RSL0YOVSbI8vSnBTAKA6lmEHZqtircbzlKjakFv8Y/QiQTEG96MFIIG/T+hmP2muXI hcUprZ22Yd2Qq09lZXViUCj1ocHM8vUAIraY+1Rh5rZnI7LAMU9E2c6lG/dEwRoGbPmHmEsTquEO fbIJi7WEQYzi1FTDlVg7Ujy7aPtEshCFIwESL6KjiNoYjJo0+nncGK2fOt5qlq6INis4OrgQtIpm +5S0Rt42rsezZ+ofhev94WPrfo4IheWbZw+hN9OcdPMHoR2Pu8RE99BD7KUapDykC/IUMkBLK3jm wc5B5QJMgibCbNBlxmN6URjCJVu/x094DvHY//0OxO0eWIMOHcPelGwdlQ0K9rJENfzUYho5rU1E bATWWWvWm6xB2suIQSuek9XqcSgJBLbRcQ026SMJroQvZEd4ILmZUoq0YleGeooley8L0oASqx4B hT9mK6x3uMXnpyfhkm+jpiaS9Nzhf/p9HQceQApdKC0E3xST7RHqg9jDwAOD1OdlPDHBTo1cPg46 tFqw2n+B7j4Q9N0uFRTacUSvdrwVeuELkLnbd/Nrh94PSrtMn1no7PDDp0Zv+afp7/FdM2YnmbWE Gn76sQX8fv3zz6qvRoeF43HprOyNH0ecvvCAVytj9UolqH5Z/Nr4mdEU4rj+TlriRKmpZsTKmTr/ tgxwo9Wchw5kAnPaAbFl516uQtH1XqADLj18jzqYydBUj2oecl1GcjNJoQEbeiVUEqC7wyCxbMnw OKwXjL7MypP0rRb3BRdaOtquGjfikS9ObiKDSIsGpnE35l6lcwJE8yZO6cSSy5N2uz5KFPXSxquQ JZWkn9A27u0uyfTSwwhAspdCi7EGWkOMNC2tfOfTMv8PINBVC+VatLBlipHvGiy5qrNtT4/+EVS9 mwmX4xTBHE+TcTWtJCMdq1ifARFN5tmjWAkqGVmFC4vEk+qPSH7FG5gj8z+CykyonILUzI169msU pi/+E5PHbumyUxxI9y3nGRW1gtL/btsgQ7citwnm8SFBO9Czon7STjrlAtp8qqXALwtLZwCNOQHB jSwAuVu0uTuy3TnfleYmaKiYLHJF3lGXLtZeviSkTyYqA+IHq5MwxhR7jBn58m6jAeEKndm2J3Jo I6w3WVcDfQKMRqI2p1YPr2abBr2k/w9pmvj1QPizNxPwZidnLYDoB7Z5jSu3pWmSpZR09MRTGg/o CYiz7voS6Aka/rdq9BgeeBK3dW0BkLuUm6kv4gYKIUDE7mAYEDPFr1LZyVaiAl5Bo/ZiKJoTtkDS 0FsnS3WNnm+pFb3jfOWAG8qqQHOzsa9QhRV5yLIxb71w/nHGB5WY52WN8R378/1yzueW4vQJsdKE fxVcFzt6KTTAlhqXfrDuEYMvS/RkNDtC7bPEPSgSNEwg9g+EpXMoH3u+OqOXLaMbnSFpzHgfytKJ bYfQ1Vuu+CZcIg3sI4fQqjdkVUElKnNMayKo3vp+a65hsEVbBqpsWRUvDR5RkqKCawV6HFP/yF3u eYbTAWJEuo7hJmfhG+B24dZ+dLf1+Q/eKY+qVEN33ZtFrmSQANHSpnwoXYlhYw0LdKEjKOJx4k/G c0b1kGiNL/cUnzA3ZyysArgpOqFG3T5cNCIUZuNcwSiMvgYryqG5BTJ/jzTpAsvtElbVLR0r2OVB tU+biHRTyJXaL9NGDRiAJepG2+C8ARYcKOODTAMY26TmORYElaIuPQbWqFbtZyu8Uxmwsl+HgeCw SqIgq8qQdIdYMapeAPx9c6Bqp8s24eBzWLTbRWc086xtn50ycQdq9MZft+dcc3dzZI87ebzQdK8q jnQDXv2ABlWgA2BSHfiLwroJPhAcPTKjMZ4ZcfVFE7BMjYLAy1xJFYSo6huMv3bdGf2tfZrKgidS HLmDoZtTc+EZ+2mPnug9h4R3RCYe5QEM/NK4beffFbg2+hyq/rkzqXqRp3Ourt1LvOXxUchRSeoK oM4tWe2gxEgwz6hT8AugdKaw+d59z6SmnZNADOigRymzAZwdA8EZhHYtvfbrauPng7ltQeAO8X0G p6v4Yblch/GbHkNdvXIwcdCRKumGhz5ETCOkmB38zSwBUKIxTG/NLA4WbRDVs/vP4rXyLIwtMsTB KsQMZDs42f+t1FnlxWq1zjmnjQpfaNr9KfQBXUN07Vv+VIaJBjboDGeZGSiFjfoRxFLWYvClUUwy fWzTmz3mUs+zyMqWRenfX82It0jsnUldpyy3/TwOJ/arSYGchYt82M+kg2f3I5DDQBNKlGyWY9BF MAzC+cEP55GxwQqFt2m46lryMJXl1QPsSJuXdF67IIyadCE+mF0e+IsZAyXtZ5HNwuPFZ7Gxu71G eYu4Zuso44Rrm3NSyl1qRKhfapVEKhk+z1yEg8kWcLfBXomwAYflTI5lUny7ckwD7RRkWNbKLAj9 FCaXplUQmv7mtD4/Dd64CqZvVKneNkWE421oWIxM70GZIs3H3/sBA9v0IrglwfM7A/MCM0ZmuLAC 80kTpDmsie2A7qD6WQhLx/jmzNAHPoF1AdZwAtO4ZE5BSp/ueruU5cevwkhp2TU7Xi6pP6fBWJYz /nAC/gGZm8UhnRoI10KJKFeYgXCurwArSbT0sppc7AYbO2kwR5xwdMPJOgo5P5DsH3asvoc+5jAs wIR7Z9dfvYiHeF+bV969QT/K3o/IGaO65URID4Iw4SOtF9e0o3wkOqz0D1KZ8ccXDNSA451tFk+V 6Tceu5yZY/T/NuiEJKuZEHRaAE4V/H+4likLmCgiAebONSnjoUFO6/hYB+xVsS7VlTgPglLrSk7t eGX1ZuTGL9xdxqlR8KoLLZhRQ0str8rLRXDcRhyKh5VhvHmgyP+N1cb3tiSSsImleRtEeZKajar3 MBTfIIPS2SXiYUfyb58lROi/WKEaSyEtTQkxk62Ieu2x/ctBpyrh1PgJy57aTgurM2azYNRxkvsL IariqC8Sidi6aVaqDrSQ/kuCpPGNzCY+O+QCCXrihz9r9FRIASNQ66JqHJsiUsQEHInF54Nr2HJ2 OkwWVwrWbOj24Of73uzE+kbHL1xrG6syfx8uATMiU8VqZ2NGfC6B6H0goCYeFKA6FkHjhCdSbd4V RxsMK3sb703Vp63Efo4qw3A5cVlCUsuibpkM7CsLe6nf/sYC0c2qaKXrKjudJZq7wecq50HIDZy/ +6sd0y79UM9Yi8/MgE39fWRPuuEfomSFhgpa3vqsOmN/Icb0ddztO1bM5+YkFJbCt7BL2UdHjrLF MdafjDtisMauf8ZruphOmV//ZzRFHhyeGi2HdCZRigZRlGLPOkQA5GsGXN1/64YaZnIabMv3GDd8 HkbOws+USh9dPwfvgDLlkCu58hxxaXk5Bnu87kpQKLoWc2IDDgNiG/CicTMiiGwmDNGBWBz9WCqG 7Pa3SN4Sz8IaJei9IySGJZC7UG75FHOvz3RR7k0ENjdECzPrmAWd1YUGdmQXDusaLT+EtB8MXlWv IQ1eNZyMyoRddnuN8jtxxjUPTnwMYscgceJipjukbKWzO13HgO3dLiIws3u8XHiR8jBFyubXdjB/ oZOT4I5iHrBeyO1LlF4VKT1V9N0vw23cgSO3JQAafY/sbZDpBkimBjEj+kU7ZEc4L1Tnm31PIzAR JveAZilq4Ykx5OCjV5L/dgLBInLWkBJ1x7nWJCxZKXJPQuR0AC8YV3aAjRTKnRTEFRyUGKAYeRnd 5y6uvkIRcTCwj8yXinf5gCwu3yNlRN+T8rcQMlCcwYoBCbAbcvmEWjwzpl1ZjQZ4+AgeFD/b3rsQ PkPY7J0gOjpucoZObTMeXSROcovhZnigRIBlUrbDTR1x9a0arEQPNg+5JTSlypWxl4OawWuMOKuI CGt7Q0iSksOFMCme4IO9m0JanEiErQbCES2Tyo5GGJRtyKLTUYYFhsues1IpLI5pFtyUACpnWF8D Ncz7r14JJWBy14KJ2tS7LnAjjp1beN/KasHcsm+NflTNTUasfleTXNf3LegX9pvHswy9qz6IVURo sb5/lZlsSlDsdtaZIvdv6Y6SKITPQBUKFCza85l+89j0O5wLxDWkIZz8LZSOPAeckbOKFrJdDU02 b54L4Yvq6ocOXrWjljMuO0b+1MYUtXKZc0tF7m3d7ndJVyYG9a+/jAfRZw8lKdN4xwxK4ERODvV2 EuPNHoAbWo5969tqGvhXzlXL/DE5yZxYr1QDqUQ6aOGxan2PEW5vZZ2Ph7OjKaikAVRaj6DZDZDb 2S67RJhH7EEmsBGIHqdIPUxzi5XUIK4IvxBjViudnMtMM4epYA8sqFYqWDaekHO6ouaffwHJoTZy ljnDiUhFXa3OYnWqeoCzOIST6SrWC2wW4JFHRWGdc77XRJYp4gF1KSROnP9ZIFEqjrysMgPHZ/5j FvaDwtrF7AvEUCTcQ07w7Rfpp8hQB1pDITFuRIbdd+0c1FdytvTbAo9J9Cu0sLxC46Dy/i6dfute jDkTkMRiztZ02mTfAkBLx4//kciZYbuYqjt4KdgKMVvxExLHIrUpXOL55zCMkX+CNyzEEjhEbS4C 3ysw+jtP1jCkIjmrBgbZOqJ/kNBQQelozjYve2IFaxlSq+FTJWTAKmpN8iEgHcxTx5Zv0kl8MsD/ VhSPut9tmVcw3kR5BD5BCagv1BB4z2GCqEtui1vRTSMBMZiueE/e8T7r1e2gb6NY7kKZQjigcZY+ LCRLUl/UfdkVTN2U9UDmSTi7iDlHabhn7ur0WYlDdhULwYvXgiOTt6/p0BiYmKF1VHP+FVXsKNkp V25w7u4BM0wu2fCQ3zf3lTITEDcIBbsn/XQRza6KIldJJTMDQN4eHAGtZQjYgYUX2i1/Mnx9I5pL nJevlzF1r4sk0NwL8maHuWIZrmeMcvBMNgvxTJRssKFHnYZWDSoh/ITZsXGmXEREHsPh1xnhwett jn22fKlNVayBcA7QgE+UuLMkpbNrp6qL1Lt4PhfW841IKwnXvRVxp9mkR9BlMsxkwaf2n4TVX1ZD 8eosRTrg9ylD/7lGThgCAOWqODWFvX1noIlPtBHNIEmw8WrdFcf4mb86CqzAiwcDPgH3A6ZVhI01 GV28WYev7pzrd/az/et5HatA3wyY6YVAPEbiFparKwNU32ZC6k+O/VvqTgLpVIJ37gKMS4B9/z8m uJblcxDDfQdqMQxFwnAlgBz8EioFOg14J5dSOFQ389vaNQJJ7X0AFoSW5ot0EIP/gOG4FC/vpXPk evYcFN3XUn+gli4zm2g9iRphyFCc7X1DRclo2vnP1xmJ2LWpAd5jcVU6EFJAbp0CIWtL9itGJQSE K5MsUc6OYZMb5b/SuRxmSJ53oiFVFGsvC/d+X09iSEVlnHdFeB3hLvAWdiOrwGLUxHW2SlvPx+ds rq7nWhGpk7L6OrSVz1FbH3UFOePZLuqzguI5yMhwwHxV2ljcSkKMRx8v10OKuyBYu2kLXGAwSrpU 2Y1r6JDHEIszarx/Qdt0uyM3P7RalptD4VbOcxpgBr1CCg6g+qHAsjNsEhgqhUaW0Fy5weBhTzPB 6Rd/jYMJQvnkzVUQa1OWUx+7aXeZIoec5GSFzjIS3VGvPMiT5vc5v93G141MKt89+TtefkvVLJeI 5QvPkkrwuyNnXYL6u1MK5smEGElJo9Tj11pI5ReqRon4pCOB4ude/2GF1IB9JGHPJRT3wc7g/NYF q5pPFGSdQTYw6OJlzvYwPvdvO+jQGiYSkkgpBQox/84Y/KDDvV02ccRzjNFpn04kyEnMUrXp2IIO UJwbC3X9wp8W5JqonwWSv55JgrHWxzLt2j3xkZEqMifS6moegPKs8j4W/S/f7aDfIq2fW+8Rd91s LLja9mqL5r6t356KhH8oeGiXtCcfQr4Ujr8FMk1oY80h4seKbueNS3iwQ3/zlb57qNClpmwaQTrV azNDH8KrhjTI+fFObf7VbhoNyZ1EyjG0RqHfguq9Y2y1hVf0Rg3qu3viqOMQxUKiBDdpaHoesyOZ 1VIxwKTlXmPo5DzN8IKY9M33Js8Srih3RlClGpoc1i04Prw6QCJTZqWAuUDzGQRu3tY3GRxC8+eN ZF/6aw4XCPZF2fQi9PndgwY2lCLQrr/hdUCLufOfgv+HCci1PUKh0eK6KKFedpRIJ2oVyQH4lBrK 1a7OkI5t58xUNmzVU71oPNJgASOwCqExMFF1IEX28b205sJ6WLC0BugQ9lWGpakgPI0Yg7kUKsQg 6Zzu+UpGWPykQNz3RvdjY3uM6SUkrmMGdVLC/abUkE2Sk2hcOLLPnH//RlO6IkH+CMyUgK0K22I1 qfQo1cSrUIDKJjjvCyW7YJc6kb95b+1zvcOePLumYC+Wyc7mnUfFu53a4PtYBcaZLUtT/YOHQKWA iaso6gKVU0sjsJAjqvyd6ym9I4Ucd0kvIPfL6QrhF6ibHCHkRqKrVGZs4PwbS+y1X0zI2/eOIxNl UDHmRTVgq1oE7escYQ2+RtojofJnZS6Ms0Bi7P8dy4c9yQA5kL0DGey3pWZQWLmILCcdu0LFJPf1 l+Ufsm8a3tk4ZFjkjyRzEsSuVCGf2poiJcZEqq2lfnUqgc/F+ige3/SCNFRfKLOqmRQN12JNeCZK o8mpMnAnwD5BUf97kL3e0gdp4t+u42ltzngu7xLEe+ev3PjNOZZkyixt61NUeMiX+U1lERUi8PzL tSPFY6xqJ6IPJE2PqWm3GET52HntjGW1e4Kdih1ZP1QSW//HpU986jEmIpmmbDx4x5I27c4XUQlr XlobpoaqKpGNdNrnf+9SLvORowvR0V5AujCSYMWepFbgspPlDwabWFZIl4nABDP0K8sNXrOcwvJ/ kkOytAHKcKt1Fr2oTggaLXFqyRLuAGq23/SmGc9FtrYl7M9yeOVqcSesVyLG31M6nX61X5sX+UKj HtQXDfoD6D3xkW7FTEqi4h9TRDxdwXevUoP6IO4aVH8x8A/7S3UBwmTljG8rd/5ryGzTR5a1od+7 +DsWvfD9SRIhPdrCWh9YeBYdjDDH+a1ZHVJvYEo+ICc3gQNYlnV6p31zoNBqlP1Uo16DR2ct9ZN2 qZkvp0zjGYYkAY5A8uYWTSGdIg7svOV0saPKkXaNI0t4rWomgHGH0qRc4lhfWKGYY/jjDV2d7Yr5 vaBK1wFbRsqrI6H2hZ5ltKIR3AZiyJB2V9SqXN7IYd+zFhLyBGgNHAyxZKwpoeY3uAjFwiy4dfXI NtqPtoy2lFlOJjTPi1mqbsM2thl4ZBzVgPGPe8vFnfOR7ujNUwDR5QBXl9HR7s+MPihs3TeOh0mK uEGVi9mluP7UIEKB0OwDmXLE5kJ1cWDYV73Ze02EfnQs6s/zAmnAvo+S50YPXAJ/QYBFs7SVTKBu AUH2WmeeiUUTtyGwLf43ndA82lecykKw+OCwMaY3MF66oWkq39uvNTgDScX1n127tLTO4EJGBqt0 W8i7H9v9y+epwJfmtxUTpjr70SUebEXp43zYhaEGtA7otVhGSO19UDHHkKRnuBd5UBHz2SXVNanc oz9600wkjBTegjWzUS5GuO3uxpBE0Y2tJ+t9V9Fb19fTAAVmcbH6ncReuKayGs6B6Ive3cU10S1p bmqLJPZavAFjC8m3/D2wmFojXB+CyXxd1QFj72PFV4b8gRGsNkesOjjWSXCD+vN2kHWvsIRh8k0b u53+2OdDPY1atfEYwNwoMwqGoqCPPKFpArbwW0b66NqQmLrzqtNP3++vbkgacfiKM5e2NfYQDdfN LCLugGtL96lA/ZSRdeMCsbdWtiYHFrFUUCgt2B9MuDreAku6Sv8nfr8hNQWfOJXWXW0ZXowDlHJz 13LsmE/jQswqxaxY4TPG6h5IbxN9OYvQy3P42nWoAxkBmNw0Q7YoJA3ifsfxExQO1Oo02iQ3FbLN GRwfj9Pxh0/chmsMgckLsHCwwOBTtWuqF420R6vWh9giHQstO2RWt3gEByMicvCj2uo1/10UbyTa 44l8qjJutFUg/zN2UaphXc1OsXTVpZjkk0Poare10ViU1BxZxeIq10+c9nsYmQ2H6N+hTnqwiCHt zZFcE/cfyC7emd/rIN6zD2QeStWL+pUYVFF6r2DHggPN52hiv1iFWWhnZgDcjz9LeTRANFo0vHmN 0DOZOiUmoQI6P+Wsq1Hn/uLKXJpNM0Pp5CVHnwnkaCESfajYd4cG33KTOP1EuPwLJsjSiLeRiXKn KuO9+Z2EBWsKupIn4Or7XQYE/X7GNA2eIlkc87J/L75NBzOA5xQjed71cESxeb7w1xRnweWwrLuK DOd7Gf5qJLyNM1+9JLuamNWYaxKgLtkhIKaJkXNYKwpOhhTZs2gEaeFc4DmxyDFV0XC4m+lTqqUR HAYz5eVYJs8Nn0jIXEHW5zR74m8ypny0iQUSmlBomGrxVb9Z+/hMNOnFpe4P+qKTXIvf1fFPq91M m0n5Ayr/0eUEj9uNClCQXw3lSpqNfYuZyLhuJTtTRAsllfBVWPQ/0/fTmC2bb7pqTNomruOOCwcL mlIshumzLxb20pp8mviB1PaPM7DFS8/SKJ1xJGLHa6Ory+f7OOeWZcnT48lqt2BTMjH0Lm61EbJZ Oa9arW0ATb+3zVnyB2EAU81Qg7FMj6RXHyO0ydz5oPeL7UGX+7t5FuuChyug5VmIbFbdBmImM3OA KWkvXKMcgWZC1LibMbOxJbiANS2jN2wsHqHVsPWkG7z/pC6/9hiJqb5y82v9lKeEemRGxEWNgUv6 W2x1NljT4HBKWEDdD/EBElTEbFBWzXWu5udsCG+0zZsGoBEkI0GAoYg2SNzxau2MJrMb17F6pLWX SjkhTc9RKRGR8XhCHTWXswgJNYPNSpM6632XoFcjHHdeKeC5oz0IzuicXbZFyC48C2CrXzT4TWc/ LVXgKA22UJAzOzGYqdZKdBwGz0nhq7DfnLGCs+7FtyOwhlrlMk2fg55Do/JgzwPEzQpMkSmpEALn /4UmiePfY/kjOFF8LAYxlFcWCIaTUOwd/gyS1t6Dgt5S7RGwlqBgxwHTyEGy5nrKIXxf1QwldPQ0 wlW0LiDtz08lizHU3GJcyy5gYIMVcjjYX77ZBGeo+Bp4xuxHHwpPygHGgbf7UmStbJHko1gTv0e2 +dX8wTzr2Fbw5LB/lDRjS3qirN80BndrTSTQ2peJT+7vZ8ygfUnf8/jveAO5LPPXnFT5wjqlgs5l V47JnZl3cjJUaxzb4UPcMj18UX+khpwRWkluu2Qfn3gEqxsvqu3zjYHeHEMnJzoB85Cwyna2+AbF eW6s7SfgkQofl8QRrvjMNln+f4oBenVjeXNdJNScD79Pq3q4y2iC6++E8Oos0Q/vTnO69t+AJKnX bJoWHRzYXm40hcXnWXBefEJu8rfCUhh12SI8PzA4GGafs/YdSV5X2TJnGqZpd/MufCJls5DxG56V CFQdKZo4oi1E3GrpvVNoyPbLOBX8gPsXZG8vkYc0HGmBbvgvQQXdonZbRczxcXde1VyUX0/YqMJX KUfVj5EOoOmE7l2o52KE64qdhcBETGLOfmBmQi/+PYdPb2wmEwvM6ziXFdCDZ8VdEJtBI/DBjUKX 7mCTyobbLW1f2TO3oKp1J+6Dm5K+J6UWAJ3iIy7lFsWAKFS7hAudZUHY53EBAS4WbPW+vAzAWfWI Uu+pM0zmyofRJGhkDPpKFe4WdX5cp9sJwEljrQ7fxHCfhqmsSpIkxLszGF7YfUJ8JOYtsxHmhKUp auaI67muI8i7Dap9f27+r3qYtT1qUpJzcMCuSjeHhHCt6jW+hxRKXD58pAhPJbzIHD0d7SAoh+Uk A0p27nkelDNVu7LfBrgQH2+3Tiw0cSlDPLVIsqtwE0zBbFmIoZv1oGUXVBD+T8yPfVrOkzYkl1V8 /nbZbPOyKcw9MO+BxtdoG1Jzf9iPxWSennpOhxI/XDTpnF6FLO6n8gslLi9hKengYUKmGz672MZo HBZ0UAVD7m/8epsYllfjCdXM15bmvKYCz3EKmv71gMyejynbHwQQSBzuNVaKimfqh/in2QdBNJYI 2fpcBbSSjrCr/1TbwRWEZh+wjrreCnMQmiedoJRsC2MsqVuyVRq/GnmjFxfDDFnHHoMzT/bvp8oQ VHu6Iuuf53JY0yNacpKbIDtBCNIsR7gvHmWmsnDQO6GwW2c3wveZ9r3sFwaf6uQy9ofpPkNu51XQ 5+LKpa3Fy73n8IfLIW1IWfg6bl2LMNnaJIL2ZdB4dcrkm55YEiCbb0nsWN7wNR7kFKBBYPP4+7RO Ye8NO93lSele9+i3G/Uf3RupFE8rETd/uWA5gJgYLQqijQdsZNZZfP7Bg1ThrMTr3Gw2MYKYfbpz Oc3ugpnZRIPJ/iHpEwCOpG9ZIaHCNjxfhp5Z5FfpFrz5U159Us4DEkng5B3vVsNzqcE06DANcfiZ xoX4roG8I8F8BDJui2QNlFn9N3F36tclLTjkS5d/KBmmiScLj5J0gAqbkWNw+QmT6cZxTr0eeNKf qdAF1Gs2r/dsHkhQABbbAfar6VKW4HTMF1ABaj9JAzCIwDqpBOiNVzYKEdkTiQbnQ9Yp4J/qMjg4 UEGQrcPhm4SuIJk6QVDPTsR8yHyqo9uTX3S5oUcqmcWsfBq7SfJCVJvX8yRQgxCJC0Dy2BsLhXLs M9nIqOyYS7Nu1ULEuUMuEHOkC8OBL4TUgVte1/itdvlIOn1p3P3vbl8u75HwsRTzHoeFVyh1DlD4 AVH4QxQb3JXPv+F/UmZVTy4kq4MZwj/dvyM9bZm9kCKoEKZBo8oqbHtkVMBxcBWLQ5YoZtt17jgS tnVOZ/PiPirrfvs7X0va4NBsx4intI3cKueN3wjFckPl0OJZXx8QkvnDf1oRXFD+M33PsaCY/h0R f84fTKuynGtzFpMnP2e0ofYe4L1SkJ322qgz5FlQpFbp9/Xu9set+6v4aXhZ8ixJRJP+BHvKeV+j +qn/jdR2Ixxz5O7p+csRiIVoyM0NBOas4aofFBuXaeE3wZD5wH3nB8ZG9BAoVBYAl7W4ghjTGiRY BE7n4gMJWpaYD/emTzbctXJ42oaEwFmgFpzmn9ISp249jPKEI1KoTk4iQBKk+0XFwAwIYYYJelMB ykL8aP3ZX7Uy/GKYNJhcJMoaV1IDgEuOYO9Dn087lEDj851dUhjdc8W1jQ1oWRoQqNxt/jeQlAbL bdW02yLXyae/va1Y2WVySpLz5uuuorExFA05STlrQLfxthwyxsWVCrUhgfNTjx2UGRJuB1g/VH2/ PcZLEHfxtAzKu2r45woKyBF0Jywy8zLb8q+0zGQL3pCIAcBR/G9uNcJOzKXYyqp34vjp7nZXsqXW 1RDl7h3YERXdaFzMig9A+HUPOIH0ddRH4LVU5KNHhO6SzICv+C/qgsuA7LctISq/CTTP2uq1Xs2m ycauvQQR4y5P4kRYqNs4dxhliiljuNv5Utwd749u2vha8lkBbFFYkDhpsIYJUrfXC28ewluJQDaS 8qoj9ryT6xrqb9XEN6YuPkF4UqVoaVSHz9St5IPDnX24iGPhToMEVfjy7WKB4HQ9gDq4S1dOUhoA gN8wpa7qmCh98bbPl/Vea6rhd6IxUXVJJ3al6fWO1MqdL7qkc96KWuXuQ+sVD5Kl2shWCduP9BuD CU47eieGDDGCsL0t59CAUgS23LoNg50ZlnK/pytD012aiw7gkPYzhdq6UncBQ8XxaXc5Q3FMpKUQ u4FTlmSX2noXuoK3RU5syARb8DueecrTafJnSeuP1Qta6Uu/absoinBWEHGTsMfExnc3iUPjD0os SIACI7ygWQbpJjHRLGmXd1KKWOhAiGRy8PkO8mm06r8IKbtLti/gyAgg5ohsaGQs7vdqkKEA15/5 7Rs09ntT828rOGtnqmgMKT8Zk25/8rMZBm1fNgRnlQOP9q9pqOvn7/eaUp0FbPXbffeu5UYYNvuT VejP/ZjarxdJPMOWHFNPA6H8UDbPTC+dAFtnPzcdOBe4TBPLKDnuvrY90eqnGzQFHgmtceR4FVJr Lpc6JykT4Z9T7hjkFtZlpJ8N/bUsSyxUYHGlMAFZIN5MCxTByVXChX68gd2cWsiYfOOcEfKGvMiD wrr+j2PnA4G87ZMrQCSfYqDOdDAHG7qNQgMiiVdy/vLM31WgyhwU/b9EOpKmrAu5KoWisFHyypm/ QdMU94i/dZV8bsvCeTyyfrTl9XQHXVqub40lVyWKpZo2etRO//qCL1JPUjPLwdrHIBZwut9gqYqo QkB5yGA7WwfuQS3sFV7lbgJYpGfm865jPIRkwqyn6XYxOMiSTbBwqqaX8MmUW2oYp7aOOQ3OpsHw mjozXAO6UX/T3VTpr0TF+oA1ml3iamYMhg1kE241Mlm2dZyN/6E23PNltNEQUi0ufaccetwvkDBY KQxOfz1B+zjP16P8TdpN2680TW1J0O8116F7NqYGEuw5d4V58fxm96DEEgQa4kkFCHGa8bYq+kpL xUp19FXs3jPx67I6JUxNjw3l/GZILCr9eLH9jNHccgVFAGa8GWM4H1cZCF1n4wRfphCf/0T3S7Dn jldGzPNsjI9orwkwjbBmt7efepMGx2CPwkeBZyXzv+Wm7CZPYJnSRmGOfpMsc3Btv9UhWYpbSS+s BUxBH0D23pX1wL3tDs/XwWuShp3D5OPP3x7+Td8DG84m9T8yRi9e3mygs3Fq2jyMHs8CnW0v/TOt AIsXQcwVPdnBEqZxCgzstkoj3XRm0L9qnHbcu7BKhXgZN/NWvOue8YmX4fUSv55pMR7GLnQvUAze IMugcxN/+ITZAja9dQcIXRyN7P+0W9xcv60qQEylZR2M3geZFtappwnWuyYKI0jS37LRsCNeG97j X2Lnz1y6p/cjtw3wLEqQFAg+wu+NdKp9bznEsHIrUcCo9eVCRP0mockjAUhUkiYmrmLWnN1lmaob +4Fujwh0bsfaHV1LVTQl0aW1C9I2/evoow4hSN/ugtzm1cmWidbFItPmDCoKTe4L+ymIwXH3lukq cm0oLMuyunK8+krl6RVjhW7hDks/RaQ7zQekiwQAMC8czzfWAM30GJ7faE7qg+VNqakxer/vhl4S eN8ROM6upiLPZix1laWboTiw3lW2VK2EdcIbU2E9sumNLtsiwhIoDIntArxE2zlMtv84NfBUdnDW UthcCgD2+iS+Z1Wqg+x/lKHLrD7I75fAaC+IIx02KnQNMId/x1+4LLpiLRioDCSY+m3qTnXjoKou fmWuelN1lfO2K6lJZIHurhbHIPjVy/ywxwdZlfw5C5rg+F9qFLqtW5t8rCTXZg9kOCqOHnrs7Tfk YlculhKqVnGKKgz7o9lr0KotRiqD8pDniHaU2PtLcxMOgmqizlJccLPSHBQ3NF+g4xLv5dtBnDjH xw79KtbH+D53rS6wXkRs0oa/HosUScNITgeRzst/g6DjfIl/UohFvosBvUtRfOQQX29JsfyHj+ce aplzvOD7TXVcEERy/IrCyDtcAc+7aDS9hRNvUUhvaahTvprn/vrAbDDSDz+vpkfGMP0vpAPBQA5x RoTF3UYBzjCKu/OwC01Gs62JtRdz5ZaNtxs+yk1+HIRLj/F1n5+1Lw1f0cc3mcPdqn4mbhgnubzc J+SPMXz/oj3v9HhpYQNDbAYGh+Rl28SCj8uUqlvPVLetUKxqQiCciu7NWRiJQmf3AKHf2uArYca+ kH8eD1LhnBpTGSo3/+MbE6Gi9x1n9gWC1DEJRKwTgexEqxya5eNvvYrcLf2AwUE6dOkwv+FF1Nzv KwGDJN2FAFXpTg8Py5y581GQtvcTP87nNqM185YF6LPNI/rn6lyjEeD2eOMIu6Sf0RsVrwLOoc3s QmCUVNMsJgGCxPr7Xq731hzkFoZA7EJ7oO8NT+grEetDzpOqpIhrl0FSDQ0T8hfNhlxFYxyOaqmx yeE8TTIq44Z5f5bhbN5qg/sfpnJFsWWVI2/k/z/9Qr4nbvf0W7zpd1JsBdv9eIY17btu/za90kcm XP/k4Ppjpu/uXJYTnwTC76EhyNKQMtHIRWJlt1eKQGsnvRvzbaXJ6Xf3OKJIQHAbFy3gRqs98Gt+ U6jftPluKs5gwbsGZFncpfkO9R0rWqp4CMssRFAvK2O4kCV6tqyyLsLy/fjZoGOsnzledlOBenIp nvzh1/5C0DxWSbPsrgm6uLxGp4MvbfSn2AV+yQtYyDGIetSGNhwU7cX+b9g0W+S0/l5JmXmTNv/i /A8TrDKHoz5wNwYWRbe+AZXx0NcuOqCWSdYraH5HWdFb09w+Kme/qxuAGUixNYMWch90903ZpASc sWCyUQnm3ocpvl6N7WnEXzAhHkTvVT0BmA0QvxHTe9EdgtwW7zZdyFlVFkCaFqvMhJghZ2lh98pE EUSV2uQRJGmljXXL1DixXFBhfiKcGXub3A4Ng7aVv9IJCNFr3MpYyNE00952SAj1yPiFr2fPZdxj JGi40xRSZTkwKnITIFFGQfePjCZCgTfD2VSsGiOyhrLBn58SfCpjhCRjYE9vYJKOPpyHOFmWQ8Av iRhogm4hV1ZSUSrSLE9QaBd+bl1JB+EgPAHNKrjxzDpJp34fysgXq1FwSPxQrJY5zN0pzHoWHLkO Ip2OQvmgta+PgL4B9bIMkIbYkMxqd5QCCktx3WhYzfFb6e6xp9+ThVKOF/WN7Ts2VsevG7hdC7a6 W7RP2kQ7wblC8fBHr1kZ8LJo6M+HVTGZmZpqipKjsnguZYLBxjCoTTCwllruIEwocN2Vy04sHbY9 Ey37He+2gcsOLqY/MLI6isqYlPFRVe5YQ9RvlAGZFIVB0n/5G8uTefryLc3IE8l4T8b9E0uUKC1N kMLRoJCfrMeRT0sw1yygKXSd6Ulhq5dh/K3xyDuBJgVZmDl7oAzMrswlJpqnSh+3Lgc0nVruheH2 OLkb3eIpraA5fzHg/hPRx6XzeBQeUO/BPuB7Kuxl+IIJbyPWarEnKNGnvUW4sBpEkw5zvn5X3kPH N2M4qeAf6zyVNwK6N5k90ZmDIcft8xGjNfA0sxrDZyZfqwn4zR7rOFn3vCJjvTweIG4l1VDNofwT B5OvxP3CmLja8hhFVDXq2/qBzFAw+YlU1OGeRNE8M1cC8SzcQy1QzyXtzTbiJsyhIR1Fnr4aIfB1 YO6ikesUUabYkYDlDr079MMXcX+9gX+YzpczsHUh7dHPZ5faGukNz0HRiMDOkGCIHn8WcXI+YdTy Cf+xaPKl63MW41qdG7Ree2oRO/m19i671bvGeSO7kvFtHBXWTLbu+R+nnO+d6TIrltgRjs6CA2jy PTSKq+7KLEJf9R9KKuVEvRfZRhFyrkvzEZ8m3Lrzaqp0HoISMSmPh4IUAZfL+Do4Qjs8DGmxXK8n m70SLejxSF+8WfsFuW6fjMwmVJbED4z/DyVHkbo1d10Owv297KtBhzL91JnN3Hv3QoBKIVHcr4if KOv5Zp1KTalC1ifk7f6pRsTLZHN0asYHMkSqMVQn5pmAj8kasLD1pYfYYwyrlw8pKs2RakZTgMJ2 Kb/ssKNsbqu5tatCd4zkiAVAwexMLeZ3HHSZhOmCALXw6OAHrlZN+m3ITEZPrh6m/FygA5cQ6QFI 2e3nCQBBKEUe8qr7AV1GSYh/wMG+4GzAx9nxhhRbSQDEelvJoTg8xTMlfsFhgNfBtfbLNOqouxX7 90x5bUyeXt+wA4uMCE0FnyYhdYYQyPtY3X6jrCyuo8Iady8GQW2HNjZnZKSP/LdWbutP2b/LATXC pD4rAWyqQWOU2p7K1VFJcbabQ6uEE5zm5UVdBHbnw5nylckl3VQWNhLW59wHzB6JCHCHPJUE0T8U bPolM4/y1wfo7tvHkaFWRWpKZ0v455sWVOjPAc2xJg7MSoS5yVTht8VxAfUiLwu9HwjEg5QIE4Gh ANyByhD2hb8YgRTdh3pYlC0puuDLY1e9frKHXeTbdoKTUnqoSywld4wux5cSSP5GzKff0sqcRIKQ u9rVJZ/d85lcNlM1DkP/uLhoT3gCEFR077IZDMo/vKhrMq5RsUFclpuQYfDHTeczPva0OvqHz9eU 0RUgb+nQJllL1ca56XomQoweTgUWkYjXrDOGt92HShftUDPQFnfbQqsK+oiumPkuv7SLdgefbdof A/KmM0mTXJ9m+4UqAch6PmBz+TPwooiRM1KdiWsQF15aLLAFvHYoRyhvL6HDQC7gUkI29QLmYQZO JWIGYR+yJR4QPNbSkzl0TCcV654+vbDuHZ42tc4b4nkVUP+8ouWl/6P9AJEvpebYhXQcyMPRIPbd mPNQND2U+VHmvPN1VZVYkaDqDcXlOaGwRi5a9zAOih1RqWmQoAFC3kTeTTkfvGrUHSmS/BnotWhZ mHkWlYxursa/Pn3nzYjYINAVbs1S25ZFb5+qNbTmHlQVhkwxAvDIoCd+drDEixW5sPZ0/zRqJGhC 61EnPyGmUBoMWxHyZCGTEYoBdqydunHGacVGkYTBaWiFHXe++mHO9aRh3WfRU2AeOlwVfqVe4oB6 XKQ1tZis0ie3xe41YzlWkCpuQ69VpX/KQyPwm4XoNnplBeyY/0mbFGBHiUCGYp/Jo5UZDx55optD HfIziDdsJqGWCvBSAK+L5JvCC8w60/BluyNUo8BSJ04UQU6prussSmWv0gH82J7U7ort1ghUQvl4 PEuveVkM9cGuMnAsrpt3oJNxV2eW49N1XmpK/MONyvzAmuu8dMmV/KsbVTAt9yq4FhRfxgxPXXhe wuLKKnaYl36wcD1xHez76I56QzT6Sr27tbp2TtYV7r4Vk0Lik0PQ6Vk9LfSfr3JjOmw16P8cKMni IbsOYwKmE5ce8mynEHwkinzLBzRuNj9e1ObxtP5zNYjOGgY5sCjJ7mVvSuQijx9dTOSrqhzIjw+W E7LBYpjghGBIuuKkL4rgV7u2ZshZdUg6lEwSVZM7bw/9oK0c22na8XM3isvtd8c/yX3uhXq524ZO MtnUp/9kQ3DY8Br/5X79a5t14QKfCJAm8O2RrBJjCcsOcW+oRXsydFtziWvoQ5OrmoBBwPWBrqNK LWcg4MKY2Q8N2fNygjZzWdL7xfBaR7sSyQdd8Pn0FMgsPNQD3QEr8Ppnu31ZraSde9jE1iTAPRN1 0Ue44wOKO7q14EHnv9vA34PF2hKCFpsyitZbaxGvwIWocvCdVWnPLYSu/NwHb2KX2y1dYFF314aC FTVWNSV38eIyJgQxTEfMzcUwl1vk/e7GJ9MGaYqPDlMgb9ei/JMd9LqXOacv3AD3APfEzPuceVsb 5/vOMdJndwsZusOLV5U2kcCxsnIK/AHaTFVI+T2S6P9WOGcFiwF3LHPFibLLHvn4UKwL32cTKkvb ix8KgryASdbJTdUx2Z2XX17hqe9ZwZUXXBmg5970H84qJflsQ/73fOBkSaisdn84Ehk9UTX4LGI2 l2YIAabtncoO+cg+TXU0NL/lQjCC1CA/fKLxoWX9juFNuOwsmaGg0TySHIBblRqo1b70uoPpkguA g4Gj3uVbAtY7Hiygy7xdDhqBAkcKzjeHRvAtqxpipsWonhoY3slckpucD4MaVZOVJ3TKvPXeZJTN Xm3NnyvwiylyeIqbwcrcDU3yad4xZIwDwB0f1yCF9gVJs3b4ODtvHlZs8WfijArCR/R5Uwkh1TuR 5qFc4BRZPnx9TfKTNNQJ097OGJN2VnkioZAZpierujSqd5UrWL0cX3bgVnACAts/IbR4gO3UHvxO Ot0qqYJw6BazJruLTlN2ACc14Ojj5venJOSBnCpjH5zJeEr8+SYUQ3UKvOb3AfnTKu4UiC73m/wh mS0JxsBiNxSNjTPybVasgnZEI9kFUDbiThctB4IIFSnw8hs8+L5GE87uNgcxXXEnZoeDVbiHzAUC DsNa8TIhwOdw5bB5YCQAAAz7k2pb7lNhu6Oa2t8lo/doR0msSz5Y1Cad2cxKLl74+x16AA4seD83 ngqbYdtzVaG1JryXTdG+fD3vLnWr49mR+b3jNekXubIT+az8iXxlN/yydfnOSbnLesPxzA3zVALU JJPb9jcp9NVbjZC0n3doFZ9ICdI5ASkZ9wFlPU31ArRQGOvuk9o8LHZz6xBr8PP5+AyqRBzvu8vn 7koUWbt7DADhgwj7QFyBXPQ0xvIGLPiln5vQ79Ss48U1iaIURpHIehG7XPHO6OF8zG9fPV3N0evN iFiiPR8SAWSdC8neAXMq+Jqu3Uo9y/ZvQHXYj2p1vbH5E/axy9wok9RixNRJRS/zwr4WdCyH1DGU z+xkEmbsPsjdSSZas4ItqZl4s1/8oOxN7wSIRPMiwPtic7GYG7X5tEVb+tAVgQIaAd4I9vRpzPO+ i3OIzuzuTTVDZjQ1VL/rUNShiXWi5njhyyJcDR247lLUwJFwx1mx061dOAoyAXLg67CE8BbajZY+ it0MRwFjwehPvN8GiIVn88krSGAkQYsqDjpDIu6xb8paiFK75/qnn+IVLBwMYkP+yzh5ZOUsH3xn 9Or41XEpJIqK7He2MMFgWqcHB3GTYYbLvUE8CvvpyBzUsd7ZbotAE8j5av9dT/X9s6KXd3oWftWi XqwicgUoqqpVRXBUexZQ1y2mSMsWRn8sJWtCTyLorljzDFJFCBEj/GOtLSqrda9t4fHXdYpRnwaY kCYVLANaWn5HevzevmaXh47s3cbQ8rmhVG9DYqFnZ3nJl5gaNjp+VqIcoEao/9zEofqO23WRVMG9 wvqwD+olAr52j4G6IOOWZ6C8llmRs0PAF0vmx0k1l+86AET7cU7C2An3iGkk/O5ichpQ5EnDJasq 1Kaz957nGP4eoQxYKzXUaaDsnupRCvXbfwerRqi9bNZHCnDK0vZwyE5/UhZwUxi/qcvJEwzOOiUq qpQ+pKs9mIJVRUAUgtU1noYoLEGuPkSp+y82uwlWQAr6RMiD0t6LHNwjkdeMZXrqFQ26ZBwGD3r6 LQ5WFQuLHKfEm1/84KNSfHzs2YcvNWzldn2mLiu6no9rcZVax3klx+Uyx8Pc2hqhfUXXax1/DEAt DIUyfYJNdhcRfrhAZmnzGI/HNmKeYFijtcp26MePvOX8pMDTtOya5DbKrWNYa5p3/SdGS1NnhkXV KiQI7tHIs/h3811QtVlHkSvKrB22fUYDsIsp37bpI78xRjtML36RqWHGOCMb54GAFoYPsXt5atMc 16Yl+HOBJfhWe7Z/zTzEsjQ5s/ghGyzKjuvsTktCHtCJABggxYJ1iLe/0PYbMzvy1bkQTNqm3mzQ vTpvRU0s9HW2YaOO9VgUM7CFUhK4LJNmEqsyAsy7zMDH4o3zsC42atgF/acWmmk3+dkxYE7ePIgg +kDabmZC8gMpmEJvHYYVs11nlF3GDmFYICkDmMXBYLUx/2eymmNyAXrZVsoK0aUFCW4qGWcm2N0+ 58pBjggJrpJRyWa7PkGp/P8japL56cpKNpuSwPdn891fFb/IeZeDx9d1Bp6eMTwO3bjcb2bYpFY5 kSrcYtz5GahGFczpxJQwrLzbc0fnGwfYkfRN0YjxCsjeOjpRShyW0IJ1/4ts4z2OhPn0xYxdGxT9 AdkPe4ZDWC3WR6/uLxIY5Br1yQU0q1Tr8yKEoGTwyJp3tD6XOO7daWua8r2NQWjQ9hhtFH/Z15aD 8M1Gl1osH8Y8uqnz2D/GBmBtjG39Gg5K7H3N48/huGxjVHtIQKa7zxKA3m4hU3myd+9KDIS8DNmg ct+2Yp25/5vnWABHJ4dp85no46rdf5doWvsLiX3bvSxMe55jbjZZBLHEoX9DhIWwQrRABtAGwXkV DDw+rNVeREjlB3HzIChIMo4TU9ZG7yNmL87Mwi5cYJDXBUbkKVYq74392HW/vmZeE5jqx4PSW6DX F8EVahxlQaWFj7bPcp9MSUTG1+qHgMxENZdERLD56h5H7xP5lKTZLsYUJv3IEiO8nUN7C3VRRq7i RhwSwL9N1QHHEoe5q1ENAMuc8fU5W7V4tzw8rjryOeDQJx6q+sWh7ctzgtdEXhckfpparX4zaMKQ +EA/B6AqY7skuClrbtxjmGMb0QDG96ViOpkX173USQEM7hr3QO2hw0pHq2j84LqnEUr5dqonPzHu G1+NMB/IglS2hCL9jLUE97wwjIZ1XitggfS1QkbD8mVO3w2dpXV/cU65VuvnbLDoQERhapoNDOFh E3avCUk4h1kgzn/Om4vrm8K2Mwdpk8t7iqDX2K+yn0fbYVQC9FBJb59iJmtzxl/OccdaRYCiBNiv vyOIoWHG94nbCr44LNmJYaYb5F7P9pABB9Fzfgi5ccVKhj7GN9z2Opu1e6jbvmqlKOUlZrdvyrOQ NY84LfVvx66tmXiFGimJ3wK++/1dY8wBcjvoiN/EjGYsYS6fQsWBP7hjfCd5wvkXwJyh+bSyyoMo 3/UJdUYLs9dnYVm4sl6goVo0/pfh7LQYSmn4eZ0cOa28k3E2oddc2xWrUrGW/+Fpgwf3yR0ofrL4 UHvhkq0l7G8rpek6igXs6X9qqp/Wcaj/CpU13d3GpT+CzWJwR5l5/J2EIotmyC4zv5pTh2h/sC0H LUfbg3uA6b7Q08l2NWOjr59cEibX2XyCPhzYMcRQBjCEYvc8FesWfQzbuJYdKMcGU4Jbyt1wQuT5 lPsChYO6msj3QS9tqIIOuAuQGMm7bUKCk+2D4+u8iKMw+4wPTgioFpkgZYMyg3yC3OINHrA8PwTH 6xH1qskB3Zra4pzJSJWHp1nvs5zRU0lACx2rVa8OH/j5SkgVkPWeJVHzS/ID6r8TAaHENSRRvMtL r6KUjx5kpnH/hiQAXEJ7TvRSMBTFIYlHfTrVCGvjgVTaHckNS31wiT9E45Le37Yxl0Z7chYNrS8Y lW6BQKvbj8qtlXShxgML7LPsMXuIbYrzH7TY9jV3N0KKdHt/tNFx0jiashUq8CMD/zrTWSDE9BMx rIfinjECBuNMRDMajkc7Y1nS8vSrNRR8+hXLoz2rVFgdVoWnf7lYnSb10YoLpOzjevTrb3TPLI+V UiskFtRdAXPDMuGP46KG6cPnr/h7PHxA1epc2EuVw7x5v8wbhlywnPWScptJy9zHlHWnj+NzeQlv X+yLJ8+Cz6pDYEasrXsazVnp9nwcJwvpv43fjbOcKbLT+Do/6ggfouOsQVzWXIBknBkA7L87sAtr uERMJJSXMP50rX6OW+f5JXZQG6NiPdNtjrksYpeW8y3GrpGdTsBdTdu9x6lbw6GnJJp06AkpXcf+ RtcwnxX5V+40kLCt5v0yUBmYWBQbMkaUQFcWQHppMH5fxn/QoDeeGOUMaNu7Ldi/KY086bqfx7Eb D7XyIUVZil6QOwRJ90ZsONILnX9Hv7KFsGcapZQvHioegekQLSoqbhrL1ME7LMPYmmtyi+XPoaas ckQGVIpoc7wgX4Jl1DECoto/rOeOQzAVMLSCRC+VJuel3pbJzyDLVmSQTb5qSnow3Ufw9gLoOVV/ SbY0lJIN1Vz0pjEvaqpSy8A1jNB8BBDSrRADRJcqlRQWF7iuHmAwZI2MlmL+MowAUTCkJrvrfWOD asst9dnDQ/ZcbvpmOB4V0wrqRBqW8EekbiPx+LOvbv8zmqKITwZqbF8BvmDrEEGd308cskM+srzG P1Gm9UCN1hCQ2L9Pbx1LQYDLLneGl8UbFrfd3dce87ATsFztuV3Y0ewQH7HMJhjtWr3zhZ4i2v/U wGarZkVZaZaFwUftAQREesfHcHiHfH3ropg3VztWjkNJW9L7qHQFhE1O61kUkUIR0gxiNj1Ah9pM vS4q3cSk+bz3i/gkE02yFXge/2po5JopcU1icdNp8de7YqeOWrAjsp+YlHq4ZBfHFiHW5OtmVRy+ PivNQ6fAlg6FCn2+17oIDC1hFaIxO/7SFDcuD6sNqolw7WLxlMQB7SGt/uO64jMMuaH/kxEy4vUH WyiulCLewk6pjumhKgh8fVmIU3Vv7w2ynRV4nTA2wY6fP4eEy/666XmslZzW6/RIRUcRtGSWVhjg 3KcDrcB+J7rqHwE2lAgRrdSWHIcrQsMVkZy/Kid+K6v3efDkRev0X1aYpMTl0Dd0vmJ5DzwhLd9u MGKaUu230yeqWw68V5ynP2sN/CTDh+fMin66lp5FnFgJcprzpCKelh+lhXc9hp2p5+GHDyY31opE flRqBWO0JjuHwDubJ9AJRqLbTEi0gCQkfppsSG2b1W2ADYi8pcjTMt7JT4XTVtLapCumQbqC6Hf1 5vPuvU0NtzVj2TFLDdHFFe1QN3q+yKaUdyPbLv2wJyEIfbGXqNsgnRRnR1+pg73sf0A5AywmFauZ 0eU34Khv3qA2kLRezhYClVrODl+QotqIIKygBxx4smTpGsAHRx7pYRGmJwGnSTlTXf+jeXVniT/4 LgfEuiCdzTTwcLA4qERBcCm5GwyMH2XfrBhzUrmzWhsV+4Cg6hw7ifxSdKDam9rzsdewrUnlsxDN FZr/THbPJ3OXIwfHzUHNh6CvIZR6NImqbLWqm0yBVWh/BVAOBtsGDwoF1WaX74GBnYslQh/T5m/y /xM0dg2XoV6TKjtirKmj325MUl4ICKd6DpIPuiknUNUzDglbMe4HkgEKtHt4JFu+RkwMgxDqlMLA uHBNZ7Y3FR0ogOGYpsSVGn7O56tQLIvzH7/VRIQCrFOhWDBcuVQoeZaXjXj5nEWH5NL8jfCxOC5z gwT7nXooXnNNYmjCgWjdygutaDQC76aHKQBudv7LU3y4flP6OZCuW2C6Dv8MrCsRVkTV915vJNR1 w7ulBkcCs/MHkLVeYSWxv4DH1ciIdZpJPsp2amaaUGDAkTG9hBT8zJYaq5okH5z1R2y1roF1Y6Im aSxZrkpzzNv3lRPA+fMgx+aaGnqfRqudgVUQd0jtmCHQun2/S+NYFGBPEdUOrEeP3tCD2gvNd94A Wu2nXeoWA735JQ4VzLiozg8m1oj/+fOE3GKuI1eqNLuFc8iBYIMQVJO3UMj5QptfbW1dWKVasapx lrCDgM0kYKs7qSex7KK1E+pCXqDEGuAsn1YOdIXPccq2KPa2y8FDtWC44j9H4PSSKhJQqRsZ7XrM 1ajN4d2QEJSqNbS9jcC00bYpcHQOXdp2RdL81vtdUsLXlHSMtyJy4ojXzc3XWFrJz4cHcn1iIeZc zY1kXljz17a5yKOLqqhS3YFu6aRzu2Kd9bLr4tv+ciC2buic1WkZirGKjoAZpoLBYw4aYNCAWcFA E9stU8Xc+gl8nOA+8dyb/n/Bd1fqJas5dvyIB92gn341gt9bPqVzA2Q4whzesNkq3MXewx4eeQJy SAjwWWuh/+7yVfp655Zk3AKm/fSVwaxXwRPu8VETBWNE+Z35wdtDNjXLWYBSadkLnXQHV/62jqB4 D30ulJ0J5YTkMu6c92eBQwElKgIr2EgOlTNtJ7I7gXu1DK86ErRoPe9wGBo572owPsQ+V4ePEvon fMo+1NPdOOsrK2cd2enfZFeIQXRq56f1oMrgzkB+pGY8mlLxsSJK13vg22EPb+1AmHXDyllHqnGK gxV4pqyvGc9oBZTPD3+5lauQ1puSUtV1qx7vRTnEH/oeE8bgqaBYfeViDa4dXIcrwnCq/dfW3OpW 3aGlCT7AScvaJFLkYomtWBZgDiSFhFQt3cCIFronygWoBCBMSaNOW+r9yBb8J1WEJsL2y4R/ltlh yc+ziLIQdBlwSpI7e6QKlrW6haf6Lcz9is8H0oW6fbu4/TsfT8XHMiOWD244S0ErzcsIar+ZEAqO MGqOFk86MI7kN3LrdDTmnNNtkY2mi4KHw/ceiH7fmd6lp/lIncFu6zpg6EIm9q17yJzxVZeXqkPf ENxxp1TBc64gxOWZUgj3K45BjPRMhnT6/t/iCudbqG/jDAGGQ94b4q+AQ4HyupN0ggSdSUB9nTWj PJt9yUG0n8XXnPtojODKUMV/vBsxrYFit/hy88zt9TQK6hT6KE6RyF4RjRUnGGjsfUExGZL/Fiim 3kj6qB8nzC60awYiP1GVlAOVfUWOaZ4E7JgOS7DzMLls9A1jgz3thwqCgqrH/fS0DuvUXQfFGBB1 YfAniQr2TyXi4vSpar68iNfVr4creon5/ubnQo3co/Zr9zTfCp/tPNyIABOYgnn++dZ3pHFpOWKA Ar0G2UiuhEfxViWCoZiVSYjcYMEvtc2GTSqLZ+rpM9Xto1NSF9aWuqYOHu3H8hLMU+yZAsAwAsCq BCIbqUwJj46eKaKCFtJHYYewJKvQ4LIRyz8tD7zGkQMw5L1nnRGeBvG3GAIgXRbgov7nLT6TJl0b T7mMPXmpcVx/QrPbmiuJOsFsCymobbOKSz60J5n19LpG1iKg4lEY9IoaKviQTZb16LBq22lD2se0 lPnM6qkCAH3ch0JF5fwsIgy6fGxBOQYlqHhYkm6nAgIBHYK4Cnm/qlbwJRYX1nkGDLhd4gjnCrBB lJ8+gsJyTeEWK/LzZ3X16Mz3LAPz9x3EP/d/WCgRouTchHir5wfxm3e4BC75AW410HbOfs86idIV kctdql3rjEbh1rNIZagRw/VFJIThplbq7cYk+ETPUvbW/EPbHAuPDYpUO+yS8ajGPbmPeWVi+pbc UPBjBio3GsUOU46OLUhaREdyFe/tjcyW5CLB/tW0rbf/QdROk9A3zlbWC2scs8Zq+26fITDBZq5o KcsVqy1Iz2zmx/MtI/C+06U7XD9GI9aBc9WwwdcqQZqo6hl/YbAN65/q2ctJ8Wee0iPj7bZvwwTX SAnBSn4HRqy3hppBfCFSQQoSsRwo+zS0NHNu4yTsmUQ1CgWxOKiUBwDsk1KL+Z2V91kHhiTih1Tj A6TianGViUHsGDtDokXc8/ARxH3HEC+frPziPFWCdQZeqXi3B9ZQgGnc2wHzN4O9wyEOG6rTv12R dBlto0WQ1Po+gOfY7enve6Qd/FhbLj9pUxCqskC/JdOLznihQc5Gk9gK/VV7RNL2JGhN2nKqqKe5 Gq018JerW5hKJR6O31+zBewe9PWf3xhGh+8VRgw0AymYU9plnskWTmSZpaPwpf93mKT3CEN+XNTM /5sFdj4zrkBIEfkgz4ytTsWzHsZ0uYCNxtbyXt4qEKJPa8ziXe3Ev/yfxrVWsEhgtfcpvqfLc196 US+Pq3wb0g7oWpMmb4D7KFmCY3E/ocOKW8JeVpZdpqcarbpUczFxXrKkPFWYwjvT8XZ1/2IRM3uj dZU56RIM3Fl3P+BL/ztU8yLNae6d4u8ceaNVDkuApB3LnLkO0n9FrSDGABMePTXi1rtgdG8Vf2UO PupiukKKSmFJj8gj1T/beTxJ3hzdX7eZBVVdHShoZKBTxjIw0IzGmocWAwQkdTHBdpc3/XOThc3o tEnrFBWyaHaQ6a1osuJPlvCxNtoa2BYXIBfKWn8m3g/3ZJU2Dg3a7QrwxNQS0eVPHA0EaPmagUeL xmz7GzocJhRxd7ZP0YmudmuD1ZDOvk3XTW/g+b7zI+D47h2nFr9W4Mw6dpa3TDQAHmpIj0kErZ0U 8/q8zsd/qz9XG1i9VSA8AOY+1XRqGvy857OPSiP1JnXhO2teLFSm9ELL956hWMv3M75sliDH8SRg xPVcVC0LApRX2fDdotzkJ5p2hcHR3vRX5NhdOX500GMHy+Zf/1ucAzluCKf/ar2suD0krlDGdG2l WxqZb2kzs9zrtzCxXnNKzdctoTCT5DRt6aihCHO8t5riyiUPr/yKhUnwduc7+xYQH/V3I0JA7E6f uAKm0hLoomPvyaOpdoaNw7U7Ixzv8CfZmQpNDQZn8UC8+/RMK9sL2pzc7IHIb7ztIGA6Bcv2nBu1 JMnwyHnmqVafCWPym/P7p6HyGLq0qPjI9QJErc833I7+L8+lgpx3KzwpnSmSULrQI1V38ornTkGR w7rwXi3n0DprqPz+dw7NTBPPPR7Vdq3LPuCaxYqXP5eNZ7SXX7N+/KBqN13zcx0iWyvml1rfgG6T HqEpN+/OfSDc2YqxIxv7eFnr/4ALeJ289vCIiyYuqayrk8oW6uXA50EqazXz3fiSYBW6pyqWUtVB ODv12TdpWODTWGAwY22xutSIwgPrMI9Y9gvnvZUZkVtJUZZ6PqRaShb2ylj8wzLM87lJuIBSzGEf TrQaoSPwNIBh6sXttgTNDSFMOcMycWyDCHhPAiMaK+q8iL8iXlrBjIcY4gosPjWPwJaf0IdoXkQc Ma2bJXZcHLTl8rUnYAFMhT/4mrEPozcqfQoAn7hi+Cok9tLvhGLXkc9gbitZNHqWZSaet0DzViGs DIg3vjNeemf3Zo/x513xFkF+lyUh2c2tCEWJhQdPpr9b5wEeYmhc/qvbDzdbtM9d5rgmr/CRJeku EIquk7wUTE1iFiRsmlmN4KnKHP05VWt4qe/gBB3VwjhEWob6vcRBW1buMHuS09XNZbq90/xCkp+a +hECLWaFSfaYU42ShNf7V50VCf1/grqifxiSa7NJDd/x4rK0odHTkNZlVbfjqGO1gJHntrc7t+jx vH5h/Um7PXXAxoQ7VghsF/SQzyJpV4q1Kp+EsCkm9oEz5Y4XuLlW3IxK/iRWVY7efmSwmyT7dAZP /LlEtxI8GQe5x/AUer61FrC1ZCoxgbWSXlIfTNQ/Btq+JwlDwMxrOHsYPbhtLebWAyoYE4lyAoaq 4NqKjP+QQJBQjzoPK0Byv5wZbluH7VSPxSf3F3VnDqzOzWJCexw+uJCsYzNMi0ZwZRJ9Zg9lDCIb yU5pu6jCPe9Ihq8MrSCB4uUcSSAfLlcxFdkAelylEyb/DmHtkNUiAuSsKDbA19RpH1FeuRKXNH01 n435qKT8p85wPP6rkY3809eZKF3mg6JQrNjz0gpr5jDnsUNWgSiDJLptDFi3OuFgBwJfvMkJSe6U Gy7li2R1JWJoEL/03GbZd75343Iua7Jg3PgX/0Q27mHpgJOIJcHYIV/XC5F6WdnRy+eJM1/E2pg8 k+uHPg1YbU3Y0wxV+/CwEkOO6E+HB9i8meOCCvyCFsDyr8p+pHVFLK4/PrlBqNm7TZMXG2WDi1eC 5bXChFobgXEOwQ3AQsL7DiJ0yY0ULMsXnDeD/q9kVxZ8VlrWgBRJhSth6qW5n0F2WLr1Z+I7B+hj RXYjYNNoQ+jSXWuU4ZgftjzhUw2prRhUXofZNzbc5LQC5xmDWtJHfzkIE+xHM9FoeugSKA64dvdl VHUrzd5KqQo//uYDLTZ/S4FYZA+24oYsUsiOKV6BkX2sQwTvhvyhbUF/wcoyR9pHD1T6uKILcONj rGgCPPGuqncYZY1o4nQ33sowfF1c2POWPYyF+0YfyVYr+B30ZvhBdcUZQUBQBViNXrsLKR0tHlEY +baXgR4d6itnR2ttC1XfeYvTdc140Rq1yO3ftbfQOW2BVptHyc9icB0LLgT+/dHb8hQOU8BpKl2b e5GRs5IBYhfAqJT2EV2C9T2DRM1Z9acN6L9ZoAqM2XcuTVRTvQHJiSfqKbaaHT41etkgpg3ctKuw +NPIjOXWMclPVq36/sX063Ql539iL7RgGv9sf/kP1y9/D5YgR63Zbad+FuXLb4AJHFXAt+IuTp7A EumlvFT7VchZyiU5zuI3KvEoQmEc8bTD7xbZIvr0SqIY0nqjDcalVkoiS6fmGLhYgO8gcMQTC8Jn d7BuQ0MF7J4UhIrrqWOD/VgoclUfaH5tbzIZlL4mjedzyUEp6SVdJCsETeKEsnKCNgcAu+ASdoAH ERqTWiTdsDxaGfCJ9Eqz3s4KQoijAYPMe5OpkaltSVNI2TPt2JxAvho7pTPNO7Kchy4YvoRFLuaL efYU7EK0xIqw98UQlVN7hZnM+ukadpyHNLdxfILZcwJ4ALXf7p4OChr3A4tAPnF9T6RXqSXCOOne zHLTeiw08sf8UvC9aPq+9bPiolo+DcvUq0hli5iSdZxXVG3OB6dVPwNyJCv27z1t13obL9NraZ0f 2rulCrqTZVwk7nTKGr0RdAvNTqxF0xqRc2bweekEUI3hY8VyUFuq3Kfw9B9nEeQOu0L90z4XtyPt rfP7UT9ipmY0K4ckqPFGKsMwiNbhBgVenlucHIK/MzeCvPBPk7LSL4T65bKL3umCYyjWHx3YVSld RbEEPnGe51MQvxRrVv+BELy6oQtAcoN4SecC7mI2Ts7oDjgZ9GWW7m9+1stMH2UUKllz3P9Hwmho I6I4BDMJ07/UPsl8jlMZelo3UJcOGgDU/7GmAEKN1oDgM+UDpOZqQsEvEZMjZobezNmGmRK3kj7H nf1o/i5gY4+HXWJnc2RK79dobYdq033jUIcap3R+nCcU/7i7OLEvMeXjMK/4T5xq5O+DcTfMwAX6 EGsqhB4i9yivX4S/ftIe6KNYl+PldrEsp1KIS9nMjSrNc5Wuda04oERdv3+NHKvqE3GW781xW5WX XXA4E1u3lb/QARWp5VTE09a6SAraW+X3nfzq327CHroMruDHMdAuGSd3RFvgPbGuPa4Yk/XoQ8vS YbPrkjZY472Oy4RvtAHHCjay8BIcjCmT/aEGogvpei0i+5dG+Yfq/wriqubxlV/I1A/oUxG/c5g3 1DtnLMjPMP5zmJ4nvmeIhwYyU4DpwuKtVAx9tzo2IzbeLhl/6uVO/h/zw+5wqIzbnlg9te9j3lhT 7v7KS8Lsiq0k0gphsEzpfxQqaSqs3O5DDDZ8kgzFOtzcqB+uxyi7kvBQ0FdIZhcTgW76o/mROHiS gFhW3aE7yU+v22W00WIdjwhDqRfTddSNbYJTxFmSOyw/JuPSQ3144WgLnqkb1Fu313IJMVZrfq2W Meng5x8ew0h42r8zolNRa+zSgLePzM07VOsLRnGSsQ4rWHbZqO0T7a/nW1FosTZIDFev603zJhid xxu3J8dr5JhvPYLzOlSQRVrcakCiqzxqx3bAccnzfa80EZifSXOZiKqatsY8TF0/BDrYvcmt0ePf ZJ5fxvoZeRgdwClCoPNYZt50bMHmjUey4RDjR0Po643rZ9g230BxKEPULZckJo/1uG4/5iyjNpvE ob/e1z9wt565f72LlsNd45H+JfkCKtnCiTmYNJCghFqpNlfsNVvOddA3CejrNfdU6RfSu8tr/IS2 7OZVOTge52kfphZHBtsWO9HoaCRheEb2PD8kPizaq1h3/m8oc4wsaXLjlxHVjBFdxK5BClPHbefu C1oyw2HBCyk9O44GI7fOiwijhtkFddpbMxyGsGM3Vr1wfpzUqUZx2BEc2bFdO+6PZ6cQcm0ejH9N UZjveOH7LXbU2uJhWECSVwW0zXtUlkTqhv1PNywzojyTs/3/YgZgVSL8gEbDmIffMoFh2jkpgtBt A8R12+v/YTAI7fI+lsPYD3+Vunx/+Psh/hjISoDGKdhR/DoAc6Cn0fqoPxwcRaiFNHW9NPGgd/GG Dgy9czItH8qyoBEAU/8FHAqqsp84uAQUxgdtnds9z6RIcpRBcg9E0u4J00pnnEyes8m/Obq1oHQP MoxmVH2dKBblRXhiTtbDSNs/NAXyqljqQi9NOW9YMW7aROuOmL8dlfieBy0oFU6Ju9i1LZRbw+7V H2aQmbdkapUJ8C8DDQiGBjM0AQz8YVCyb9yD77fMYbXhYQ+O6f6a6n8S4g3xVveztzsQRS2TkJNS M0i0XLiCzSM3esxAdA3ek67Bs7APxsyxrS+tKdOdsC6u76CM3rfwG/EI8+uIGlbBveRMYf+RdGTE Pac/CVTSjIYkX/9wk+qSRsaJeAd4+RrL9USouGaTEKWvyyAm7hdGkXDQ829vHMOTFp5GCCjut2ph HqFuA4IAsmav7JbDj+Kr4/OQ1gZJOIcaeRBhpL1lIoeY1bytB207D8fEA2xzxBjbabFEsBlvLrc2 3ZVke/aDO7geTbbFN1/a1KvcegjZQenKQjgqOE/myuh0X94Q+f5EWdBYIZ9rbwBp19y/ubTsbe8G dZEuWc83z3isJ51lB3dpHEs1GfLsdi+mvZkL+DxxjxI8ntmti4GySmGfmXmGsM/mkjQyQ76sctOi 6RL9VF5xCSlTL1deGbRr4uEla/bu/wsWsei5f4cmZH+nvjVI1YaynaOPLbsUY8xgfo1+8ztrvNbG xqcbt4vY2Pmj+5mVYzfkVydwQCQE05g8ZXRF4KE+RYnMC7/yu9CGJ/Hu+DEALO3eXZYHbeZzHGG5 yu2TlcCLsTUMcK/MTwoQBHLk0h1gmvZ2M+wTe9wOiN56Wsn+tCF0K/wVa/lhgnZgE3PpO5NuhENB FbW5B4JdOZmi4o+esfLoS1YDY7FL44fnsyKkAy6TEED/XKAtcQT3CU4ekpUum+mDwEyngj/yr02y Ud6e+YiQIycM/oSVx+8f+GajltpdAj92QbLrLR26YDWDKv6pznIvfkshwCOCcPMlTR3HpzYk9oP6 sX/qC0Bem/bmlJ2WLuUtUFrIX6AWgO1V0ooKdRLRkQOKs+2UvpkXuiXk+3gpXPbO6OZJsrT2g+en t0euCwlWQJHL+PXy7iNXjPMwUDRnPAZL3lsTOFw0ynbF7/DVMC+6JGKHaK9v8Cp1cK8W6Dhc471Q 0TsVU592oVEaiaFiHi9XaK0Qi8gQ2INLEFcsW4egXa166TBUAd2ROB8+D50M+GofCnpKrlGY9sna qNgiDCcMdg5Yl/DmU8b7DGy09o2oxV2nAidGo3ylyUoHt5GEXfMJ0qMoJvpzvUDWGcOuJR8iwxTa brxCVFRv2qFbfhjBANmSt9hxda/bi89GZeKOozyC+06oVA009iVSQz12pvo1iHHM9HF2T9eRYGhv i8iRgo1pHWN7cutUU9TNtkxYI6n6XGM70iPrtXa7C6RJUamC91O77xfGemq27JhBz+rdMhdyV/T/ tYiGoR56cftVa4naBU0JNjvf/9bmFoEks35b7Xu9WtR7J6HOPT85KjTNuUf5JY9bZkO2wcD0csm8 d+EI1tBfJ/qkJ+oVm02b4B4cbUz9DSgxvi9U3yprURqp0oHB5kAuBOL7gbvAyldTH8nfsKIMrJlu OlOMph0/Fid0lLiCbSRSgjHSpR6tn7vHHI9TO7oxGP16rZcrgeKVRtkGCvNHgLha9EZZ6KWOq4sr GYJkbcanN7JzDfz7wEHV3/p927hTPE8BpaSCoAAF2GgR3K2HpSSCf/0ZGZXb3BvKz5b6eZVfBFAA rhZjWjdA1NoJbQxE/JI7QIE8+HLBtp4ebgUy5v+3Aiy1orycWnWSsYoz0DMos0Otcy3NXg/Kq4ca U5ZVElTxXkGWvsQ7s8+og7PASdS8KCwouvtiIzIpORIz2SZQUPs5W8JrsnOl+UgqQzK+T4AK/MVa UBVVtqt4a4KdNeNxvpjevPYeXRZyB81c46+ZLdpoGo3v2Sfb4DWoSn9KbgWuetTk7+HQRMhoFr25 MkCdkJNVm62c03bS77ddYci9eAjdf/qQxbAS3muF1Tvi1o+IA8T6kttxdXdPmdAFFu53nb0n/Pjy cLEU+hqiGobrNFkl0BQPu86ALBcLibD4IjuPs9B6qRVUPigK5s2w2CW5aitg5x5XTvQJjFzBy+9l JQdJEtgXvVqesxQMHF9w9LktUl7LVP+LwLNse0nrBku9TeDyWtkiolD+JNXJhd1Y62qMhdK5fdX+ ZogfGAilAq/5trtQhKP9p6HnxX0t7j+70D9/P6hqaBvaRnrkXxjsX0qDZ2g8XI6+0WNb8YYjrRki R0rNhvWZJ50MXnGgrZgzaYVDztrovTHWu7ANbSQPza5SMB47qf9pUlfXhpcgE+Nb7yL5yBnzTdZJ rQf30Fu0TK0gXMUnVElNQe42KnBmZdF8imOAdtaqc45ebOBy8/GaCWOTn4v62j/7QcTI+t2TvLq4 OTQbF2IIk73gIzjd+7n7d83rNKs5uaCo8oafv35qvfSutyrD/FzngbTASqF92GjI1GF4zyjhjxw8 p2nPtDhel9tYBAHVu1eoObiGdsfqdpkfqf/3eM4aCfd8apfv5cgEZscRbcMfGHS70hyK1iLf2pgj U8SA7C8zT/veDTibhEA/OIbJlzJi3e+CUA06ux+NBVogYyKJbvBPb5ptZT7p1qSRNqLynKXvNuzW 8ygqMhPS320WbrFyq8thAC5LyU5DWYJzvWDRwCYwENvSpqvyKZwq09JCEeOEVVK59dDvKS/eSlKz P17fu0xh0Jddniu8VNjDKJW+7/yw3EC3vU3g9+fvDURKot76tgsUUUEwX6HPKFk3g6C9rq9YWkp2 OcjvBvV/rGMJmeRmeOd3wuR/ZHRNQNDDcwWAMT3UURptrNTgsIZXAD5mg9IkeWv6kW1UvrnCCSXx sMDmTu4bgTqPuVWulxveNJV4OlAJMo3BfnoamfbbcJdFEpNV7uLMVM4MFMpT5gDvBonqUlE1lIfn DTpt60CL/lSfcsPda4ETMb3ANuW+vZ89lLrFeukf7jHbDrRhOjc4fJ6PpnDkX3xuwg7THmx+0ddA jU32gT0A5BMmFc8HLYuyXdmnldbimMvonpZEZ7q8DF6ZrNLPSrD7RwK/reSVzmn0sreyMATRSrNG HbmXWFcRAIQdkA4voWhD7eWMKCm6veqvXXvH9/nNwMOfMZHw1xsC1nS/4fuex9PfwB+qZnypoR0F +3Ut+NX/t/v2hRTfbNhnqb8QV5JKzGtPukraufgjsCw26NgeD//lyQlXFXZH53gITLQNPA51izZe rqTNiGrtwU6xCB+sb07xUBthDYQ1KJEWUgSLAh9hr58CryUelwQITkJiCEma1MVX6c+gY0yJm7Pt MxOoJA35kkyMovZGLE38EscQqNbWcgMStALbj9D0tmkTE15HEBR8SILs4bb4IjuYI8GeRQfoIMlY o530WFzvapRdrbYxpboDhGeWrU/2/WHRFAEQIVG/rLD43VePU8MW08DO4xwL2KdD1vHs0q9Ons5+ IsHxuBeFLt1mHilwvaVKdhfHgr/rj7SQHkkq3l6A3Tq3opdD8K1+q5xliiIUD4FkMupg7aT9lvjB oVkMhTUp+waobl2Aj7znAEyVi+L+wHrehGV9wFuQqzz2aacuLHKFWlsYhmYgy8RjK4ocyb98mSDh 9h+sZTG+3FyqfNwfHp7V4TsEuKruoc1gH5Lv4fOGkHO+bI+H4HFJECI8AqFUHM1crDNbWBzalULQ fdWG9UiNxbpjYog5K+X9eeO2QRs8suQlQSM1fp1Kp0ZVNgVNf7f8AtiRdvLPqabag1/kpXWcETQg VM7z9MymTOWkAjyPPsdPEhz/NcM9MjmZlCfM60LpyoKfcjmPo/HOHkkBdKDveQ8rOUjEn+TW/6sQ TMyl6dA2YMAu6lBNCJnvzvuDjEYwSJ0gVRr+P/oXynUDmrVpb+QfpOpUPMsZkDoZYsoAX/dq3Td0 WYKSAAPaE6ablU+P86i0Hw861/pdjGlNR12y1igu2ZefkVYIrYyx/ql6sFAjFhQ95tpYawAAJ0fR d/WuYbKmTAv//N7R/a5IceXr9b3jdL5wwcYHOibWSvzCNXG4DcnWk9q49MYMJFv9wtvLVS8NbNkk cAH6VaybLzNav8HuGdasy3Cdb7jJA3AdFvWKjXjmjw6WLS8ad9y2H1Bzq/Zd5jo4nvhOcp5UU+Zj W5KS6y7gLWzHxDHuN6pDH8pNJMNi07F1nabWupE3DUNQj57O9IfNH5o3fOwTHstNSmyf47Cmkfzc AbjdyX4rFNrNnKsiV0YA/XKlm1xvA5lKK3lkLBYmWiHhgL8mRMUrxD/legIV3HTo91aNKSZJdVDH IgXhBRW+ZgiGkso8Ne7NdddIAozs2/s/my21ykJiEImjJB/qvT8ZS8LhmRcY0nvWnNWHjB+p43m1 yLmF6aC4vrbCbZBKOcOtrLpF+BPAXM377tNmTkKKxa0Br59AXf0Mx4MDxEhjLzs68I8XXwCXChpK X2wrCNOH2V35byL9SVjdlZKRW/UcI8J2+K8ihiztd5+HXlfCstpC/cA3wuYxQ6YubOr1+vgI0pKZ Xh5oZhJi+pSHvdJse4SZBtjKJUX6I+z3tvkoBgAOXmKtSkj8IOMs4u6o4K6kYinWFiTuDw15Lt8q 31bndvXFXR/lJoriJ9d29BSM7O1b/pwtyePq5XPOgJhNpNi+FWJGIFzhUpUwCv5DBYd/SAtjhyXN oxAhfUP3VuHTeblCj1ujP24bSiYtqYYQCZXDn08DwyLwknBC7+SOtNLuGInPxNP6LfQnfJ+g+A3k iE88M7MK5oI7KbCbpN0uUoxdKV43gD+BX80U7I8xb/Qz1D/oG5XVbs8JDdMapb+RVF2dayYpAV3n 6X98TZRFLBdIyYUWm+Z/W/DBvevvC6F4o7ffvSvksM7NjNbtX1MUMyinxmiyKFqZwbwXopKK9qY2 QfeEPOe+RZ2Cl3D9+8HRCb+Pxa3CZkePivXIHRGjs81dpgR3loFf9Oj/k8XAq5eAyG3PmjnFhSkJ tSpCGHpsQv7FtfHp56Gq4+xo5SCEWHSHQ7l5h1B8NiF7LpYmU6+q1J18ScKikDfWHSeIptnH1aPR DjDpTByYMCe/msMYw8JvycbtnWgzxCPaRrR1mIhNFNk4vVPSnjsLr7nFM52gq+xzPKDtPBPF+quB Yzf4cenWFAtU98fEvqJhYAPUGjw1dZnYI+pM2JNbp1L01o4kY17SGopdaBAmM2+0k3Lcw5QQAkHg g0kUGLQ3em3W/4Rwzjnokzm3C7sTK7S3TrcgKyc+uJNoShUWjt6sotufWqVKhEz0clzZOjIQ6cTA p+VTN6kAKCxYbhfBe3nJwvXoaL/hDGkyWpGqukfYmafhKXnQeCu/NuTgfu3IgH1h7BzRtPpAZuun Ihd3gwTLBrtGgkVnK2OSgVW8TcKfgA//x+0+x5+dzEutNjFeRLGmQ1le0x+0lQoQ1E110lAmvGMV 8F7XWSvUXEd4wxT7ghm/+g7z6e0yNk8mTdUvYPrgVqx/8QVKlgyx0FGaITEB/ldL1W/bhWHqV1dm wtri2doYnvIicg8Mn1KYdjQJWhxh/lQuNh0D5mPcNgoz8DNoZZcr3gUWzS7tE6TJCoy/h2gVXp8a eEDQfCTND3SeQxfIRP5PkXq5srLE8gggwcAiJQ5k4JWY66z9FJa1Ngub2MJu4Pd/gXCAjITUMKCe 17/dPJF5Ijpqk3QzuBCev2m3pO4PkFjdHRfCxJseJyKb68YxQo0T28e2sPneHhUvYZ/VPa+qNnxQ MgDnemdt2uKpR9n4g8B+tBAjHG7T7mrHfl+EFK2j28Z13efV4X9vmNttE9PtEEQBW8NQo0NfEmbi dd3xuWK3c6CkNgCFLyWxPNx1AgDZrKMyoK0aslg2JYjDnFCwtqQJ4LKE79uLmfrnfmSRyU760OHQ wa0F4ceJspU8TjHoK73n9nfpOvWy+8yfKjxpGqUpbmdoXFIAMd2tmfJmPYor6i+gpEnrT+d1cOjc w7V6bA14lyr+bSl897HhKWLF2HyshwB76FNY1se2pxdwItXn83Zb3pBLSOc3eg2FUhzTOKVm7uSR LrF/QhC1MDL/pAs7PPj9QZQEyx5ESeOlWvvJamR6/v1qQmsRAbeOQJOXGnsAOlFqsIR2rzZ2kLCu MSmS7rXHeBIu7INTVhe743KCaI+EJgRUAhqBE/hLgjFJni8hicsAhvuvr+j972wvZ3aRQrBKNmsc BBN8gzTmhAGBotffXqANnAsFtCjQM0TD7NEIeSSGvW0d+HYB9PSgxo1mF0fn57VPK3uXfnEud+xE lqSUqEaYjuxBx50QXBAR9Yd5kWFFswME3f/Cs4jy2FDogjfiAOI8PB1bKdlSYeHQFSTxO6ISIM1i LAxJVOsy72TK/w6NDB+aYzdjdxMcWeIVEI/BCeFMtjZa4L+UU/OOa0HcOYi9t0HbUisqLKcafLrV 5OEt2AuWNvmJ4kOJXKttj4/erd1Lqxn3gqX27yDOjOaNOXvZ6Ror8FXZOZZ1AAv9pj8sXQiM6UJu +HNAdJm89ezKRcx3QhV0OnPrKMD/tK0e7sd4UIIsCcDkBHX2U1si3urL6QD4wYZsdVo5v3GjzIr1 669rfHd7m8COJt6WVe35+du3v8sCJHXBSqEy3KK8gm3pLvQmKF5TI5t/CuXb1Czs9HOm+NMR4+uo nyJ5nJr9o63ihOMTYb7mt5ASI7PvHXy62naqJUPsdEgqXLXaAjWlxliphT0kl789AKiPjARYxdQW Ii1/eBJS1eEa8FXDsZXdAr4pBbqQR55RGQVGnXLCO052f94UliAaMDw2tRemLo9zRvCkl1j1OaFE 8tuf8at/jnUo/+xTXN8Wh3x6ySiWz6AksRLD60ILRpEn/5TLlEK0doE1J4Q6qhZldheyHRPoG2fj 1KFGunTEAtrFRQjDtBVsHi1aPyvzHkPR2hTOZWPNV45fyRSHYo1ycLa6XYL6dJHpTjBtQK0kesmt HarhPdywr3bd6jNRODMDIFig4yHTJtyQmHGSP0dZBpJ9hDtsQLeqG2nszAOuBjjuAXqDwRptE94P I3DwCkyqYOuHMmb12kfIhhxF7wFGuUGSVOEULGD8pqXLxPBRXbeOrY3jGkwlIPmgNE5CONmFDsmB VAk2RsvLq1Zt7dREt8G3TisScx2lAxOX7fKC4BjOfONaboFz+tLhIjkNormvPP5EQZCETKGqsgu3 z49bvAUrsRi1S8PJwM/y+TlTw2te9KVxnAe2Dofy9/AyEnbtv9cO8TvUFRiO+P+XX/y2e36iooBT dEOfPi+CjYrwc+JPb05b7OGC4vcjuKKJFkdFuRVtSvGtBhtC6R5edwOLHmrETCguaxCU6A55Jalu 9FNMBfSL+Z4pB6JLzixiuXplnZdGl0FsUn1VQcZE4nZWRS0x7r8DioFf3IF5mXV6fcYDmzDxBqFV 2jyHEtFJaHVYHclBcNN+WjJcUSR2S1z3zSCpubKx2/l4xM3m77Ib0muTnBxu0Li9MrnBtt5ft5kC ZhYCgZ7nJy7r7OdQQ3G4LpQqkitcPjApWOEpPTy2UkR8p8H0tJDn0fUPOB4M4ApYeOneBetI7H3D giw/+0bHfJNofLmIc48zL8MwpcvtIRWDIEhAyePjyc/2RYDaKgYx44vOO/6fW9zQ+ARU56CBlgBb rc/w0+rks8uFCgbzQgmtGTl1o4cnUDlPWE6QXqUxbf1nibj3X+8jwGwx/urG/YllyyWCrfPS2e4N 0JDq5iw/l0XkidydoUje6Hd0y4TqhaC0eOSAiWDO1WlNqQN1ObkC5JPHl8QS3rv4fk9QDXwF5x2w ehE7Jlv/jnn4dNS4nRPpgn1XNzmhHjrLWMEI0N7Y8LhFI/k/AVAbo3jIqXeFGIlUd5+SCfcamdZL eqNVexKKNVh4DoiBtlOPX4AvZaJSJ8e8IxOGyVTiODxtiRdJsZIbbMuy/8XGuikh8tnqP48Jt9z1 YiZaM7cq1aDuWnMtew/GuSckZDaBzi2T7R5FKs7qMmy+WftPwjKPAKgH0LRXgOvOGj+aXYuzYyWO K7RaEVq0LQRBdZPlqHPKuUjc73CrUYlNx0j9R2EFYQjYVDFLjybN+QhgmHffryKt1938KiUvBpGt QnMcLkgom+VcJr3IUl8jXz0PF4+20G8mdXmC+f5vgkeqFGv2RtLbwEAtudBIpDRNe58hmAFG8M3x Rwz+sxXeimUZjvm5/M67OebidhD9A8VPovXiG71gLOddd6Du+/sM9ceqfTCqd0dc5tWnz3JVWPfN 8rVHzC3QZwmPTl+R+uf0Bt+b5ekO/b5jlrWQUux2clwrT+sxJaCrYd/SJ3cyUcRGoq/W3181uj6B dA3MPyl2dEIj7Fcy3Clpf4EmwpmHLtwyJyugiL++GVwgCornYjPsA5bNPkP3z+QbxOSL1E7D7Hyi GicbxNh5/UHCYtASgNM9IMAlkZrnVi/X8AdqD67HRV2b2L362R9kkm8iuO5eVmJraT2bxP6dKXv6 fTJj8elRqcMrspBsHTZzp/4GLeEDGq4KPLpQUevsSdbWCK/tibHX4AxgvKxwnJSaBfzehOLvW1RQ u9z20WkeCK5RYtjmrUxvONo1PcrZ+N023rD9KhTVKj3gG4mCIrYv2qpVhH0Vkf/sWaGcCgSy1mr6 w2eoffUHE10acUeFTjFX9/df7Py10sT/2s5vNzKI/iuIWg7F5lhVkrnZA8k2p4nwEHTv9ipIQypU QQB/DVI3XqsrvzTKqAI360MnwmJ4baxSFcuXlTqXleznWLqAPKQ03HgomyOzsCCCCJhwAlzFbPoP PYe098wlzSDA/aF2pCTJc1UQrZ0x3woTlLSmNcxNeEaquWW3kOnn8I8PCEOqLepdyap6OocK3KKw HBjHVGvm0+9S3CDwNH2A7i7iXiLlxSEXxRRXuPQsjtEFcayzzmLCiTJx7GeEWGthsONJyhJfJI0n M2SN6i6B6HjlhMSx60Y7ux+FBfmQUvzD1ev1gb/eXDWmBc9uNWa2xYTrVt/wHRz4m+u3BPGR+7Sp /hPUSUg72/9++xKfLpQ9Y/S5kTXdmM8XAwQwZehhnpSgdAxWf6/nf7x3qMDB4OU3Lzg4t2Aa/Tg4 x+WxHsG7/NkdgJyk5KZEZdmsPsE+6dhq967rfw9wBQ43HJGUDxQJiIlXZ8RUGmjqJbh0Nhg8c+RT D7imkOHm1ghT1jsYIkP8NLFOPFEmq2m3IVDfJbQd02BsQchKVegPdj1jcz9QfNLrzw09dAAtdlLf N697zj9AoZcHLyFjZKIKFcWR6OhyFqSAK1V0GZHOmpfOshgh0fE8H2z7rQjaazzRAhemePD0i0nm Ab+1i69mrepaqmiy2PdlAHVfaOZlRPxA14Qn3L+6rNq1xKnSJpKEzE8PSi61cBnXge73+TlP7EFK HaK/LfHodDFBqbe48+PRBqz5zNERKNGRm2BvEtOu6f2hRMKiw93VjZWd6xlRYr6ytrvtor7JekR+ FmybtNCQqmKFcfj4eTubaoxfTe7eZI6xg3BjljU5vb7aNhIAiDF3786kp+gKcomvrOzNiiysYGBQ sZd+zE721C0r6JIri8n6H6719UCRMCaJsh/OZfjGoVudXzFn0w4hIVbpZiWPn6WcR60MC5luNd3m Dhi/PXAdAMvPiD92FAetv59MQxUCSXVHu+0Mz/BC2PrSkX5L2p2YkWD9iY9M0A9NsPjZ0MXegCyO TyR4gzNaxBq2zWd5AXjYNV6twy3obaMs44Sg6NlwO/zZU28SpVx2M/zE68y//6kfF6Ywyd4cnQKR qvo7CJzwDoGFRfBFskYhIQjYqZ52pIymUA+gMTsprz9GrKCiRz/drA/XffQgZrctVwH/3THFJ1D3 p1cbPPQ/ebK3emG5aV9OgnihOaXvDoJE8aI2WxzV3KXDmLxDjKy8wAWO7HwFK0YjW1u+9cWljyGO IdkohLQPlqjmoxX7YlvjGiS0lY8xCNAsMBPvTmtV+XyuH2G9Gue6SzHuKDpyPeA0FG3FqSM1M2eL RR4uol8/jN+Ag8DlNszt5V7z3WU1WTtGe+VbKLSQPxMks8ETAYyxHQZKfkomZZ6JTQyDTqCEOs8L d2HjpuQHlMG3YJzVUBKjxQWaNG0frMESPhhCXxX0goGcHKNIli5AHLrbEg62XJr2WEVX2/+H52+j agQxw9FUMmXOD4ksVnSOEQETOgLo4bVob+Mc9wY+ymHE2nnZyZfMAQ0n7cZ9Bzo2wLSvbgjZMzqq JwAFJvNNVvFG9sTBcHRw7GvrXHKISlueHOV0zGJ9AySmnGzHVYNUtTrZcjcf1SSXxOoJpPWHkbJE NEN7rSiJhPbb6r0D1ZxA9pNXFEn451D4pWUXZH5ITPsmuTrH5aY4v876DAQNAC2mciEVkBsO/LLp XNvABjJZYtAnbntLKTsAe2tRJi9GQkAYha0ohVHHgNzfMjeNimyLT2mS4q+Op2ayf+WQsl6cIJFw hwOut4oOJ4t3+6HF600o537FohwlHkai54JTkDhn0FGYT2ZjJRITkB47HQMBWyVyZhi16cl3w7rq Ozl8pihI/wVu2RtQVxR9D2SqyxKT2omsYAL7cx+m3u5IYu/uth6b6GQ+qM45hLryrtrcwFpaQA22 KMtd70P0aMT6fGfROMzBoxWMtpUuMe2jMRZ12v46CQJBx6pP/L9GvTvgy7M9rmig5bly1Lpx2vXq M1qJzhLjdDPwRTg+6wMNRJ8s992VXBkRQlJSSFr87vQJTX2Q/dU+Jy0S+VnldN2g4TC1pG9Ey7Zm rFBo/jmLKoZdpFCqnAqKxY0794MLERLokceNYKGwJeFc6IGySyelklMulhiuIgHBbki+Aam34/GS +CF6Bmg/DBD/W2aW2giOCjP/VyKimIDaBF1lLqC7f0LZtpVvAT6YLLEQTlkP59hjtPupDuKQqhCR C+GBWVowf3aTVbCDv6kXp9hFFtjo2uF5udmfKRJycpRxBSYvK6TBOGA3fZ8ITlLJUYB+YgUq9oaw T4O2pyb65YOEjmg3Ux8HKqVv2oi32Q6mvKkePpq7QI6pbmGnyDQtJgfzzYk77VWuFra2+/aHPmcj 8SNTtTF187JYFsQ99uiroQVERYTUPBl2bXPuqOSbAgU+AA9Wqe+Dj66x8rmw9vh6VUwjfflQ/jCf Li3d5i0RPJtcUyEFYiyREKtReoPyRkzZF48poSNp5YdNlbjmnDjVa0xjx+OoFukGvX4SJLUJCO8Z WqpdnPNKQHcYN4xx8mnExYJURpItFYNoytY11CrMh09F1Aajk1aGrfH4mmPVphV1F5MNnyV1Hj5E wynQ6a4+1r2wxpu/Pwm62gVeF0XEdI4uNftRN+IhEOEVa7qYESvLnnS3WEMejGT9EuhpN5JiVvBk KlBtWHH8Fh9jmQyst+hO9iUmIVMW10D7nfHSRXr+5r8YjI+MuhwpLCED6ONs0KsysA39+NsdAEZV Nt3YvGjEHW7P155qy8+bzajlTcEYVVl7ToERKFPh18EXAcSq3cH9IW/R1bP9mqx2oNIKSCvrXKxp zDEy1MhsMnNJtxiYexSpSOXCnAI8ybuCTDdS6JnAn7Q69nYKbPPFhIFFnr5r2INi/QaYNA8xqJ4d KJUv3Dr13d7blM8eNPZl543YaMBsF0M3LeXlg2Eh/v4L//K1Vv9h0LicCSCjUD8CHwL2S66W0X3L 7x4ilzCsMp8lpB1Uyu4qbAIWjqk3VclTZ+Ok+rYFW6nCUx9q+YBO8tBQobbwWlmjFMABcfh3RkNM V2fkTAeuT8svv+z5WPloAIFZc+gpIpueeRmTqh9OWvPrYPDG+UHDdGdrTNWG7qsvwdgfHce2vXmW REPnS3pBx990c6xf+hdvmCf3tDPh7/4arpRuXNZwwEkXgeoWt/mmWz7Xm+2j810nKjQySTUQaT6H 1vW5pH6i9wtDKBMI+jPKuhBvrBM82b+WOCXrupwwadGo3XAxBXOrrmhQY/P62/ug2pGiQSmZEYPR l/88MvV6yW8m8OVTzjQOzN9t3eXkkB3q98P/CMRb2N/F4vuaugH01AP1YLzszx6TOy7oGXtM3Jyn uNoO/UDB0fSnVR2fHkoHfk8tf6fCYnBeILnnxSGn9JLuBy/YTlTHb7ry6Dxew3xNiICtzvZdekEP zHgLRXhs9hHTPs8K7lrjL7bqjOLYzls3Hl4q9qoF0ShbZdJv4nxK0B6BEdzCaKsqVyWWgPPhPecr lVzyYzLjDKLG6hfTzrm1bvABQHDWnLlc86lNlDnTGIeGOZMuRHTwYmpA9TjsmjBNrcj8oseWDJBl D5SdTSzl6uujCvDbIxxvda+ZjqxPpSQxd2bzcvvaLwK5SaT+X0uJhPxDM5YQ32jFkxN431kzQWus 81mjW8YxS20SgKf8+Bw/IbMKddMXvY4Do8UoyhMTDBxVCkyxNSqqwn4+IIIhMcDzL4uGPJ7XJ605 tfcq2sRloYzpvG0OE0E/mLp21AM+AszB70GcygHJB3IlrrUL2p1XrPZKOYqgiEuFC9uFOfhqLUBO VD2jvAo0HCk7yfmwYVs4Ar32MM93Z8zvnyp3QvhBoJ9rxoyVdCWB1fHxU2UaIn5Y1C1hwLqz5goX PmyKo5vU52D4yRDx2kNu21XhNa7BUAg9BfdFvTgbJEW8TtAlRaye/B9MJOrTe0J2g+REEGi/181J tdgAPKxNvZmANhYMx37IiftEB7QYddKax9o8GJKNBhl8QSMYvS2cqOEuiVs6LY8g/ymSg5SGMPKF MySHJ8dLZHFP6h52ZlmtEMdfSFokCyV+bLb5io3A54j5mWXKVyhtqJ7VrextzHsBTJeOlyFQsfCv dG657ZmO/v2e0sCCVb95rKVuOas0L8Dqkkp+56NdNEdataEqnXijQuK10+RobhkefYuvIZUreFiT dpHlalWWg+LmjhdV68a2Xgz2/x0IMn90d61ekWmxB0c+XKBKtoll1J8x2GEoPUi0lpdR696WdWso qJ9ja1cfIh8NaFnDzlL2M1+ql+VRPcQyFB0G+MRobhUkGZx6xKha8jOOjKLNtCQXzy88vwl9kzEz IsYdLi7S6fSX04qQF2FqkiIUFpEJjPe+T25qVPrrJ8+ismFPj6V2fBrvTg9BlNeHF+iGvTzbAomG lW5ra7Hbj9vtJ1GxDTehEkQb5qdGgIPgcScnauPnRL/pOOaEVGGY0VY+aqYJghn/ivxVXLpyNKSQ w9xIwTl16636hPNxOrhZfguvEAEvpYrxYZxgYFrGjXRPH2745NATKba/XhT7TMK/iTTJD83RKvU+ pIkVgqauSs/Fr+Q2yJnxRcCM1kp89uj1ZZxmHqztuCoxKhjJoyAHMxleHi59GksHuPT06LcLhgeM Prx9Qm1W3IQjIxiui+5IUTHRAPMh/nxJjucoPveWTTDLL31UYX+3ExBiBj1khaxrAqZrBoZthWmR hHkDPTSH/7f+YhMGvsa9btYWfi5QZi2zax9FntwbmH35hroFbozY0JjAV83iLrp4a098uQd6DsoA xL0yG6AJ3I5roM2VL35KzZ7xUW2fWKTcjpZrahiX9ZEzJe1astTiUVUBwF/JyCY2UYcvBXcfbWWV 8TaEv5EBfvx2YLaVqZdGW6jg77K3z/9AGTpzDjUtGEPxs+ybjxglmM8ilTvx6DkdJf+jC10h3Jy7 Q+V63nJ/2RuO2dXHdxPCb3QfYSnuFBexNcK1HwAwAs0Wr8DA+wuSWYVUSXNJ4jb92sKsWHfXSfUR RH/5ourFedeXgVemm/hxpfG5vSDKJC7RhJNaEqKl2hzsG6+Q9UD5J9p78zb/wSyHrxk9wOlVLyV2 0JG8Obk3bbphczWis/jN8B3VozsrRJBLjb3FjE48knvqA8AgZ7irbk320ZdmCMq4DfgWQPwd3h2j KsbBRruaCj83ToJCLrZ9VUys5XLN/LF/oYNN/xaUxojGH6aVUTNZiUkyEif7Ka64J5b8iyJ2DQCt D4/NkJhL6XwwSFkOQ8JBlCk50ji+tNtM0Hn000C7B2OHQ64M9porYOu27ixhnlYNbFf4gQDGAfdU EqoefdSJfzmAlZmA49Hx+QhuPMRwu8fHZViflhxQyO3nnCvaP0o06diouhdJNWvae85fp8SIqXfQ oCVCFr/FpVOIPQn02KHAH+mdTznOF0nWLzp3LwiF/pgOAEeFb14SbKtdH4hapY0M6dOMHE92P+Us RPi8xkIXiiHAFEJWxxIf4Lip3pfvhv1IZ6tbqxonN0vVfabJeFUYugykvsebO/yrAwbh1GMcOaGF gz4ZRBVhSZBEIJPeEHfZiRzd0XTdercOuhnorBjtrmKqRNXo99fhGjGwQOffXu1S/yS5AWP9qKHy 9hgViOc04uUd4eZxmSfBdUlcT23pcVE5MhXax1zllzlFaLHXIH94O8AEv7pXeJzlClxn2PvPeNcu QsQ0vp2zBKfZRwVWols15pqinCC6cUFmJGIkV/l7NyFoeAQBtEJHFvaNz97PlhP6WXoVkKlH19LS u1yFhE79yTeWY429J4uH3C3G5Kxs+8NibLUTQmiU0glVyy5we785vVhA3YGVEIsR30xdu10Z6pH4 0yl0B691xnh4nvc1g6/qk+vNjWtVZJ3URj3FNKym+sM/Y92p9BZ75P07LBvEfX1zW/Bcw6aiW+SC thGweycafUtIo+JIOeM4/YRXWLhOrZO5cF+oSkZqLK4/KP/CzPLJxiKbp4OXsV1a2mtdALHBwJhZ OVifQcrcRPHLyawcqnn/nt6YVRbDbYs+X7mD2PHT1YZKrzcnr6Fpt7MJ9Ym61ZBG1hOjXId5vP5M 1dr8XWovIX4oHA60efm2qpGCfUCaEl7uXhDSoBoEXw/jPv9bS2gl3b7VgiHW5zHLoXLbZlthHBTj BrxxURbcd9OpNB3LryeV+GQYEHpvnd/o6vnXzJ6u4AKQ9bNjSCWi1GijEWxefIGFl/yYiMhoS/SI F2Yn6+n2Y2Hb2sDb8Rn/OJowDnFzXMCTOdXKjs8glUZPoYe5Eu243VGJJQm2vKDz2xdwVdTIgnve o4++f1IVwxauvBr30tLyKr2FCK+6g9namJ8smBevMwdPsjKnw+YYt+2SXKX+gAZIgAxiOK+YREY3 koGvaj+jVZ7+H77FmeG0kByvQu6FwW3DxQ6I7+2dlaXAfQ1xyW/p0zfTyFHAtFgsT8Hpb0dqd2X5 8I+CFdj7D8WxgokMvQC7Mv0K9AEl6mkUfqNJzEG0/Qwu0Zb7er87joX1CbyuKihlSNPJYnVgCSgA KfUA/SabDLcUIP5eB/+Si+GR7cRPK2hmxJU0GZZE2lDLUo+AZQ/TejMKvyYixGzlrulWbSSnOkpD yaFSTskj8ZUCoXLvcwSEBUapYfsMFIal8POBbMl+/8nL0WPlHehTRzq5A7hYhpQMGSFPBXUR8hYr fqIbE/QeWwJ7nq2erQrii+7gjCXodjXVxb1mALwErbm3Z0khfbQhpWiMbfkVY+KnP6sv275D9pR2 y0FRVZuZR/R1DoUE+eFii6TSJZljdE5zf4Py9n9kn0x9Xufb9mndm68CMLecTfBHsY9omFkN08iU YB5IT4Kh6Cz/UEmBBLIJ3kOussYCGgEtwkHCWJs105jjNOABbPymvMop6ghv8kjSA3aQZpoj31YR VRC7dUOQg7WgkEwXs0wNy5A8i3tqOLXRQcxH3VJAB85XIBm0k8xwwO8HIBeca6p+FFC32FF5EzBl e506pNShAUuHt+JeG7zqUZpotWFgj7GvVYrYXoYkry/uGNYS/LwGosppPAiaU9dJ9KiwfYg+aX3v J9+RZ4tIa8rc6x/gYt9FVJ7e1igv1VsZ0v8MmnP8JoOO2qKK4MwFjtQg3f3FrPLOuLakivZnKggz G6Nyi4x4RQ6QJN3RwjIctWEGYvU344nYY2KPzVArF+DvjdYl+XBZpcWR1Ls7zsUebu3W1UF677CZ DFsYpNPbsuyhmd6GGq4fgUz6ZFcxO008T0pECcWFj7qAuDW6sRw0sAeiOd1oSE/s0XFmQbo3mHGD mUCPqx2tJ+iIyioG1CgkEg3deklJTBi8XZ+8CeZAJROnybCN0JkG8tO7i2HfNj99b8iCJhIYe99R UnB6c3LZl7ZtOOqzM3MImrcnMi/yqrka06LoJZr7QpX2yOAkPV1y2qeO+HZlMiNAA4Vg4LC3z+w2 SCPu20GtHLU8vOeGxHYia1TtfXk5fFXaA+O6ziNRko5975NSlUI+vkivHy6lNuNHcszNA/zyVTag hhJGwXsByviL7ZZp9mJnCdP3gDjv84EyK94Uw1oWXSDstuOuV2GU3MvDDCE8bNEj/ltyD4+MC9d8 nc8J9S81MZDkq35Nl/AlFLFOT52dz+9gDr37mN/qRNzxlAntfrLSrFNany0EBHq3jkWgxGgF+HD7 TsYWZJrLlDfr6BeVyKGT/tL+3NqBeWS/6SM+JvifuELs/L8WBSRwbXpzlZ3b9KplkoQOluQYuE4c QrX84id2ZPKIaLNRhhB2vYcbib9LazAoscoH4IxAAhkK1GActJtY+FQ4oXd/MDUtcugxdjpEy0qa +JwcKHolovCZ8krTD5IqRAuE5lJR1APmnuNizW/PoFItwDK2eT9urEbqA9D9p7QbTfSrN6oO8xbM S4N23b8t3lyvrriYSU0JC7A3W9VSdhUEE3mRFazK84nNjTkflxl0GpTwyAkQe2d80RiwM2ikGztb +Uf50XlBG7yluRVzEWJDkUx7O+9WPUFws73u2drx70Dztt/1c426b9Bz/LxMNIciKoqkyU1BbnBM 5cY7mX2Ohi6t/w+cjaNMOG7GxCjVq8Mm+0HQn/kcIpVc3CGklFPmZFOVloABVWyzPI9/apDrUk7n c4dZWHGJO7wqQ5AmKHKK9xxTLiy6D+L1NpSpt27WG0wnw4f0IEiwROMysDYCSCPyhP0C6HlcMxPm NzMjcxptoFLH+zGmdZn+iIvC9MBVcqvLXx90L0nWt3Cfs6z2oogvQeFD6QaHnvO4oiUd4A+HJXf5 7teX/av+v52YpLaPj7EuiQV+QEnapiijpra12AKz9SLchnyRIBsyVtHAzTzZiA/cGel2ZXKqpbT6 Dq11HFYq2cTQ5dtdPmsUn4yQNDl920dYHT0dZiIs6MoYVc0ZSdqsry/kDhLl+FHqHAhTtxnKGwPP WN5+VvpT/TZ8Ln86og351tKCKMVLYIvH03VXnIso1qq+3mStXvpJDgz8o27YtSCjyvkLOXnCL8Mg nbnPLnefmK0PSsWZd8XqGP0V+RVomR29y1Z9cyDk6QxkYn7AXtQw48BcbZX+AOmn+9rLnLi90ENb vDwptFeyyw+XBRxPCcWAJIIB6cHYXnZ7Z1ksQy65EUxJgX6Ao/Xm/3Cp/I8wN/yEqbH4aLoA5CHH iQNgc3VAaOnPWhAyaFN8SoTa2eFcGLafqLiX1dNzEfJjQNrCRQWabI/W3Lii+fLVcWb9H0VaoDhJ ctvQY5uM75mk+ZbE+EARl5aF79dZwXd4InqWvqBByHMKy9lY2ceXBc6qiYL1pdkOX1aELsVfAUMf Bt94SgmQDXufrOFjQt7I92PmemcTqW9SQsjBvQRZbZkQ7lEjWS2Nd4G0Escwi88/zOBH7TCDlB5R IHPjSt2PlWSfyOb7zUiJwKl0kmh5o1H3GoDuIxuuRUxlvkKKWQb8ljmXOzWLgDzHzu8SoSHFRkw4 irgZdwX0e12WfedKnG7vdOcU6ytXFAXRc3ArK2wQOS0pZMACSS2emGlPMNoDXSspUOHA+g9Z9+A9 UmwYvyW9DH1dbYj1mYA9z+SNlZvt4VAnLo0Rx1NeYR7vHe5h7Ti676rXOmFMZOkBikpSRcw/xcKu BXWVkmIfCRL2cE3M/n5s/ktZ5W7ka0SyjDgnhSrIeuH8x7niNaMFO9rKvZfKTJ1yKqIpM+bW9jjB NrhoB31ibsN0P+XwTETfzL1M1VMr1hstrMC1wumiJ6tKdOrmUdMSv1r8pkX5MnnwNw2VAU5uz7Ys STyj1oqka6xKxmpR/fuR/KbMeoWw2Elw9wkZjPi3IG7GvVPhVBny05rwV0z3TpRCr2iMkfKR0S9O ppgLUwSTyaiyYknP8Tu1lHBZA2hMkGUhgbyspcnnzhtLu83EMUeEzIcRQrE9laY1dzPKc2Hq/OSA d0a9fJJpFgi+URyuNFtQirM62XLZU71LcUreq2fP2JYJwgGF3t/sgBsG+ADmEFsAGBOWeDZJ8Lt6 iiomNo8Yh8eqJr/+OnkfoSrpzyojKJf9LlFHnj+3E+TIu5Cbc25CxbgUm46kOSnf0L42coExQ2Vf VEevI3TWuLRKBwn+wGJYhDj8nWCoPRELmytJfrb4+/8RKakPRURpbskwgEhfErlCGujIU/KQOOdN eYs7Bz6icJdixO/KD9aNH5u4ogm8J2VEV1Evk033CXqypuIN9OeKkCArdMoZkSojQTrPH0RdJ8ga xTbDPqGZu4/U4cVO9VI9qOICHmkMngE5SB25Tm8uFk6HaBGdcehvpV0AcdQW3hW1KhZRH0ZpRBe8 EME2flbt+PhpsGqHMqbIFvNjSYcm3l7YZ1KjwZU0XySTr1tM+fC5JMlaYj3eNTiGneueWjXVX7uq kRYd4ER56ya298cjuUcWjkAkEvX3V3szAwaRlrTCmrhsLVbUWHRwkX3QhNX7/4q/WOY/Vtjs5zCb XU6wBciyvi0OCTGrubvafEDx+DYrOOFXJe6wCaNwk1A7mf28Yz5Pt96sQnMJSaWzB967EcczLpD3 Y9In3MStQSS5lgF9sn3+JFDSAcsb5grHVRL00UCMVEMLSsJQ3Y2P+wFdl7orgSqzhY0yUsqHu4Eh 0RuZoBDUzh+HDiA72DQN6yirmwQh1CBW3b5FaLx6DBMNVBuzl7DCGZUZ9ESA/gvj8jBizIF5Vn1l Wgrp5/bc/933Fe0TssDoe48m0O7XwenH1ILQAPlvGYzg6yue2QQvgTZmV8Hcy8qRMzK6SeJi8W22 RI93uSkHhscQm4lbQPcsrzOfCoV0cxC/7YYFdrb6lUZOvy5v5XwZAJA0d2djFB1G/5S01c860pVu mFG8Pupt0AHp8EiezLZMZ3Bs2Y16W9mFkW00AS05r1v0777+WLZpRYaWbV2dVdc6Rg0jprjFBM7F OX7/clwFIxzXVcseHXz3hvDdzc0+aK6yf//I0gnbs6fGVtDUp9bxh1k4R4JHqiACcAWaBVmp1K9M tj5/G/838BeRpclXh4t/bO8f5XEh+tFnI29cNQ1Dw8fSm+7s8aOYTlnu2lCLQ1Hz2usWXLshFQKu vkh80Bjl6kxWp7bCuA6o/ewZpCZBv3vKoVgNdm1yLJXQziZVD+kCBoiS4VJvo48Z+Q45iZpcr1As pPR6PzLmXOrOaQ762VrQqJknmT2B70n73Nf2MwveYDOosbh9ubcTP8UApemJuiKM7NqXP/l/kTZn Rfg4EPjagdEKeFy70DCUi/PitQJG621ZVUyuevHCijLN6bsnCkesyhX5TvFBTRJf7KKW5c/oDtnk l5CRCpZ+jWhZf4EsoL3ghjoFxyKmhSIs3f2dkpa78cFUIbv78FbU4hmfdubs4qDEnTvzAkidf8B7 peHwxAhYXg9D5hLWQ1ZeOFi+Ko42Sufd5ieiT38aUG8hv6LupKYCs8xqg0J7+DfN6B52optKwBUb lhPX+FBp15TVOz8xbt6cbPb5BKvXn4FO/p+jNhTsihUffoGipiuhxO07YP905jHJu/jpJdCZF2Tw rk6UFSjZZpgD6qfoblJyT+RK6EhaXRZ0ezyyyCfsJ9OJPxe/NuYogoojVSmcjP2L3WZpVsT+oOvq zkqhhN81QbfvZn2sYbb+qErwQRZyawv4zODTGaJP0jOsVGy2noUSnWsIWoyS4kXYrdbLbapGC5Hg QfW/NjPqMHhTH2pxKVh578a8sw7iM0LjcqNV6imjJCqVp+scIP9AMOeFVmOk1Y55HuPKRW9rtxHZ hi6WFyzHMB0QoxalT5e9Q1XjQ7y3spV8rQ1TKXrQsxQ0L8o5fXghuUJwdlxfIKeG/FoJNnGKNeod 8pZMf+npt0rmoUT93z/616z+RuhQmPGdEnQtUzSivpdWIJGNkAmMHES4HoZ1BM1xFC2clHbTHAvJ nTHBBHmp2CS992oJAGtlVtrfB1S3Ei+ZP2mQk6aG+c8eYrFjIL48YElHc5kRqGwjElQt89jBiBFT ijYMA0s1y4ZUkRDnMZNSNbNv6p3zJ69NG0Uv7yPe7O3n2fP9noucyE9au97VMap3ZDtGVUA2kiL/ R5wTTTaskdqCkeMmkJPsPEk3RaQvDOrwsHNiIBszo2d4hVRucuJYFcPzfoJB8n32JLSR/AVxmLlg c676QJWT7NlgQHGw86/S1pH5LyAmcnE+sgfb471CRmgPa3KdJSup7JZlPiIQyYCPk1m3hUPd9Ba0 FlHQX7Z0zFNwuDdMljCCXsyOlz3nSU4DGvyCQJtgtP0xSBOwYH4xhQDlgZX8e5LJV0rhw46uBGcq bk+X9KQqkc8PQD3i8V0xrSa6mx29ZqVHpLX4HAG2g1sV9uTkRkD+9yi2+U6/NkBTnA5i310rJ5VA MZvXpf25o0m0tsH6LrjaCih2JWnxyIv/jUdsPIKuak8Ndf2mtFYRAG+B1jan/GPyAPJ3UUXbUp70 1ZBvKHjpvbxRbgKNa+V0rzD8cn4zU5DeKdLkauzLVw0ZmasJtzHHbeJHjnvZyl1qw1S0ccepGP0o pb18ozokERIgHfvXQBZ4Pz4Q37QhLbaKvvIlFnSf4vLf4B1wIhiUIWXFfDARb03kgRPhm2obnkTj aBXxRCoCzPty4+IFKmR3siO6QjZQGDiYzuxkYj6VVI8f6+i7LdS9qXrJ691X1OC+kX/NTjUgxHoY 1Ry+FHfyq+LABfSAlgnWy65IWf2+PQHovHQpHLsh2uzmzq8SslKLMEZAd5qSO9SpiF0b0rE1fHvA QFwgBvM7R3IRSh74x1bdebA1aieXUOXmK8cG/PYiSd5+ptvC2ioIB+0sDknZiV3+uuqmG+6+YIh6 sTGI3CVW2f01S85g8BwaFufL4shfjGw3HxoB2Ifpu56is+JXjMFXLaI2dOwV2RdGxU+3bUz9g4PC +0zSfbVFOeFgLRdnMeFB8NH5P5GSldJi0XZQxcJtji8XMAvQzuoNBlj/LdLjogPQ6gnEBhfNK8dJ BW4U3kW+Xh+Q4wFlq6qBk1/5m8DYXTzlg+0QWxo7ox5sP0q/94KutB5dXk/SiCGN2anCJQadG+Ub wSF/R6Oq3zYIQ1N+M/WPAztiCCmYVulsph3zjkgMIIeIISlObhBjKtcq/PrRqTnVJw4X2fVQyVoz Jj9KaDSfx+myQ0+md1C78wn25XVrdtfutIfFkPHl1/3YawrDVNI8m6yHx+DEgtp52jhuOLt+puIz 9LzsARAJrx5ynwUErHrPDvgXwU4nvcZoExCtKWam/xVhdv55C4LJOtoUXPq5lXggSijCg9ihZpXH arlvTITqn6jfHt6B58XbUi3+B0wkb8H/sLxZ9Snpxz8wJ6Aj6xuL5821w/za26ujIDasHMsb4q22 4XCiu1K7LJwVZGPT+y9RIH1Kh2aWzxwSBGfb462c0nMOtEcf5B5PHe2fJ/d/OyMvHE87ifSmrCeT 5Qcsb/4914fepLm+SVxShdHQoCN6PoKxVq56zB8Fz0kXLlD1ondrYeg2uyImx+MzsPmFCmKIAtB8 6sTEg9/DVyFNBzo8imbpoG9I3P2A4yzi7c1LUnkoJtUv0N2tuG/uy1kb/NUIjr4iPyyG38G3Glj4 jPu9Dq8/F90+aCF1qASg013e+NmJ35LJc1n/PelqOPY+4Q2CTiKyQOfmlQ8zpMqtWMPIR784qM0D 3ue6WdX9Wi0lssYiLed6Xmz64yypPEuhGPQahmEmwrgVrZa066troO1FRNwIk2IUVp5NhSbvUs7L 5QaK5wF8/zlCXDyNoywJGZePI054XMFuHpifKPJKYuTIODyzvshxe//YA25G2BAIR8Yvpk3uq6mB +mYXJcYVkzDULQk8nsE2HHnikwBMdan4+NrPfc9QXJ5VRGY4ydaTGt+0ug06PfV4wI6GYjlc0h+i qFrVMGkSQF4zINKs8pAhsZYXhF1FEH7pDSfSCkCXc78T8kycX6TwmseQqucxunbeByALU+VGgCmj uVoB0X6ETeDNOHC4p0u1LcmHhrHyNeLIIfag1RAItdo4+AtAURamRVbZ+Yc2HgEJqUfPpC3lh6MQ nh/vs6M16ZQuTsKGDe0TtHCkXjY1USsE1V9grfVA7NqkLD4uEiSblTTPzRMPZnmVx+7w3EgmtLm9 NytWSKZvgUG5KqI344ZK4qS9MHzcKLJH7UX4uy3SJb08lR3bwCMng30jlPTVuZERGL1vUwtoxafq hgXqhw7O1pVNVGqcPf5fMjdBd8PvVaBotAac0rSCexvvlERT1DdXOz6wazUCFga+Jj+cCwRG4Glx X2qZrRZRJXYssORV30oNvzy1Vd3YGMWLpvD2d54yXnXHrhl8WZQokJFpSEHFNjKWlPNRGmvrfi7C +sdAp8S6mn+b6F7y7oOyEYom1WWyXf1wfGqqTKyX4uCYwg8vx2ugqywyxihOt/a0CVuGtPMl24GN DvbyRii4j5KcyNDomtH3xbdr41kxheHYe/c7OdENx+/EpbDwmQkeTGyZ13i12L0dVJe2UdM7zLU7 gUSVxcyBmpfEV1g3m5F8BS4r32hJpFI5CM0eEa7cmK9QbL3ZePMo7P1DkLhbk2pv9SR0MdsMBHrx 2OABbq10nqRGgvcP4ZVTdHGsF5wIPhhroZ62ERf3YRHyfwvZsGK0HfzAcvJv5xyr7OxzjE8mlUIu En+h/SslVP0Ae2H7UrrN37rI7SjqcQx50/ynanock0vsp8Eo7F8mB/MidwejgmbB/x04VoF0nKYN WiU8eaPQhV1LDRVDa+r1Cg3hw3LDN0I4/c+t1ZlxeswvMdRbqZDzSQA1ei01JxWPG4T3OqCUEDpT zGWkEMCNaf093568zhvoX+o6FDvJmvTqvnq3FwdsbFeIs3Od9Ft65ec8QIL24TaRMsUNIx2zfgtG 4n/Y/l0/6HE2R3D4J6GTT+6Wby8rsK1mm7rfmhqCKfSxm33IGm6SVsirMHXrIH9bHfFvA/evGSm4 KzSVrFDre1E7CqXP1tmpHyV8dlSJ7cS4q+HZbySRVr/mgkBtWxkbKE5Uo4p6WdhABMPPwc5wyRG8 mr6sCwB7a5Z9Meds22mAE320F/njxAulRn2PPxqZG30LbuOBFPyOO4LmI/rlG2BxGoW9sx0NtDmn S0GNFNqF8IkHRuzx92p9Jj1uqjAcNzr7gJETZaieJc6+34zI6bsyPI50oe4C05BvCOk8amhRrtbu b4HqcKLM921uG0+O0JXApKP7NLKHidDANUN+885NxOdF/56TCqSVmwCv8gdwVcFqXbHo5zyP23RY pzpPm2AmCKA3pRW84amgq+nnnwJkWNBQsxebYEgzbqw1MeQbhh4qpQw1HlT32m86AHttG8Rez385 kbHeM6HBPh4oFMZujDnrtktfRsgJygBbp5AWAjh2hcL/aQzPkwEyHwm5bLhgR08gwmfMOkSvi9Fw VeDk0vDhWXYa/ucta9ji78WzzkB5g8i+TjIpqidj+vvkYoaYg4YnnjlfdjMWaBe9fHR1LEuM3ncx txtgFyBWajCMf20o11rD1jBmgOqjUkrELCKZxwBpTE0DpiI+GlRQxeQ67CDNde74RWZtDRSuvIZL l69V4oi3ynMPF8pPL11f0PbPaZdP44bipa9qOUrjDP6LHpg2WwJ8rMwCWxTHKN+Ce028RzPOnwjr aC73IQKVYk108GvWfclzHiywd0NhUKwKQFZDgV5s/Wxitwn1pdyCu47DqUsr1GS0+GgAz1c1v6Tw dlhsxeCIw2BUTQVGr1Eyk/fVo9kIbLRSUss+M1/BMPhFI9s0s7IdA2+TodNOAbdZvtHsQLihmW5f 8WD2hImct5IPkY74DhwEuvgbwVd+rDZmgEjCXNaKHY5SrVL/Ik2Uxzwuy7QNEQXtjlE+SU6ZjVZM AhFv8PSChDCv8s4K6LNHNozfMzS33mRfDAXvLERooVfOvw6cBZjAWJBfEZopaH/t0ni1/roL7A8A OT9HzbdWoNTyRJckSSrKeok7AcEgaG6N4LEf1YigOOc4YRGtWSlt6Mqp65OERqeKhCeNHtovOFml ED2xlFD087cytYtSRF9pizQaB4DAOnEjpbRtUUl7nvmn9lSnwpaSgqXY2vkgzaDECYE1+gWQYFxy dmmVzFKHy2gKiaFiZYwul9CgKVddRNAKS5tR9cU9TMKJqu5C4LuQ/P2tpio7sgisX/BoHfdDTwQ4 wQTJ2/DOz8L/1qjEdRGFj9Auu9qjU5GopWTHwZ1w98PJexDnSZxV1FcDOX1ylllIYXPIP0g+FLZ8 RjCD0WWVKrtoVOJVZANV5sLoUVv8J3m4NIqwm8xsN9sdYI8LzcFXuNtjFhsyjVZo3RrJqdo5XnuQ 2IhOUAsX9Enza9eu8Y+ylQFBq7p5LTgM/mwB9orE0odpqM3IMrXavsvzptSprFfJZ5XqD392XKx/ MLtLPLNwjRMMqYIprbMcMtneRdX4lULIOyA17Y6dJjpNVtQhq+YaulMUaqIa41MtnGRYBXG189hV XuOavsfmH+pJHFqXHJ+TrMs28ZOTY2yv82T/CGZ/LErn+SdeJ0wgb6p0QkV7aGn9c8KDvQtRga+Y yI8a/6eAJRhdXBZwmuBco+ol4sModh8ZhLZr+l2U/iX5oaDz79ODt1XrnYZQ/8FUvRipSFk6LJSn gTNVtu8iuo8iJKyYdZ6MupSigKsMHiuKVca9GTauaaP3KxDUXjXBhTY2yBZwUGO2wbF6UnsgpjmT R1du+fvN7+HQpIcoevbvo5+0qoPTnctwX3pDkQZ9MZBdlTAVbjea+ZNACSnkhI4xj3SMHgrbpnL8 Jh3y3ujuldG4Ar0FMkq020x0XeHOKHdzkF3IDS1pQd0V+7oGoNZJK18ZR9f3jSpm2eJ174XvsygH zE90juAnfswBhFmUj1MObHFVOZpwIlYuLUnNRHY5F2Ve5p/8FB68BBXyqjmN1qTl8btD3D+6M1Oy BleZR9DYfvI16UKafa+c7Ub80KZCw1UC3ocdHCQ4EkiNm5LYAyhAD93h/KmZTUWDrmIYdsr4cDzG FUNila8UQlGZR9ehMiLiDaG1iNgikMLSvNdhQTNaDiMaHQbTR7XiLcjCrmffXQKdIupjpA/rgBY+ 2TFj+lohvLzLD25LrFFwgACPIxVTGMNGSLOtmYSoUUvcrzaVhE7itFFUNvXCWt9c50CSI30wxfM+ jnNKyxLzzO7Oi1LdpFoKnmiPq4BEWBZklU8pMFqi9MAH/qeKNKLYMC0+yYErDpxOTpGUrWJU87+6 6Sw360lbABkgL9g0TUBPZJRjVmmgnMSJ5S2MkDg8Q74FcZMfN7Hd59Vt/ZWTajasbkK2YIohiT1v QF7q4wOXan4x4WI9MnvKr7x91bS2eFT23P3oALQfIRTSXSNCcuLrIAua8ABTk/s1IqBFw/OfXyqi n7+n20sbCfp1Lo+2I3IZ/Ega1OQH7Z7Q/v7tB7xAUBQGH7EarqfZp5JdG6gZ2Erx7C1xmMlk5WmO fMz+NyY2j/UwFPqtAJf+OeMHURxENpaQQfFx+rvMdB2FrXf042uaEvOkxgnClXLUyOKakbGQIwP8 jFOP5PPlnyzlKz6oXnYJ/4vdkxoCQDcC2tsBpLIgCwoB/1zX8MgJQYug8+FtMYyEE+41fRuwjTQq E0ZsNuXMIabjt6cBh5gk76LlgH9+hPge3iHcP842A5ad6qhCz/btsFI+Wsh6NRNNsz0U09T/Kd8t mgnIZUakokUbOg2VIOLyIEOCwvDzvJtq+TN/QGfoZjwfvhf3itzIajEHgZhiQk4Pj+Mm7MZytW++ YIdzlYwAl7v8BVAZWNDvqQATswd//T0j9xvT5GWPz3Q2vZjM5tzIKs4wcvBFB2+gJ/pwAghmDg6p uSRyCgMYm20iruYTjSjzlDEnPKIb+T5yWAY/sMkupGQtbKhfMlKtxOn/0A1+Em70Vy7z2ozFaI2+ f5UVK1CuSmtju3d3Glce7sV2DLCVZTM6AocjT/xAjXz3J2Bm1RlrZGn96JDA6fG+Zg1WvpGf5zsA 9+RODuq+xkL32/w0vnx/KwwRI9y7yEd86GAZHF0Aas7d9kxID45b8WPZITMh4PES8FF5TrQgri0S bxBLyQyIkD7n24afMEfhm31E27BOMTirbq3ypUVRndWSPn8TNjVLs773YhnxH6kqK2+KpRYQlu/R IwNiLOgf3VkwgTrDpGoMPKOId7vjpesdWWw1i2ZoJNMiczoIEmCTCJdub8Pizh5PKZ8uZHwH7eS7 9lN5Cr9y/A2RP4iDEhvUL1mrREnoPpNX536mvzGAOlnBKUHeXwJQxJWula2umfw2s0T0ydCelYqz pdy+Ds98U0N+ceYDAjto/jPFVLSHNNXbiIpF9rJ1iD0SwZ+sLotqqlR3ylrmiOK6VknU7Nm22Dfp Hsdo82Lsqvu8AZszPPqoEpdb04LqfhDishvmMRVeZMztkeMAp17Jsx0ZP4I4RAiQQe1mI1sR9Uup RKly1NSh8eL/JALqFfdYQx19Zr9y2xQfZGoit0ZEnAx4/weuAKVy0cK993OvePCESmN0MDjUdcd/ w0xyJB1DpWkJXercbyWJw70+rfvONrQARp/pfjNFp6Q6O0V0wmX6fvqrt47BxS2Xq3Dvt6g8DhlY SZKP4dnNcfcwVOFfWcWfjEfnl1QBq6VJRDaGiCgrMZh/x+Worgs3twmNQQd0EPBZtn7fjQFUIYCh oW1/zl3yP6DfeuhiWCUb1XX890A4MDCDVQJtnp4xyA1X3hNF6xxVOaWepaneeR05P7c7ayoCB+SA 9LwJLhUUfgOqmXpUNGYD4vZGueLzmu24jJJDImQT/btQgOmqXwDep7fmAh3pRC+cM5yijxHRrm6S +akEXvXP7RkOlOxsI3Lat4diCp4/nwdgrkI6uK0TYwvK/tfzLx9bVqdWKWjEkST3aIUfW6Y8LR/H XSGNfZiNXXgiRFSTuDtj446mVAvLyTZQ1A749OXqmT95CaUmQ/SsfvV98iXSy5/BeueRRomEwS+c jW7Fk3UdWHKow8mWTiSKDXlxLvJOkZyLbOUTlyXPYRQpX6RM2cMS1HaDMoyoS6USHqCkYkoNaGUU O1byu824qpSu8LooD/80YHDQzkQK7WGq+S8r6P/7LhFsePoFx2sEdhozo+M+PYfH8Ig5JqR3Tj04 own6795k8v2cme/xXBHMvz5XXFI0RSoCP6PRRU8reISZiI170fl6NWPSGUhKYtpIdBIrcq17sUZs jjzOGZ6PTWvyRpbasl9fFKX48qJyEsFga4cLiFpwcHE1FO2eO19ivaxx1fYU4FtX0h1a//PMBAGA OVduP0RUPTcSNOHfjJfGmf76B08zWBhVLMDsrybHTcz9ZNlkVy0kePRXLOmDuVetOg+TRuasD4a7 j60DW/CTXPtpU+r5s9KjKz7GhcZrXKGDO8Qtv/60K/SKWUA5p/2SMC4VZlAKgWGvHV+teFBkzvmL tuIn/D4Dn60JgdIgemklGmlWzptOSYqcd80Mse7sQ9TxyXlbeMmZNs/AFaA//XXa2BZkikaKJQLK +NQO9BrBGoBPlmkHiuoFfveW6OyDKq3ETWQ4CDW+B3nPmQJJexwTfLbHCNLwiVXOC6wC3J5n32SX m6eb+bCZE+zA7CH5lLp/kBFps9bT4zA1/dTrJAQ4xasnk1uTMLVJkfpnHE7vBArpHLymTSm/XYWh d5Cbk5r8NvHFGaQmhWtI6nOL5v0a8iPgisID95VIbaP/tHGHT0epfCDvOtv/stPNVUDJFlpKhBYD dw3XL/v17HGn7G38kfH3Cx7t+8kRe8HdjeNAGWuwosuWDaDrcr9eBK84AqnpLxdj8KtODS++1kI3 b3I0rQ83ysRnJInv4Ffve+d64gxDCY05SlnxK0TIXgN+rJ/s80A4Ttam3iP4zDtkFVz3N+ODy6S6 OvVMP/sBAclgg1TPtttnJj3Ynz/TBnaE73eZRcTCMZrxwj2ziFwRUxnP3eAsqRPgwuMksbvXaqug 8eekkXLoAEtif5DnTWUZXET0B0uIJoupDDxDm3n5HqqYdqs9d3ujoft1T+Q3zBgDyQy9xQiobs75 Powwxz4PXGkohzijq1IQactTqu9xz68aG+lWpuw1LtdovCrQfRQDty/PdVERDSYQYhk9Ra4emEux Sapq9GTpFvr9H8lWqU5atxezBq2t0X3E89WHvWlXMrShpwchp0DAGR3ChL0XaqWYi3cTXL1Xan2/ hksCqIXjYkxBWkV4+vnuDE1ERZgM26fhDBPfw/R6o9K25d/wEv9hdC9+TQXBK6KTACotqLK3Z/XN +9/TpF5RTks2Kr90/eRD/RkVoznvwnQDpXUbKQ9+brbWlJ1oAXA+q0nSPANi8xbNbq7pPP5aqwaY iE1uUeng5Lp5io0bE2EYw8ZpMzn0FX/3V0TnIq47MDK0VEjcQqJc/L3dajQtxQdDqpjmW/dLlImT zL8bU7y3mgq+DtfpTthNbzY7JJLte1go/2eDiHAFeW/GA1gF0kR13nVnM0q0srm4klqTJn7zVmJh eLNGYDFOjo6PFN6p6DNepxJJEMqJkqiUmzYhMeJxCmnbVCNDvmUlx/K1yD9zcPuaWCa7IsCrLCpP OxJWNjn+RDiLQlbSBaSq64p22X80cfpUPwEmC8jpqZioYqpLjN0wayUKyuMGZ4Dvt7VbAh8PqO34 QkIBp9hY/v6Cnz3FO4v9g3kIlMJZ6+CA5SZBURNM0v83d+MRW9iV7Ul7wQ43rNaxcAeQEBCIn7tK gkx+Sbk9S4Trfx0AzoxhznWVwBZSSddHqes1uBsHYxdPnml4H+m+BoueHHJJYrFahB67/d5Cy8VL Rr6xLdM6b2yw16LzYUf5FHuI6sWDfWFqeOs1DAynzrY2L9U69c337IU9UCUL3HFXCAIMj2BAL7SQ YEHzAv+dlsxDTHskVc4l/awwtDL07C4tGnCZk/Ngbu3VRkRWFGOC5ufkyZHemHQOQqC3dP04VRXT ivYo/+DfR9mh0iZX2zTjVkyV5ahdBAr6ROjfrEfn9nk+fM0u7u+OQ6Ult2DEXvUOHV0FM7EaNYox PRoslG2RrvOctvP2kxg+2Iq9R8xT3WfVfNX6kCf4SZUUcBRCMw9BrFanGh5WRYN4oHlaCcnReIOP HjJXjC751Gmglr7G0qZfUGHQ5DqsNYar2ktAp5r6wo3AGQ5VZZkcq48YZiAfiRHmvLYrh24kF26E nINhHQgtVX6qw5l9sOOm5rQkqBB5FHDRelRI7GN6087gN1snlkpg1OxgQfpoRA2zVIH4f+Lcq2CZ c/ACgjBNXbLxk7SR1gRQtqzO10uLuzLl+qsLO2k/J8rC8/kxu4Vmdbw+wOQ66xOuZDUuiRiYiBCs 9HjnVuw+gqWp6r2prXkrPg98l4xyDhP26FnUsu/oNyKK7Y4rhlsJzlmjcxet5Oij25hpopVUeMCJ FkWkIWCqYjPhPpOZtKl8pedJKkIu1I+S+7qiysVk5HmKfxK0tt9th5bOoZnWGpEmHwc9bUWyOV+t K2VvTWatWRY7c41zqE2AUwB3lXnKBldADopFcVVXrBf6+c0vbUS0uEUVHcyzb02Trll9xBVCSY7a mAibmqTK834Frtme0E8n68eIyVqj+fgv0jmqI2R6LkPo2mFcfnT1aEg7RGYrEiCBNOt/DUgLbClu EIAAjMMvLghfjCv7aU219Iaq/zwjKE7jkO8HoZHGF8zjAZbR/dDlUBKYfDoXNTNQ/8V6TO4MY1Qe nO7BdwWOrSqplet93y9zF29ydpN2siJBp2/a5cMscrOGk+SVcOSlToWsKLptN0pYND3SzDWnL03e lqNtcy47BhOUrZBFXCouMAeiab2ZG0Rq/866pj7hGXMpMkYsVXPedjM05cKZUxJ3JfptrpQQxLnm 9LVU2cQqPLVsKNeD9BXEboRxCPn8sWCllVmAzofIXCtbEkYZX72lryQPNxU4j5HdJjIP6ROpPMkL YlluC5bMlQAkyy9mt+2Mm2G/LL+KAQEvoN6KV+G2wpOITQ0xt2idyNs2VE71p7q2r3doyV1v106C EGH0lMZVcreU2qj3DylfnF61C+TyZFjTdNbzsyfEf1+FS41AFDiwkV8z0/VTcas5jtqKLl58u4Ni f13FjATUOKk5Sl3QJtJ32+gsSIjbSdY0sTd1iMJsvIkFLSygTlig1Wv3LL7VHcX/IxF3GUei20ly 8/3IYD1VAgbkW2FbcW36KE6n7Dl1GK0pCYLrJ63CepkT1LMVSpN4TCmtM83uMvZ/OtwudZq8U9ut Rn1wwz6Otwm5ss8m7k+yXeAkFP+MQ6DwlXi1WxLuu1CkcPOZtsed+kezyH1HnVCTEIxGO5jUz7nh wm7c3IhKsaMjv8AcUDqN1X22OirwTkB7L/f6y7jRhT3p18amElM3nNNnhRDxXCSRPYfIbtP7Hscd xbVIV28Y3uvKPANxtedX6XG6OvhCteoUWjX5ReFwzc7av0hYWfCBRvyGxN0A3HGue3x9MV4/yKlw pVUEiaTDXcd0hKIByjn0AG48IaGjvxfOdqPXk0+dkYoYCh+vSicxwNOIy8GrHUhHWZDTIsoPh8S+ oJSL+q6xyNrzjDTRLwFvaOH9nHtw2/r6yrEPKetdcK6Os7AzWk1LjSeoXqgEjP24Y5UVlQPLk5aa i13Je8qvQsyQHG7LKcUEXqXN7PsWDN/YwWqh8JPv/4PErebPj9aGFVVAVpeaObZcOmbdGi+t5k23 /o8jIBP0tFnF/px0vq7A/FUZWNBAm7of9IyppfKFzStl2rVF0Col82zwO/suW6Zyps7vqazP1JEy EyuN3kocik9m5HvSii6Y4QhZqKsrAIlkfIg9ojKeUja6lHR+mVM9ace13Cb2pWX/rB4+uyL9SR5e FPqlSApqFkLa2qghjbQQzwk4via8+Ea1pb8W+kB419lrzteepklmGakcGlYEG+V49Ub73zONZbVq azCPOqf9P/U5jd8q1V4D3YpZ2+2bOJehFsh4tLhSQ2Aj+J7o2bTAruDxHLwMTlZj9yBhR/TeshBw luir9/lKpTpOfVWoMpqo5/00CJWHJqrfnmZbOKa1hV3zJfRVBylqVfzzcEJaoAm+/cFhIzelreum geCtyzrtRdUGSAUSBr1F7d6uJkLXvQCs6rlsFfnAYqtH27639L0351lVj9zHwzVBekHsOEZnlbjo jbfGnakgi4vPworQw4uNCsVsxGGZfpEL2/z3T/shKNX5pNMv3RIqb+XX5a8wIkY/6AhWEAsEQdKf hf0XN5GNUoRC+CCphtRqiPVEaVr+b6nN52sTdR1+esrIXY9+qXOpLxzLGYuEHJf7DF1cKjyxnpO9 QhNxKOE0P2wWC6sZrlCFRHNL9xJStb9VPtENkkaTj3vYWU3rVZl713l6vGfMpEbMluO9/UJ7xRuX Z2Zm/KMHaHIQaBqGYG1eOuQcqgeTfzcMN/oHs6LlseBqsMPVh5DfSB2u6fwSw3xNv5P+xvFfdkHQ w1nPjcWBS6ldQCgHO+PxXOKsXITarRWmMUwYXjJvrXupRI6uw/vQT9ybRG9Z2gUe6PVxxkNYO8/5 OuO7M8NEUAb2C9+/0WEo4nXXPWky9hHGuxydKYBhwigQ+5k3Mn5cn9q7zFk1RG+WF0EY6+Jm9PDf c+ic485nTHkLZ7V56E0TDAEswFq4sF6LVzihyR4EBDzJxFGA3F1nYvQHVKlrv6942CvmCpQ+dYeM kN4fNcFwoMFiqAY9VhUiHrZlobYNvk+XO5oQNdJ0dsm9L2ZvbuvzSpIo7efxOxEWlCHSkb9HiiJd vKHIViDlXneFIL9hOhoHxyf08nw5cIAylqnvPX37NPK5KkoRJz+QrlCZvvLDlpbCeNCitC4loWmU 8P5Rl12KVDT4AWL5Wl1aRq4e2y5Gyg4K5A7AHhckx/W/G+S6cXcZMo+/nXHGvNvfYwiUev7kU6U7 gZ/KIdYSuuqW41nBeNNhsnFZN+8II7eMdmYL0Yj1aaCcLfr1zbyzodc6zCYrd07QQS9XtL/jr395 SnQPMgE1ARCf1JFGhNphhJUi/ErZp4W6eubLJmTqCTwN07DI1KunHdzoP+hEh/HjhKb/sHwZnKj2 fWuZ7TybRyr0tVC9+uT38oUaO91K7ucJe9LrS9PpLx71yLcFI6vPsdhUQsPzO/99ELc1uWs8bvvx 0806a2YN5L+4uLArnksjbzVLHjceEsrUv+62wJu6HQHBvRnvJoCFnXcqC48WNABYktDJ27a4N7vH MnzKOpxn8fcM1NUjvdyEFXViHEO0F6vIP40lKX684mJrI1PLlWc6/+f76lEJIms5PMWvaW2cxKKx Q1LcBh4/Bpdq1wo4+5DQiJv2HPPQF5t2arVhxTbzTZAcifnAkVX4TiiW8Qs90/e4iyiqgpDvdL+Z hfJ8rn3+hAPjR40Gl5R6eZoVvdPoEUzJ9rIisRy9pjQLI/ko9k51RXm3Zx2/kZVH4p30Ri2357oa SxOvlaNiYc1chgN6n07b5ixOQoP9buNWoYWmES3NsiBMrcF7Bh1rpHrwxCYbQKSjwA/xR53Q2DHg T0zFA4buMJnDfVFA5lP4E8MW0xHrBXZPt8UzusEkRMG05Al7AlyE/xe5ph1Je+yeNED5iuxlOgCa 6ZDdLygscAylPE5SUsoIZjIiNQCwevk/KB92mhDLFKcoPnpaKGpUjMpUhcU4L/WQmgsOz6KOAu99 oUsnTcxj++GqKRKFlprKSwhQG13eagJvxyz3KM7954oYzHrVv19pYCNIQCHPDBPT19tgxT+wbbuS sKZi9/+FKvXRZ3/8YL4oaXxbqBkM+Rs+Ax7yoKmmysE+VlqA+SVH8fDEVCDc6mcdGTotnEWYnVqo Gev1KLLgburkWjQgFISnAY7o2ZoRqWqblsn5/IUNv5UxWjFFxj5MGP5N2ltStKpYuILx3B+zqtyx FIR7OxnDbCube0CnXctzB5kcDzmxnqvsx0PWXOd7FyhO40ExHAGSqwo5+t9OVauwYjTnNo0butZC 2LUPj3AorypKm4bYNxH6Fe2PU0WQ8GFA+IILZ4242CSD89GAiuu8jodPP6G6ixzeKUXmsVk+WN2F G81ab4wdArxS7o7A5CtM+SlOOpGILv9tT5B+WmIeCNE4MI8fW0cb0z7hwsu2TITWoi4+qOAOwRVG GDpVhlyuRFZ02yLF5oase+ETXGSvzi/0MfcBNHPlwfRww4sIHx9u+/go7qrePJZscwy7Diep4XT4 bViajeKM5rH2cFMOfkw6ButjIp40p0KmQOJ3ZTOKPuG57w6J0RJKADz3/tXvCzmnwXmhycG6AdVi Dy4GVpuQ7gyfnAQyEk7clBZWC+uWKOEzYxvbdz255nLILzIc2arvAjjf6/fKNNenXT725Jg7QXLU KhDaoxYiiFmDbYzaA43G7mGB7yL/G4osUDGKYIkyoAsuQxvHww32kxwKjDFnjRKABDtB6HsbLN+z hafp5/YG3jNNNztzH6WEjZrQN2dkfU/1g+yCG6ZVb+Xyo8cb7TBOEQ5nq4DubNyitpDUB4sMTW6w 7NpuhRZ3v1ih6u9vxwW2M5/ae/oC5fcmGM1e57SHBByCG9IqfAL0l9cyxeFymAXkO7BQIHRkXD7a v9RucCTapZLFbf3m8oTfqxzI4XKWMGvFyezdJ8ordqan5T/TeJw+rVGbkPQ61fBJMQPoYBxsWI5d Av+NC6HBXGCsGwz5q8ZrMs2oRKFTrA5Y8x/4XrDuzog51tu7Mt2Nmpq6hQDdnPVl15lIFZDvHgid 5k546W7Xr0JiTAnfkCrrTgkQTdx9dLR7bqR83DrsHxxouVPzdUf2grmTva3Z981n/9HrzDXlP1pG f6Dm0DyjVne1mlt1SCGGbKxBv9mqIVPNb4A9NjFU+R8bBwY+2V0iSiq/DEtAmT1CVNbdALo8KaeP xQMf2R3XhcGRLm042785vWHOUebYy3bfNnWpxHckNUhpEKnwF69sZhQsMC9VyiJgJ4VQPZj1aLew 2ZQob6m9zz+eeZUWOndoQdbdfMx79JrOiVGOqFVZ89RI6JCIyg9sOeTL4TpCBBaSvkgIOXpflOoR priAXQFgDwmfX2LOgsgmHoiaBNxtp2ugeJvXTI/hltwHSMiausZhh9PwpFf1ZoGZCmUsqqG4ohwO r7n3HxTjhPpn2JRXvEUYKiY2ZnyxHXkOCLzUvt66mLcy1ZX83oqFR7kHccFPn04vNb5SKTwx6Uzg vUUpI++MmfVKOxkWI9VFd0HAxWHJW2hU/9ePZbsWPX5f6axxngTYi076fOtulnB2/Xzhv6WI6FFJ wAi29iCJcEzuKHuLbINY4Z3lZe3Gb9Ix1HpJZ3/9IhI3g2fOh8FAH8FLKPAhXtZBqaEcYqFJbSAp v9TQ+VkHZ1rPEWP6AilmZbhqh4p1POzqzqi7LF+nIbjrB5QVGH2qHznblXet6NGyx/LCyPKxDBb4 EGLrcmW9g7Sxsxx2COTyNUi6D3n7TfZ+b5JVLQuJqVNb2J0XsAxKB56SwI/+TDnBSwAFnm+JcDcC kVFmboMX1meNvMqnT+pseFJz2skMRZZ4vD7QbcbILruBdARthWJuoierwy2I66eiUJn+PHI0GAwY SMqGRDoJmYIvxmN7L+/e8yhOeQ4SXIVsMSWSLjXky+bklMBzq0G9wh0UUssn1tt+9LfyY59PUPLC U9lDW2O9ohj2zNbHNF3/PKN/3jjLa1dGn232ub4aXiYbOhaaGlsPZTEUpgHkq6mz4n3EhzgPTY6i vcZglVHUuHi8oHvpjU7DeKvLZGVQOkhZ1BAVhIcV132B5p505ZZBlBkG446B9mMKbXDs1FAjtL5X Rz2c2KP0aJg7/teTR80dsJwwy0zut+tGfMA0Xj99ybcTRQxnyaQLZHTIALGgPxSvQWyVvpFbufxV 7Qb4mp1M2Okt1HNrmaS/sMxN+YoFLM3qVgktNvWYEYY7vlA4IgoAYeua5SVvJ9tGPE6Puvq3tVYh k5KMg376UQwU4aRLOKJjfMHbx++1KwWax5EKEfdCFlO5sCUAHsKuuQTojcgbxuG4kh/mTIuOu8sc AC6sbMgr2c/FOjIdZQIocQaxVBIjeuLn7hkeiR3TnedCuQjr1rjp9t/XEX5C8Ru80OxFhXWGcx/l 5eqmmOYe/OJmuTKWZFEixh8eoho2+LABqk1R+KaP0lNa+mlbjNJaG4szLEg8tO85tE0pPLxa2k0r mT3b3KE4GwvqOIUJnSw8ObSSJwD4feav30lAu8OlCN0mFA7LUfu9MqQPJ1J2eU6+VFDWpJFp8TR2 mQpepaGpsP7lz5i1qjOQ2pA757Liz65dBPo0C8eS1xL65wxg+472VWW4902ntCyu7LFpT58fUNuI ZtBUabszQl15gpneNrxUnEbjubigKkOgXZ7Lk2wHP0QICx4l/4PJ7JsEIxzl6tefzRJY3yQPhRRn iPBXCduqxqPgnGA5uos2fdJhiip4j1UbObR2FCJcnV6JpKACO5BL8Xm6DBHQrNoAwiRLZqCjF23G mzQNtrCnL0u0Mwy0tEfOONFkybtTFfDOUIZnZYu/i36Z0cd4/2VdJWg5xe4ckV4Y7WPBv5h4Mebf ALHkq09CoCJt8ICy6f9VrSHdgoQqMCwIXBDLJDKNX/AI25Ev93KaY5hNayZPJQiINYimXhwvqXeB AVQ6bAWZ78c4rwFFxODFtWQyVJEAcp2xeDzhqasMCb84H9f7mSw69pUXB7KX1czVKtPPsudkkJGm S9BkueXMW0iZfiX1U5KszJeI4NNYFxc4QEWjaDFRjvlN2RUBP5fPfFCL2c+tWb3FRox9NDxKErtO oEEsE9T5htLwLr78sU11ZHUl23D/ykWMy/Vt4lBXHQXuRvTOflPsA+Knzq4VzYDXhnB0ogWZVabQ RX6O3P+yzodRdoTnJ3PyC9L/qMV1PryY7SnsqwRciGKRC7+9vxahBcpA4h3HLtLR99w7xXdyJDL3 D1ni/8MICXM2FATPHB6r63MnMonqINXeznqAHenSu7SGsWlmV/SykDhqLd+KjZ2dLFS/FYecDLW6 hvWS7ITEvlYx2hVk+zwv74XvKBovJqxnCiwxqPzlh2W7Q5eaFiEMdbDvNC2PtuFdD6WnTCYfyuth Ka8vWAoIYxirN8W7BK55Ur7yKi4cH+9+4eagpPtDd5L2G1eehQG4orQC6PcCnxSCVj33eJzIxcGU lCQ6qpyXPQncnMXkeAC0F4dx4hVe71hrQ4ijro5NCyAM2cvIgeFPxG/nugovn2lJTinrB12VOjkW hLQnS/gDNve39dWgn0Nk/0JH+t6d7T8vF97iio5+KBL0hqG6WVyWoOVnseHzxM3vbSpoUlpqJMtK 0od4La/03XQVnYxXB5cy3xsnYXhrW8OggI+smyGlMRoSFh+WFDv4ut/GpkPnUJN2mCWmHvP1T2G2 WoA8VxjbwVvjR2YXG0e+3bi6yBkPtgt0LdGdNlD2J5iImTQzk2OCW2ujd5z/z41FhEaG7SZjifOj sjiAhHguZdvV6MDxUxvw9eXsTlCcAok4ldBY8BSFnGUKqYo8faF6zP3d69CyCIznOz6Wy6e5EtN2 W50BjvlvX3hSDg1PVv6Sh6ebRBGt5QBBuN4wasUDNnB3FzD+5Ji6kgPm5PYua7Z65rcSYsgV8FMa 6qToLZbzjcpXtJRMLKgmWDf4tE2z3qyMM0It+EctpZT+LXwh7pKhVO9UGdnd4Po3vLCfJYCkbH9w ulVSEjldcEacT8tS0o2Ix0MnlVB+uHz2y4QTQebHvtF8VYoBJkP3xYfx599uhtrISrQF0t8EVme7 iEUn1mrY+7IbMVG8lb9IOgqNABPtn4w+JhYa8iNbuRKhOq0w4aMCOtgUM5R0Ftc1bEE61NKy2aZ6 SrfEl4goA/Uan0u9p8uji0JRC8VB0TnrxU5UMml+eJrKlzbWS77oUR4LtAyqICRnBvllxPOZa2N1 zqV55VUDCmm/nQlxukrn950wvFbplnLm0ZcH67ynjb1JJ2cTjjAchBwkgI7Idnxo9hzBcxd+4CA9 7VyCItm86okDPR1td9eExRElKZTd1KZQ3LXHblHjnJmKMUGeAVcoPfS55b5g+BRcdxuBEj1ldUij +IEs0gR+MkRdwwwuj1LEEM8xqbopCOLMjwv8//ywF+VQGe6exqyAlPl+AOZUSNkc+PIBtuzfLOai SbcahtrqzuYmtyai97EXjGinnM4894P78tKTYEHqDwgGNlfKQDS+nMME2xsyPCFOammE4u8oxASf rdx0Kg1pPfbfsxyCR9MPEiWC5vk7QSRA5kD7dA0pejJeLGEhUyUs16thEv9uovHBEFmZebOzQaXM OIf4ca1StaBt2d7uf9orY8vxEc70uOBgyYkTZ7IPixWCZJ/JUfIALmvEzi2nPtblk6pEcIHkqB5h NjOIZuH+T/sGKZuwouiFSOaEHI4HtxXI7auOAjuQgjjeT/Au3BgNBfgL87KtE8ddbvwIa5TuUk2f tRIcCkyRHqk85ZfSA8jbBkyywUivcGXZhfYYWIOmOkkl9xYyJY/zEpIHE7Hk2yStPKRn86GqPq8c 6KLRhl4cjfEoMmDkZDiX4oErJRUz1Isr1iozKhyA4dYeAujyIVEiuluVNNVPe8TnwCZbjQJHdJjw oPVCZZWh88ETE2wcE0soutcOnjy5Hw7P9QkXq8dEDssN7m0iZ8kPT+CKPQ1lQKEBnTKffWMFEPKl 75dvE+UMcIK7Y/odXhLs2lVrXK8pQp1mryEDby39NfDokbszCPbXoZv0xa8G2je9+GCdRHuMWneT keh4fTpThG56RxB0FFfetApfFhxRX5JK8uWohSnaHyw5e6nDoAcRmxcjLiWc6f4IKIMnVQE51w9z 1eyrFb0JKUMNNjakxKEmTP0y4AxaKDcQTuHV6Ev7RvNndS10pPjGkKKOqb7yCSYTrrEAJGJboJsb QtX+IWGUzIJKNp6g728DNCO7x7csYWZJ3qeuODDUf3Xg+QGNJSydyJ2VKcq4zonhPEJe/vWgueeu K5a83+mKnLyZJMw99ehlKLTK/9wZG6K8d+H2shWwM3mlZ7Y8bDGhTawMWFMgBi6WsDgbnWM9nDmw hjMv2K1S+u0RTmXCMuF86HYkCDj0XKAImhCSH52v5AINi5J9Sknfq9j7hTadEpOxHYB7fqaqoPR1 zyUamad/CHoRunpPe9Nx8UA20iTp2+RYvnzg7I5lBvA8ii9LeO7X3aRxwyN8vV4iafuERCRQdonE 1N9lm4g7Ii3p7yzKoriQxhkO+gChNrSFtbXe6MHNsaZlHXBy3NjzSzi8OFPGHYPUic/jKhwurkeM Yo6oez3IIi5L64IGWQZZ8Z2gC7xxc1IPaCeKOkmV0sHv0E3xUq87CGvA0KFXrvIpViRfg1Vm8vD4 vS1R75F+XcUazSe8tWWiQKzA03I6BsazxykgVzbOsjrmplnILOglN8C+sJp2rQ3LQUJMbS7pmIMZ 5m1n4JDC+Jf/F3yht/K1xtfj4UY/RhJ3Aj1VC5mpiPw7o/ZdapO+icFaTU0e0vgkd7VOXZ+feUbh sR2tYIynej6VYpcWf1FjLlmRU8Dxs9tyZLc9SkQrpyFEO40RMI1zBn+oiLebW8IlcGwDmha3Bq3Z mb/g2fYle3k0jefyvQSL/NiWZlKBJDIktJbVrg5vnUZMT+0qYqkDEPTs2HToUJl0wdlRBQMszWgT ATJCHLBRdrs+ZDshwDx5FxfCDv8bwPIjwPicsa2QWraONHS6TNtgQNSh1BP6vjpj0serDM2/zTRM Ne2HN/GlF84cAAHwVp/j49h1fflegP4kBtkDevza1ZQZlf/Ll0mpxDsZyqylgzLu5uRxjd0e58mZ IeP0F1YSQkrvQW9iQ5Ca84BHi23ZUFLcCx1j8LHz3EgY4O5usgUW900f8qH8SfHQEiLMG/uG1lgA mQLb3ODPts0hEu486WGSkHDIR0xSsE3ECS3V5323VOS1PpDg7GFPU3eIUhTs7MspcVqWV74p+j8H u4FEFrY6kNYFTyCewN3RT5JJbTRWy7m2ArguamPq0AhaL/wfZUWFoB4IoKu1BwD36RUpdv+HR3Fd vYJc77+Rs1LAnsoRboMWKKmxoqWtto0BR87KP8f8pn5BcucZiKLWU5rExpFRS/Tbb10PgsOA0opz 3ugxQ+voQPHq/d6vjar2imPEd9sekFW+F9KsvuliXdbIvPUIC65cwCCZjlGyoRSMEBpsgtm/oz4v HfjM91+8fbINFv9W/ipOr4io+LYxDUSPa1MjUwNsnuPgYDZtCIhL9BkX04XPN0e4tSr11oS2hrsr Rvx8NIDxOMFDQqLzbttjTMFP7p2V0uq93ujgDNXuXK51r3NGKeCiFiIDRKf9caYN6P/hm7bGD++M fT+73zQ7P+EvBLvKmopeTQJOOY//F1DjummneunbvTN+pxyqvfoSjEFwF1mKAkqEO5rTU9yxgzKS vg61/dG1b+7IG+Ot346DP5Sw2PxKjZHHoKAuFamyBcGPPK0QoplRosIVdGtfEbR8CuOu7Ud7z0IE 1CjI7lu3ps8EnbJudFf67NkT6bIpYo11y8abh7+GyKo+CJ9XO6nqkpy0usSp7paHc67fuYRL1Ijh 4iwrQAve9BiQ0Shp8xeSRR2/yNI2cOo/AWf+BsyBlB7kfHlNXig58jL0mtCUZ7G5SAjfDyAehwzo dj9xSNygd/Tu0pG/A6rg0gZTcE9owH16GaYj5wBZ/H6k0e+cfoABWwpYrnf4EBo76LsSr0gCjhBs bBLa1N4ZFTUlCJCQnT+TEmJSiUZWA9qlMAzGrotnLdUs1o95oWxlpF1rGYFDnf6oXP/CFE3lvYPh Kj+1OoS3vOtR1uKavv9+4z4tQYD1kp9S4vmQGmnVpsFbUzjagjs7UZbqrsEjYG48eK5JWz4ZbHcd Exh6nV71bHg/YYxj89tipHNF106TqNEy7+0FiiiIoGHlrRbE0nEh1m1bOtJBwKHZHwIC+YmS6cAS IWnWJ6YeYegYc/lepa/rNmEoEJg7N8Nj9hVdJu98WolmYdY9Q2bGTqvlUgnaHcn9ow8O5EI8x521 XLX6gs96QxV7AxPeV/hFz2JDqJl+3vppJbF7GNhGAR2YOum0BVCJkcIKL57LZE8a6pI1cMCPwICi 8JS8OfWt7AP6nSx8wcDNST3ybO67IOc17BzpKIW5CKHt9qsWLzQ3E/5e2wYmWaS6+dkNfWYdfvbb V/lrWs31z390yyg/ZeIF/FAAw+0VkFcJYpqhyitvPbhVLeKgnWp2srfusAkj1e5icvSQzFdzItO1 xOIyQke5cjhSUolVgmTFnec9Aex5VMnlj5MW+xCxEF2OyhxZBp1zlM0EkVFoSqssNPbeosy2d6JM fPrB7RdSwqScKUNmZDW68v2YenhS+C1WWYY8AFiq5KBzye57eosy5Y1DrEQxZLVoL3ypwW5jPyq6 aRcvS0qzuJPPKKORVbXcY78eIUQfSO/ac4rEwTotxjT0Q6BODFSY/xvwqIqNqh+XRChd2IMH+WPh HMtlP4vT0QfHy2iDe66HJHwvUsTi8osRNaIrLx1ZhQ9sLQaDqJZgVhGLvkRRA+5jH8/QTbVK1Oy2 3ir6UWMyJeJZ713Zoq1RER5ue3Z/mW80N30wvQXWzyYPGykwQ85fS/2JqIYyH8GBX/+PmYm5Wsjz LTaDokzYgyhjeXX4B624inbpOqIyuBT8Zq04JuXkb2V2LVH5XW5ZITclkfHu7/qqQkRfs/hwUxiq +C3LwGwRq3MHwWXD0fjmPPRjEfRTL8/r56TnYYst2tlisOzM84vJpUOoIZ0c+das9vakWzakrlVo 3xFXjjrSudPGdZFwPCoorSLxkeDbHPb+0ckk+Rxv4AJGsfEA7Z/SgUqer5C4dXeDBAY9fQvzj4Kd fUDc5fLucXXDcX1/rkt3PK3LU7PF6oe6u5tuDI0MrtZXhkJgqjRa0LD+p3V+4Qn8pmWPXkMkd8Y5 qb8/2YUGrSdea1Nap1ZwCMeukFgAY3HbM2QfFLuqwEVFg1ql+E65YDB3vJHQzg5Hnw1oY5MUhpgQ 9Y1j4xF4cPLv+NlRPWIy0kyWj3HMsrV/KhOQdY9i1SPPdBBELv6KWrbR9ECPv4s2PctSCD70HcpQ 0AKbNVWRKqJfoTu/CcrVd3TCIMk8Kak1OIJH+D46umQ6swQ6+ZfeICnUfs8c0+ELJR2N2rNUFQC6 Os/LbiWq+2hFZNNO2R5dMraJc+KRa7Bwc/4YZnqNzik5sJjZnQpXntp7PSN+xKQ6Ms7HnXmGApLo c5s5YjWx/DeTwx5/0psbkyApVnx/CkSDPGrNxqz2jIOS/4Oeam1+y4phgLp+JP9R4YqNmfMtoUUL lAjWp1/ZOm0m/uAvhLoGY/BpPYk4XsJI+vBfXteXBVqgFCwD36F4fAC2W+1ztOc5BA5fh6ueyaKe wVnG6gQ5Kt9Szq1Kn1J5L3InPgZIOzlqHTmkj41Msa2ryU1he/rrz+D937hGyXIjZ1PpXyBPvN1U s50k+fL+WHiChuzHGI9wtGF79h/8xX+yRhwPpWL78pRYc0Pfq0dQityIZhl3QTGBWA/8fjlT/t3d flAhuLZ+vM5G6sDHwJx4fnrgInxd8WfMxGGjj5bpNvv4ZXPY4u5SHHSooRVj1jquisJYN9uyeywP Nc0poPiux/EHHqva3unREtS5hMNej6kPytAqCu1YCsc7cbfdrZzfeZU2ZN2h5R2qawJfco2pMM36 vBZmicnYa8XJN8JKnYKhjgp2u7H9O5wENwce52YBEPZvqjo88hXeHKbwejXELcgRJqYPVFS2jPz/ ElZfuHLJmgKrprknlNrbvUisCEz7ODSNfEPcugt8Mjf86k2cM66MjSHHJxCp7+vWoatCkMrolxZu 3I1Xg7aVzW9A3gZP+w7BMJkRIdSbkSvI+GA+g+1yXb2GhnJ5UsOY+8zeCXaL3VFS5yVmwroiWqlL IunDj5W8eEioZwKjL4cC+ICjawtDVOGTIDWNmrradS+72tDIn2MHcPsS/JscLwV8rF4kjShZKYDu S3DcLnwO021mdbmj+D94WcTpHjrzoAO+a71XyaOBVGDZKH7/wc5upOSH8dX8ujnehHzgY0Cj5dFj aUn7J0/oqAEtlKkBP41fs/Rp+nkkRO4o5bwUGTOUIv5HmpnS2UkRCEKokMyL70f7mY198TS6fh26 DSGNbAN9ztVX1MN45fqAPIzM4dmjZMOBrgxh1S6IcgiJRYdbh3Ldyjf1IsqwP48SW437BtKZTIqd JHedmvzmUd/PtwmjbHVugsxMnk/RJpJgq2pDl3YRAhk1eTqbJKYXc1Ndr8l1Y/hEAq7lZ7LzsiXO M4w5guzOkm6ZY2aD4pIj/U3jO+Xw5PftckrcuyAV4A403ci7dickJpA05Q42fHtP3OMLdyZSQvUy I9/dAvFFHy1RFnH6gkyIeyIqSdwsTtJLHDTNnA+wfKlSP004093mFSytDzQmz2xeFceS/9qdn7b0 1Mr9TU5EwbyRI7BPfPwJoU7mQ2LXe2AA7Ox6hh8wP5T58WIjYJYahFvzQARp/2eEtdgQnFFfbFTg 26O04rYeXwosILrOgHRhK+A8C1RWtvqOWWHCSG+xQcbE2rB/zK9jTOFDXKbUmySgCNc/yAE1pAfE smLzBsc2JLaAbbA2aI9E4EoCiWTLFoVmbg+oREMBHdBl8OSYseuSANfMZE5bv6zkvBNMm5+TlH40 qSt/4o+wOB2SYn+CPbwXD8sWutQ9NUPN5ZMkdJZ/NBbRf/j9e5enTaoSoCgUqiOVhYwpQvvsSFG8 2+hovwDbhDozmrQklflKCbX3I0kG1/D3UgtBCcrUmTDqqBKYxPEMoRevbx4YCirIINcy/J0lmtBt 0oZN586RdGtVgJjMvVktjQS47Prqd6cqOKanKKPSHFPi4zopaYd3T+1aSO0aA63dZw4eUpj6SQdX tIgitNEwCwWqsp9bXy6F55o0RAJfHsc6aeJppuT00aOgwVX8R2nuiMMtdNXplqL0awntXfyzo9M6 o7G/Z5Kp5nWSKrCrOYwFW7cwDeUVIiOYs3hgk8juK3QILXEBCEMf81L65fyF5BpiqFpc0p7e0rI7 JoAhiWtTb/ueB5LqwSpFlBKojloiSh1Mvk9Tt4CUzsNL63PS8qEPdDo2zqlftYCZ+uf9wb23RxlG jSKDAeb+8H9M75yrGpZnsZ1EUwa7/+XYmFurLzE5hTPkSw/CeC4dfZH3b+iFYp/72r1Nqxg1co2B eQPh0ZHCq55EOET1tXNPndzYRC+OQYpWGwgVl5U4nE82/ZqFaudJ/1Db+5QEs3YlclbBuKYVFT1V UkHlNoFlpnk3vYitizknwizzFCJ10X+weDPoJZYV4/y0RCeQh0/1uoWfbSG+BE3GOX+W6oZDw2li 2VoIROkatQ6P42WgFvd/uSzhE7MgQvGrYdop4AwCLw5zfA332pPh+TAd5y2u8yysejCWYlt9OVt1 Y357mMJ22mwP6GHjGduABekkhAtRYqX2iTGZr684byLHZH0wPeaIjvtJTdAiNxR5Kv4vJyaeWizo PhkzNb+KhzOmpolcbWb8Pq206B4Byl6Xv6gTC+3sMyfFXaim8vn8y2NXUoUp7Dx/E6gRfPlcHEZd TqgszGS8i8PbwmZEyHgXPAgrtJVtq0QbISShfGaY6viyQ495ciN4FIIci9RWNAH19BejqzlI6PXY 8YoK+BMhkLBpRdG+s2nqcic6CDHlv6EtKh1w0BWhwETtNHaaLND+wHwPvQ+C6wktG1z9+0m+9Sdx BAVITjH1N2l3bWFCVyILO8MZ45Cc7f6W1UQzLMAA9I3sztdTLXk7gKS2nEy78yzrLpFlbDURfe1j Z0Cu3FWQrIxMlrDc58UtJP9XfS0MAtG+UwV50uieH4Mnn+E536pem94CpCZaXFPlGU03HAEkmr/l fcZ5ITezuhSeq0jx+OzEflJ3JS6TYMrmAteala6hJ74jh5nDsv5Pe9RVDPsn1xOIWQZFZ0TSTYOS IupjzWTUvgRJDY2tnflaXJU7YtefAEQ0C+sO8AwLDdArI6W60oEDXV1bonHeB9/X//8brvP825NI N3oQYUZSotdnI11NrsAf58yF6VdtSuXHAbnDho9p9SrybCrG8KRumT9hxCyAkFrZil4f80ROR+V4 xac2ikjdg7GUXOgfRbpQogR0KgLanCMnnMkdXnQLrKSGYTBgpkQwS1duYp3H5mqPXDwnME6fOSKM XgZMRQI8YrvmWEIt+uqw/KmdEE9/i6cCI2jjNqxWBP5/f2Py0yZ0HeVJplvM7ywK9++kusZbOqCA xPECROdRqyD1/wyTk00igGTizmbMSasKNArHhp9JkzZqx1mJRnw4KdaFQvw6A8roSKxIY0omFxEf mlVdYraarIb8YwvN1Dn+Wc49I5qnco/gYEms015l/v49Gnx6TtVNPdP4DORl5/b+1g/6CQDHkpTk 2N+rjHeMmdIHRV2/GfhRamzWQYTtV5lRLpEMJXhJLmN6AWsAM5hJkVOGrDEcEsQeOPKqn9dnBRt/ RxPb37xYQhVv883GHTy9ck8hFOoftxH22TRzuacmMVKX3a2z2DmswTPxIp0wFSuJyuwe6J4K9gHm O8rIlb/AJX59F2WLFL+oK68mQ51FaITzpDTfm5Xzz3rO5/MRMecsueVvxT5K0VhQfF5Wda4t4J/j l9KS862R17zkZkOM6gXzpo14EXhtOpZlyIdoCYbhnXtGfpjEILk331okIceWyom17fY1Eon2aQcQ ugRCR7rFTiufqfv2RAVcWZIyWYcvsIrdOFw9xEZcnrg2n7oxNaI4y6UToACXsZCQYWijQ/elQ1YR 1/usk0m3SP0QjbDGuemcrUZ+xmTQWuBFUIwOYZneH7Flk+Sjs5skkbi3FbGHZ15C685UqeVx3Ftp QgupXMnkl0Jf1Rki6YyR8wBM65+dntpFlD3w4kk3zwGow/oRvhCdFdtBugMMlweEiFSKY/BJlmto MKdBbQpnEq4wC0Q+PwNQ45iCoRzalfUNN4xbEGqqXmBeANEfDVhDKEOy+2NoXQGAcpjc5W7CSykl dsxJ+kHXZK6XUnBWzHcUW7JSGWGItKn673K0k/gX/bG2a3oK9lE/yemCupaLnVVh1sPfBRcdvU6j BltbyBLvvwVzkPONzBX8yZjYFHcJKZXRDU2wc4IL5rQME4mQaUh1NnXjLBQya7INWPB0/xsueOnb aEM/W565rco5mCTRGKwpseGCVUOtJtNe93S1Ocb6WiWxYp9MGJKDWZ7H7xhu/3/C8GeoXhwn/RVV YsNSsHlhxhmetiTIxGPYRgeoN+47tsNIT834cCJsPXpfuJz80XdsF02D9tOfgiVqwUrK6AmxXTte a0D+C1bRbzTBQWYhnKRNJSuQQBMtsHdMiaUpUQtn2uBhPnVtw5MOgE2XDdhVHwiGTdQXdVuHPRPp iHe82NlQOtjzSFG/dd6ICRlX322IiPP56iaSjUWhhEHorhZ1Js2UlMFV9XFYcAtxFPic0OIvebLq kfl6HT/6wi6mm7++cLUFJ1oMAfKDq+AmFF63wrd0KgvB0Rd3difNRijJmZKakgX9UYLqmAaxbJDI u0bHSkKxmM1avppK98Cy1x6zME3zqctFY8gOOubG4yG8tpkFE92+BYCyfHFuSMtofHcdxoam0k7U 57zH/oefeoQAOACeYUKJne2tFkQeLztAB9Ejqt+MbmW42fhraBN8Kj47xTzCYmtnWg6yzJzHxu8i CLdaVSYrZW+eayZwnuoAHWZObZLfbxKopnPkvgE+Lpf54LY/1Y/p320eIDIGi6vrXKx/y5NpFj35 vnLqdft0SaZTFHEcwrHdn9FhomykSQLps+tyJSfcotZiF3+aw7GlXpB3XX+gG9r5peE2hc4KQZeD Hb/wwGv6J+ZDSOLCMgPr1tPajkW+OTAkcSzCu/mWTnN5O87udYaC2E2bf6yHZdxBq3MX6DPW7EhF YC1/DuO7JL1QCAE+fA8e0CMyGynf/kwxAo7OJjjlNIUjGmwYDTqiOE8z1OeTolmkay1BFgb/tA5N MPUjAyNlf9u0+zjMH6jndO+Etz+iqJwlH7/PHMDO0ifmEnwWZP0drcDm/gZ4/wZeTxSMx4yGNGUf c5VtbxNvKpjb4XjcB2Uo5BenUSIL3qhhKLu89j6bhCoO78YTnWKQLhC+aCF4Oul8bIChW3fRV9dZ fZowilDfvHG6zmk9x3LPdsw0CMmULFVknjCZFPuigVJ2aJMqjFRnpz1SAHX+J49BDiebWhHmOk9T UMs50aZlFk+JaMnIBlBOsnk/eaxrH3lySfXdIT9cqGdnAVeYLjOfWcliB5onZAjja2e1l1U4lYwB rmsSy5sXy92KcWybvJf4vAPsq1rsjNH+GbeK0Fi5Yw/scWcBJ/fvhgd3dSMY7jVH2B50O2dG/bPw NPQx4SC/zgmXp0vg1oLce3AO6uE2kNgah1tu4VpbKu9oQHguXcJMi1kS6TG3azkDJXWULNZZRIzW mGziBNyzkVvkBeYvZKs1BlSPX0XZOpKOm0lxNdvonxXPLBvemOTtdNL9EhJ2ru5JBsPmQoP7+g01 CGRiXibQpUFEs8Q0mttRRQZYtU9MEtzminM59hqVMhRQegn4xrfjhSiJUgPrKG5/mkgkmMMm2XVp j6Q1/JCMz0SkwEJ8XdjcJca/AD/k3fzHuE4peYaBCJLjVKmk3CzJFLpADu/Z+miOrhP1dzl7wkm/ td99wUokcOmB1qGeK4ZP8rBHMI6mwU5sZyP9UmGaGo75B85nFdh9v5y4qeYi3WfZfaCA2JngZ9oS 5hNqkobKqPAuisakOwzRa9aTWO9KQCuRODpN4rgQm+vlF8mU9BWjONcR0kc4xz6sRyfdYEKoA9j4 +FyzbGL4xeCjodRIhLiSRtA+cucJeMKxDeYcX6KoNTvMSNHxkmwY/T419MdXJjdTqufkZ7z7jXzq k0mFgJx4fqGZckmzNcPLPUblFHwm3+FkbERVbZw6OTu/5R/iZugFq4GzIZ5hpvDdQ87sa7yO75Ja aswy+7ZBFRkY1BIgi4+re6UXZNk6uEJufPvJybSjxa7xCmPyMnTk8xxdD84JNGTKb6UIeMTUM+E7 NMdbrqRh48Pj96nTv3fvmJVfDsSXDmKnZZNUV7olJBmg0OLYvVwThdH2DGn0UwalPMl0IEpYL+Ft NFpJ/6lyw8pq8hMrgXQO2y2RJdUWXoxqlnt/wx1XqbzfEfauMDe6RNmnHSmES62CxtV50f2B4c4l KpRGoihTBwb8zFlWftCm/837wksXsCH97GQxjn1oK18+3hTh3EzrDp7554ACKtcAS7g8x8JPgGMf Yw0YOh2dE8/ZajW7rIabYveIitzEQ/CnsuORVBbPx5FXVuALUfrr891Rs5+rA8jBhg/NTIaIm62S sxAN570gZIn+K3lm0IRnujJXJrssxTgnZ/iwA0BZpJehOZO7aLwIr81YFIdmVtmmzBnv+UvES+Gr AT8/qmGJx9P+TmEN0ZH0Fd9xkX7bFrkCO4Ura0FybYan5Sk8yhCmEj+KacUcmB43Uelj5FsHEItT Geqp699PDsWdm5Di8pScO9T7OztVXKA87Y9JjIF7htMLyf+sz0M36T1JL2N3EL7eW49HNWIKiIKS ttGNTZccs/casknLuVVmVvVuwzgTK4VJP/sdYoCs9o49TWAiMvHK86K89vo5RHY7Lek0d3dDfCqI pAecHOApiUIkM2E/9Xk9svDDuJ2JN6xI1C0OtXRlZmWRKbsH/pV//VJrIVSIZuXtdBVljWQS0u/G 0r1pH/QD6NmHvh+8lN0vApmfIjf7ZXJzPoQI+yjDYZ5aJcTvtCfXuv7dO7nznBGp7wJEolC0ZRrH ulQ3ZrCOdSxjhi77ddsCCElxjlrClhcbBOC5mme07sFUEZ9lZ222aRAILCIDbIdWGthJsCGF+HMj FZ5pfs9QPxA+MZEx95kLIPvnIlAKRCp2yEMlJePgkyw/vyKVsWBw9j/ANGlNes33uRNsicJucouc ZIhmXLUOOzYJOw5FJfVSdP12kr2yjpGkHwY8wX6WRTm7z4CxEniha6lavpJf2l8nN7OpiqhxXKrW lglYgXI7x/BwCtERnT0B3PRskOzZnSxoJY6TUWVCGwWPY3b5OMGR6Lm6Z5g+cSzZnYqHkO4YZS9P 4520nYeJamnlQq1PhrG9jWtHj7DGIotayO4wuyAvd9C/6fmuH3NiRbI7ICC508TU58E4dHzcZxBu Luut/79FeCvUOtRMxin3GWe56Txlh/1yvEm0DRHI44dswwHarSGGmal1FzP/TwBqvAjmpIzV6Vb7 fxgkWnQAwKDrdHyMC3K3mrHVGR3z37vMcTcYmyzqpFpprS/OQGLSiYkL30Is7tCDYyOrxarBNM1N 94fvnoZprJ+gwc/s9y7tlTF1+959NYcoSrCqhvRhBqvLNQVyHKIlTxSZwFlivDAA+zURAzogUoeN wN6PDXMmttVTKFthbUMMX+u7DtPbQvBLdoBxKej1JCzhybhazFONcNW7k9e1sPcpt5K9mNY5equw r6gboe/73oyxBy7WtpJOAY2GpJFFFeX739KEKweN+SyC7AEMpF23Ka4ZWIK21SDspZuiKXL6K/df lcjd9SiizYQicqdBNB027mQ/HAZEoy1/fI5wvpAbfnvHtb27vhXb+aF/v6cvvl7eG1DR/mYNUuZ8 8rNzBgaEs4jQCJwYcccHkK+OXWWE+C7Mmu9WyaKZ4wqahtf7N7mLTmm8Jx3xFRYfdh/JAbqaA5eI mAR3AEISptujrtsUjJ3mlIH1b9FhO5ZXGjBiWVsKg5ghKkkCDc/Rmo+py/u88VBa24BUoxISaLBF UmqKiC47/kV//9P6B1Go6EBOczmbSTxOMIhuzCgwxFv4+DN6+KYy7ofb2urFduoMdY3KRazDMVVI QtJtVCyZ+dXUcF7hhaUUXqV5qLws3gw+Vr/XbHoMK6LxgC0a4exnHLxg1RSesdJtY2E9Vc4QLhJy gMvIJNE1i6ogWLcsyzzMXxbhiKBSq097cZ4lCSif7Md5KRqqlOQstdW0mcXcndnER4oXN4SvF63s XJO53sJOUaWAtu8M2Hza2GknRkGAuCDrGYmVjucOhZ8n/iIhAw7TWKr19bQ7n2Ln4ZxNhSwaLgoy /w+eO7DsBeZVJYKk5IVN8YNmkHyGRGDN1giEXKqTQSi3F9neS4HnMsX/JE+urLZBP2V21FJoa6/i bqNmn+5t1xo1N2OxUgKn5lnd9XYaL+rYcGCjns+jnQS9K98FIrsbbSyY4CcJL8MXco7aS3OJ/UtR dZIY9HVZDWBeAMiQUpWTx2+CQzinhXPVDjZmrXFRppC1nm8+omVE3Rl/UrPdBQpQLAbp6s6cGFd+ Wi3/ibyqwyCxwDlOVBLo9vMCc7cDgAkR5i0FsQ1TivYnHUs0gYVMQ0VN/vJZW2DIPfZB+KoAJwyt ncrItDiSRoWagNMSFN2MJJlCffe+W5tTs88GiIgPsBk6bvkBifdkPalEXJX9fwox6ecBL69JGQMc TlBoRFsQZQEBUf7GPnIz62Cn/0cxVi+fqcppW/Q2mE6dtzGID31b0KxceF+y/3LPNCnTNeQ/7fZQ 36byDANT6C25FpR5rwV2OwDzhbJdGdug6l1XGcbOTit2KmB34RddGW1yEES/ZuFVuRA6fRuF6SYo 20HbSFtHTKW5qHkkOJPu/qACb+OjF4G+EYeEEDVcQnFVLSlgTUMv2TgAQHSixgR+iRRfDtx2Tui7 IO5bK70BK3Xw3KhSHR3IJ0D3P/J29IAanfxSglG46784Eds5vjxer065ai3QXeiWhoGnmHVjKUYO 9sTuEckp/5OarVgOMp3k1jxwJXueMLWsSoXDtxQ3m+acngFZP9vQ0CqDabM5VC9SZKPIepa+ZNQa zGpIN8viFxfaI5/M+cOL0d9kaW7r+6YEnZfYjsGmVwlZhzIfBs8JLAb/G9wCPTvdDmVYOTjOQyCM SswC/xpGAAeIJEdoxeY4x8K9hssxZe4euMaip1HFbukmvAV9nUWQDpaohgEWQrNed+BgduCfpX2D XK0BprusqQM6IuvMsQTLwu4FYGCrJZ08IHoxR264F47El13auYF5+eCKmCk7CuwBoIRDdfo3yZMi JYBt1XbX8EfXITON0NPu8MTH60AylscdFJhw/Q35WLvXkTtwy5r8MMDEnd8kB4G4uiAgh8FgqCjb d/lzg4P0CftArHn+bmLjFYYusz2T5Vk+jNkOgzx3+Duo+GqRubDiI1UzCdCg39TbwgM5kaTbKYd/ BB+xNO8IUuX3aqkdFLy4WzBclPJbaVa4nHM3GH8akKSFJx7cYg7/SQ1pGU8RKSN0FbwdGh6giACC w2mfl+b2UW/4MtOGK8DSVsUxkvEju48oDtEh79rFEOCfPzKLKRIz6LL+an+Vl8SJp26JdjMoseqB J7X4QLPdIFgkk+tisrRuJ9ZwE0tbgIMDGq8oKnYqoIYK+n5f2of5wnfGmC4z4QDYE/dY6zedB2Kr w6CMSTxzeKTslv7BkzzWL7kloeNJSvEC1VrLaZT3KD9xHOqb67LeBF8ZfDysEp2mGeXZuLmbIdWv J0OkbZ7nvOQTFBr539Rk9mgz5Nh0VT7XvH02pHO90AF3sc0ToDkRlv7kggkXfba3n9CQfAkcbFqW lgpoVseK8j0iaiEgZMLgGnN3fpxBgYnlecA243EDQ5nRQu+GZN17DuE3HeQTXpMa/T/rJz4HOMmv pHy0gQ6sqbI0szD1FrFP2HLxjZLP7B9lJcO9WcxZGmHcZSsDKRnWDy6KEkc5gap314BOnrwf3PSQ gEjXQDAe1tew47Wh2kzqAq8IweTjrIFC9tvkAAmVIJ9uTMXdtOGr+hBRKygiVstMjdc6lqSSaa2q J1a8lwfaTxNNCloRVRQfh8qV87zHT2nmFH/2+TyEupresVC/qNaeioth1sD/PuP7I2u8YOvHisk1 s3wuQO8Uw4AON2sGhHFN1TFPRoM37vHM5EIT2IS/UtInftdlpS4+P5VsliKCQcqPezuwiSt82JtG zmUsclYPDzPUvHdXDf31r8+/dN24sJLmuIDMwvqPl195dHmfQY/J3eJUmdEANeZ8RB3ltJ0tzdAh g6BjScqZrOJyg8FM9MZqhL37uYnzKZjMR/TmEZW7wEtCizqgdeI09NS4rmEDhAfDGQqCiEnX2E8/ niKz5mnoyVEyDSpcwGTAM/I8UWlzdzO5s4Qz0DadAddLvNPuWaU+u9DWtMSUcQavjpAbWt6wWK3t mNaCwQOfNfGYdbXi+G5HcgLHFAo1JFMOXnPZxorOmRHQRVgy3y7Kuxe9d3cplVPO9qgDAX1aqow/ cfBUn4LyvtQ56bp6pHCXJqMEtqYjGt9WuEI5nWIulwZLZuGqTaSId8NEyDmjo8xYsFBH8BHyk5F0 4gqrDsSyIfkk1c+YuRCl/BG/MulFDOBPOQu8vNIbSrZ8Ehn/dGroAE/SfPnDKqJwtTw98FKBPRW5 fsN0JvP3NvbOsAUsnWnkyOH8IXYGpLnbjcQVUaoszJFb7eDJLxkNDcrbanryPKRtcbjF5ggr/BVn z20J1aJr7bYpvLjGnOWSoJ7sIq57VBlfhnuG6JSQaOp2KePuz36AnQIQlnnfXT7PrdZb4hY81V3v 9AzbtXd7EWoRQiAyygYcjh2s6JEE0hEY5qweD+aTFS5PpNuqlArqe7ema/KRo8vVec0xyNzF33Fs z9v4qDmYBCGcxZL0zj/PrBlZxdHyXctg654XeZ3+P5KrcgAaBZzmcBo72bekFnXE3jXJtlkUlGUT pFSx3NrUMU5MgRcBZadquNAVCj1MyYaUP5uoMaJBscO2aR4joIjtx9kbXiUWezqymrRc1JL66x7i hI1fWg+P8U5EKkRX6xenB+L80Xv1sKYu75O198gXQsYlPNvgiVei1Ng4GCCX4aAqOhgoBGCYzvaq kVD/G+YiSKvM6tcGqF9rBDkVt7Is+BvjEkg2Yhv/a5zfKT+vTjCHWKTEVP49FoFLHKzBIysxyFXu kvm3t46NrABjY6QQ3M6zhfJaI1D3l7SwBjj7vAVNKssXgJzylO0d5vYplIfB6ITdgSTUTUwbpJQZ 0+C3ivCtpfq+jar172RJ4vJ9XXq8kZ3lLXUvSN9VKWF2SDPCck8D8dUp+MmKObr5fMhXe56ihx4l 7GeCd6RcU95P++IOlIQMe3zKETtFohb+DHb7LBwmII5qIFh1Yn9PRvEiIdtDlqw6JxRrYeIN/Z62 ZVob5CQbeOoEY7wSs/sJT4lF03H8zH3MZ6tYDgxIbM2aKcJYKSx9GfsPeu2IkegkxZCBDVFVzbXX ZnQOXxr0waceyymeAAZ+qghXEcRXky9wzziCFl+KzpESDCTxYqZJ2+SVD2r8iZt8R+fDhnele80K UpmrLbZoi6GzOl4H8DPWNYyI+TsnCwCHGdlDXUqjPLT3KcF1xeguRktN0ToFVQhNWQNiOaCZTGqG 20kpbFuqEhZV+aCZxiJJy/NW8tOgVXED+YxFOz+z1cYk01mcUSMQr3ngQOA/5a+lmoCRcC8vHdzO mMinFQDlvkUqx1xjg7dqXo8F0bj3KyW7WRYGvFmPbjGWWgStZowV2t3f1Ie7JnHgUgproyGUdGSx Ql7Uhuso83B/su7cQyNg8TGb8JPsrbHKGYtMiLnUD83T521XvM2APvEm+iQ9cpXbAnql2tXeXNF1 Q4HXqV91ZvpDYq13Rirfc2J45pzRAKPbm16zHCq/clf7HeuBePP1GWi0GlXLb3SG0V0QOpzxf9tV pLAEExkck8ljF+EtCixMfmPML0Kq8FmTSHWzw4Ggev9pik3sDw9QLUffTCRtLLEQpsLr++66qhrw zlDWAHh+ZulJdEj2MKLmJ+qOqR7HNDRsYepLbGn9Yv4zFwlNFxUB5jv+8FkyBJNsrBJamIt7fmtP ngQ5My1JYsHiZ3dVS9IyQaOAuhvhintA2JbM37b/1d5NoJS5PiUyWc5qyv2Ugj5ws3x0KT/By6RV qMbqQSwysiY7e68iFVxbQVn725n7nLn7EA4Wz+1FdPlX+YZEYrWh0Nk+PBR2yalqzyu6jKX4nXqo 4JrguTMydN+79rE076XuiMqDMQeO/xwfTO+wPOna9MJR8qFfMHScOkpSZuIL7QTG9pmliUrVJwdJ 1Ugbqw1RYXaqbveDZyirqm5RysifrlfqcSAOzqJd4MyisEXYD2/JbHD3fmBGYq196LtrDDsSnVA4 oGMXrTj92CmH4gM7CfOfEKcRDgjoJgn2i3EzaTQptaz1H/5+ylo/QKvA60iJTpIPvOogMKDfH5MN Yg9+JWxNhBOptlQ9b75SeW0eDtBzXYOoCd7sCD2LT6efYME75ANDYzB/a/HrvAbrU150+8SRXXu8 CbjYiYpAbeDDv2C8w7yOKiC/DQSObCEgZ9VOSnJ4ooU0oKxwlQuK/M8mFjfwRMrBcPjvSZ3dfG7C 1dhB6OS4wEOeI8rSzgPYL4FUYDXfWbm2JOAa6ezH2wiWPGep/AF+4wPDsYaH4hkpe7MqIb4yb1mE zPcpuJ1kej3xgTpGSpmgBZmBbo2t47g6pe4YnfVVwBhNZ6q1Dc0DYZf/if83TOk3Ts37P4mfhSJs Igt/NSh7ZGOtCqzsbBDgsl+Nmj+EjUIc1toFCfRaBfiUsUJfqnYLd1dcz3gGeFn1B+l14jNSBu8E EOnkuktPuEk49NfKMUsXKtgg5mieJWZdOkQzATnLZOqNVBbYav+oNn7cJatnwvomoGMk+X/sXuQG Q9Lj4t95ABMkscg3IUJvP7AJt8Gz+t4Won22F5jfpLiapWc295lLYuXyMzQTn2Wgm4ePugJqq4ca 27UnB4f6rj7mfB1pdSjx17ILdrYAI0G19pSYVPKXeOYn73ZoiAvxo6lk5gXVH4wbafPGxsZBUSEY oW9Ir3yjwoI/SmAD6042gxxDBWTB6wD7p3VfIu3K0E7iqLPz1qGhYzCt4/obCAN9keewj65r3RcM jv27hYdPDD7aUgWwoeglyqP/rghU9xRvWLKEGshOijTRHlwVXcQSoQLdn9CYOd22rpKyxA/MAyUC ejQ8j4QB/uiIKHZvXs/P/jA3+jjJCZfxipvJ0B8GXgJmUpfYxQE8T3C1Mp/5Ia51l2QQfB+f3pCf W+l8j5X4FR9o3LcHXuZJ48QtkKiBbWee8oY156EDZQHdz1FoNHn7g1975XUtWeRdTj6UKyLR4njh zH4r8A309b4YHo/m/SnUZtskSLTvGDStt2cALYyoyv38olwM62ufELsZABxiS35LZJqRCu70Gl16 xxCI+KKUFIAZhB1/Mi9WGWFq2hPbXVl1eZ7XTUFEs0Ywa2CjeT1Xfjl4EoJkHLw/dd0CZ22Ou8bJ LByB+H7EKbwxRzYT/ANV9nZH+F0nTRcIWzS1YyM8e8xcgg8jLaFUdbBgkBFdeSGagIP4CGlqzw+V b8LrvhN82/im/JoHJL9IXT9qDHay+ppxU1LlqsnrI+1bl6dDj4Qww2qy6Hz21+WRSjqpQPP5r3lE 1ICcmNksfSMon1FqG828+B8L6TxE9E/WZfEYgyVrqw+NUiipYsfZbomXd9+Ow5qNZndQbAmEC9f6 R018lIN2lxarZvA2p52On80jEBQznzgjRmLxWpzqYauUuf/uWVckppqYhzrX9WHV0NgF2wgEcKj9 2Fl1TdFYx1lY3CPZAs0mgOQ4aKhHAE78vV0CIcYZfYx8qhIB/OBg0v8aP+bWEA7LhyITRVr/yqUM fLh/N8MKZxv9dskRTXTkNVM1dzwONda8QawovOTOAdezbY9cMh0O6/zt2L5n24kLMFIlTBTUPG+Y cpwqQxQOuB1sI65iWiVqktjZWxCSVSEimIUBzxFd7Pl8QZkcsVCoDnLd0w+APJ/fEnLh+CdJvgBe YZjkimmBVeFL3MVJ4RcgvScZdewyHzug9A0n98UJaOdn8TIj6JVCjrCbaT9Q0EgMD6DSEw8q6XcZ hxSZaLjRslRS8P0JidgJ6n6StLNNvKaYpwFdNG95WAmwS0q6olwf+5WsEGbC3/frOcNhuZYlKI8d pPOIyy/sLra6nruW8piWxfFUFel+00qEL1ykSlm5boxahmyVnkCTHtsHmtkYtvaTf13LG0CsAzt3 CqtoyhvpyjtKoaHGssBpCrqKokVSaV+UMUc7x5whlYU4/Z0XHaX5qf+uze6/stt79g/AuL6glj04 vtD6QunhBEH8ZGVrGOggN+VX23peIk3zbnwchqCf121ZDwqJI5izUn5OSL3zxviCmEC10OU39KqK HgOWyGeqbUX5Cxuyog6ns00tHs+uGmASOfwXx/KLB6P0ZsnCWg19dQ7o0uhUcM9oe/c0ecd45S7O HYCRGIprV2mCVfXRWzbU9bjAr+bLy76bWFeCrMLLyPr7btHxSkel/8hgGPEbp0Kwgn6wjmN2UX5g v3cn824Jp11RR8kc0WHSzjUTVN4ok9RvloS8SPId2zPFPGVjpmw6qiBCYO6GaRLd7PoQFn5FMBM1 u2nw6icdzzDBHKLZX0+LCOaj0hcw8dFPPbCm4bAh1lYO6t/J0qhlqVA2KPEBV9hGljSE7E2fp91G A+ozWXOFHg3OBOGerOzO2e02tOFrO28d2h3ukytJM02TzW3YAy9KG3IM97xFwldbldCX9bD/7k2v OZY64qExLrUYZSzQ4eo2x3w8g20OD2wRD2mp2m1U/t3iFM0TOYmA+EfTFl6HgqLXSa8g5P2Z2hjg zZl+XE6T6t3tfstEXl1f1e16YoC+ILIU6d9qkXeTkm2DkijUAY7AAQzL3mwD0boqTg6sQ3BlPi3m 6oX4cyCalAyaV3TubTYoiWiPDcdsL26bHzxoMOZ5emgYQ8/l/JHfpT1+rOQZhkMsJJOA/fgXGvT/ Fgth2yIkbF9Apc95qicXd4uyZj8EwwbKhxLW+/VGqKSxskrAqwiaxnHu3DASrIGYKLdbW4sSuEvx UrA232QJNBAPTayEcE3cO1Oc64V1JniZUcYrtZpp6n1fFxwie0SQB4SfFWqLA63R4YPG+jvMejb8 QftXk7bviZ/H6ng7+TibBNArbizvbz0ogI9OqSzI9myY8vCLqDdRZ/QzC8chtqTuZJLvUZ3ikFLG 0JMjKR5QI2wtT3tJR038zHDeoW5qWtQM8ZmxbbAvPgetzkabb5BFOjjGUFrhWx0WLWOFqz7qaVO1 UQuxQiLggZxLhqccLB3OXw14cVFucpg6JkEJLc1/aXiTsNc6Ggb/mRO3678QiPdO4oyWazbqoNm8 3StqZY3rtdpRiwqnp7EaX6RnS1pkUVIzAEKvp0ajH8CtIW3rxFdwNurUyVa4ouEg+/Tl2h4rW6Qr WuBqdKFdeKzsFDlR4UCmrfknexBu9FbnDrIK2CghfweUpM27jBP7fflMRmiybn/I66nfgONbDbJl f2ZM1iVrshtTANX/FFsD1/UtgypuLjnoMWwKLu+EkCmzk9Ny1iG4hzBGg0zd8LEGLlPrhwqPMifv h2ylHEtSbzlTr/D3yaY5mWcO6S9fzHUgnlPwtMKVjAR7lVJgxF2VbNkIbcXn4NxSUMIn2R9fJicD 9OdF7g0g6Zb73n/bNbNWIbMBcJN6mm8tLm2pan+FyZHQr3a/t3OrENmcco2EZ5+GAMmZNkb3KGef 5dGETFA+8m0Em7G7kKAX5kJXSc1wuoovTP5/Vs6EB1PjuUT4VAP0C/GRHvNntj3KTAn1jhOqakxC SVmFpySv20brVPctQvbIXVQ/Ut9M8cjUEMZJdTlzr1Apd2w1zwBWdzbyfx4tgdI11pm1oqhSXl03 sH1+MldBzErfIBZS0J8XUZJRLyx1N4ancfvjW11G/3uH2TGQ41ZmcrSROfOFuBeCwpqsMpcFoVSE NdqReLl8SO4p7rjv8pXhu3ntZikqSBqJEpmF1MN48LHeCE6Lvwm3RodjrO9dz4Rw8kfhiM9tUbH5 9kWSZFwaXCKnwcJYNsf5cbr9vNRxth0oYDdrv8c1MQQcvsrIbQUT08HgVulHn3fm7GKXcgRFTmcI ZclBxLv21aidhiwR4or8HMWDeDUNObCthpvTTRw4NzBButR9VlDx6jSps2w5c4Yx0UW2rv78cb0u EuwiraHjW7s+IwB8iOkBacixDmtsEms6ABEkH8zwPZVboptnwb1woG1lcUCxEmeHTfgD8yB3bu53 +E0LxP6h9dHYPmOQgMp3n0OeHs1Lw+ySgjSrOVolKkAOJRBgo3FvR726mrddWnuIGO+eyyMl0pVK gEFjGk85HwEgMFu5VlrX/hoLBfAPDxG0zny6hupRyoDHCtajvrrJGkNfrZQxMZbaxW6MSlXsw3JR YwfKuoiR3Wt+OMNNQj9sPumSuyXmLFA3YOwSPe+lYKRRx7shk5uMK8mSH4zqkt4WxfkKY3eqXq0Y 2pIBkgqcf7pF//Z737bpf078ppSxANT/qz7Bg4dWG+l2lSDph0zNh4yLECIExIOWBnBwJUHwkHWl 5+SIVrnh9ASnjhNyzN+RgzkEG+Tx9DvYnyCdp6Ko+U9lx1d8d+JHbY5DXiflusvnLFlIz9zWCP49 DT/5zSA+fVPdgKUuBAbFaFYLdTRgGgDbCCvSNMLpHXumXt4/Vd8dgT1Bw2s3945lO1kbkERQ21n5 mEYfnt6QbYSXlOf3ggId0D5ujVzk4rxY/G5GHu5bYaAoT6kvITt1tebCV64p9V1lQA6oX+ugwLI3 Z/xfZ1ROhNTHmqWUZVVdLyr8+76owEShaHHwBW+jd0Bz4W+nMEF3SBjbKc4Wn7g3OmvHDG29s4sy j14R0hgR0CAldJCozh1xdGq29ohIzmaRhg/Lil+mHJOcMspYRJFCHS/I/OfTai+6aa6IVGmj903X IkAcNsHH/7g1yw6/4itCLCr9LOILHapu+rZ4Je98acd3FQAhfpUZhuY3uosr9WPyoPux2JvBMOSx 6lKOJVXZFT6hv9hAsyvBO5Z2J9EcHiPzYmJlid9W2PUz2qEgZxOqmfSmjVMQyA/Z485FGMQsxguw AgxwpHoT3buh3+KHLcC3zgCdbZmuIHIVFeXBboxSH7/BjT3mDPWoOaTwugyJ+9opxXbeHqzsVMQ8 vSKM8bGSFoy+qtCRm/jaMDIGaXdpx463lTa0BbWqhCWiXTRBrmjd5jTswl5sWvyyjN/53TYOBKLG /XDH3rBMOL3OmJLJpDxHODdZU9x2pRKqK3eAtAt+lkw16ahuwKXxY7szSaZBL/owg2L5bQO0xi1p tjaGmvrBTH/BqRprSkh/uw/73oe5uNuj+9ht8HstJZhVvNb86+AUCiy+7ykxiAF95O3VBEcm3enc 1V042LHnKMrdqsD27t0NGavS+W0KJAYue5qq8JiMXuuPXvjB/i7b2GCYXUnbkqlWZQnTUOA8l6IU Bl2V5WkGPzym26Aa5OdDjftQ43qJ3XdS5eeViCk2FGePIT1cRJRDpRgTRxsNccrut7IvsVHCvIXA JGxZQTjS1I6iYXQ2WzoQVpwxmqUkVkbPt7FE2wrEoZGx7dd+WgC/ZOAvlfSLoZB8o6OSYftyXcdt Gs4GlgE4MagU23aEEqtmYlhrPE9rawK2iqOULkJjbhjTWIAOv73tFtZCUKC8i92hd9kJYqn/jl6T 936ZQvFM+0XM40vKKGcorDlFKpQhRLgxJJDSPco+z8dLZWzWRlfQIOPuKoa4mB16aNEddumAwYdz Tuc4GyNVsFrAgjYSFiXpii7TRVYWbl1w5ARi684afcelKodIoAuPNc/xB+F8QFzz0m2AGYBD8VzT Nip2/vQSIqf7l3+x0Phnqwc8GdWmCSSuVrVYwl04p03B01VaSatqKDLEGVzr2cm/Cq/joIZddcVV CTJ+oDvynEQLk6QxFWMaJAXPLayOfwFIVaVmk7IrFTZEfzgJFVnv/+WggeFYaQqFhZ1iQ2uSMCDv Nz/++6DPRrl2kyAZtI2gDC5dB2NZhdFAIjA15ILpKXNH+HSTp/WQALuX9xEMg+D9/KVPAVwG1WdD /OuVHI8y0rkg1b6FV8DzWHEdjuKZc+YIAI3AhnppLh8vnZLzlVn2HhKShcOSe8E3llaLrUTMMcSU nKk01LrWkhZ6oUfK4oeKw8Qr382xsDE6AQ+Yh3weYveaZLVyt7HIRT87BwOIS/TEp5EP8oGtF79C Sq+nzKajPtxT8NUJblQa+khVN2A4NaigFJUi+u1j0cyjWr+yC3y2fV7fJa67crUb73UTIsDc7YhO jkjLpGFB7ZrttBTRxduEBIPjO2UCoMs9QZ/ceXZ+5fTXtZWlKFvKCFOskIp3aKJ400ewrnNdAi/X r3P3wBm+Rkn1nZs2TN6IJd5eWvRuGR5zo2oze9K0VW3BK/mejL+vhJW26MdMXhWFOybrG4QPuDAW TuNbmTsAGwwqT6R/o9X1qAPuxuHxiMTzbR3x+yjRPau2OQKo1Y4BxI9iQ9fL1IWzOUyGjjN+xIJB DO5KAKktN+0JVcpVZlvCXPIIBbNzNraYl3uAnxpB3Em7OwvqdQfkySghQyLiSwnnw+yf5rmZmKAq 4pIso5PISGabCLY/Eydo/LDIdbMn8/rpB9tgaW3gsdyelZAqhbBAjCCyf9l73CD6EX5z5Zd63X97 UWx7DQW00vq4uPFF7MbZUL2qCcLmY7iuBIMSYHdr/RdAWaWq+n2HVUBkwkcAGpHJy0B4fCkCJlsW E4x/cJ+jadewvVGoDpeR7Z1SaETUtiapdaSMNiYoG3W9r4P9+5US/cxnF+Ik5Na6nVnInv9WfoWw fsRhrWD+KM9DxF6CQTV2QgXP6Qp/t8yNK1+OGusLPt5XsBga3+gIiplhEqFi5AymECi7Iuzb+ZeH IB8XKNW9In/K0rJJm3zJ/MNsdgqVzGxaPxdVFpShS5lLrJF24hivh8KEjDe015Cb6BpLyxF3BLn9 PXru+SYD8+R+MeN7hANrn70CBaYXgoPLS7w0aZFKL1LCgvDl1dP+GlffuvJOyNmx6lpOyQlslGzm KFGpHrOiKZseB/jE11aKmDCwoqAbNlDG9O7Yx38sKFE1OHEtSzIJfNZXObdrHqUMU+zSYGD5t1VJ Wxks1Qk6D71iojL91bEG6eYtSym3IWhlxB51Ut6Wytu8FSRPmrWqfOHWTYL1IACpwRy8SfRsr1Ky qzQX2+KDO07poux/fRYoffcsEMzTSbYVnS5utmEv/kLn+x0gg1C3Fpll09U6/Hng93N8RCdhmsJA fAPUevabNl7bG37jWWAFMtTD2nXkZW2UfOPPvjQqbHhPgiFidtaqKl5KzfczV6EPEkLRaC4E1cwe LEAjB3peFfc4/2wWGOuE5nS3XgQrkP1flMPrjaVqIdcrLsmKE6wY+/mIWvi+7R9Xf0YwEz6Gib8I 07bvmL9OHy0330c6mQkeDvh6Xn2C2saFvL3aCBZ2zbMvpkdMlcIpxSovARikpGg9Da+SmPHtKpbg ZYDWdpZNmr50Sb0tIUzbLYIqYwDEhOgaUZIuEW2Vn4YHz595VgTAiunebzyWWv6XKazLQTR9ctZX Uri8mks8QXfqpS4SOiqo/RbIJfMIXuzsUXXPYROuar+xqb+bXrpNsZw3iMF+P/3DrPZcWr7ZxB5u Ca00js9Pz2crZxDdswFxZGRDGRknFw62F2vWW5CFIZEiRjVpXyUJ4WVSMascy05rr26OFWP6jmDn r0OrELdePq1/9yS/MUgYM/MzJ/3uL49gGhDjaJI7JcP+l6cOA1MUvemAb2sOxtzZGL8K6QQZaLCr XFnbihkCllLDZ2SakEAjftGgZd3jcP1H/lp8NFVCQfs5/biMMBgerhI9PWttTRu9LHdY/Lrv6V43 MLxQcwv8kQg5lqTNxxoNSXVY7zLFupLJOSbZFDHe3pJ8sJkCVr4S4t62YVL7a/WbylI8vdbomsEv ncmKE3YcqqRNz3oJN3ffFHCuWZaXJJJIlh++tmJlUcwEOnMGX4fbuQKYgrG/aaPpm/6b0X7P6TB1 NxgfKxOcoYMfle41qGBLG46Gr1/l2ZqyBXyVPGLSfLn8Po74URHiUyQtzdo6SGCJ7TqRyDfc/IhF 1uO8lb44xL5NZWhv3SHubNqEjlsX6/J6jwjLjxDYl3Vs7LAmls7qepr5S3aUKGjxGvsOcgoUZWPo Q9EyNw1ocxVMPiaCb8TAFkDvBGtZSfO0COKDpH2heyC5VVuLblfmZDbUj90Na+WTLlHDaAbgYjPZ JQR2UXu6BeqU1HgpTO4lkIqmJgMUQDXBhIXO3YCmm/g4/browhudROAgTjB4CIfN3Ac5AuaQquc6 OXGwkVHhFY2IL2MtF1kI2bYD6FP7oEqjPwDpyQTTupSSTZnXaFre4ogUywhOVSyJ+Flzd0fNB4KW +U2tWsnM60maWccX5pZNr4eTK5t0tfrO1pnPvoHMxxrOy8B4THkAYTbPDZ5SY307jWl95TjRVeab gs1gcp1cJWtielZ9h65VJjdsu+IdagyGSh2hr7dcxWd30brLIvZ5e2DSzUm41BU85pSvWIQ2JIVB gSTObVv3i1SGd3+pCwDu/xCvNI1xZqT6xRoc8HdRavDRoilRqOso0R/+32fAZtEDZ1mEiJYq2E55 FQAXZIgqkd9C3JvhQTl295BwnJ/CuWkS/kfzFnITI4lYricx9X544XTlt/Ak2ObLmH5lF8SCAFdT zXSAMzwourNsFAGtYEU325XNfFsXLm6Gd+rfvRlQaxRW2t02ONhd6FYWNcybG2mPFiJLeWRF+Evr AAYNIcCNOU1RuJYe8YQTFbaNKC1ZtRKlHF8YZIjVru8sNKqXDrRI0h0CmdaJ8esRdUP9Z3/GIU72 YIwibO/WlL/n+zYv0WdwfB0lR7tEwyq1reG/eQOupj76OOhIgeQ1TNsgE2x93djcDom8S4CPhTzq gob7KtAx4zSzbrNYam4wgpaZ8FVPAJfEGVYyZA5IsA9uVB82VVF3AHzZX8hBbvVge5t6v1Bz4Cnj aKVXFRE7gPmQAV7fjN5cA1XrHYBk9fwz/qZCMEv2MoH3/4yib9q1Nom+irWCmlQve4ZNZUnUCJW2 Mp8zBc0Eycw6bx13fKyOrBX6CY3U8zBHMMX9bxC1YSIkydL6sljCGj1e6EHgDmsym8gSzw6SPyUt 5Go65/gUYW1I5h2Cm1YTIEhZgbM1Lz4ONWCkbjqRDb73bJgvffa+giuUH8VlpMka9/eUZ7yhraTl OXyp6qzfAEsfCb3kdiFUQFkMGcfaERP1mkHkYzY8wRvQMG+LkI/yw4425Xt2En5uiLxogPCRWNsl hjSd9yvIAg2+Lny5pTJFwTfpvFVBkVqOwNRhPiVQzEsJaaduuL3suoxW9ZXTymg4lpmOH/6AZhtp EIKHCsKlGfH0xYwnGMd4F1tHhj0he9AT0YuKkpMNwzssj75fo58O06qSIPwgN7buJnPkA11XIpnu 2k3FwdGzvDWUE0FUubAKgXfjCTcuY7PEgb23jV2y+iIVnlao5d0TJ5utEPdc1hZApCYm6dz1N274 +OiJThMSMHyMBgKeaNOlEMDGACYLrP04ePWwmVg9QR1Yn5Ry0Ktra8fz4sEKerZXUXz6lMqeDQPy P1TbR+rbSJRYe05fONZEZmhZFiIKq+9XsR3JR4fT1rPOWeKljdnWjJkthnD0Dm4kQXPZZqIBFad6 jrLVONUxU5YvhypCv02YLMuMK7WB3FPAMG9KALbktw6LcIN5ovgJ/2QovGXgcxYwUz8afPy5coDQ SW8bm7X5a4YcOa0jMuWxoMBDvUta3ZyVJSneX2INTQXkbkA1/KzUSEDk0+roKq1HI4bHxh1P/yXt Ce9tjqGxBLANqS7DNRZkgLmG9k+wOgl/FgIEBnRu6GLFDJdt62DcbxgrNN/bcPA/GBFrPHfcbphC RCkQ5/HKYqIZru54xaxORiR0Rs24wlbWsI7CzmnbZ5YMOL3Ekc0oVSZQx3/k/eYCLpyC2WcoSxNU 0XT6gJssS0/NJIGNheRNVhttxvKNKAS8ZsEisre2CnKN/HQkaaxZ5+GvaNzNARb510qSLyiK0vAP 3Cb49CEpk9IXEBPQ3Y7C/OjH5/iWWBsuiTbXC5o049Js5neVQwl4lU4K0+KOJts6F2QMLWJFSIqq +hJ2fHnVzcmqxXX1/kcBcDThnjuUfWWF7G+17u+rTCauOEPbb8l1r00ZiztbSZXa9pwzhT+rsfRc nmczVKxgczTzG4NtcEEsGFBuY9QXp4qXxLt3DSoy6SDaNVa6jHjI4BwfI7i7Rc8N7za/ivLrfk7k A/34f3CSL/5YMSNAcSGtcWn9B8vZKzHeHVMyzChIVEBy1xTui6UpARWDkz5pPgJyPcMLQjn1EHkz 5DiGg5V0JLgo4D9RmFlyoVxEbu+B+N2zMhuk5gA3YNb6YUyJDfIkU/vn/94OoAzJ4wOyebcj6Szb BZJbSnCqQflvWoz++sH5d37sGERXqF113zXXVEydcNhpsIR7/qqDifxyoH/rEDV4jOY8i3NxRmgw I9Xf3huBwvDp7632lPyZEiLpibeHdjFNL2S4W42y3xxHJmkahjI53PCXRpLuhu4JcxQHIbpax0kZ mdVgHg+v8ASwLm5L6KOR4cWdMvML9i+qOP4VHRssP0iPxdbPeqJnqPBpPhKc90cFm0M4n1s8KHlN ZjiN5cWmHGE5mUV7TVwMSd1vskvpZsMFJ4oY+IegzhcgcB6R3QzjhktlL3H0wtJtPSat17P7sQD9 b1btwV9lXmtrhp5QAIdgdwjLqZ8eCqXCakZeMWnBFBAu3Pd4QAO+lHeJmWjVzpnmt0vjVHrBqOAC vGviCr6J0/RrK2ASNBgehbcYL93Hp7Z7n1sAjb1qljKdN43nxHZPGiROnI1TWnFT0gnudBQ+6B9h jHPbM9dAVa1vypl+OzT1a97gmu3Ew4mJ28bk3LXofU57VGmPfs4Mi5Y4uw+v2xYK3WFRujdfPONw YCS5DJ8B4pir8Ay8nUUn44y4AFZSnK2U/toWtbyFuCYEciKzglJDMoCop+BnfSiCbOTT9+7Q2gnZ 6VAryWmD0csshMPNz0NeEo8vQkt9EVBX0kDNy37olrZiJB6JLODRLGxB4KyipOo9v82b3iOOd+CO qyNwhEvbAMjHSToGdj8kzgD/8rwcbEmeVKF18k6991T7X4GNoJYYtmyGnSa7pqcGT+MqrxzcuPgM X+Gv7I1VxRgCEEhZkUfA9Njc4r9k2CyW5kv3t1mzNHBM24bmypucKQZHxRFgbzdQZFDEYGcQ2+Rf TQy+K4CcmpBBgQaxzQVUoWDDM18aOAO1OSODqO7Axb/fSyxduxpwYHiRe03p9VveqXdMd4sAyUEV XqYtuvmI+3wYh8EWwEjDNT79i2VAlIMAtvUtG0PHa4dBetmBONF/H8/M+N4hwdpiOzgwvX1P3UEH r0wZ7XTYQQOirZ4sfaYdw+d8Jl6Pz9AEpEd1SS5XnSdY4zaU+zi25zf/AA6AFiMeFiWHcR6DDvqw /y2NY7zchGPC6UZ/pj3mQ+hMGT3nKUT77/jR1BjyH0zvoyKfEdlvozclFCYfTPyNuq9gAtLZqpAA vCSwBHaLQr1FU3YqOpmoJqz0RF1HbS2p0zoITk8Bon3b11VPzJtzJMLsoliaEHisKVjTekFtt+2c XBOad6CmPBdJULk9cSB8vNfB8N8VutNgAlRoAjlg4anYHNeEO1Tg23ci4jUxSU/F+1vUmuykaBRA zaQmKEdXcDcsX0Yv0M5LSBI4sGEIqiGEXjfySGsYMVmjmZl8yx0wh7bcnXDWmJt00ub8PM2XUR9m mNSEbvguFFCPREkKtb+N1UEO638eLqX5KaVzhNXQryRqnsyUo0KzUOTsDaZnqr+AZSXCgXp2Vv57 TzVef1icnLDLOG5M1aqS9iFnnNTXCalPKryt6ZVwlUxUBgBQuq5WW+UHv2bJKoGctVNfk9UniZGe yTOjbmyax8Lm/Djhw5FLUNzrl7MVfp2kmJXbCUdWHl3ygob3Au9mF/7+6AeeU+2CNiFGGyFez1JR HkXATddpV00hzPG23B9Tlmos9MpRXZWo1/cg/ZLK54BtnjMNxD5MpyU374hi0zAE/3EuRfGRaWJR VdTBcNLnes9Rw8XlwzgxjgpLu7H8Ho4IuWS6adNi6Iq0/wM5FahebO4XeByezCppfthBwZQtW+Pk cmpO27uQ3od7PiuM3uJY1J/35NMvj/SetU7gHzZaU+EI1ywN9tJWTwGnAcIUTgZuwLcfwUILwbjS B67ykSdHpAb+4NP//1YHqr+1wVOqbku36E4y1osrfpDaYBZjr752yvCV+JZGSt7sTTRBSksAS5p8 B+YOXJQPqGeD597PwB+l4QoauxeYB6wh0peXYAMt2dpiQeuV+BW/jWxzMhI8XopkKNIVBkW92dc1 ghCEAeC7XnnCeTQ4uCLrFX4+1pliKyb38gNGx/FVrfN324pccks2+lLQBIV2EzPRR8KWgQ//YxW8 YERcyC8hEgJq4zNCX/QHbAx/je1GRXp2cxljeF/o/SHLQjNrla6g941rk1F3o7VkoUkAbD9EOOEF IUcNazp2TREbaNxfm+00R/mK2PmwC729pMSd64LiAc9Yye1QUydZkfUcIqMaTuUo7GX6k+wCQ7xG oNr5Ytz4GreI1JNocl9zymUGkC2lNr4s/DXRctXtrgQQ3H7w+PqVLIvr7ibTjrqbvc5AF/NKr/IS Z+bWmtT5zvTIalfTuGpR1tWejwZQoBxH7dCWuD4FjBsT6SKaisreemezRkeESMsmm/Qpo4ceQTwR 12Nxk3DKwaIlBWlCshJ0zd+SCO6ClRGg9O65gCwHIP1PG0aOd8w8iWKmV4yPziU1GdWqzmwEszcO EKKQFNYpAQRZoa2fJDKnpOhju4MRLuaPK3puNpWiLFCMMnTdDG7r1FJ7khpD5wgco2G8yB5GSlqn UKu+ZMlcabRae3fXVyBveSRN2uKzBMSHHxSuAWKyBjk/rL5kGgYVyUFs/TW4/jhpp/DUn+2SEjoV bK9tlbg021zp2VQIQ9lj+sSROlrbfzrOc8gkCMyCL9wrXQu7W3Phtv21kVRwhvy4N5C1R0jXR1aN CCWiOs5I2bVxCiCCiJxGJDcm63rf6SqtMrXzkBODgxcRNUf5q7azK26VAWBJ90gzFBhqdFtbmZKv vwS28PI/NnsHVBhTnrcF9Yx1qqFrTvDt6Zq0sWbftxjehJGKLLjVeaEve+DFXhYKIPWwG2WU5uvp ykbEiBep3sCg3mUtdQH/cYbhvccz9ZMr5VBWai/TEOOMMsPZEwH7C4HsXGCssBi78r3ccsv1+Uaz +es4FJDQWIAUjDwf8mSs9ff1h6IvTILmX2vFykOw3ZVNTceVCmlDLXIcuDNHWFQ4LQiJXy1Kldpo Xjw0q9zgCEEsi6n9Tqh2xdiAWy7ZZ0m0ySNHI3uzvpAkgROvqYyrI71CoWCCebiRrvJmOeErRzBB E6FYQXV4YzLHyoU5uoFrWycurUDVRe+IErP6PcmuMKHLqTkVBDQ9ir7gXzQ/0L/+dXMXZNIo2aWc ukQjllWhb1kOeC7668syr7GW4v/resgdBFG4wU6IiRvZLptr9F5gXTNYsy3aepEwxU67YLAOV5S4 ZMHCUg7YWyOrMuk5zBX0PekZcAWSrzLXekeFUMdItNbGZeEuxSRjB4IrQyZLL8o5KSP2bsEyrwCQ JlQ5gMR6jy/AfwuxytNq7wg/L9b+K9QDr84CrcmNe0/sAMYpPe2OjZXxbzGIrWW2c0qugxC0XUNX PKeH244UTX5+sjO/A1y/M5MkM0HrBOWLUiVmXxPPtclaNVJO4z3PMy92NrTGA3HExaf+RpGWsyvc N7ccxeznk7/pXkqt9Wc+g3b10VPPEohHA8NC0k9OALHpOrrqGWyA5mUwQ9aFBFAt1P6zByyBP+eb QqPMNEvMu9dBWnFZaavThjXqT2nnXnMRP99t2sglC/FEeFuEsNYYGxHz/Sj3DchddAVqsJzf2zj9 ZC1v3NWJfJ3ReHfS7jtFtRgwgElCgMC/OKnTSIkk4zQVhdBtR8k5OHMHbiPZL3TeXnIPWBctcrSl iLAbD5q5NbUeYUR7MIwZqiZ5JCeVFMKp7Mys/h1vOfsUBgvYfEes+T5e0NYegmGmdGUCB+95oJt6 imgWhK8K7g+gBDpKLrv9WT1DXoDxvDZgkGGx2a8TfQrEdVmclGAs6+gD6MZSyZ5BxXe8lCkWjrJK GmUqQ+/4SFNmiS260HfFoaGO1D0x6+9K90EUEQ9S8563TwkzZBzoX8Vo+jOTMpuQuLRn1TJOXmnA drsAGGwEOeF0pXG9fqBRE9t+sRT/Ffn0D/Fegc4v0D2yDbtHKjoHf+Na68qfE1OLnqYH8fWxtbGp TnK8YtAA0EJQefMWXEMGL0/qCh0upLw1XX39ZjDGzKN/F7LKLaDY3sigQW8NqOOxpi3zVGccqj6u 9nTSAgh14Dgi1RkucRPkcbqjsoeBa1Tg1FWL1JRoW1v2xWAyTFIPnBeq1xR30ljCx7mmfYa7Lsz8 hpfKA7DJ3Ani61Z98LbTmbSAQd+S+2JITrGaUil1ECAexAQfLHA5lU70yXuAxu2J2KY5ASFgckCg 8bT4gpKNThlfDGR0QMWV4shdB4W8IiFmn7YB9C4UTuN2M/6o9Oq63R5WxUcRGZnHJK6PplB02SM2 5cbm2/uHmnlUVYaFHfGAt3k9mllMpm7Nev/voJKHHJTb6aAk5P9hHokEh9gyeJtZUa6VAk0CiFU/ 38agP6x41quny090Pxu+tp/d0PytVaOEZY+BF3VLrrsTjaZ6MEgB1Z7Jypa4SUt9cQItJttEWgK0 xrw2ekLMhcF1qDqXAZ5mP5HqA3Xiao1Ly7AKMzKVwa/MOKK0mgszz2ffVdpuFUyd4CMO1DSkzM+2 cFEKbaAv4Zl7mm+C6wbTwF6Fxt+RTLIAYkqC/b93UJM/T1D6yYSm6aS4sW76e7moM/h72kJ/JSc4 cYYDDonQcq29Shme0rAK41ag4W+n5myIo6Yg2Un+f13+BeU22ErXquXED4tUP7tdvK3H/GLLpSHB Hf8YIYbkWERo1UEwv3XG6tWFp4peKw+geeWVcIPOrZDaeKB8LjCSs7ELaswSthmKH4T4kjht8bQk QREJ12stB+7wuMzND6OP5StXcf950+64ixP1daRw1Ty10vt3B9ByV1eTxroLs2dCyZayy0vx8mxR nKpiDT4ETbnQaKGFbWnUyvn0qJ/Vfstj/R/wYBlQr/+umIaEhwqLCMZEQJ9lIZs0WTe7A1y42Vic QqFL+OKIn+ypCxHcgkkPikVdKPgY735NtUJn8PYgAY5vhRuTXEA5PnTzz4GEwEfFisFAQC21Ij6c 83z2N6nmgU4A6TbsF8GiQ9Ps6y4o3WU7mhDIED28Ak1zWN59uTx9WATWGp5PmOOeY0YZOqPdvKRt yNIdoNbFWTmEPsDICw+5lkzBuKaD4yHg0XvFePBMWE71LqeHJyZrcTMoMmHyERz9fMYwhXzKPgfp bYPuBSNdrF53MPOIpt5irdQ8jjtGwvOAfKfqi/3wHVlrs8zjg6m3YPUPArt0rugfLf15LkQNkzR3 iphBOBmeCpcyxQwYfLCDuAEHOlsRsJHG1e7J98ZNVbEXB2J3455P9CaOzhburWiGDDhd9UVWK4gQ HVfJnhUInPuR9WBjXLc0JQ6U3hjjG6rIJ7yHm8MKmYX/hszJoMoTM8reyaAJEU6SlY4ipp6AL6yV 8HyRC4SoLRit8SK6Oo183fr7n/LHE581P4o5aHM+W2HLBT5qpzswvSVFEc+ee0DGDfvzU7zIMq/R 3N4oKriPYCXwB0Spc28EHsnR4jieuxg8oTyOiavzrRmZx4olHmMED7YDB910cczX+7kKtCe1grTX 8H/SLPHVaN8RwuRh8gPAQHMOCG7VqSPQhvKJcjLHhWueMZhGqO2JumRlgtN74xMoMgcE2/Ap7Mn8 2cRguuFMuyfcwe+ralHVEk7/48KoJXmniqonUgDqcy3DXm2m87HIUtEzuBKocetRXv6QLR0rme7x u/Ku6rARtIqhorl8q2olQzn5RzaI+BU41V6NrqozAFMDxfXfNfPiMxLEeAtcmAyGHMYTRf5aoWaR VdtYJRY9lt5tVV6t63CrmpVA4kbL9tcA2kZzRuGyjU3tGrz8z16SwEjdnzSJuEuuIfIthUB2EcKX IjQGreMtF4P/Q3FWebgJvrWcCqcJ7Lu6QpVLUOQNlDcffmDYwu0RNQ4xknhmrIE93r7sQRXXkYhd 02wcQJj4y95TwKjdTBvdZv9e0qAVlhVGB6VH34GcAP0+OT/DndrO/SHXJkAp/vUQlNTYQIjkeMru xifx5c+vmna/H4tEjPvlCtjOJyDCEjsZ+WP6CywdSkgjAGqw3ZHu5yZQEJTZ7H9QT/PYuHOPJPxP mLyT51Usxo+w40ef8eNFuAGzdG/vovq+eI00Bw57MlZEI1qq14afwBaKvwGIjfCxYZrIxEJ4Qcsg v1cHumJBekotkAG7ty+8Ok+LA2Tv5MNZpSjmXE1xkoOAMDwAH+u9xOiXV+O2BPo/htN9GS/eO/KO ngUPzT82zxeKUOaTHPu3Wyq28lxvvwHlgElGjshswAm2L1c6wQu/kLGRrdHVYugnf8IJhs/R0CAM oTHi7Z+jrbWZGFguFK0J6ydKw6R4BfJ5Q2u5/RZw2msw35DEhEHAOsRnLKsTUwfXlur+E2kT3gSM UsJA6egKznfhN5b/obebsZRyHZ5IuvadS0aVuROiAXCWVm7rrgw9aYct5jm0x4sb3zer0jlN6QxF PnZLrVdSmvbAcJY9CDl7bzYvgL5i5iZGKx8JbQVSyZ4a7AOTqEhUtdT+Fi4fQtcV/Klv8hpQfj7P 0+2Hwf716upD9vUZX1QpvPdzDL5Wx9dy8GB6sTKSia/QPc2JbI06hnT/2sfbw/tOdZluDSyaZbSu yXuD7aro/GBFBP8xikRpWMW9/Om+uS6z8Mbp8HF7TPb2xAK0qonFjvOOuDVULhPdttYj8Sr2KW5z himNjgwr4jPdxr+g5c4+tGzVDgas7fDmkx4Jk67DNZZa36f2MnylpmOOZtJzfYVQTkPa9hjpx3nU UT4cXhie2OrhqNjpSDo8MEmi4CArfm2Xjz0IcIFsSKwQqUKF5pA9DyqTJ9V0QEZV4cLrBJ3Iuu08 9XidgqoYzW8f65EaY8E0M5lHNhw1HuEFxzPjvHBY/ItfNMlRSyDDQv3oMkaYi0MulON+Ds+ZKPHv cAihMphvtICssu58Aex0jw2xvvtY7UNiBMayQjozhdRtGVzictXcuYUwUD35Ung9jDh3i8U7aNvJ 3hEStB7vruYTE1nFuh8ctTJLJzAaECCYu5F3gPLclw6sSJyZlEO3YnLq3+88XsqDlH3yFFpLfeHg ifji17ByJAyDOFjyp16Y/X+MNvyNIdarJoE5IL87yaCr7gDEtakocPuxPBH+E6UWcBLjh7u5QYCg 2H6fg1wiU+hOCKQppY/bEpPCbugZbo7vozIa/zWg1aWr+9Ta+OBwrv84mM7v/BxHZSwNvnPjCpWp PJflswcNCW8bO2deBsox4I/jdhpoxbNJFcJMlO95raSpTSZQbbNdR92NNg0LMLydjoaRiXt/95+S HFOL4WeFu7U1dZvAAHBMrI7qBulwT9RSDLAh3sBrxNk+fv4Mg6PUJLxtF9KPjiH958hDkZ3/cH7P TUBPEttCJjfjNxt2Rd73/rb9ranaBMuTiYVCnXCaCC1wYydLzC6ketiVKtu56ImZrPXeqOkrbfKl aThGTZRGNcveTkAK8WyMizHtMWu7HS4oGAWFCTiFpcTxNJXjC6535nCVn/h3l0kwRlgHR9w/w3qL Z0KnOYj4CHyFG4HASl0z0IQHCjANgB/1ZSAE7nuH9kIbS4PPGWN/LZBKwKZQRHlpuF+QwDrh4XSf NZxO94XB8Hc4ZBOPwbcWAj9ptdCQO5JyXjIBClqUMjMV+ctIyBFfIWOlYm0vkQyZY2F1zwHZR66y UzSgvcPlJOsreHEPki710wDVJWG785UQoO6OxfrgWqFCmT57OTihORDJzf+H4Grbj7QWCD/bp7nT zL25JKFqe2HOODM/WGlGIeux+R6lle0vI1SZdvwiBL8mll4Y4fd/bxwhDC8GoDepTHw47gNbyX0j BG85Dd+H1bYwNQ+KnIdopcBpQbXMNm0mz1PJzQ6ZJTd3gjOAUToQgSx3DJznrcfogSQ7AiRrsIRt LLKKy5sonnooEHsvi6bwzDmAr0A8Z32Ea1Kkgjn+VacBcZx60FglKv/59lW9UctBgN86m6A7nihK HHpzdj9WAWvXv9idJOf36+xnsnLHaF1Y/gbt8Ik+zoVwh9mdjYTp/j4ezgNWNpelGSq31BZIxht/ 60uSPzmqQwzh6iRg5eaUoCvcJXIRV9nIbn50QjYN4Mchb0elYFC2H2dJr47X5fz4nznEcsEMR3w1 F8hiv102jNbM1OCI61FD4sza+7A9Oy6puH0uzWXp9VRrLThCiNhZua5h86Tdu9hanUcraix2YlRy IFO6AJFIRukrQz6g0h63nwo0+kJZtQvpr1yHj21wI0eZWMZ0A80DMZxtc6L3p2uzLwce+678t7LU Yy3amLq64GP9ldJo2KaaCDqDZLIfPW8E9wj/ryejvzlgdFQaLR819dvYmi0cdws9gLjJTKhpuU1V kErBm4aw96fJjacX1W5TwgK8Qt1LfVF0Zd4MI0qTsmuCSMNVGrK7Djm0VB7oSBtwhzqqKe1jibX6 Ij3hTIj9ziv2ISxs7FXtjhkjvO6gIerhiHK+rKttM74twHAjpuv25ORkluHs4prXjEuiXYh3yLh1 DZhhc4PgZytWHIbOELFOVn7YkNhU5nnDTSjgTM8V1V1Gf2bebQJm+ROLUiYZ+VGErLyrKo1xH4gA 0h1jRgbYMFR8CGktIqyAHszROcUbNy7xWWYWxenqWmyZIIiTyAv7Qn0XxVu90PGA8ecf/BpiOXIF 4boXDk2cSOxEMWvgT10CD6s50rY/u/uc7wtXQbq+f66cXi6u5smhQGGCauyGnZok2hxtvx1aQLCl KuFg2OUpLJbYXVCmxY94tZGX3/rIkqzOTeArSBe1X9fw6fxbb5eHMtkxEYMlsKYMJZgbFs9E7vUx vlnQoeRF4dFTEaR/8RqfsMkxP+Jw1+9U74TbnTpk3Z//Zbipx+AdRJ8D6wuBhsyIY+bjCZ7sGYbt wNkQ6yqLr9oxwYiF4ZMgIBgujTSMeefD6TCvhyAO0ZRh/2e/6cCGOPaZ74TeQVrzSyBo6NypCZ22 HPYQfU5PZ2pQdeEoxxIuwin+LJgnfKWAwwQJRn7CejtQ1LcVYJD6wmS/AML+AxBIeTrIRGBAnXwu WaeGL/noSSuXe+MJI0SjBYNgHgdv4MqqYK0zQCNIe8NdKeHcWWBBCkE3T0ZmpjHgPdH3TZfhMBTO ldtkdHL/cwpRHn1M3/3toxf3qf+jK+Lg134pCFOBRYxv8ltdZ6y8XWn/b503JmUECdIoDNHJe+jI vLcNV5O7N1+dEgPz/+76hqLsSMCfui86Hpm0EfvkSGOB33LM3aGfe74HPn08twyZcTZzOrhTF9/J yQufH55VSp9qTHhh7LMqxhjkksHJsRnCd5t6Uo7dvj81y/NUaJeBSWxvmva7ATQfs/q12ntgwIxj pn3kkPdRkNTFRh/vKBmpiffhJlZhEXXhemhBHRsW2sRDGO8d+SZzQxFq7P+Xmg6EsyUQQHsazdTI dcsQV5MSmXqCprpuzSA86ulaFLUA68oa8cboo+dAR2DUZo7pXVEqJSU+r2mu0rd7y9JErC1erDAK 3X5CMzQk+v72DuCQDA+eFdPMDOQjQAsgczwP8tOvImJfah0gSm66tAdKcpX3AoVhr6QRbjSGEX0l toynEZSW25g/PquUiXTJHTBmi7bQLWZahotTT1+/0iHxerczUdH4cMynOf00VpTzr7Jq+beia/yQ Lw1yCWxWfqaRqoJw6fmyKnYR7OdNWnneizRDjVkOYpooKCxhpP64uIfDVg/qIDQY0sCN+3KQiZ4n /vd4xYKpG+ev2ieqD2Zln/eFsIfmaFl+ZnElDFIWoFFFXd66Zlsf7TDfQIuPLhOtNpqQSSgVwWpI R0dZtPYprc/7STxEo/2rdiPiiKRDOU8yMVHbfqWZKKxqLaJcaPJCYjuiU7aU4jKBy+wFn0oMkEkQ S1KOCUAPwxj+z+HBJ/fBmVqRVKXgB7IRAI/R7amXuORS6Uw70gxEQds+fkqxNEWPV1WQ6cJArQ17 o5PuZVh7Q5ETspFZ5CHZjZPlZ6UDrbumpHzOFpCCdiw/9M8GYOhU3CB6Rt+bUiiKDNh80fcanhBd zxdBIES53oAOV6sCLlUAhIjfwqHqB80YNpjRHbo1EC/75GS4PQvM2dgenYh5pdaHE/svs34lIAJ/ a7N9404ewDcpEDKhK8syzidPbtqwBtO54TFk4rdiJRECu40K+dZlj+ll9h+jkGeoFmTKPMdeXLmd SqdKUlcCOMNXWYnYVC1P8ZbkSrR1yBuZjmMjhhx0xi13Axp9TtjxlsZxeapmyGhiPuF6qzOQZ1gt eOyFfKMYRtQL7v9JK9/pmrKtEzJRDKP5l4xOZaQCfN+hYxfROKG17PAUD+fC/SX/au4rmKEgwiuy 6uY8BnpZI4nMxz+Aq1OACGbcIFlTddVfnxyPeE9z7CWA5f2KYhjsKqYcXLI6O9uhjwJuxUIVn9rl bCn1jHs8JVaOBtvgCxDzFj57SHmivE8p0+v+fFivDHaIMjxuaDjQRGqoR7cCKqcVPOdcvruYwvXb 6t3Szg5Jibb3R4hiwS8bPtNhGr4j78Kd5KGGXaslRMbmaL08XzOWmtmJtSp0GhppjFFbd2XZIL+v /FxdNVSKo9agYkhblrX+NMAt0WAnMk6OOdq3tXm8NozRSpL2epv1NrxQ0YSyoVvMxl94ZVNpEHxl jxPwZ47G2MfMdulvkFEwSZVnEGpoG25Ht3EUT7cwXnoIoGYNGDDz7CpSrpIgZNLoibJuqf3jPLAW PRVglnZoyNFXZSzFFsoxUoJRmLbCjUTA1HQS/T1+G1yK7z4PIW7qe4Z0LQ3Vhoy5Px+3QP5+PScx DZFtTVrGRZVtgHYPJ1w8dkXyfajIzZb8cl8saDv6ZW3jBzDCC2QKLsjuGtLeUNqktIeNUjmfx8fD t6bl0/Fp73o7XmfatQJqSyEfhLAQVMDFI9loSXXqRnXIjrQD4me4VI0atE8TG54INF0Tz4BoM4xj zmccc3j2Pr4tsP1la+/pZb2RzPlTLVz9ni2Noynxcm9zeCac2xoi1/EweIFPFoRID95mWumx4Bg6 FxEbmDLcVbjAlYXv1R5CvINl8LKf9LXxUEpLsEnVNC0pbIQC3i6+z5elu/R3/7oy0IgKRSVoJxlA Xj0hDK0lWojJsUbKRkFKStWb02Lm3Z3jnysf0RpP9Pef9FN9NGi8JZdth+rKWmRRB12aiIBJy/+l 57VtdQczFMXiHir+VWlooDMNiLkok5oJufP1jl2ltkAspFjtv3sEk4vGJwtY71WzcBEol7TixaE5 dkrrQdWJNJ6QI44eVkopuwcya9tTYqREhqBozFdaEq37QnYHJei8PfE67zgRmTOrnEn2Q1th6Y7s ktj9YeKEJ+tYF+hUukRhdFHrKfmPaCf+O5/IKnSWktzdVgAO9NrFpofFZQNaKemtOEjXco1g2Jms lWHPuULXQlza9I8ODk0fwXf5ZheYyRdl0AXlWrqQGpfdauMvgsCxrQwM3+02jDy9A5Ih1MUSgYM4 D002cgt91k3uuHNMp8g+AmyBDg/XvrWmHU5Uz1+QPrpRvvmCCpLVp4dNHi/J3ytiDl/SxaPkDNAK 5IERDwSs4cV4wGxhISeFHKWedjcrgGanf1qtsGm//t22cwNc55hal3FSIa2m8Eqlv9kbX4IZvSCP 48oNxLiNj/C6kNACQzXn6xiYZoC5swpwc53OCsQhwTJ9gIsMMEt5E5+Ewi5cnyoJU8OCGACD8REy tQIdcdLZf3rk7RKxaJJd78iZvrh2596bFWbkbnysuOoZeYBvUDtwaR4Eb5inc9qc2Wdp116l+VVi JtROHn/93/P0QjVXl5WiJa6qqhhC+mcyWQmffyDGKy8TB1QVhYnVvpdeDknj/Y3a0M/fLszWGh2B T5W5z14e5k6dhStS/CGDm9sgTxLADt9nSb1i8T5HPEms9ikq3azmxyNBeRCIo0cb7oaa5Jki9Gir GW9rgwyMhj2OAilbsJhvXnfwzIq3JhJJA5ZxGnTV037/FLl1EHGzUZk+d5Q/JtovtufWM+V63Cva j/LeFMX0MPSesTSyoJ6NpPbFj9GF8HoqHPCd0MTP8PgPDV26OEfxbE9PKIe+jyOD+YlIaWoMawNf TQs/5A5956o84KufbQs70GlMwvZSYXfkv8W8B2+K+pr1raWbd3KM6svb6SAFF+fwiR/8Oh/vC4Nt IWOYVnIS41f8I+elxPqy7E4/nd+as4tmmKhrd9GML4lJLx8f+8Lun0buz1ixzZ+z3FxbDfXzMZoG 84HMb8E7aaHm0mVZ7xoX5ExHpe95sv1yymvMVhJCU5p9Etb56SmdWyqemgL87OBNPH8AsOrA288H Vz91kU77HGzOjnuO8CcScz9jaCqPEqoWjY0D/MQGX/G95LfszyaAyP1P/22nx7R0CtPGutnEo6kb /508gEc+J85V1Dz2B2AfyhBdgWuHQiBoRIy+no3AMUIDmU3Yyh8yKZ/iEaZ7Pc3R7GxdyiWMOpe4 2LtRIg+mpV5W8WPo4B5+ZXpoFXhGuiatlVlbcSZJ8V9lYM9oA2zu0NM9wBu7c6n6uWql47jEX5Xs 7C3eYWW73AH/Bou1quO1SP/vGIp7kzdoh2e0tgjvsGfn0GpHp1ROKLsVtoUfzkXT7qH/ng26HIeG YK27JqvNZ1KMuqkkDE+140QuxCFybUzjzTGWcT6A/VXwmqpXRap6d8iVnyUVN/LT+yU6aoao/y25 CbwgvuQKgtX64jpUtqxpfpzgHdcsH5DjCJdPQnJGuu2ISgbpEST4Q54sVc9EmKlqLRFwxh1Wfqpt XUynln+kiUWQTO3T+eYuegDtThWPXXNr/Gyuadyzc+8NIKynXF1kC8/SJM7VLuk1IpbX4A3V4tdo UhD6kr/zyhddA1hvmelMMvnZuY6tM8341pg+/UqeYVEwlIyQAzI+4MZPwQSlrRJWqqhGQlB3z7Qg PldkBwaOrIdFE0V3UESaHb0dM6i2WQ2gXD/wknameafkNvHiFfxNOCqEkwPuGIOC+cp5ZJKAcdFD Zwr7jwRGvseYbth/2JWAqYkz+fqTbBC53A+BiGBytVrzN3yxhLD/Yorbg6fJ6/wBQ0n768ji7DXL 2WjVkRSS9j/7LNsiHd9XQvkGazBMWEFMkrQdCnAw33/lYrZmJSUbjzG5F9tq9+FVkGKnZo9RDIcf w/eutX/eCnrAPOt0SRO+x2sFo2dkt3MEva+nYfyGk61Av2eWarx3VHCVQoYVQzi0WXU2fU6Ufz2X vvun4Ti48Izfd9TYrH4emnCWgsO/HswUH5YPpFK1JjQtTWflPMFRy8y+rB2czz3qWvQAFc2ltWqZ mcFDfgtLcI6lJrePNoecvqeaIFcchD+NaTta/Zy7NaiIJ7HmBh/hNjWZa/wxm5Ze281gD3NdhJWW vnFEVPIfz9lxnnZ94apOexi/PaA8w/4Lf01UI1nckcdSzg25Enyf3c/X+uYe7qTyhFtN1fiWHjUZ lJxnu1luVS29H70cbyurEFYwStWXi9WFP4Jt2TpKjHYrhitAEvrQ3z9EIMF3m+TBOs9YS7ol5IRV 8d73lBVSw1cAOpPWgp/9ZcszXsMrw2nsuBFH41PFDlcAhIb7S58wZtQWIemtP2oE0SLxwenuaZiJ qQHy+S+NhQzhFwyvl5htvjnm91eomhJqWEtixdeLYraN3XArLyeN0xpgeIYT/X/6tLKcwJN4bi2Q h1rTrq+EQQzc4OwM1KqRSmNaBw/TwE6lS9w3NL3LLr0Rjevh+2xS2DIjxGBq3UYjd7eSzkFHsEFL YjSLM1kavNarDSolG4xO3ddw8JW/aeAq6DCZDnl5zqmKvuHUwBaw0RH5zqEz/bZ1yYg2rdIK7Mz9 u9ks1rEFucYmXUoE/GOCwRdGvFTlTHnCg5ez/b/ZcLnwy5LGu5tmK3uS4Te/4CNqMXP2U+/kRpuE JTqwGfpC4mvxDUkvuWAN2iDnFQ5XRqWO0RtUtNHIU04JM1nP38sqexzNdjGhumzDnJ0NfxFUu1dR Gh69wizQ264Au0K00aVJqQCXVy1bpfOsCXASUFDtVIIP8aiLPQfRr7MjNVykpvyjscmaPE9Oo1vv pF9fusluL+ztJkDpNHzOKa946dBKk1wXu1CtfCw7Oc1FEHvBsWGuFQIN6HbbHvBcHTel9/SVGxe3 0vC4PaMikX4rdXFWU6LKfpcZavxDeAlQUyQsve/cTSVEsnS1LRm2vjC3p7glyseCnG22xqGF2p5V 63SJdOQDpWO55WIhxSEMvN3fZtXi/TssJ9XkMMUCUw9i52N81C8t+oFIrJeyt7LGFQLa8NxH03ut NnKM9xJwGymU28LVXJitGonB4kl7SCeNsA6gxK7eRVCcdHGqLuNgZoWK2SkFs+dw5CgaZb6mGfRy 9V82JICYqlesp2fvOZ9VjvTHH6q9KHjxOAZ3VD/iYWeDQrYHwL0wb+U3n7jrj3A4Tn8TXwlTaD0L 7zWG/NJ9Rz88ds4M3ihuoiaygD7B+5qoi6NOrFhWmLcCysTP7dxjPVh/OpqRSl2Yt9ClA9vFcPxl PILH+x+TqbNOZHSCKoVoBhAbwNyduYRaH45E1sBp8IqIUGhOwD49ADulKUdpAVU6eAz/Uw041kcS VGrcmH8WQkRZ1JlfIaSs9ohw9U2FJvPHvI75QXGNyMtEIyE8tWXZ4imV/Gy6twvniCBzIrz5Kpo+ aW3TFuI29DhdKRd1dOL54+jbhsJBzUyvBX5vxN9ojqxnIavtW0Xwd3pUQRlK7yBQw5ZkYGy45Xop +EClNN7lshGniHXL0ZptHJwZe/l90X00nyQNKYQ6iIWMWuqBfqm1R3sHCBMoG/JgBGokvYCevu60 iB9u9YTUrDv3+eGGsYWS1ypwn0r+aUHaPbqSHmzRN0fSZZ/JrmLpQUGJnmE9tEQcsds5QI9/cmG+ gi18F3h4Q3hqSHwL2Lo24fURviPm2dlOE2VOdWgWlVewDsQUYtXQ/N0oJx7+6CuRvTf/52sCmEV5 XCR0sWrbKCkwCsaJU3pkSwoGVSVEnIQheOICCzI70RKpMQDTeKOwD+3KPvJcHi1Qrf+QciIdZyI6 IrLtDJwE1155YynIHZfS2YXFsAzmDxNO5R97iEBdHBbIdI1+TGD1Z7s0xmQTAYEFUwTeuC4I39n0 98lRLxSuYT0mpV2qQny7xk4H0miwIX+I1wginfi7EbKNGP7NpdCUs7tm8DWUls0V0o5L75BlmBnu vGaLCarPuhFarBjNwGkn9MYvEb8AIZYrNpq5A4pHplgpEBdyzOZLxjouGbQlCNN2uE5JjjWuYIV0 doL9uX52jxI2fKU0b52NiC6uEpDvLLOzV1Jhk4W+6nOpL1RePLdxrv/o+V39WIPF6V2Wzkqh0rpr o5HpbOpd2bST7zsrCK1sHAzVkjqIh4qc6b3WBYFHtNEpMQ4BILVjladkz86DFccwKMNMp8YCaLGK /Kyrybp+/Eb2Dih/a+Q9ZewdwDxniTlJV3t3pr6ob5zhzp1BfMpCL1lNNO6QwoHeIvC5ofCMPgtp 9SXvnAXbcnp+R7jgOQ/7aEhbjADGXx/h2b7T6YgU0xNICOkKL0EevAGMOJ0buP2IeZ3LgprZ9zRK eCIKwhDlvEIIBSbdfes93uvAB5wDPdEZaJ0Bnrnm+r4Ee0cyKHCodj4MgfsxxSCYDHV7Ik0NQqfw 046I1tgO8PxSS5/B4vyrcCC43thI0x1Dhnq3CzksrxOACsB2V4bT3d41ruHzF2nDvi/Sb4pBQara D7h6sRz+Il0vM/iRxAQnqHoyLly6NCmgOs5s9Togut8zXK7uZL3W3o3pxRLOhJqW/7H5uLJnTfcb X+WW6B2iync00/cU9XDO/G51d9z1EXWFa2SMgClXzb0uVsk88J/mhnGLpzy+gmqdlYqZe2elTCFq HbIaw4Trh4UTKkoL0KWavdwhygtt7bWn4erYItn7Sdp9VgYy5Zt1pMKgJlRsuL5kra4GaEXjTFSa ebM7WNOB6I0SvC88bZek5++x7o21m6vYj2VygLiVOefLG+xCmzEBmcRlIRQMkIcEglZ2h9xiXIXt 8TTDwinKrdp6VRWWsgXYbL1GvrHpS4iSqgfVWb8eM2nWFR577FqXxCxAUbGETBYzeWLC3+hZ9Qk+ ft/E+9eOAisWUM0WPj/z2mlOn5jjK5b7pxVPeNJd1o32FJjb60Jpjuw6O/arMgNvhpW5tvx5Qrjh YghINg0Nc5yOjXsllQKcG2sK4s9BCA20eRet3VHWDSVZQrSIXxHj9i+4f6Cb5FVIMe+A1a3h+D2A c/1XhDbMIHiOYjrYCU4sOLNzkzF8zeA5nk3y9V794nKkbaEJVc0dZOIbdDBdzZcz1x2adyXfj83T fXRUetSwboZ8E78qXWjg6yoJe11tg1ZkwUWy+Ik+AxhbQdBjVn7Jn/wizQSSJr/AWgKdlGbZpSGU EWCBrnGwwd0Imu8iPjmuoHS2zmolFypYHEWm0rYDobZJWWiRtE0V9YtQxdtVckATf6h7Sxiqaf5e 3okJ33JIpKWa4KWhke+gKHsLCkoc+2FRqz+oqElXyhDSCApGheT2dAeaHtmtu3w4oF4A+CJatE8+ ZhRRGYXyItB9emXKP6U0UY7WzTmvNr4Rmh5J8P+CNfaaovGzW9IgJVvJ5bL/1thQ0OHq7o+ZGT3T x4i075jQq5LnaENAIVL5P7Qj7vCokOrlkJwPd0RCFSyar+gDbvxQmA9OtMxjiFVGr+8Y7C9aGjID SEkmrW0aGi14XvQWHw0liJATn/AeSU7//CyKTebydHeTSHs1YBn93lrFUZ+EqhPckfOAIB5QMeNH O3LjkpYXqGniyzkmnIIoN/dN1ZseMyVB+EoBcV0zbDkA2bPyXDscfR2RvNw+4FwO5IvnPP/uc9KD Z17Xa9BR6ZeHCrSvJytpPgkkKDOqIpVaBKsQt0vhx2f4sWlgCvVzrgQFxrs7WONMmHZQrAF7Rw4u olSThJaRlaxqxfsTN0FXUEWNHNHrYREAITzIBlqKQh6xL3598Mga8xTfevrZ64OEftD2jaDc2TJV sT/pklEGbI/h3re6aLuJZAECqk5WEpTMbv8OkvaMkucXCHlxqUgCKPvnsVHUA/9xOFgeJ3IBWGFw I/j02wbyPRnTEZhT072WZ/FfO2ZGBppJXfC432bpS/TU7bLzt/FloS1QeFc65of/xqO51YFinnWj Sk4KFjGHiaG4h0ay+KAe3TxtU99AF3zIViKbvbXXOqaBY25OOIdCZZbvAKWOIr1dhqDVUjeEsLrD xe1R4crmcMw7oJxAb+i2W1NzalCGgdlv0QdsV9wHQvBK4iXbH9+MUG3Z3O4UmNCRGNngNBdT/Iuu g7bzKxW1XDtwPAjPhBMpc4UOBvbHCf8eoUSoDxXtg+4znX9+lByWnZ4pK3aUlqebxfxZtPylQZbK aYrPky44ANF5tCdUXBb60cyx00CgWbMsJ2du5IoV9CCvEkVd5Jgrdbyton7d3lRK1QD5r4Fj8SRH PpklodVBbI20yGyNwEC1h8iwTa2mw/GBdaGfbj4uIEEIBqEaL7Ko0YiP0AoYyMNb/BxYAPlLNs5h tG5TRAeFOokEy9vl+Y1r5EoHwUzCz5rt2l/i2/mjlMyCYi9MqRVZxXjikD9SC2H35dBPxOJ+Z/Zx XsDAjv5JArbO/4n4alpb9gsonZq2Z2Hef/BCdV1ebMtXpy7h+1SpeLmRE96dh1KYzb3LIM4F5el4 W1sdJKCFoXCz6NlAy29Ayduah1vmUArUQuIyRNeFBIZAH1Jcgc9HgNmDXYj4E6X5/UIxMoRdulm3 blndjyK+xYVdpVB2WE0R2/Tv0l8vXzCdmKvdJiGEgWsCbgrWc3s7jktQilcz/K3ElOALdDP5hg7D HLHGhrZfwY/oQzNQaFLEmAem2y7bwKZ/x8CfSmVbiy06OaaG3qAx6KrWQdDAqJBTy6RMtLPCS+ti 6YE+sgbaiZJ45Zjb0PrI1wBzbXAj+U/vW0h0BHxO8tdoDlql37SFQJfQrrfXNxrDdXZITnb1OkCF /Zan/9/XuwglgM/d6mqLMS0SG3+vSVZJPUG0J74eqhxg16uJ4IXGaaZ27UU+fSj7XvIpZWeFbV6R QN5dB6GPr+tv2Au1qWxmc8gwIZYtUGLDcftyyNPgQ9VSp06jEOvIEb6bLCV/qU1+Lv9BqgF4BEm4 a5UYBIZUdP/1D2D8IOEEM5gxhaEOH3zCIlhZbxccRWswgUQwHR89nU4yCrPdmRQE0iqyNaUvOobV q2V9DAKrFHDjDNyX15BWtmD8+VU5jDTojdiOP/xOaiHvbzR0EnDqTyFCmnhPTYLQoY+h2ALclYxs TBrmNTbvqxuLlTj+mQLHftK8Imx0gCmEp5dZoaHTzGi8bipF3tR6C8Zv0z9lqoTwYgwJCusoZsF8 FLE6L53foRc86xG/LV93uL3eT349qczdhhU3jgqSTet2vVfdjC7ReB6rBzEz1M9AP2irbj3l/sDX vjRaRCXoGNvlYPEN+lflsE3gXHtMz7Kk2q3ByxAyu9NjQaefran5OXBVxsMTa6eNNCxKluP/30UU 4NQ2HIuYlJ92wCV7jPkNxlUHSn8OgdR15lilF7U0Goh67Hm83D0jDEeIO7ENJp7P8OiURHT8JorG G9vhmaQnz0crC5MTZm9noYsDV+qn/Xm5UQhhFOVNHOn7Ky0iFDZPFJNMbS+Ps5buRIXvcB0WveDI LUMJVCB9JCrXJkRRoG8ILi3AxQCdoooIlueK90l4GZH6pgtXfdfhLYbgjRaS+VY0H8O6Q0IHpxiY dFStDa05wyKvU5J6IX4HuVZObuN3egonxZICOBmNY8kK9E7wu1cgaT8MnkXPQGhiecJi8ZDHestP ONsvYi1yzIwEv1gCTt3uUKyfYgNzsfUF92baGLlOetqdFVAz8u3MlX27XtfcJHJICsDrGX9WeZq+ 2MMOTKC4Uqy1CoqP3AP5QqPLfSy7OB40fXT54gfiQ8fRGLU0RJqtOezH04HRDBfmLE64wjeWt5oG KtOHZugq0bCKzV/kNbPT2FzgM7TcG2rCtWr4M9JyRO+qpekVJWegwn9PTinDOCXstMu0+HdWWMlM kJgxM5xLwgy2v5fKY8mVQXvCRQxceQ5/iIr0uKErngtvgggWblgqi4P9IvFbsf4UXiiTbnlpa7BV udFl9X/f/j4jdLJkavkrMwIdHP5dgNmlJcYVgMEIE6d+BdNvmkk2sqsFMO+ZrGTUaK3gqO4K6uh9 BBMaBnE+dBuA19tDcTW9iKiWzZSyqWkekamMk3BVLlj+e2hxeRJRkUC+pufWDGmPvUtmnO4H7n/u rw7TWM/ywWuI8f3bjX8EHstVN/38cRGuwC8/yNDMr5+PFR2cE0qKLjOYpej7DHV6Sbkcuo8suooM mLeSv+TME6Lr+66BDBL2xSd0PaEE6Y17hI9uAiJSC9GYpBSomttiN9J/WbivsZT2Xwpwy2riCP5H rrBNuc7YNPcH6+qIRRw0JSx+2JRxKNE7OhzihvvkqeQKJDAo3S9JwW44SfYuJDs0LV0APe96aiEZ kKYXV3la1hFGs1+G7nAw9uw33yjPoL2bQkuFK8shv9o4mKOH/8aXHc5wklbd8A5HnI0ewWxdooP8 HeZ9mjv14eM0OmoVdJidzizal4eXpldlhVeifx7RCLaRaji+2CmGCjd5t12t+ZO8DbN0+Jq0XfMi qxm6Kz2zArnUE2ZPcXEAI9m30Ytv0giAMBoDYtmXrEiHoe5BPL2vHj59UDnamIinb6i6x0/+GQgn Ax4JpIcaz+exFbDM8lwPB5k/VNIctXQmXHP4YSyej42WMxfD/ZtdMjRqYR9jS9rSWbVoOiMNgryX CNv+n68x3D4k2FR3HUa08DoYF5oGwqGzx/IW9pFq7tmLWltNRiJjn08TCs9P1ESu6fUMeMNbQNoL 4u9GyqGJWPaIO1zGrk3KluBPYMwgHuLbb0GrF0rvzrPtRoMQiymCiLBZvVYI0oHi/1ezk/ru7Gpr CN83oZxikFxeu6hPk+gVDMKKf14XBzs6GziwkObGEq1P3fExkbTGBD8r4/VeGG38aboFHj9YEdsC tNdbVrLWEFP6FvbX91aCIPZr1KqCDPjy8Q2Yku6Usbc7kT2QV0/5GdXcWoVi9G+3PGlsFY4OT/ak SOS4WThtRUI4riuoROKcoNVGtw8DmGMgnkYpnJHhcOumufnmTxZNH6DsxvsswOli5V+MXfXi8ezX LT5eMsKvHeHKWbQVHu+8tftA6UoxE+nA83KDX9ajjejEXd3YV8NYUCZdefssq5OCi7gpmTe/ukWM LpKd8zLqAl9QrS9Bepkh0gbZjsR/Ii5J6Jdzdrv2GQO0YBlzh4mbfjs31GcGliDVoXN9JC9/cQy/ lsTHQHDfmKT0wHZ4iBRshmx71bQIDwIfUq85Nn+/pTypAcOYw3t43pmEC6blMqk9iOdbEM97bo4i qoICMSi75h5K1hUgP0Uq/buZCT/CPTjcDgTuKcDOZTASkxgBRR4DQsV2+H3LHNNB5yB9te9LV7q/ mOGoCMJd7i2MN4Samo0ssQEQQciGl8rLFuuntVPEGmZhWYRG6qAOdIGCWXmfh6E4pZd0JVUQOz0U M+znwceYfJJlP2M6BLjYVlHP0CDfjtQ6RQsHl46gPjyVROVT/dtUSW/vVUKL5Y3EKYzAErBJ0Rss vdvuOdR4Em1WTnueCGye3LKQe0NIiaeCgh4aj+1Wfl9iSgdLqAjGXmQfSiNl5Qfk6VEY4ETbzCLP J6Enj7OEXtr2KIxJ167nPQPEheFsTlk2tXs6G73y/sEMcZOZv3DuowoOX6YJDwqLFwWM7NQK/97I GY/zJVKAjDG3EMaF/o7Rmc+yChEco35hHvT2J1NNDGRmYat4STF7j2/TOybPpbJgU2mrX7WXRfg6 F8UKcklU3UFSG5g+JtJ+XsD+l5yBV56owQAidDyRFon01P3SNC6tVgiKfHvQbGP7xGcLbttllIEM 2IzAMGActarTI2hr3zlWYlcSMr5qBSR/c3GjwUGUGRCTbfhj4hDuyVfvsrkukdevvkwCgu50lMAH KpIcNJZTgk5js6niIBEBAUceD7joGwZqtZmWMu/CRDF1EhX3ltWVMfeGR4nMbx5C6LnRCVClSHFi +7RSggECOE8p/DFva9vVesKVliztxcPWOB14uOvVXhpqrRmrtfbGDGZCBq2HOG1WzhM+bWTx3BA4 1YtHPWUa2IbE6Zi8aNI5BN8t0tE9uE59o9T/4c8bNdM5xS8jbpzLWV7zh99A6Lb8SCth8vrnxMVZ +v2avVBUgFefhmUXA+TV3U+1+HpfLdj4MMTjJt78ANuHtqlZWFp5pipagMysOhBxpwHOax2qxILR cb9BWovcolfX0ugrGwU2KTFPzpu+7XXt6JpSF7mKXhZwswKYnCHQXRiiDrU4sSoAg7Nh/rG3x8ao MZsVwe7Y2SngtRcxT/W5evPAU/ey3R0TDaPfXeG785sX4ASR56eLAPJiQoJrfk0j8EOOF1/RUtVU vOASO++GnmrMX8LTmFh04kSbmjRTnZFdNkunsv+bOoRWfRzcoq/ZWGQDMgnJYSkpXGkn4sJlO1Cm DWY5CvK2iAnXy/rc/gi615cmdQj7NI9CTXj5eVbzfQG1oZ8Q11YnDGnFakoAvE4lGks5kAooouc6 JOjZyB+oawxYN0OKgZmliilrS6ATkVDBM3wunLsh6ZmvmqeVKFXldxoHKZHgc+a2gnKZokAXmZCm BUV3v4scIjpx01CtvAJsTPmdiAUyvqzTJIVR8AOGjcWCnbZAgxnam4eQMtpOaBXFT/gFhkRV4deh KCIlvoKKRpLFQ5FkiLZ0vNQXKVAseQngo6IleKx3WwAFfgb25LOZaFRz8CcDJbso5/f9wZ32bLk4 jes4/qLBdBYRv8qhkWzDD4l5IdUi1O3MiEP6Ik7Ap87D2mXm3zsAtz1hq4/2iTJpjBabbNCpJbdO 8NYaYPKfae6G8lpTk0mokwYGYglvpTIgtOlZxh8QBgo62Q/y7Ly/xxQtivgiSGaTrXo4/p4JrzT+ eM6fC3kLxW/GPMEudMsJ0ntBURSdQPG/Oemd+YX8AvdgqlkujcCBRuqGP+uoIbWALX/PLnQaGs6f K48aOLxTd6W0UrgmuXkHxBhTSCyzA8B7uI0BRYZnqgp2elu8CjIOPEx/bttCtmlgTswhA8ZlWhTs OWZMnFeAnjn2Wu1YcwbmFtlZawMNVXHaaMja26IV0Opss3suBcJc70et75mfBNybAMD8HiLKmL39 Q9sHpJkaCa3rBg/U3kxbg4E5M1gGzIURInczJYlv9NGjC6MUlGXSoiLOOv714wvRarNSaq5iwZYL VO1393ppo4FFCPMp8RFgp95vT1EH5GgDYNcM1yvIRlQMSq2RiykEn7GmfFMNMHSeC/8ophxPRh9f obtfiAxwiuO617LcIEnQ0zUA3hwqTWZcCYsrIRu1itxpbMzVXNfq1RIJr8MPqgo9y4BSqhjrP1qQ cUIx4hz+0bFE7jFHxF/hwM/oDZQX3NrSEQnSO2xyQqyZKpC/gR1T1PA1+Kn0DpkmOTeGwAvK3fmU jJ/MbL4iNO4CN45REPtiIUAMDUL0sQMJ74hTFq7GtPlmPQTObJLS70Py9gAR+QdglvxuIjCLMvGi CKo4LDwJNziqML7nWLq0reUURkIaH7a1sO5+sKg7r9iBBC5oobPxwUGYpz81brDGCqwaaiGXQOG2 nV3RLCsvldMXpGJ6OuzzMj6u9O1CpCpLMgWg/7XPttKS1nrCf8hAyTqS5U2iieBZzT9rC9SEZWKY RR2KxDuW4c3TTOTKwFFBlgvOsGcCePizxVgQz0Jkv3Y/9BWbV15ZNHwxT2MbI5Q+60TsyfO5Be3q LOeJxZoQHNanU2eyreqmSs+EvKnMWN71uOujMKm6M4wOJXqDYvVZZLOA65yMBqrbPDxZIPrfYSS+ YwQBVPtqiXHvCGotClyb//XaQJAgxZ3gxs+14GIjBg86+GIN+KdOEvHAYEBHO7BoWyO51LsdtFd7 qiLKpiRms4XAmZ35397O2UUtXevWQNCnsJ9+E2QsCAyd2g42jY43qR2jPq9qq3J/Q/TpzU9TXezA iwlRby9lV5DPuqzboOttz+MXEEoDrtW9AdgIo+3HT3/9IIkFr4YBd7bp/3n3kSc11jbiasTNY7Pl W5tJ33CuOyJ3vnPzE45fvJqumKDYQiJ24NIi1VcKWW8aN/r2O4O//1DOl+JV0CjitOVvDcwDhey4 J/7ZbjqQAt8VclTp94WX2LVcEwWGdge4/bwxq3bhlj0o6fJQKc1B76xWQC3Te1Rpa/s2B9l0q8sw vRGYKLw3Vtd7KbK/LCuyTuRVMPwjDLYNCXfPehPLWWxPp0QacZc4Kw8p2PA7fkCgh9Pttkb37ooJ dqoSjKrRr52LyIyCnO3ebR7DMOutD4LF/V0+c79us7XdR2zBEQhDb6ja9ggYbHRIn4MY74GQSFQd 64SJTB+SL+85vZHcbieZd+9zEexa/o1pxPGDYNS7EupwZXEIjzl9MlcNVIbRksdOnJ6FZjOAQCz8 Kp9qp5fV2KGfXekd3plF54RBSwQ9uQs9987vqtgEktgSi8rvjcNN9Q6tCOg7iiFwElwsdVuMz5pR I6Ee4pqcRDcL539XiL0GjLwxihss223BUkcb0p6xC3Jx76ISIVcLErfw1ZfBUAAiVDdNEjIH0To6 omizwmvapKmmJsGQYgEJhrygcjQ1gVyL9uX/x+K2QeiDYASidBxCmbfz3+3MvnZfoTXCQ+CcFZ3y 6e0ruuxtjTl54RbPTfCZ4r6mrBxnfOKON5xtIuGERuHWPTXdArUglTPLLKfJTMCinrAPN9g8czEk Pwts2r1606kEuKcL8fopu5Vuwe1j2nPMJikqbHZEXNHx8XIRK5iPs87JZ3F6F2t87gLIFlpgXkyn kUJ9QeinJezicU4oAxXeqOEz6G+ojqAEdftrg0H/GKo7s0VUStih6a0PyRtWNMEoXBP8t1p18IRp QOBCULdXYV1BiLErrFiqjWOJznMXLUSmuDDEgN44kbYJDY/nbztK+aPpUITMCIEK/eP9NP2pj16p gU5uWn0swVuq+bdP+hUwohWRG6Z5tZd8G5weImgMJrCQn+whpypeC4u5Wud23FjAHrXdyjTzeRn4 pkIs/m8REPA4DD7kvEDYPHaaDkr9AUVt+0AG1yrQDt3wFwuKXRAqDU4IamIiCzEYE4zLO85VG5Q3 rDEDfZy6cPOtMsoY4Ar9n9GW+Ll5eir0DuTVmzHvRyaHZPMXnhwJzE2JC3ceANqekOo3PN8qc3Um SoyNOOh2sZRSkfgbPQJfcLQe/o9Z7CvaBpNKW0x7RffnmTZMup5PEJ6Wh7coVfHzcwEiGuRlJkmV bUdmlYybdX06PfG8x+TyS2MI537Doge8112CwUIaPUpql6CS9nLgbPbAPvgPjfWa4RpMhuUP2NLX KGIN4MoagdSyh9yunA1EoLKLy9D4LN74q3jvDy2lw5Ek0Ky13i1MG/TYPDHcleJ+NNRhNHWFQSCC YXVGccYWJE/HRY3ty0RRWU3j/DczF3mV10M0xMHTGX+F7ZpBBcD+467qexXKjP4nRf+Fn/w9Krws +yz6mLiUboqeHELDW+7tmcIE3zEaHs/65ERih2S0AGYikQE25+bj5CNV8RxKzvlo91hqhz4uKWJ4 v9rM1YobNDdofmu86w7CjECFQGXrF+9zhYEwwjmVavMglrSEDof7QW9wIrpa6HKt8nRJSd+/Q+dC LAG0iDgIafFSRDkkm8ftIbehtafYH7IYjWEfdFK+SqLJ9XYbpm4+vnIlQIIYyYA8hdukdzoDWPBw 0ZLbVqpkyZBuoWNci46alfE3BuYXInp5BIAYt3StnoH0sUZaJigLKLWjTRxL+wDubeWfKke0/Cuz pCHM2s8KgnXMQ3yTb+OF0ZGGCT7ySe3tRpIlTFqz4dcOnbCGCQPJb8R+pmsyuvO7ucCM/83k8kji WZvhHctQfVc6nTIBWGiv52nlmZNABBH5vvdxHe66Gjz6qKiI7cC1vlQOsvXaroPirLlKqZDpXcuB X3P4kEvL5HEOhQ/u1Y8TnTZyZ/ax1VXlXEOSPGlNxJrMh34xHHAeSPobpvl2bLC5+5KegUJaIvVJ 6V4kX8a9HzEaQ6gss+50bxuhAqOMHzuMaXWDFfQ/zb82enVWiSQ5tX9Y068AgHmbR9O71n96exOK AlxDXdpzJc/ejNdqAoMDqnDnP3sx8Qp9iwfWRo3dUFZ9JfiOKjwG/6GGJeYISlFO8XV587Wvmuev g+3QXCCfEX3l56gi3NAsneYyZuiSyxPyuFU8q+Z/wDRIk429+TuPlkgj9qVP4H5SiowlVwlfNy3M WJMim1gULf/DJK9cBZHXQpR1Hbk9lTPwnSdVuQyntfv4LUM8Foj1CvQd/yZxuPv8m7MHSBmp08t+ A9zU7EC2r/ZRWMYKzcWihuc2okxYaZ4AE2YXeSqSXT85rzjPj20Th21vGTMiWYNbt2M+MHYrh9aQ DNAnVabdS1K6L/dICi3dydVbDr7qFhHJT2juBuvFwx3gmoSAQnsgiteYr2fo0MDaKgjNZRSSylF6 eh2GUtPC9LAohBhHs9FFMzsIehcLcbeTWLbnjx8LUp1BssnmohoBkVrHilzbeKeWHbuO82DVST2y /SG8usnNBp9AjdlT8DC5cyU+gMp+8nAaChU5bT0RIWVjSfF4MWHMfJOG12w+4xBfuKzuP1sbFLfC 4LnQoZrciaZXVc0xbcNRYnnGYk+fz9z9NdakWU3bSCTnHk6azPADzuetcpYqt8X6pg4RlO/qDhqk BAvp8tzoSKjBR6ewwfPpbVK1AEN09OGgYuKcmCVStzhqRd5rOP1HUxrslnmc98MVflafuWHxvCST 9812D1PO0rj1uLatDGsQY47U2ORV8BYeyZiQz1DTRs/JzhUdFu20Mhu85yZc0rpWSWTLvRwJj1ex +cYLsVB1PEVnlLNPjR23ExroJ9/5XrdTh3hLPEIo3G6BFpDvBjggPWtnCdhWxZoXyatPDy1l2EsG aPH3qn4gTjfYychc5rbYSPdNkwSfJFnG6wYYO92/boUEE3gJpaTrVfquApfGN0FPnGfKLCfu3tBD 7cIy8Yk9nQpVOcWBailTJi9BHyDn4Daz6rt6phrXk58tb3tCNjbkO6/e+sk0Mr3EXlwCjskN2oO9 YQEIsRBJa9VDptnK+FtjGLTFjwa1ZrzQ8cdBJn3X9Vg3bSI0X3unuwhgAbIU5Bthdkmv5MpRJEVI 4Ef/J7CGxhbeM6JTVKtjw6A3qgOLiA3vosiyYboBuBdTTBaBvD8Sl8KxDT0jBDpOwaTQSMilkTrS qskE1MmcjJMRxwmFtXcdx+pIi48XvoeGmtCaDVZgPLF2w92rLjt+lHyM7KJ/XJsoWk4EQUVpZIRB /Pyf+/HidNYivJTLMUJ0ZlexV/99iXMeTbPs7KiNEy8Zc7rp0tjehC4EKqVX1y1phlRmYH/RPE35 krXMhsJKGKHr0HKl7JpKYi43KFJau7Wd30RDshNv+aAFVqumeJiG0cYXmHq2ct/ZDhdS0T1do5re MYbdoIBb7MDK+zAf4xhBXh4fFtkL6aJtL3nnKF0TRKry3A6JTLVQ3yO6q2auoKQ613uBsUu5HVoP 6Ae7EWCylclc1RTZsFJqsNM2Zh2ppWvTDWHcNxtJpH1Gsy7T9i4qUpqSR99W4CGGdWWlbo6z6Cer lbYVgSCDFzmvEwanpTCkWXzuFZSa1KggdomdnhivfNgVAIp+crZGDU9qWTY//hBV+mLnB5cxoJNq 8Nv+tJVonnZPuHByZl6DCYqPnJQir12d5EJTXoBH5WMKk6N9NWqYHSocd/akVQWaliyDpwC9sKVP hJStGJWRm6JF0pf6fGWtptIbQBd297GNQJEiF8rCi7pJ8oCWrV48b6Fn6T6+XZ405Fe6lF5ju3sQ JXI7folyAMFjGKM+4qLm0toTMgAUlHdE82DJgC2Uy4WiQ/+ScugVIbiHgLt1odW9ykzFydYw7ELb IZzi+FB9BOx75lKVfzHrVyAD4KYRWXKql/jM+n4shiVw0+9cBIeVn1JvZSOZ6r8VUsiRnFzrxM5a v961iQ5oweskOIj6zGHzI/6XwXiMelNheikrmRzzle2UrapmZkULCzl5HPZVZ9e0Poy+WntX21QX T/NbFLFkymvtNm7Qi1Sa9XkOTmTzAwkcbabv2HFCwPoagrqpv9brfjuwyYwD9MQJtJAap1zUI2c/ J34EOFtZnJqEcyEHKaxJR8F1KyK8OE7xnb1Jq7vHYgMjzQBcKYn+7Bbw22eD1ho2SdLX+s85kF2Y 8d+6HVdLKPyqRwFzferBYGOyPyNnBDrckOwlMxKBfpX8q36hv4oZ8HtbNKc9OnAlplrbvHUcWQfO UGSaXJG7jGWw5kkusiJLPpqg2LYpm2P4FmBWu2AEUMacgkReUMfimerjDrMgwkwujljSLhlcm55p RLEfRspfsXhOXCyJpOn+INmHWje1gWX2nrvpo9QNgHZrm+e+Wl9ahc/146xHA1nY4nPqpEwt4p90 4rLQ2w15+kcwV+SUyST8U+bAdoEolwiHWkDhTtgKmGb+R5Z2dSYSu/PC+TB9wN/WBwVYunEfd8Sy tfi2sw0DWPROp0GuEmbrLHq94SGtuZoWEIWQAzFTwBr7xB2lW5S/q9XxmOTkeJijn49I00/5v2M7 VSOivJJjK3xQ2hqm6p24D3ES7l07zrdj2w7D6My1MyPFNfdYCli+5cZVDzgdsgM+52AX/Hfi3Vp4 I7nhDO69/OL8MB63dltyGiNDYtO2ge185vWvPvUSS2eFAfE6WlPSSrdb0N9Q998Ln+g+muCYArmb PhuynU4JZwtHPaqxqMAu6L+fvS//fH5oPTZdBGXCQEqtAlG7kb4JQTDI7igYhjhGboKd2kCVCxIZ SneyRwcIX4HDAZr2dGbiJRcCPTUHH+sK+froGlWrCNCAVfNzN3i4XiTYG4mo73oOmePTe6pt+hRa uPliabva+7VpO/PpwMKXB1uFnDViVh46OSWAo7TZG0tJu7yj1gkHzD24nVbqis1CEENEBoWv6vKO 6uzLwp8R9GZsAOPKkanovHAqiPJwF3+YIk26ea9bviwb4dD+kgW64Tw6pWFAriR03wzMdoSualM+ jWZosu38Wnb5SDkx5Qq+l8ksX31bgzYVaxRtbX8hSY9qu/V0VidY/XjPARvE79kIRD3YXeFVYhHs 45SXhnX1pXzSQC3SjlNQeAzrvzV8zsoJK4ZqSxPytM3sIHK272tkfb8sxK8MKIM0+1jXKGa3B82i K3bfot+mOC1v1wC/CdslBeVTy82RonHqas7ivxbtNyHF33CPg1JzOeFnj8IHnJKR33+VPWQ5Ot5U Y3yU0brQyiZpWtYrinFHKpEh3M+hx9ENUhT8pqoXbrpKjZGXLaTWMFzZhd7k6YkEKKH/mc7Bnut8 QTE3smMLnm9tPQ7Netklfdizc/DaOJpFs+MOQtXa5Oa08B5o7z1uOC5MlV0iOSKGzmUK7JeahGHs VMVpJeBqMQir4JIGlp0MA59rtGvmFmu/k2OhWGpB/g05Q7gLyfBaTsfkGct3i05n06zQhbOTi68v +2Xlcv/2X0sIcL/CRG6zaimfp8C0aVoLw1LLnsX4YpwUwArApK9tMpOP0Un88PoTws33Cdm5jChI hAoi0vy1RygTFvB0cXX/2odricWuZNuGn7K/vyFxEKkkHSIPiueexY584j5VkjnVpRTioS/xAUfe QqCMGQOJ6+3apyqf+ZT7KcqGT+bqVNs45Jj7MqfY5dcWVKZkEcR4IO1zTekOwmg24em6dVRECpRk kNbrEkMhBuU6rKGmdsqq8WmWY6jjnUTv8tUVaYhVbu/JUFJE3xjqEAWSgfF+JXonHRm/4i3RVFP0 bPgNvFpv/nWMqfqKpZh1/ZNl7R6Dz6kp+ZTiO8RJ5UKRSdGOdjom/RjYDy/sHIQALFZV3X/yuXfw GgsPI5ySK2Ag4WxWV65jstD4wnmuBNq35Tu6D2ugX6yQ/WwDfYODuygHPG0ifYCbolSCklnXtT80 e0bGhcKJtTvX6cFmRXtJSNWDUKli0Ex937GfbxapiHRAcCAwsY/ggFrIgJm/x1d8F2Jq+Kj6jX1m 6ff/lbvG0dgxNyrYDCfgA5go+194uLuGqZarzMJU7nvgBU8Xk9phUBS2XY9oH7jcRXtEbn895ipu 0kGlqfGDZvyYi6+/uDFvnRsveVWfTg6iu/fPTzYHmhvcSiw8wK3peFxUyMdfcyifRLvQcUXfstYO h2vn5UcHGUzNUVYWB1rADZ8tRXVIKepjVOoqr5Oji0Aez3n8r45tZ8i4NkpdGYc1lYopJep0cgai 96zRTOKMsoL1Vjs4f4jk8T1SlmIULpgtHt9zUcAMZBOSaiSs2usTV8GGOJRDP6oaLebaHBZmmrF0 DKnwPJERzNihJPs1oxPFbQUCxg1fRsr58buw14lZtxiIrMfR5q6pHH32aZtL7N/Q56SqWs6kYMrZ p33RlFO9gQxz4pWy+3Q5LKQocLrV4Gr2gdjCxKwht91IsvsL1m1xHGsqAEnvi2YG8gAYGOvv2ssG ZFyJWL/wOPQpaT7fqopfk+vJOM8LOxpfwaLU4Qv0UhOPsXTINoY1s0Mi6l7aAKuKn79wcNncul+R iNBN3Y8mbj2Uzx5rWuv7+amLWn0eR/H3jXCgwfbkdbo87mEAGkY1nh8zij2Xl/KOP6NbP3jXZAvS PEEWyExNhk/EhIFPdsZI6ms8hPBt91asPfl0FPdI6WAqjjiehopcvryk4Ww8y+GfyCugKSxslYRT GCruTEmFsYeAx5j6Cvs1L14l5qDvwXonMPl2pO3Rz51T3vfEf2rPWcLQw6MNMv6vegijqUURln17 OL1PPE8nyIX/dyKpZZsyyeFU/BSavpgMUYp6798ry0aMSiS9vX0lnF0MhJ1WhFFp8HTu/tBGx/s3 hH3sL/kP9BKLLZuahWHSZqN12r7HoYzJJ4CSWunaWFqAtrdSaOY7s/8Y1/oAJibb5HTa1DGKnLdd /S5bXed/4q2AMr/2tT5/b072raMiUdzOv41AnNZoW+Fr433VqKcJEMMargCUptj1zKhvh/pbmrCO ByY1Q+QntSTozuk0ApnTO2kJc0ASBlEaBZ4qc2LJq6DCXiaRmLz67frvos/agxb6KzHH5LR3pdv7 3la+mHcHPDTsRcwI+jL/MYZn77LHDrMVxJtPK1rr5Sv11oN6Z5h7Pgnj2xuNyPB13yPV3t/QIgUt mWy8aloC/2dN71zXnf0EUsJwnP9gdN15qN8zhayqU9eu2cYDsqfaUTXoIGjdyHZsoznOUUsheyAo xLt8C9cso3mdQEytVF+AeqR4l3yLVPs55Rq2OAWeroJWd9BjkLFErSGGK3P2Tod9oqWLhpzf8spc HvuAaLvw0m2QrRkAY4tySEzHF3DS3uxCoZpHmIZ22X6bXB02cd2Yp7w1JkRzidefB0dt2kVGK7nx V7fqwt0J6REXgykHBtZKp6UhWJLG/DtbkX4yQJFDjklq4pQiio0Ezrs9IbVGastOu7/Ct7Vdqp5M 0HDzu6T9ImWw+CXClX3iWvZ5oPE2bU9RMcrhHs8SqBfeXK8zAVO9bLXXXpwJj/wiKPaUMU2ZU+eU SfCTh3rv6tmN9mvc0iiv/g71brIRj5gCxi1ZGtprw6Ii1rqJpVcsmr7R1jbDAAqzMk6FqOvmo01r W5dLAAyzQUQdZh8UMF32LH5CUvYt4ELeZ9wZKpW6+IrmtEQxd99Tvsp716rtBBgZRbsELUVBdIdp lM+m/Mb85s6yhs8ofVmC6Dj0UIV4YNFyMQb0uX58gUU7CXaD/PGLWeluTcbIHhq44EBTWimKcfO1 AB/+kuDWUGE7xjYKOSI+XglRlmD8pVgWq9C0AT6oLjAWIJ7if8nnFrkPAg5r0S06Syf5jV3Mu7Dl 3T2uHlJM5sDif31hf/Nu89R/imeKdy7parBauL9/RFye0I8tPsmasIuwa/FJZNkPbSwdYmPlbr7N d3/gm6lbn1Po6G/jGm00mbpc96KxGKXG+pphZBBj2A0l5wlDetd+qhjBgOJqiD0TBKc/YfROD9Ym ChAjgXhSz2a7sRTyTA5GySmMQD+lJaZ1ktKrtPNkK4AbYLZqS6VgvMGLT5yaALlPiCv1RhE5rzHc cF4paaiHL7MzcJrNi0r0hAo+e7YSGaUvrQYcDDJ07MLiDqfbdPWVlZdxj/WEaXt8CemAX35CSiRo voBow/RRiWsHUj1qs67PRhZlA0YXP9KGKQPq6I1AfZ589k39SJKHEeQ1pxpg+Dw448MGUcVMAaGh 6asALwVxKwAcyETDiSOvcV7O09kKU0USz/a23GgByHgSfDAetUCf0RySavjjFoDR883wpCL3VFbx V1yhTWFbp8Oa6djShMRSTSUWR+1rV6ZuwMFItycSBj5kg1ZxMYZGZEWCbtEYoP0PuWCLdiqAsnr5 23pqxp44+pidx2NfWcZbbPb8Mx6CYVYh12MBFini2XMU2eTiAUvARewegv8hpclQEKhM8fWvRvGY uq/u49GTT6Z1pJbPmYeS8EXkR6jw2IdhuILWlnU07S6e3Om9wml+isW3jU9Oicql79yACIu0xzyj 0s2Gl5f/Lh68+oe/bfeb3g3/PC9s8BRsTq2Zlp7FUwQrRDcvVKhVTZcSspHKGt3DxOE6dS3ZCX1c W6UhP3y1yi/V/qUi+O0LLv7X5LO9iBwLuk4/qTkiMIiXS8YYm0qXGoy55i09qQWrnMXtEVGqC8jY dVm6weM34H8zC8bfSsRcSCon4as3gi9BNIEDpkMsrvAJTerGmihjorsaFPLLfijqeGQsAyeYpZY0 MmCfV0RxNJPZxCd2a8Q84ELZpXH6YhlN3aiijkGeZNq4tKCT2acGiGBPPYiMV49+4UH5pNiKwV2+ y0qp49ITi7efl/pFbpkmuCHlWiUlqt7raZZ9erv6bl8aiPoD4igPQ6CoUksT5QRCmvmSVnKh+8q1 wl0NYceuUpIaAHX2X+gp/bySopej9q3SBsrXF54araMk2g/rwrWsnL5t5747Cm2zDSa4kdBm30xD suv4TLHaoPGP8jpbiIAnopqQiQZGDP+1xhaIuYBjCzj8OtMtvQ66TE54w7NSxyvB9+yclXaJnIAN tsNyYmJjH/1g1xu1birA29oKcI/IB+dKiLwUfaEPjtKlhprsTcN4vkLr2t1g1X6N50218q8L4eFY GwMzEdSTVVU3MH2rjcq3MlIFlMHFxqwJRQ+CQukraspxAF8XYhDN+sZwuUjmkR1CI36+6frQxKJv PZ0XKO9C9C/vF1QmRSG/M3h8C9x4XZvm7yJu8nl/56RpMuUVJFFOK9NZ6AB/BoqjguVgU/YtXJrb RAJIKTpmka4S5vqLqdgccAPVk0H+8GOjav+atANSOY4rzHBxxhyIEh/Wm66rQFqhZ2nInhebY4Jy ayQbeu5Q8xkJlBmqQN97vR8R9K0BgTTZTCvO726OiQaPJAhGSIDbahTI8tf4rFkJDV0FvC/5pZIr +eX4h/TNhtfNvw1V7FJYyfyaoTs8ZKpOqcMhXAMobJp21D/4lfsMZ19mLn+IpU0CEVACiQRRsH2O 17RAu8PweDW0p7y3jdAa5mkDcXgekDKs1HT5Iezyk+E3CwGwFIfKaBw3XAfwcxzFt9F8e3ZmOrDB MOxq99PH/Ulm1GvXcRu/470nU8JtrwRQS2vstAxSKzjuXlMV8r308IAdVQSe2U1UizGORZIaVAV6 JaQTMA7oXfGeIhqibqW7fE5xAaDIUeGGIDAGyIf/Y+gdHay2d/GdLjASDYNUTMByul1hJeFAiCEw Glk2J1wlx4QBdwjiJ2fztcUBRbs5xIO5Zr/vQzOhRh0AQVC1VBmTxHViwaG15N2qChTBouOCCtYA 4/nVN9F/CBzw+eAwhCKIvkH5WJDeXm4pbKAHGKTsfN175RHbiFbuZ7MzmZu45FxAeFWquRKQWAXM H0bcLgG/Io9Q0s3J6BSAtPlp/YAs1nzAUKrXZZDWSCqs+byzshDh2HmctHstHuiaODBtTRfpYBXF HuAGxeIG5HRR73VZC+LYJTXPvxbHPI/O2dVgsHqu0AWrKUytZdVN8Q+lht1jSYHX/UNQhE4hfM/4 +NsTiT6h/xYuUrFsH22hzpPq0XGYnIDkZoWqCvI7KEDe2YlZy/GEk3fvV4Lly7oh/TYWyELgXhSk Eo07HdWAt8Q+DyrzBGi8c14QSUUO+ZxToeouKf+RCGWuBIJrr+X6TPhU5iw9uKhcA+SWOa9ryWxX ZXQcStT06Fxk2Yer7uXUyW6bZfJ3ViiBn3kU/DVIBACRyfENcA40pfNMdUh34qTNYxsf4KgufNKe BSe9dx/gwqqM/XTzOoFcxhJ6CHXrg5Z1SEshGtjxVkbhXwc9bI87J6IHY9g9vNm5+dhC3fhyWFt9 nhQcNyFkJqkwVZVyENK4JJ+KAe8lb8FcCxrCPM3b9BK8cuwqc85argNb6VIMgQOuMQ3T7QJ65lsF iMA+vxUj/X22cfXfZZfWROyLWXc0JlnrWnE55NZLAI7LPSsz99g5umheK2sqOTfxdj0OJti+D5jn bE0IMK7it/XbsGcMX3yopfysgQzT7BnL+MStLRUj0CTiZO6rZs0EaiJcMusMv3dF47uG0oaMzdyP cNyM/QFVLbGrlD+ccv6A+ZF1+JFw7TKH7cPPvslFLlg0Bb0smHcASrcVAJKYl0lsVyO7TZP1ogoO VBlq90DHfVErLhO89lauaZa/VS84JKY4UxYgnZOWSR7Xv0W2C9XfWG4bGU+No+mK3yF8pO35072G eCJNfylA6wO80t10570ITAkGJUb7QW/8ioG7QUBI5EfByqOKmhwk+fkhSOXKi72QHTPsRV32Hpyt RlhMYGVpo8MrHrlmJaGMDic+WXjNp0L6hCoYKQ9agBo+VGrOt/dhK+IHMHHS2eiRP/9cUPjaNiME KHFeiWdgW+Z2UwH57uUnFqFicvqN5SbyCYMCJDO9obrcdB3Gvk61qsJ7czZgdNCz70S5vgMW+k1i TLG5FwgaPy7OGbz9iuIIK9sdxzbzbvrwCqTaonpxeZl6NukcthseSYAZ20NDninE+NfEvjquiLqq mTbzBHBUZB0xPOgqgrAFm0O5IhPz7QoZoEFlGoHC+ZiRFHyaBsRFGBHSul4nub/ro8ocRMkBKK1K 9rIE/FQOerv0Ao4uicjDggqJLnexONWl1uG+5xDPaJDAUHW9YE0O2PYUj8+NashrYQup3otAVQU8 SXn4dXU3Q3b7EtZe2rDfePbSujVifUAcT3I8QW3cy5xxFW6AjmHJdjdgp6lY29kWebU8bHm0zCP1 xbTLUc/dg/r6NhC7DsPmBzGqs0lwwK9BnitkRAfe0ASXVqVowEVe/s/toMeNaSZFx/QsZloY9hd6 tG+5boy/ye7oTKcdEUO9rhPbCrKDcP/22R/BtD8HBCiWyE5hkcvPds6qZcgllFNJfs6EtrXmmL9y esSzCsAxW6SfQTzd6WDCfl3+xZsqWaIKVnvaZDpXlHdMaTMA9XvPyLjgKXoIN6i+NE8TDNIjhZPz BOF9ugBJeVgOIPWBK7Y/oEzBnnChCnBek0ggbFagDiA5qZ9iD61z2m6ux2W7TD/IYs9tKswTldVb zu20Pc+DUsWM8IOWRShVDNLhGPZ5mGG4nt1uu83JM4H98+hpo4IkOLho72RymF1OgpfdrHgGVAjJ yLZjNcjgsqhMYdZqh11/UJCItCc/FcSHcwfDWRixNJVyXHOd9zlpahnsMvHSzaSfXptkkenJ7Dlp rjtghcuhEHJPjk4icomCiWhk+HbcexM8drLNg636IejUbXyA/JMl6qDf4aoIIDLx4LtfLkTXdNyw UTFqYobpPYVbq1LD+P9navXZKE61dGlBH/oAkrhB+n6ibJREU44y0qvwSOwix74kvg2gk346IxbG kdENZ3ygYeP0YLlbPFeAsA4vFJR9CT75L+f6A0SlU88lUNpPyr3rRNM4EN6iPXGA7pGxvm0tSPa+ MUSYCVT9DRR0HPXH3p23C47j0hX7mnR2pxvPt6OyJeSkgPn4eXQbdR/v6vHQ9bk1nPPSdukBxBDo REYh8uuuCWKmzWGiYjIpeyFfb4ZeylIuyTVskv+iNp49QU+wXqUOyNHGQ5wvCTP2kXwIPkTTy0hX rDtN7nsVbD3EaQaGqdtkt7M62ENbHiIClEACwVll6RiPkiNoWCEGZ0UvxEWj8jbMZZGpwUZPCQ/c DZRiGKuNkQrCh5QIRDNxvu1LKtrWaJNh7L+4P7OEEc9vQ6QznkLGHK5Ot6+FjkkTZOLyii03wmOS AwShxDtOfPtDSJZVaa34FssoeTlGj+0zXICRgRTbJkF/AJ6RXegmao2JeWuAXXPbPtNVW2H5bgnE ge0nH7rMA8MSkOg7M0XH6Vz+KB+BKbEDGbLtC6Bi8yVBo3zslAbpXhlISwA3dPZvslFdfjZS8TX4 3TdYL+Yp4W5Kb+lqrUFBgFysf8XuMZfaTMvhK9lGVivFhojdYUIoykVSiYabiI8gU2guMUiz5EJJ ZAJd1q0t/dJFJ8/XvW7jbKBt1wlIXfBstl+5SJlJYuvIQtHL6r4W3eDeuJO17PLpZ0rkHcOBPCNu fJ9DnAvxADJvxqJZLO7+ICCZXOOhy6xEQFubKyH+ds6HGWtGxdR5xF/IBRxB791bR0iibLc3+Fdy IsUsCaOkRtu6xky1m+U7l4mlnfxtbR6Dl8prrQzvgUBGRQGvGZBxb6vKTFQaCah9DPQICUmvKlEY UON7jlfCpwjD7lLQ8KyQAAItR5ltwn1tcuPqZp+d7OHMycVA/0cPO36OmmrvZEN9zF7sB5XMQSXe 1xzf5XGGiUtgXBonq1xzO1v5fWGSFFF7YxjpwSutpt+cj4NxZKB0M/o9TehMj3CWE0zZ+JCeIBQH rPQQgZw7yrV5gk9f6MwRAPXe1p+MERGrXFWAry2XJ3SCQZWO1DDIAIEZyMqc3PHS8tRbCc2X5j6u x+UtTmBP/7vYgyan2vmwLMycsm24+jDlTX20gbiHyfKKlAAvlYlhfK83u7rMkfTWRdpWsVMZBwY1 OA3NsFFRllNx0I2aGXgq+MfTCFMZVvyIBpGDMDr2o/cyr1bqHqsdA2o1Bm7qTdsxzcHNQAUrQNNM 5fVcrvGYAUOjh3GSY26JUApRCTE9AAx2qjeRjYiUMerDKm4fD9kRdmX3nbqB6V/dyL7cakXL03QG O/ECwiWB55FcfPq5CKzxqY1J+yY+FzOr7noOf1OIHpBV6xa8727sisOk6BBBzre1KQiJ3+kN0i5I P8W/m0np2XDaM3S6VjYQCF/QHHzb7jZtl7wmoMBhANwY9Epi8V+EP2+YdBmTPyPtucLL0NW42tp2 yxNLKaTHfukT9DD91mt7XV2qPFM2tJ75r3M+f4taqgX7WvyTZ9gCp/ZcanRH6cUlSIilOM/fgFcI cWM/FJwCFX/WmwCaftjDNSwneCRqsLl+x/XefC7Pd9axog33JKeeSj+B+xjwWzjG8vZzgxeRe/CT ShBpFv6cp9gXYbdoepOOE0Yu2rL82F3XHggWPzyvb/NClwqEmbohqQ5Z6Or/Nbo0EzrpqCy1rJ0e z2v3Ni76vwg9tpl3qGF+TkQL40fHM0H15jenXGNCKG0SgVIBV63YVCOpQ6SdYhMTLlJkdbz4lBf+ RKIzH9kpJroRWgGD1/5H3BkBtbos1fm4PZ8YsWnoN/sTVLpALgZ42jwOzFVzbHq85RktnzxLGPLW lXD+gVJKk+misTL2bvwYJJj/qFeVKEBaKtKNw5WYFkuA0rm75+KdtAK/XFymwme5bbEyN3nOzIC0 koQMKpB88dwhik5BoM46QFjjq3lQRzPkxiEuKRveENU/OeIrxv24YPcZEquXT3JGrcca40mgl3t0 s2B/k6nVZ+PqGLQ7nkGQU8Habo8s9s9zgS/SIllaBVn/IpRhIod3PNkZeHBQZmIJpCpGN8+R74bD t7KdJZ9iJ1itu4XlTEZg8MRd7rBSp5wj45rl/Tb+eGi7YrovknXVIjgBPn+88+8wUaBXn5HJARsB fM+yq8smxt9ELTvnaGIoAxv6T1tX/2oo+n6FtO3tTdNP45exAjGQYHSVCqoQVQ1oI0dwHo+Wg75B VIqlwUjZ8a/2/vRl6DaZWh/X/e4wMVCCWzdayYu50M1k3CxV6PurUkNJzmlCKtJW9htmLuu5Tjem yjOCzVCfrSLOLLciMNW0nzYdrpqdb8mzyq10WF3ay2PkTcuWy7xG0Tv/SH40cn/Gpju2DKASrLOS FG2Y+JW5wqrsr9Nc4Ou13v7qmAHepFnGwb1RI5etl7xqReXsvigDsvJagOKaFNUZkKAq3zGUR4Fx D8begCtsHQklNudwnXiJWeEJjPBQ1BjXeXVQRHRKg7wrkxCgEVE5/mLHt/cDYazJADE6+16TXozs jl0Vr/U80JUc9K5sX+3St9Tncs2uZfzYm4g/+W6jaoBWajkKFDTLkqiGpbWSHyRS2fiEMJg2OVan 32wHKNbb5n/4UcFsTYHLFsMJq2ueJTAj4S0kr78dnDsFPB2nkeYFLRoH3ocTlEkvetA7D3hWrjzB Vot7oxQd5ZfBO+mC1EVTnVa4qItnwEktOznT6WOR/VuTOCji2/1ILZUXvixJrGpcAmYplitwtMRX IfndSwbf+qemEHDw9oC7VVRssfNlJdT3SDD9FsdKDthnrFuqwS0dvoRHyaSbINGgesV9LZ+R9EOV BswS0NpZCWU6Zy2hECFc+NOosdKQe9XdW+4zNvYzxpHOZkfnGGqCf1QlkIAgQXj1/EQ9Dz1JauuW qhwD+L0MiMsp+TDITbBI6N6qy1C069/+f4CSGvnxgkB47Z4bDoHZdUtVHIQ00DS6K0MYBO8syVEo k3tNQR1pSsJEyNSCyx6dAC8TJnWUBbg7HU6qbb2xwN3RDSr0vTx+JMLk2D8rc1MpS/ITIMSCaqgb RzD55kifsj9sDoB+plMBP799vAn5jyL9QyRonSZDtfsdgtW2cGRQ10AWZ80PdDfpmCYUuzDbO/e/ bNgIiS5HPS9pR6wLuZV/tS/ne6dG79DByHSTVDpuuP17stODCRnouzC9iHMPR0zdcrbBDAY+2ZzP YOPXrCHqAXHtPYMW6O9mRoFKaiCIQoDzWISD+hChFsXtr1uaxp7QwXwgEYnA2ncNkgoOJKpxvvrR jiU0pF7b6nIx5O+WLhk2vqMbAn/h1N0LyZQnYuFFc7NtY4hZpty9Y+LRAdiK1eb1ZCGVY1rSrxs3 8ke+28cOE/hw4XXrwwFcDKmOFsRlpts0VXJQvsZ8QxGQbUdfOTyn0G5MeEObYmwPtMgR2cIgk+yR /lnZf8QBFcabEENnsRnbOgc4qgtnzHOCc81BxcQQdJOx4mAEwMGmL2CpYc2WNZOfe0T3gcJ9Cv6e jEz9N4+vec3C5oncPfkEGzbb7aHES2GGxUekOMw8MWF4qIUJCogodczlm2FvtNKVH5MUSxBFVQFb dP1Jlb82ESWhMecIHwxvGy/NR6/f71M8pzWG4RXZeod0ncmRemWvseBlOq63gYmNN09nGJpaIMAN 8+sd/4nYLa4P8BMn3s/ca8Jxp7AJfGGbV2VqwrovIoOLVY4YXL1UBy7cjsfcxUMI2J+qrFGWqmAr smFGHpavMKC5+Ra92qxD4ZYJOKrxV9SF24nPWUtIz9RIrjRTqQvkRUozYtAFY/ARBhr5+5ztnJ+C TTOcoYPPOM5JpU41VKaPH2R2Y0Gy0gVMrAzrwE51IzTlu/RoTdcg7KyUnmPejJYRHcNXNzK485o5 HZiBKm9C4i8M+OxqC8teq1G4qnNfZIL33pNl270er9a5ZUdWBkEwKpAN+mjPb5Mk5cwXqpESVdPq mgusqw1a6YS1HpAy7Qns7xChdHOpowjHqopVoqBmfoQSuo3Kx2lIW/srJMz4gC1L5huQ3cl+7AQX 11NL2JrLoulcagv4oW1fyM4u5jN0o8gbFb5pDVIPgpArIV2D944NJxqcDe1VmcKfxRxGa/NB+olH lSTcHIsPV79MieHlbvOXYqjCeoUPHOvoTHMIfHKRV8wJ+Axu0zRRfXG9EX1TbB91Duqw+nxEiUEu pBMI7EonAi7dHUs3aAE1SZrRWtpy13goXCz/GjGtbSS3HqqDf9h1/nY8kxzLVBGZqbFkkNPrjMg4 mBWu7ByKe+5zLne+7Czjksq/j9kSC99HP4m6vvfg+Y04d/liDM8BSNg6NnbxQNZCsl9ebOxQI7mL Nte/ohp2S6fa6hmUNj5elwUfxZHdY+R2UUII9ONkgYP81Q7//0SJbnb5WzHqjJiJCvfuqTfs+qqy x25qxK8puzYF3cAFrxpy3hEuuOT6U0rZRHCexctKkSTRaRcU+LAdAnG0aH4jkpk39dJ/LY7VsXIV D4fTGCnBBQT4ynYWPdKL8usMf25VRXyHzYm6s9SF1l1xj5Z16U7P1Glij4aJQjikgTdtqOMwIqu6 4gnc7a0N0QyDs7hKQvJVJI9jDXg0TF3pqeicSo1YV0/DyFclHK/zqJ+afBivhmKK9PFFLrl05o1T BezgbMoPWkdoVg1vVWJXgbiRHJ6OQvT7pZt/qnsS2dej6lBcgQU2qKZ4s3m+IUolwcZbY7SMND3Z iG7lz/zmpoTnAWBWU9HrF+HMAxiq3LcVWS07M9KVzNbv1zCmFHR4XV67QsbGza3+pJspcnkLXQBK Za7XZAabIsZFzklVMJkAPLHvm2FX9iMWznsDQ3j+CSq6LIdjXPHahhjnhKy6m5ldfmrLD4OIO9tQ Vf5ZY7ZZZ0q3gQRkt4yDv/X+NhjzuYtjx8TbyTTkvA/cBVY0ylZXa+GMXuHoNNWl02V9jKCXWpUH J8ALMJxILXxhYlqDKB8J8js05RvxVhI6IUtsvGaRSocp2CNU7k0Q3iHdBDsWcYxJMEWjXs6rW+DO KOLkEUlZIZJ7fqi8BaPzfJg+VcaatmjXk++rtHkoNvjYEYeUciKEMbicvwblkMb6Zyjgf/y7BTB9 mf2cD4tA1khwK8GqSauzgBPs0HdFtUDpucdEXs5R0x/BLh89EVdsVEN4Xmq3HLfYzSbGObFmzdsh lWWtNP6cdR1Hqll+lY2qMrSj3v6ay3SZdDg7liKe2as6x+Yc8fSVYzGnkiTkrwfXlTaOx1EhWISB cRLBJ9XMP5OkMHpaKoUZ80nQ9Pl+DwYyJ0BajL6kcv4mHh3JvvG7C1ZN3gDwdPXJFdmMNi5wIDcn aOnAwH+2+Qz+2cRmI7imrizhTqFQF+HcLE/oPn28jaGs8jfDjJo1QyjNeeJcR41Y/rqSTy2+s2Lu KzAeoyCIX71Howbgv8RiigGKVzJzNbG1Hrt7W5jdy+jDLvkHO1ez63suCI5jyYC4746iPE+saGW1 c6KFW85Di51h6KALP1wNxEJXDmvbbwcEy3r2RFGaS94wfH0OiOtcJTo/bK3MMhXiBmr0IsxXPmXi NYcemCzjiYy7QgHx6NJ6iWuBW+4xJcT2UUDchgtON0/5Wrq6kxhOnobPuLmFuL7smnlL5pwjA+zm /nBV4MFe5bQDHuvfr5jcJJ03pUUEBXcGtv13kKFWyeK6XbcnqGPauL71RUBfLsrFNODvSAyEMdXv 5HV3DByzUp5NQse/K3Zu6Qq4HjSckfjk2xoTzFMLXj6dxCCaWsrw8KhQ9u8ZYgv93NbqKKn3zt40 1duAwSWE/yyahXMM7zTO2AlHlqqH/orhVoXE03cLAA7kH9E1DIEQfTd90b5ptjrwy674Sdm/pZn5 4mJskONWdoZDkkxXdXY/fM5X34LaJ8p9pGuyJSZXwLkMZ5flEWYZAQZotV+ce0yQVnBpYWep0q7c IfDcoL1wu3RxKCARTliLBRXDiVg6PX7RVPyjUpqHaoqjq9bO4OrrSg9+lljayUaxwxGi2Hjpd3dP F4XfzFr1+HfvOv6D905EdiUSPR/vkp9BbvPuH5rD3s8ds7wYxARbOwImHUOA5DkoqqQcQXQ0B3ij OAfJHuv+Dy5vdTdH4WI9g8ZWDaLjPvr6atNIZwH4JRqQ+LgxKY/8S3Qpj9vdPcagdZ0MaDA1prBq Kk77KjyM6IQmOKsOlG1eFRakVYqFV9ioVPynQk05L1KnCYZNKnIYmydLYain6Xy17gpl5Z6hmrLX f5Gv3Lm87S/KuEMC1juldbnmupvfYCnQUEVHLcyMpM6qQ3NDvUnY7sIRu8FKYyO7TNsNBFcxs6np 2XrTFa8Uuow/RTRIp1ePmbgqYnldPcWdkRx6/fBNi5RaiAeE3JNyvhVjwRNZ1n6tLj14/MTW+mRY XWh7WlZQVsrDVw1Vb4vsQm5eX7DxGnS2Snf2PT8KdlW6s5tUt/5gcsU+/3MSjextUzARNI1cKr22 51OzwOosQ9W4Olflfv0gyQs185OUEdHab2fPpWYa9b+2yJ5/jyRatFoGr2VFECN1XjZt5Xm34UvT pK9HEN/kvedqBF8jsPmNe3SVrjDPqkwPIElVgmTFhdEfkGDPKJtjF2oWB18Rw2MPs5T2YnFQx4im zNeG3MvKUk3yTwKGPrC/EOGbDBjIKzvDVbu7MwFc6MfkLrk/E68AkxKArPGr0cfkPln0Pkx2RRFm EE23ppIBbO9cyrguuE5QB5M7Ul3znG9QIR0I1JtpWStijaETTHb75LmJlSmMJS3f1RATQ/cXfzFP dPwJW4T+g3fY7gub9JrI97SgxoBGrrEkYtPrA4a5FSJbUHm0/o04cA3QsHfr67wtvLts6SlX1z2N 269gX6rZdN87Hyf5dM+TaFnQebYwESgDRpuXiEcdC7SIZraeB/qvqinxtsSiDD8zh1Y6lcT9vuZq JhQ9NuUbupje8xpBPUKyUTgA+n0hmqnbQTVyNW+joRKZBXJdBiza4ux0wbH1R91znJkBzSpr4jgS 7x4bWaLtdbOv4tme7EZQjr32c/QMjpwhLkrVi4t2skVSYxoEC1uZfqq3Vtrkn0XCTWf1F5dcVSVU UhBqRYZnNQqKvt81igOLoN6GHairbwFX7qYe5JGJQ9pjOjj3rs/Ruj75Ww+O56D/wxoAq84BTnYF z8+L2O52mSBQGm7sUgxiPLM2BN+ZZXtM53fx2Q846xosY73fCfNjH4MlsHFgoI8kzLlQGw+vMp3s c0nJ1AudXycGwBvM9ettGPScgjJaWzJKQWz5/I0skNnmt2rWHjjk5wsDABHOpahVOhjbjxENvu5l HDF5nZa72/TWhaTY6Tw1RHdDytSen5z+SrYs3Ff29hAFQgEQUhxM/DicvQWq3KxaLA/x5bCUb4/i +R6rPNOoT8q/hEfkJTVFedUDzl9ZqfyWkWnb7U6j1yg5RJSDM+NCBfVrWvB0bmw8RcfkK8N2BFZm RGbSOKXo0i38zkk3nbfXiD/+HWLtt5yi/apz5uyKmwX1oQvq6nV/4+IvU7J/0Qbnv3Yc4kbVeJe1 CyJUf2VwWuaurbLK+6otp8CRzTRqWmZIfVqsev9T+EY0yPRSn/GUjLTolHXcrzcxK5A5NnwUkJ7q 8x6rRQlZjOMM1JHGDcr0qGcFqJQM/iLIiL8RMv36iP4H2X6L3eD9hJ2hbt7YPipzy9MBBFjsyaa2 7d2n6Zp6ZJbfTKwOF8uTUevgolKUmrQRtwltCpVUCX7JFavmRHYJQkdOM+hAMECrRYyinf/SnAoI uRX9MsxU/78NGbnRO6BV503HHENBpcZDtVSFORhS2feDIJLRZVbKwKskm3yjoJtD7B/iqM1Mxge0 9xBe6ov/+iSxj1/CLBAaQyI1n6FuC83nG4ljI2XFE4LTzoYRa9Wdizeeol2fIpocI1wvGLSlNwfi YzPaoFOWWtyGrZUD2OtLz2IR6YiUCX+8tnHE/IwHVXGSDTz8K2SxbBdobLHG4MFe1HHSHcsnS1Lh fZ8T4fQ7afVRhAvIugc1n3+71nfnOUtlB4hHvlIg1vKGEEO3YGwfbuFYS4qv/lBfIFj4F8fX/NAA NuS5mjot6ZFMMZ5V2P02T1zPxFyjgwYao+JbHS57jOtCiKiHnd6WDEm39bxRV8j5WuWgLdiSXsj2 SFQ44O85NuNbSWCUApiUvDNw1hpPsF8X1llcq24ki1VLsYVY33vVBCudUirCpdNpZ2SAukz1aLIZ ZGzw0kI44RT2xNvkF5TdApYFwHZT6jpr0WZQzeojSnhlFN6bV+102gjbNHlJg8MkFXdZQe1PvpRH vAtpYoUwudZbvf0JCIgZBw3tpZnw0w0yd18U53j7nBlk6TicjJg67LGq5Eq94HWmXn6NoLKuTqWD TB6zuY1KSdk34K4lE93xSIrhAuOpH6EPj/ZNlslsB3R1r5S2v9044dWP27ljV7GYzQD4LSBq3n/t ykIK7rWCLpEz3CWxG5rfE6F00y+S7nE19TSGtEv7hKMy8DGoo0gJuzd1TV/pVRnbJMUv/y31W7sk 0F9wJwW562o2cN70clsHvmyOh3zuDv3L9coosndVSgz0O9dqZq6hwgS1Yu5zdjS8xEb7AK+EQjJw LQsHIDm3/phRdzfm5hVGhdDaqRGP5EUFr5jjhPT5CFt6iuiNiGZtPB1UAs4O8pEEcW0xVlZezmNO GHceK5iJdaIveLktrfx/eBWB5zLU+DdQxuVFa26OXL3Prc4OWbpMzGtp9ay5otDz84TMaIqRkVkp zJCSNLA1Y+ioYXTQ4kckGSA01tsBes30nwng7VNfvUJ4TyUl1kfXYl24jRLj5HXUncHc6up20vV0 NFhO4JATaVo/tIPrXl5UG2vY8KCK8wFUwRpuLOsRRlJ7DH/U+cfMiesXTfJQsmZcuGBt8fKvtqZW JRnl4vwH/OYoPuhn8BqXYBnHYF8PHENlrldc70GaK3MPNBl3ILsjfNV+MDc+ThJ3Cf1+mi6s1/WK v0HmHGLcf8RF3++JayBuDM5zfqe9uEOyf4SvGcdPhBeRphWxj0jiqsaJwxjuSq/xA1g2DygoQ3ye JjuUHRgbpSZQsQU4jGDz4aGA2I+/mSVRe+RzF+hnJXaRfEEYnfP/fko1LFqK4vGSbTSlmwd8o+6v B7ySDjlqWY6Jae6JHYHDu0oiChPqjYY/71sSO6l7WXYBi+/tGHJ85EzzOloLKajlK5lWHXAehEX0 9RjoiGl+ksRgJp/zyDRcWtCz9eoc+F4cGm3u1ezdqolHKQ6tL3WYejHru+oY02dptAWQzDcn+/0+ YFA0PlTXq93lp/HAO23GaozlkamJCHuai6sDEE9us1iKuo7yHTnDnllrATeRVIaXA9xUAAElIkUZ NO7XaQzyhZ5r00vSwL+QXRCkRjbn06528C+Gq82Tppa/VJK0nz7tYVK8ICMxqlA/+TJRmYGKkozh Tff6frdQgzjYylIIQhkgMhnminRcNRorVqkJ1ws1Ui32a0wCPmT9nBpv5wMIM26b8ux7zMaK+J79 XB67Gd0daUrdhZeJsRF3RM2oy7Wk8zWfGdaNx4hwrTk9a6WuaqlBP8ZmfqgYlc1rw1rzjfx3DjQB gWp+G2UQDZGfhIqAay1RaLjz7WmPlDyqoU76qXvnel+YLoEeS+ISBu+2gtJPp/n0jjRbvpE59e6t qDcUezRIQBgSGzOs6sRrtunQL/3f6Xg4seP5xJli1aSvpJSlkTRnLghQqPd6g5srZjSEpDgb6znK AGDSR8CakpEavu8UhlHYnfsaCH1ajkrb8rJsVtgsmJK53dOX4lV9pO9ib6WMPmB2T8NJe3VtHvde S55EotUWusjN0xcL29vVuZi/JA5axkBAlaQq6qE5Vv2+0JMwn4QiaUwbnyMFKzMJqRHDfWwUsDOG 4Ej3cbnR8P+qJRfzEtOMfdIIK1/VqwPzVmWpmmgsLi+yA82oUix7/eqSlSpudoq2mTky8uhwhP4U 1Zm3zF6j7N9KIv529JZ3hgjSPZ3Q5620TZM1RrF+gLJhIdcnguUSNLnAY8RNb9rQgoeOrkfXBRRm CzyPMKoSZdRr5nmfVhTzcipYcXV94FR6QFM49PrwvW3ebAQBpyWjOL403U/vyS3uBBWh6Ma/wnwe CVItD7l+NfkjECJQYKCx0xkbuIgiras3seVn6IseQsXXOBLOZaLfjcnk8Mm1ilH1JGRH59NrDZ2M u8ZHUx3KBYTYyEYM7V7RqhA1Aa8RX7QuBt0Wzh2HAV2Prijtyhi6WfdYknYJjEMu/GAj9BFE6yEk bawQUNgvKCASsm7njELacHm0oNkvnh9r/9IRioAKDrxmMsPlzU4XFJRp47u08yyD6lBpGqzOh3l6 Nj8fXazuPFk4MjxaLm7i4eJGglqs/B+WFN37hOu6reSvkaDfsBzWSxTI9lP14nQ1zTzS1e0IaE8X +FzE2b88eCSgc8ds1JClDCJWz5n1UlRaQQnIU6CwVjPDx4kkLtKVYR0fCMcU0du5XEwjJAfIAssY glbuQd6ptLZ4nizeOYeTh5Q13Lf4jUiGpIZ55m4BDS1qlKYYHAoM8yTcg4uAs+sVWMAwrYYWXYIO a+mT7W6WfIurbWRfXZfNCI8zWoHu0OhYdYkjEoPtFkziBkKds5tBuowYdp9OmO7KRGJoQphxieA1 +2CL9jIqwpI+3IjigwPPlAr0pnNyXzmK6l8AyStK/AND6Jpi1GFl+vVtiQDjtwyXC48wQkCnZS5r pNJaHgnFWszaqH9zFKDTIxwjFyvqf5b6Cs50lbFR7ac8OZlhz7NC6ZDmpxUunmo5ycg7eIzEcbYG HZUZpwM7dXHnzAYuImtnm23QjILMwq3p2Lemyt5FBS7THVB8jigWZkLNt8SkM7aBBDRcJqZXTzFH ZFvabIyPZIJk5GRYOIGUmHHSzZVoQU0a3UV9Wk8yLPyHjsS8KXimly3sWN0fu0tZ2rlN3/sNe/l1 2Fu65pNoaBoE69z2bz7deby16CJINOwxuzNgsDjVPcJioIpzbALiZXO+hwuM+JD7QKC3jxDg7eRo s3uJFwQ5iTEIBIh5wdmYCDcFreF9VAErPngeyrfAM0O5mQytVclYAH5R5bGI3oD+gInbP02fcIaa 6kY3o6ZBjTBNA/K6SuL9wegcBfNYgEysdd8ZRSAbSrOK4Ov7PYKtoSfZxckdJ5TRBhonglLGG903 DDMnp1m/cU0nZUWwzWvcBdVgkZcW2pwVJ341bPKNwAvNPE4LaeB6fISByAfYPux6Oeu90RC6TH03 FLEz0UETujoTTiaCRaokaz6JJxEO+fPAa1HtYFowLW9saU5CaLdzQ3laryrGyUDQFzrrEPfH54S/ yGUpjK+UtoYaxuB82NfC98e3H+Skw9hlKvdkN9ky62qKojwlMJBL0g0Aj4HWXainEn+RNdZE4hCM vl9umqmzY6+K4iPtVfb2q7+ha5iHIHFrfEp/4I85AljD0M1bUeYTGjXtxQ3O2SZ9VXKTv/L7WWl3 wwIo5kMW3BNHppFkSsIJNGWZU0lG4kELQxFyhUP7rxc/KydnNY9vlIIwvp8Z0aMJ4KXHBTpdNUn1 6uAGaVppWRluOgpzcEFOQVuF8KXkHuCGqUsXATftreFX/logGv+KwiYrurFvb9ReWPYRUKJ7iNxs xtVDeHC8NGgnT85A4/Srur/zuXUBFh6whW49ry3+5pa9yyOjbtdazttyQwzAFBC+ZfzxKZvQPLBM 3ALDdZTwZA++vcnQjg8/RYuiFGvm3kCR0AXIkwr+xKVPPMSJa0F3FQl2wpDgkq/LS1uLKjkFZueh wAltXGu5DcdEwXOJuaPB8onAedi2k5D3uHv7HwckvzjR8pozmVF0jLs6mXUlCKef3iXBsObamAY4 +QISd2XOK2fJyUlWTUu4NxGy+3b1n/o3TZjK+3o/24VtmOTBzxjLcdL655k6JshJdm2t1FYiLz4x CTJtcN2vL8ZMlcpdoaSM+iv9qQPIXYmNiyI6kZr6OTXjCo64LK3Bs+8mM38jEQYX25F/MePylVvv lvZ/gmx63eS/aFUAaemFJUN7NmLEQLA0cLCDHkFQIQ+eCa2EKtLNqeqv/3x25EPVrzeZhGoOJQA0 1zBmgg1qbYYkOgV7GCuPgTTMiBNxG2OzckBeR7BBS8f6Ryuu3JMkLSEZcvSJl/E0yjlTHOv4aUXl a2QIqv/Em2dQLws8I4K+9PEsBGGYCYuzPNQMvxFTR66UztsDkG1MTj5q6M5PG60PLkFqLQpkTmiU A2kLjR+IRRtaifYCci6hxmN/dS/GMzKbB5jKpJRAjJ6b/VDo8iAjEnY3mFlamCDEud0hbH8Ih79q vFLECrjFZjZHWWV8bwEq3G5R5k0xMtThJ6yjc102AiuJuMIgmf75s4hgdvCaS1wqMmZO2Fe5tc3x Nr88EJDw5qBU91b6V5LCi6VBxl02nFioaHZacUyeFNIy4fG9xVtdNUBdPJ94evalpQJgU6gHxjKm OqO97OZPjEkal3WYGD+Pl98z6pTjnM6MPh+LODQFJXIo9r5kIR2seC6aGbdtJeeqUhtJGstx8Hgg 8+RNcTnn3dvUL+dx5gphJKoCF64x22Tj77GilfndJUngqfTWtXHZW+6vYGb8j/Fh3FL4jngS0PAl msBUV33ulsuc4qrs7WYTyISfOfkUqcJeR5AHB5e7Tf/RjtUqvmpSD4mlQFTWDFEbnKjP6v6NrCZm Qwxd0KsgxYcibEHGKnL7BrnR2N0otqRZwi0TS5e8ADGRH9/1pqEEAWMPu6ZVxpYBZob0UDA2ZiVT 3qLcja7WF3kizZu05Qmus9n4tMHzyQXaLtY5RKdH/MBikG3/knSNcQ4+7MXp8m9xNIB9iC2nzWP8 YcemvQnHYKg07UUwppjppp+t2eUF2MPlJyjiCEpp3mpn2nayWi4sRlOttzi8Z4Q+VinxCCFgpV0t Bkn+TTtgK64Ix83EHX7E1vQ3cD4Kk2zBQzEpF5qZZMUfqvr0kcknwxfUU4ObLpwEhO7Ku//MnD4+ 3GXK3ykhqPbFyoJ/1GSL8BpFaReseQYRoxYuF3+s6ZYWU9FzIqVIswx96x5YaLv8LHYtjMraxnuE S/bxXs/nE7oI6PMiz0ggAzAAUw9mCzL2Yp962OGvLgadQlel0O5erYqf/UGkkxXC0qvGwbjb87bv jd3ymgfycm5wlIuFVEK3pIlO0I6bjd0JCvn1pEqZSJyurRjfCjbCuLmAzPV6tREdS0aGBWTYIwQ0 EY1oxc7vM+AAk6kjw0BPlSzE+bx7ZCvdhMQ7Pom5OeYY4gp4i/fKm3mAQr4dIF33MEfc3euWxj1k A3uYBIirVsCuRilQcKLjHi/EgRpS04xRmjaA1Q6FSC25q6MmGzwQmpJUcCvAwecJslNX7e58rhxQ r5U2a0ZstPUhOGyu0xn89JFmQuPg6KZoWxxF807kBBHligfxUqyEOQJsPthihzVZYHw0c0poJyj1 +JsKbpmKm71Y8RztdXGVSDv3ntuiEz6tnfqRpIYLcB4yxiKzU8WwOmvOhtzwfq4hmkQ3XKQqGReo 5/kQSMSg3X9SxUrWDbVxPS2w05zqVKttsKsveqE9k5NnDNF9l2cs4dFn2AnK2YUQGCH1c7yuHpg0 5Tw2CdLJFoSv1p3j0S3HWrK6GynqYsQb6oQFmbdwmCFOhY38eg8HFw8xtfqYtcwRINrQIutQhUWP rKeW5fqJ+vv5+FcRcSmFWn2kZpfezNOF2pcbTybUnRzhPGs5k2WaMRy1C/fm3K16gHHStoV2JYO8 pEAcftoswS4EyJS+RSCDUB36XPUs0atzE1SS63U5iEbWHLonFPo1c2w7aZg4AvtqrrAzRPzSQce3 vQXS5sKQGURDWViw5Y+1YREGoWgeLeC6btkMI+YRZLIq4emRSnb8RU3jADrHDHgyvXHDyPKB0efO IKI8z5NxqUq2kL8g63ejV+B0nyu2ESCffI4Ra4+XJz6jMaC2BYvd3Z2WGpT9/aZFuePAwvtAEF/U ENT3PHebF8k3OR77gSw+BrtKV4J/jaEgX6AlXU0eGtOJv0WDdejTSxXYgOu3Mx3xkYy6Mgnkna1X nuKGzbQ4QfOXxaoSJKe1KG2qVwr9suC2O1AzBefpwNUBgkKws/vJtF6NjXo071DDdoJFwIhuUDkf e9LsTttoNUpcgE8gTwDm+fcJsBwuwdS53ZKKpssdUU7Q3Bea1OqhOkOH6euGFTxAelaSChJKgrFd /SGtUUx5HDGcterjHOVYTJVUKVvV29c+gvRlKQnAUaPfjG6tpgsPwP6eqOX1stJA4xTnVG0Cf+3B X+CDDOUdoRqbu88FFKC0tPqrDVBjjClMM5wBtsiUBGcevBtWGMDSMwnSGpxJ7zBpal9UvOuuOzQT voW2RFEzAcBEnFiBTCOBqBq7R6V86RskGUU3obXNkpaYqHvI1DUNJThgAuUdbQ1+FkUjBcXzT9gQ MficfTwZ7M884sRY4Os1CqlwGvM9j2r4S3TTfFfSSuiURbajyeabNaGDg+eGvr+vzlUkr76FklLQ qevVEeL0cQRSYl432IfoD6Mn6lNpe82AYPZmp1SxgpsY358nQUNcQGv8BjCfP23Rg0vRhLUvkSml 3evuj6ZsSM8iX2iBK6EVacB5maomTXklQSyWQrY7J4kvoMlaFQNy1KL7EZqtI5LXNnqPKAcpMnad EpDBi5/RQ93d5orOIVocFdN0q6fqHCvaSGxxkEK5tFTve4iQ87y2Z0u/wEp+1p02YRDe668mtj+3 fg2fOoKZ/9q0X0hSOtHiqLHC6YWuHFxOSnm7IK55GFJFQfEKswOIc1/j3HPcA7k3NmiuAWmFif8b TwDsTTR1FqOyY5d8PI0MBI0FyHoGHzia4+iiNRsLGU9jZwpj9FOtMw8pegzOKGG+vgJ8FLWmGEDQ z1jTQu+wJwr69DxLwISqoowfA45AI3Y1LYguRbS1YCId0tLf/Wg2TkZGuquNN5LkR595SiHXMdRa 591zE1DrWGN87B9BqNA0vSalECTgirYcwlXPVhUI+JENs5RYRm3fDlHxGw5I7tMYFI/JheD2moOm Z2AMqPaFx4sEldvhgKdoWiAMRIxR16EpvW+Shd+37N8ErBN+JcGneGNhvJFKhhMaR2YGc7SB8j8o r1Bia91JQrGEOqDkT2ST1rRNh77Y/seyQBWzSsSNs2Xpq/GdH0oYcgPN6qOtBE5gZAKjXOdH1Flt W5urRiBZlc755z7cfdkP9gf+92ioJ609iHIO7W106Eg311z2VegHS9AUJnov5dPbdhpm7LqMJI15 5MuHuaTJP1l1M9Zlq2eGUd5uMnYXgsGtZpA91S5mqZzqk46uGp5GO0lMu0pCl6TBMsaCJRxJvPF3 kbuopFTpGfWwCRKk1xouBw4yxNEitqsD6LwAzGA41fTcYuM0ymjTYGWYvs2x+7Xsov86P7CXxkXg qlcsaAS3L5OqLFWMRauecNYYFURGfV7Y3WC4j9LmZEmod1T238Tyu7+Rv/3smU4T9CVdPaDTtaRk JTcvbP/KWKI91UNkNqmliwygUjY5Ag2BhVIyP8bFGyxUYBly8BTQVBn9ijy7d6QhGmFvFyukyPxa ljUZJKte+8fwDf3frGLAaEC3f9bS1SmFabmeu6xC6cfDkijDDuUvIH5utoc8ToKGZqlf7rXbm03a /Ctltc3cpdAG6WKTnPUYJApfJDnMgu8tnIVPUrDpGbUGvXmBHVNJ9BljMN0Jno7cTEq6vG5ooXG+ x27FUIMWIEUA72kSUDQIEAJN9HSDD9qURtT2P1FJQml+upkK20zD83100hJFEdLm95c2HxEjmTrZ G18xjIE7PcdlIBNpnck8qI0g3I9PSqjM1C2MSbTlZOjv5eGahaOgXZRO7xAHD6e2yxv6O8nITHB/ eVrRVuAqJS0rcV9FvOFh7xGrvUBO/K4F7DmDJQdrCl8b3o+WxHwOac2dk4XcX0w8suKHp1PMUh+5 Bp2hYgNJ9ECo52Re1ZZnC0c377vmIJ6IlINOfY4p0cTH0TX83ZR7Ol6fW+9G4JpTx8T4Zwr4l6av OlNLRhRU0SlUkFAllZfd9rA1hq/SpK/a0xhfW6OfLM3HByvwlHUfir3n2CQR/xuMX1tan9cUfE3U TnRZK6dV3F0SqQQ4TgD3DauaCMgh5O3jwTJpqjR6igQ4Na9viAiUMRkUU5W98/HZP6qXtQ5ByyrE OslQ+IkkGsbswe0hoMxNpqhsn1FEi64UAIH/pLSLNzsu7As/7frns4N9Gibz2g/2n3UN3wotImUO S2TdJktT2HnZ+/RMjoLHS6FmhYUaumhlqF/EEXgBYzKcWyO/d4Odk8HeBv0ltbegpe+Y4P5hd1t/ lrIVl8SkJ2afbSBwmMJkxGZCbubbyKBzhyCdCB7WjBUfPDjU9AhRbEWIZ9rL0fhzs/1JPj4PqToY EhlTRn3nd8r5gZBoSJL37CdEtbpZEGOjRMkRwmEs3uiyF1H7g56XPUGGebZ84OZFObaXQJ1kxjwX 5u4d1Q2CAJhm8WW7QabqiLPHNyxWsGeZ64LwX1gQQHVmbbPOkyuLSGo2G+ZlDSECHz5Kw8EvqdlA 7QzmelmAz7s3mzOQhqrK8o5/XFhc3NUTDHJf7nXsUjkCOqZYvjQSfTJueUJtlIUGaT1lseywEPXE dhIMEZtEjdatUb9n8TRKcBjvogfTHcNej/vH7jo1sjz/VAqBIXWoiHIJfvQXDM1umD8q+lf60aiu ys/up9V1sil3IIsu0j+yTkATROJIR6Wohk2cKQlnfCGKoFSZKwlwVPOOxnhWtgEzuci0DH0TaGfu R0dLr7mcGfEx5CGY0K6Fbro+NVTJoDKSVXt9ZGGdxE9cUfkl75BQYe4cW2e82Elf1upCF1o6SmC3 9luKuD1feu24yVuQcVZFK/xfEQNDwjgSWeSHOjaxZdDND0L3ZkW8IoA/Acsgt/5SO4iyjg6zdB09 Tw1WoKWVgjJROvFSMEghGx5vI9+O45Ho4AkBD9pZG9aURV2asZiZyg5josMIolpIkJelyzBpLUEP RT57FkgLfFHMlPrM3oflXth1DZXhsb1RuVUVUHc= `protect end_protected
gpl-2.0
fdcdbdd2b38ac9ed0b99ce9738c0666d
0.954481
1.811532
false
false
false
false
amerryfellow/dlx
basics/comparator.vhd
1
677
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_arith.all; use WORK.constants.all; use WORK.alu_types.all; entity COMPARATOR is generic ( N : integer := numBit ); port ( ENABLE: in std_logic; I1, I2: in std_logic_vector(N-1 downto 0); O: out std_logic ); end COMPARATOR; architecture GREATER_THAN of COMPARATOR is begin if( signed(I1) > signed(I2) ) then OUTALU <= '1'; else OUTALU <= '0'; end if; end process; end GREATER_THAN; architecture LOWER_THAN of COMPARATOR is begin if( signed(I1) < signed(I2) ) then OUTALU <= '1'; else OUTALU <= '0'; end if; end process; end LOWER_THAN;
gpl-3.0
9997bac30d77e69d484d5dc50299c4b6
0.664697
2.59387
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/norm_and_round_dsp48e1_sgl.vhd
3
20,873
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block f6Oty/eDcaGLsYq7HUHaI50CR3KddeKCWngOPrYzxgssq5w1cJHPpguHxHGFdy9EIfCRoyTbcbsJ kzGkvWDMfw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block a03BS+f/GVrEwcrmiDY3uOfCIEA9kNUce+93LLKYgIl26Gpdil8/WWz92OplHD1bpM3jrixYXHbd BnEHPXgKM31RhVzuk/5zfTmy3nsu+VOf0JvjM2HHeNZ+jgbmWrZzt8xEvN100yexT3qCgLH3sVTa mOE4p/RZ+r3F8M7OokI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nbUiSMAPmx4Uta8/yPjtP7MHRMUGv9u7CIISzhQDrv/X8gHcnpa6/8ubhCkNOCj54n3b7Bn8UxBw +F7p1GRc4oCPtwT5LTsoeOsukmuqS930j2k768KDUIqcoGPiZzBIaNulEraYDQiC2kt+eRpRPxMo JQRN1ZPr9DnZM5uZxeQoQxd959BvgqoC7gQakDUcu/tLh4AGSNRqM19H0DdzEj8/k3/9oepcPo0I DJ54cZYsEJmPZHTsPMmu0U8sU+8XKnOZkHerSO3cg6Ic2LKtKM23HBft8jb6t5JpiqGR4UTN9aAV zrcmnFt8zpphWudQkN7uqB2eI0Is7l/qdNe4Xw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qvcDy9pMQR5dFHlKRINwzCU6wJ4e6PQXbV8+MnmLuLNhgau1fKZnkFgiRwpyf0UnKN1PEd+ix0Wc qmHHsPasKZF45LKqdY5LDM9mD1dWGBmaXOk6fsImJSTvf/EHa6SN6Cdzv2VDXj1RTDAt0Nhgm7VD vceZGP0d8idcHL1sHo4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ORJv3ey9G0h5DSRenT9jsgEdHTJUq1G3USaqvu86Um6q7L7Peke8GnAN5JYozuD9HwaZjtRqcq/R VtP0h+69M8H9yD5YTEYdsXkqq0RUXuS655hwWJ/uekzsap8YiIJRh6d/s+hDPz9jUHvu3GfIlNIA mg0YXQw2enlThFsTR2ezx9Rp1MZYGrkGUy/r3GbnT7gmSNFl7X3Q7VV2Sa2uwghsGojzMo0lHUqN 4LN2HwSfUrpvJ1/w8mLBRdNyHtTBXcqqbbbU/Yjq0lilXdnIMLuM4UwG1F3EANSbK0hmYN6o0gOI EQZrLP80jOpIgS5jgO4vLdGh8aOLHOe6FIfyIg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13712) `protect data_block znQjYNS3aAdNPe8VyLh/OvkxAa8F8tNwXWMv2jEuZYf/kECndfl7uAdCIVtS8KSCA/mTIYh0PWoT TT7LvrdwX17Y/QvQJRFTXMYG4z8h4AqkVV1AictktC4kUiSGF1ELVXwcXZ1gWLTzFmZLt+kwq3gy laAcwTsO7uv46nLVLBJN4N7BxfXKy/8K6rKEJc1FzUIYw6Kia+8NCgAucD37QawSUhfLNuUpm4wm aE7jobK7b1LzZjkEVsZrxGB/uj1q45YiTSzQM4VgSDE+zxESxqGLle7Oa1Flxvewe5GGMmmAqksa cA2VfNDLkZpK28SyvBMBU//y0+kn0g/NKmNESV0QDeJRc/GJffeVFUzL6/xwTb+bmO7xvG+iqEtf 1sEke/Ugx4+Yy6FV2szBiVXO9ByCVe+Yej1pFF+lT5TTUTNWe57BqXgzQNUTQSKCDMuMjiZ5BMfL 6VUZGPol3I1KHHDjlLyyQkubSb1UPykHiM4phhsINxAYnfWiZgvlNgY8lGK6ADCDlK7jSLihM1la Ghw6qOiVLGRA5QutLi3lzC5291eD2/ViUxeYVRpE+6L6TzPqgGsbXftqGChTL1uwEMXYoOHit4Oo JJgkoihyDdU9y0CCtWrTOBOVjrFhHTBu7c+01KsjWYMpi7MsKAySJpaz66sXgkP0s7uaKLNOOh6x /C0QY/Lyo+D237FXTI7hsD+6t3dFrql66vbuDoDGlUXCgroQ/dmR2t7iA7xztvQzka/W93S3j6bB sh5n/DMKWbC5e+lJbER9cULgXQOQV2o+7EvBFoNOFsFApdx0o6/COMeeb6j1aqfrTz3ZsU81XpJX tXrTVD1q+vyX55hw6jbjok+5usKji53//6Fqhaa3z8YeKUw7sMUDuPFsGboAdiXzoPpz+NKHPrwq P5tEKsgeb+/x4T9CWph4tDEUdBIrf/uGWu5GegJHveUu+flp47T3S1Kh7+VWotMTlokkmUFvyfcs 4n0AlyLaenFpsnVmztkyg4k50tp7YqjLLqLxWLTEe60nwn91DV8Y4mPeCfFE+9FRbt9c4zIHY5zR gpnWRQOBGokcP+yqQ9Fh4OPQbkb7JCIpAgaZL6TS3wMUJFHrdMlXKlNIqVbHw10KGVhhEUdD3QR2 Z8EfGIa8lOxSa9Vew3SrSSAyHbUcZe/HDuwJB0BHsVowcFyygyFrRWyCfknF5RY2lbQKwif6/+BS lDveW+vfy3BmbtI4ne7U73xC7avRA9oaXrhohC52o6U/vTAeB3+zxjdbuni1Vg83tSs/JgYm7gE0 sM3rUT7bvcEnTHRVwDtGhxezl7KGjGdMmGVUMJ2Yu/qruvXjK5iDOI421YzYw7EsP15or01f+2F7 l0eOMdcTKi3DIV+9wFn6ekq01/mfFh59XLsnomMkMNQEmVzXVXHYdh7nsiDEbIY6ZLHoffD+bBia bxpRRL8jCS2Zo72/1Y4v1NYjMSNOe/2jpOTCl021mOqlCxZW2/HJdJtL0yO9FpaM5bJkEaarqu7t F12UZ6SakGAAiD7HKU+bx40v+xnnvJWnWpSB9TZaDVVFaQcJFtZQTw5VY6rhhkKKwgddoYcAkwuO GKdthNz74UOmYbbUChnMCALA1UM/UZWbbcMdL7JjtVqrarIDG7sepxLsFktW4MBcxpteHsXQAg7F uEYr+uqlFqlavedFnQy5Dj/Py1UO9GHV1YNWRI6LBjqLShPMSRTgFhzmQmWjgF8DQBeb5MerX+4X KWc9dsn6MbRfYHnZmz2k5tHiF5D76juILuQfATDtax0vV01k0XXNTNQHDL1lX7mhtGBuUFleimQs q8pSFGvQO7U0KkNsTIA6/PyD/MAA1V45uXTb7oNFE6yR4oyAPhGjv5BGfFAkx5yFgacIT2PtVrZV OW6DJhULGuI0Tp6HynOSOWAUCUrep5GUv9vaaCHSHVsOyCxBk3pbEjeCuf6C1fX+/4OmGqQEJHAw D3/IfE5cOu5+o3w6qzL345zmHLdL+J5oYMkEHZm5nFHkT+UZrJPhbCUbUR4xxm9H1G0ppmm9NAan JiBodA5WYe07u13suOnaQntQg3ixYoJ1IjD2QX4t3z3Sz0/gmLei/fItXNzoPyYCcYl+n3WEASIf ZEScE6mgg2agK07RvCIgOMrRUDUigL8idhk4HcO5b2J4YUcDVrJwNUpfyzVXjFiModBvqyU6q2kl bclFPHL2Mkju3v6yLN1l0H6Sl+UIbLjpAIQAsqmQuICtCXtroM8MpFKULNtygQbimnI3NCV/iDEp kQ5XqoxNrnsVjp3++Smg13E2KDz4hnyV1QNImGgaZSKbhwBYcvQp2SD767ox0+fdyE3qRzN3hcBa 3PkixlX8YRJZNxJEu6u73hN/YSOATbxSHzFJppkTcFZHx+dQmoKCZjgDzxWSPIIQXEou4PI3JOgE POK9R6aLQdswBxzSy1MSNKj0nICQtE8X0zcdRpj+a4UqNW3ltbTwNP3bIGClDmdACETloct7U0Bk y7Ya2Cld1tVRLwK/Ldrg2fKIag17zcRXNjMXwICe+f57scMzEvGY9tTS9WApy7mWJEP2wWeodicb do+x7tyAVTBdrW+WKrlctmmKuZkA67JhoCFcC/IGI43UBcQkWipWxjc10YVDNOwCnhN+shANeGts 8NfBp3nEzuKlMNL3HD/QOmk5OTSfWmH4/GQ/T55hAm0gH2Fvvli99Btjx7+MhuBlA3kPL7ANxGgC barLIrYT+L4Zl+exStAXPFM2uZbJtdD++wWk2eB5mJOo8OZ9k6NHfMKt6hdRlq4PD5WQ/xCLWXht 4+2i8TAbGlb9X0KxsltfOM8G6KDJ2zZOhORRD4iJlqmfvon1mRQiKDTiOFiDSaaoFuXSoIALKW9h S0onMtnhjoOOLD8oQDpL1RBsAK1hSYgyhTu7lBZ//4XWRcdG/pHXLpNgN3HUYU4FH1ZQ++KWIRLH wXcIEBUD3lXeGicAGTTxnNuzvkHqnj62Ejt1yP0U2hVvOS5bPbkwJkDH+6ldkmNI1XSDqsD1PC6y UfBOrj4M12PQGwiC0SnxcfN0x6dQEslVniYgTq0VMRUf9xwX0Ze/M94ReKliPO4nM9JW9FdJr2u4 iKpUONXDP3uiCLTWe5G4Ph66tk45wtm+WOt2x9qpUOM5YSEGkYlcgIjRd4Qljhesaumd4RySr7oC k2VoMm0lN9ESqFGPTh2F7polporSK5Jezi+Kqyp9V9j8HesZn32nd5INBGc4fchCDGyz30LNZF6B iI49rLloBgvWjsoi4FKuPNhoG7GVWobHuGJ9WI3ojHr16EE7xKyaekeF7jx+5mP4840sEgnv8KuU G9ESEjcsLbKkAPXY4pXvSexegmwblRaECLnNXfRu93LrRMLuUGuRZizrAZQVO4eEZVkMelXIzRdN TQxO20IRBToKqWkQPsFgrhs7B9G4bCslYq+5rZkeaGRv2+zox3TYFCeJc1crQ421y3alTA/IaHB3 Exdr2NSeE0AC3QfB81TiyIerrLoLpwNngHVfPbXgq/CylG45va8b5uBMqWGuyhq/6dm3rb0fOOO4 CQX8PLtQuIpqLwmlQ6gOo921qqPuPmuULfYJST/e3lyZsr9C7/jqMBIJ6w15z2h/b9VV9NSiwavb V4uWLJPuyo59xWCyuMGoCXMp3gx1Rzp0kgTkQCvr5HLoLE75qimfol582BmmxvrEp9ExUfNcX9Lo eOkZtG5msxxoQK5Foyt+QObz5nHCOlaH/pzUmKaC6KgX8qzyUcdd1qOhRDtbm+ayKgKnMViWPR1h GTl0rG8r/+5hwa4Q3Yh5+6Q2je9T873L7twjyN7RXppH2I6y1GJvijRYXpkEAuNxMB5dfXqTQkiu qlw+qeuqkBz+d38h3mC00ai1MZMwU83+xrJYsfLbUv09JZ9pfvk1CfrgS7i6BCAueuO1/YygQB1f 4LnLqeK0Bzu/QhkMy7xNSucVRg1a9FXGLSmodfc6sY/IWLvwNQTC2WAXf+7a2hgeRvP+eC0tj13o yD1GMOkWcdGLd58I3HKyzN3xFFK6nHVnAbCmB4DRKSbtGvdO5wSVea2nEMrBLPsM/+ezL+A5aFH7 ngSMn5aiLfo9Gjive31QCU5YlziEGtjA+J+awGmhmszDAiBDaVKQ1KbQWTASNPGJxPJToj3ooemy +tcy0FIPFsML0W2hhrmatbfwUIxIkGe5N4q65CznyWqedg3ouWpsr9kXoTMM+tK+792RM6KzJRpA bstA4ETO+PtXr5KdloqW9yv0KaCO6FRE/5fuv8JnWKxvcLilSMle1J5YEkk9fSvcUS/ONQ8/evV5 UPJeafvTGcqmxyj3Hjb/xoqqQZvI8vCmeRISS/H1nImBr+0oy/bJomQy8vl0bNxqA4Z6JngOwvw/ LQU+boYj+okE/v8wST0t9ejzEd+0sHnskJXobmZuiwFFjmjvNl716hyfqfoQ5twBhzWWGaO7Fy7+ SAC8QtOW46qnTPyqenJdyO4Ucuge1Nv1sxoEsZdccYtFZu++PC76RPd9XZTSIOkO06k92X8z1Osa cVtzvam4qh+sI6xFvdAnyjkzmz6tkO/Ce1sG+yE1kd4y1hzN4z0GEECjq4dUoWAMR0TNIKTBmOaj 87lEZlesGDZ5ITJ0Xow5gw3kL+U5a7iWQB922JZjwOdckeAvFQX/4zy/FkzxGCiQwP44YCrkh6nZ 5Mx1eOD9g9NXxoeIKYcBCK0BNWqClfs6Pd+sKnIKXg9FHXVqS0OhX++V56h98/Pyb3ZU00H+bhzs U4ZBwlxFLC42XYTeLUb2KGfB0m83swOl4eGmBxgYQhgW0/5DKRZns03uvuyxwCHtHg0eSw+O5ogj 5JUR18uakkki7xCgEPtqP+ZW3siIMsAJNkLntFJ7WLYkWOMfFfLAoQ7Ys+c9GPsy+oJ8FbcLuNjm F8joiul1MSXcn68jRljwhemJ0C+d1IeQSTyKbgaYJnrn641qWuIS/p19Ez2D+vmqQhopgiWAz459 nRyG+l/2Ktc8BYFjA78jQM0vsvzihLcT13ATvafeBM15i7RkWO9mB2EgGtTgnvjerzaEyvqTv74K yxPc/0AsBNHZ9od7aZDlmPgK2qwGsQm4D1rnlIWx6ZrwCU6YnZY7okWf0VWOLyyJAU38WIP0eG0/ NxHslh0/GZjEPwqoqM/6MuB31d9NN7ZUYUFBg3JRX7vaq5hbNSxur1iLH0iGEUY03tOqwUwdHSFq p6LD7AaK+iT1Dx4qfYucpcPYAPZAl4DWTrAgORqP43x6rUHJJ7N7tnCDMiwCcci1bt3EZy5paLik cD69OFxgYbDLBgSNhpvp27MHcKvDHu0ti2ALIgqacsd+7jPYAxfkkog/KjMVnGNb3oirS7KNLIyP 87TjVTnZv5J6v8TDSWWqhazv6rOywn933rIt0upToVW5QU+PSSMjlnQuPOkLK409XEHdYzDG03fx 6oabCcu18qansSIibuDFFriZiCdScJ/pPqaNDpXVsdLHfq4ZDfvrvcZgtCAKKAbJw8U5aqfNOJ5q y/gqTrbvWR6HdDy9hqaAY6nfBXpXaHqd+KOqxXaWopvdXlJjQsx5qRIZJz2Hc0MOTDb0Lx7nW9sX 6BYodMo9EiYioo40SecBtWxnmoLW2BW9PYTVtBYRLRs2Ghfdi+4wZ1qDG0EtJODD1StLEPmo2TQH FQ9GkWAkEoUsntNPFIH4ySO35X7sKCLocq0eUW1FqcMUInNUUPHXUJa5ePwBlZ61uPg4qq9gQXGu YDPHU4EOLnCDyxoF0+zse4FkMapd5jLbP4YYUzs1cObrvJ21QtMFEIuBEGSU9p4bFicKoX/Gajhe Lblh4RZ4Cdb3jEKkm++7WSwcjo5cTX/sxRXFC42vC9HXveWuhy2qcVUPo1pkpEg7JYLo0QKtL7Ar t6IYxOa7RPjalA7JG5PYAoXSqdG0Zpzsn3MKAUJXP1UaJF0GyjIF8HVBKddBDqS/24owcS5kNCiH 9h6DL4dQG66JZ7AwzLJZ2Xs9JGu2EGL9ADFOKpPt43vIJX1tDvUY/01MtBp8nOVHkbWjGFPgv1pS ++51AJePe9Q+mHw42RigXt0dXPRRcWiK4MhGmTMHAq6AQ4chk/1SeU5tK0Jx69OINYimmlCfhDHB iuP2pQmH2cWrLT2LFK4knk4AijVJ/7pJVzSH7sCldbpv5Y6F90dQPAlC6YahI3r9v22VVIymBib0 15H3G0yINeoFNeCwpEYZNccjgtNz4qze+jHoHyZva6LgtG/7w/A0l8N9Rw0wipmktwLuajjrlqDh tIkEPTbMmk7+FrPHkzEDMDsOdjz1keOGKE4ByHNgY29GKuz51LOJZZ2CbfZDf+ZfRdrWuCZ6YRQD trqVjmhUiVH8JRpUgxhIMdJgIofM/DZJ/4Ce5Q15t0XXtMg+tl/t3lTqg/os3D9JTyW9IDrAF11l AD1m7KuF2sggTTe+mbekMOpQnSacgyblCoh/gxMuZQSPPMg27LmlExS9VGUCcPsq/5O25PAxyeZs WGfGtNumHyzoO8I2Qwm7fedIrv3C935zm2nO9AG0hj91oR1PiKXosYks5t5QDeb5VQtzkbYqicMh pzxhEqElaOfKzwYDb3RTSmYjIXR6hJy1/Q2ykVfh7CrxMkbK9ClnvE/JfRkJprhzjngNm0pweCAt 2mx5hTiiXZOw+ukbwvwQTqFIpNgWCJUmTMnMYLY1vdhF2LDbGa7c2B/l6yKdKgiV/LlgQRohPQxr N9RMN/0PhV6FDaPPVfAMrvx5oQ877uCyBEM2vJBfCpRYGbT5fwdA8V6mo+GSU8fVav4p2fbNrzZf 4dSTrEMq23zmd8x2rd1rv6H960YjRgctUjwMkG4AeDMua12I9Mea9uF2J2Kw857H9NFK1scbs+zX k1K6eG2T2CRiR0aPZYpobRO4JBt+kRB10yCetpSDQKJ7X0CxMgbu96p/mLWT0fzReRoGU3tcYTaU ofQcZJyGajmsg/itfHtR8gyeZ+pWhlRQoUxaVv/OZgpF3DDFT0/S0Z6/osF1hgymnH/sY5kw6Wfd nOrOR5G+gh8zIAui33kSWZBzmJoXXorjkRG7DysofJRW74QUmGJUWF1SFautoQTEHWuLKpzO32xL Y/mTFglhpbFB3f8QS8xhsl4B94uU3XYMgbzgkPVhHj5XOTBeJp3YA+nt/44qhuS2WcYk3AMXwq7B nupuFFEa8Mf2Fn6m3KJKDzFr1qre4vmMeAEn9bjPnBggd3rlgEbwO8LGyNVHciV4jbtJ4fxbEOXv Nk9Gv0avpt3v3ZfszE8hQV4lYM+5jRlvvFamBKUnka+VTdHqWuQK9NZuCeN+HoOCh9MRAWYkKrO8 D5f3PfkFnhx6E7LVrg8AQeKi6gc4IIlZByPQhpw5phj1XDdwETrPY/IcXPAOCHZxK47mg/T8IqoY 5b034tgDlHQHLar2v70/vastBwhroyWYReXM86eOp22aFjUqlkF2b/DD4SE3xOi349V/swW1JIEa LEf1cr+DNmxT3yg7CiYfT788pe5HaWG4+tznBNOcUbl+CLjCEFXdP4UN8aBBTcVb+q7s0V5/Qrkb rbM7hqUDlblWWj8AprR4Jfi6DPoalDbabB6yNb2QG/4bvjNUBWDUtjdxWl1cVd1J8GG4ItYrdA19 M2B2Lkf19ouv4J5D8FHPYkchoXdErVKOwuirNwzX0JSewTXyvBbkYLgImFsmpJKMevJY4VYwW25t KsWthsONph4M++Vmo2+ydiwh8GNWsarfOTHpku7VvTthnLOin006rhk/95OKF1oU42Sjlsv2ST+A 4Z4wzxdGDvPE7R2jzf8Mquy4WxGsaJKXPrbaMO/91DJzlgkTe1MMfONlmVv2f/EfMxxJ3rAC0Axv YNJqaBZqV5X6VuYj5oevE1hHS7pI2RlO1s+UA12LltHZ/qatlxqGbYbta6+olbp/I0R7bkyNoG79 Wc3lH1a+1z0Lpqfvosv1jKCSKfuY+ZkgM8NeHzOfZ0NS7ydNijmxaGG14cHkWbzQKOkwMZfqMmcr 6uMsxSfyEl4HXASx9x4Y77gQE1Sx+wlL1IwYcvi46l2FOO70WjXvhH97CQr1X6aV1PsWkIbvm7dt zbqhQDaYGbrTzxxvqfl2826Zd4ctAIPO1dJBEpkmCvOxE/jJnIFkoRpqkqybfs/rRtEF6GW2q7pc +Ti5pyC7n8OhHC9Aat2aE7jUJZpNODM6zNtU7EvkeomNBXRUEeNv1LflQMxp0r4U/fT6ty2k9QRo 0PvmsuOE5kuzacrMu7h4agOH7lspT2zO/L1E7TZWtSz7HRSOitKLTY3tCbMjn7MgxUFPdpPt1PmU VqB3R66IO5VnatPPLg7fD/ynemzfgvG0/TPntamAW+xaEjX8Qu2+ntWiwdts/dcwEcYqzTUJ93ix dOHZdlYP1220MmbYovb4Ol5PxneNFNQz1/d1rU8zNg1f2Rx0jKzJ28ux0T3Ieghtex3EGkvLtVh1 vLWsyVMymelmwOAmsbyxgZ7vLj7n+uqcdOqELKXWt874Zxg8YNh3wlZA7p/MgINJ1CI9fT6DpZjn V3YKsxPpsepYwLsbMmoP5ko6tadbYwT6FR9AJJA9KUWwkx40uS+4qap464vM1nkN7AxroLAH6/ln fR6PoYYzKxo6/lK3R8NwA/CwHJIkYpcfhfnWPGpp83OXfER64xVAuGmXxlNQeLgKFScTmV9vIj0/ aXTUk3jUY2VNK5hUrFGvXPhN6HBHxNouzU04fXGKyJQlLCt/RqwtzeuBXVm1B7Wwas/i8kT56Wwn aAF/inIdgQvCeT9XTLKQ4IQYetk1hPhPcUFiiUZAzk2iy/IQLNtgCd6RWiAnGaLqiwRhUuRKQpQ/ liFExfwfwP/xzmw85UMe9ohqlnH49fHcj+eEo++4bvJpH1CFZ4rwKmesLZpA22QIfkeYuWRBsKR8 /U5yBfbeGUfoqn7DrUcMuV6aQq6BlfW9U5YD04P/NJ/5nwC4K9QIJzkS/h4aej2SlWVdK1zdipZX kfL4JgJIB4kPjXnzNXR7CVFIJPSU3DlHUDxg3CSqp6MMemeP1nmUYknqly1lm0UAX+za6Mn045Mx VlsSymoTdCqTyz/PLBbRaZyMVreP4u1dAqHwwCuORM/ADRCev0WuEKf716ezRMdDLX9S9fTqGVSU AFr5HpgYnlSDpKLh3Q81uTPl5GSxz4wMwT1kBeiKUtSHaN81WH95JWekbp/ZYJzAiwah9EdYHIru uyieN7N81wpiJJgJYPXxL4+I3Ms89rb7JpFW/gOyxBp/i1suA3c6dw5+yiNKMa3+9FVVwjX9ZM5i Ox9Zf29uryDCK9eslWdrg/f3GjXgCuIRgxNnms/HWr7ctKMauqF4ckTXYT8OPUf52ipVgdUjYPKo ww840rTK61RS1gQU394bASUOKePrBDyg5RZNpLwz9HTPxNFEJ5Qo9V8RCjzgfiURgwtewPJrBGrm y5/50HUKxxnElpsLWHSsGni8pWRWw8Smwmox+RWm7jahKYTolxvAmsfl8uPoi6FHYqKBhrJpNN2j u5+34DYjVgsW5lRriT6kKbwpe5ZaLo83bJzOrkEik1G3lGSko6f3cPlMic3H+0UQpFK5f1kKH1dv ui+FmeQeTNAlOh13LDdrl2PfcPf88+YMJjDMyMzXXk5GVILlajwxJWdUT//um6yYp+jr2hl1TO0k E6XS2Dde0Qr6G9NGzl14npipmNEGqTi+MDRDttBfUSlXlUtFJUwUsn3/nbJT5JT9B/knee3mjuB2 gljrkzv+tYMBSSZUIK5MhtmSuKGxhwzPHfK4LrW+EgYGjzcNh9c5mDZHhVD+Odd31lIJVX0hQOQ9 pXV02PF8bqvyYWBu9b42adpKo9OVsI9buzNaFMPzvOsuvms9OkzfaUTOpa+vJ3fH4HSvggouo7PM mKHF6zN3VXht0oR1ZYQfMom1px7GQhwkl8TR4eWvdJtnL5NRFBXXj81WjeWJWeXU8oA+vPd5swi0 aHwqRxK0OmCRkLk5c6Zk0D/TTqS48RRoj+yG67QRzeVtqUNer/hrWOIYN/qm1EyLBuEPsfgLibn9 s+az+N85CLZDnEsphFEB0XmO4ijCSBJagvBX5lYpeeUaoyBscYICTusB/CRoNpHRH41XZIM8rquN PKvRsCoJdtwfRnVXdtO/ZIM3WBaZ35LcHPJrbAL1Dizt5BGkxzgq4oKwoGeqZVhuCKT1dMc8jkB0 YyTpGVEe3FHIvDoSU75DR0c9SH7r/VPh8XFDbRvadup1LiPeHeHVXtEQf/J9fslXLI+wIb4RirzS ve3d2vCvavZS4VhhfBHkspCL1uFaCOdZZyZG0fVJ8fQGx0hd2z+JmryS3Et42RPDDNTpKjsvBdg+ yI6BCUSQiN0QFX21JpDIKJ5hXOyiocUIHgvC0jsTpsPJ44XpkptLZ20TvdtaytWCgPwRPcnCtlBf CXeCCw1sRXgcIXp03LPOoFvs37vbcnLMNEqpInWSYrimqTlIH9oeIEqoAgHKBG7ZS0zqt6EP9kCH ISAEUoWJ0yuH5AYZ8m1THiaDaHfTyZDVdbF0OnmglTA34wmfJcQt5jWmAqOY2Fdvxve4PBbjh4Lm 5+aJjukGkVeYXpyq8x2wq4ljVCeLNkP33qbIrzHJQSPoDdVy8yLilhkaygvtEDbtZ84b9EVn4zQ2 S24hFVXV5Mg+nsL/byecTV1957DbCUBNlbDkv/Ru+r4FC4ukPs353RoOIvtN8RuzrOFnmoS67+FF adfNIgNoRBhpxQp54amVzR4s4twor0aO418JqlOiYfA4qdUZ43xulTTHKMH4d69C1qV0OtceVvWp 6Dpm9tu9CO1JYEjyxE9hWn1AXTWYN+h2LFeEjrsuECy3LP9fH8Uji2K3nCAoSzSTCNtDgICsainf oKkKuD0wOPlYx2cpaCQ26G74htC4zMCxK0t7BwTcLHRc+/RHYsfHAvDfY1ZGUge6m7Rn9gMQgWjZ eAJKir5x8R3uutZmxaj7zCOzaOHGmn8KLFzZIPjq48zXXGrj6F1842ddjn+bztKnoIXX09fP0aDL 8jHah/8vAtp0nEjswY2Ldbot5hC/Fy2j6PKiw0HsFxhIOdOzpfGaRkKvPqv98YlR6B0bulrCZyHP BUC5AAr3PH5QcMmBucXHLOg9LdovdNQz50RheKGbknpYBR5NIEirJxosY3uAMVB5WxSxvMlGgrZd OKIcPik2kcfaMbrpRcoppHhCaCyujyxUAKJ3egT4lqxwqX+RA4DFBQxWMz4TDHjMBlQwwhCP9UbN exHWTWCR14HUibEDRT3fVur+SQx5rsQ+P41Rx7h+SabagaZtUhOdhlvnDE4TVA1e2qYrS1RnOrXi b+5veBYQRV0eUDHdlG6iDiidiA0OCXJsedhMxjVZl2w3RLq+5CjAv7Yoz1F0NkQyfURqir1LrWU+ oczanhYU4u9lwU5Ekx3k9qD3BMZnfMPIuWN7u1wtdYlpxxgmalQIaLZ9bQxYBMxVgjpPqcoZ8u7Z /EPob52hKhTnWqLx1t9xL1IMfI+BNb16ypz8NUlYTsGxRPa10IEKFck10TEJbjqm3a2u6kdB/BtX dTbTA1KKvi1reEoJM7pzBmBgntRl/YawAcnHf6Gn8hEy/DNsg6hfkrGk7TrqTnHrT4ntc7hgkYJI wpybdgqR1y8gWiMnQMUIT8m7SHCqneMnxyze3IRN9b5HPzQyGTwbAXgUQ5qHWEVFYTOqtyxlcfiF gCuKUULrNeCxAWzBzBTLO/sUVYjqR4PWqRj8QmlwtyweDbBDqhIMce8/18XbD8C1HS4i9L5vTVwH zppztpukv0mbcwKQps9CwnR6Qt1roTFCKCZyAoZ4peKkifSczK8E8oY+eGh+gY2YAOUvUY3WmnKK xNfzTwc+en3BGH6FLt0fR6LnRgcQdjsKmuQfBd7jsYdR+y7mqDf3bnKDhtnnxdr46NcqGN90TBxo 3Jm7O6/mpF0WEP8+sxSxky/vREc2tneCln30BBuTgWiIVuBsdbVvgAvJg8yqRkTrS6Fmzjh397mZ 6BZaBgK2Iwj+GPGz2mhexLO+DQP4fwSXdJr9E+yoSl3+SE7MaAGfarD1hCbsdV1HoiiPKyCNANQT PuduIIG9sVA6XaZUszuBaEa7lzYInANKk0EQ3G0dc1Bjmyzd7MGb+MeAH/Tl0z7ECj1IelWsHP9s 8zJOUDDpXtO+wO6FQIYQYdBi5h9ETlxC5qwG59qx41QaOMGaLaPTfiZnq3xM3dL/+lPz4a6A9l+e 0G6wCAKwdAGWbHIkGEESLM8rAjsiPD6ztz7mTJzMpr3YXA/lwpz0i3hOQyLSRxRIoS7irGlHLhOs perA3d8lOoKGFeA8RAYrf0WD3XX8Bv006eTDqgYUUP79i+Wnd9D4U4aZEcjF3eK4Hqai6pajzMp6 90P34TtP5afcs5LC6Bc3u1EDZ+mD+l1PRWwpnp5IjiZQ3VHhEbuWMglW2WD+HGyLm3m1ksWCZcRl ME4CatHz5MjAp3RvLve+5G2EpsGZTWvFR+TNWjJy+xqO4Ix4NsQ+d8KSePKlinMNJr5eRtqG/BO1 5nXn6SlHHeB6cSZcdWsUkwEMPbtzVNW5UdbtQq/0OB1ZDRAWPacMMfNW4E4xqCfiKx8vFra4rVeV Gxb+mava7/a1W/xtqbPBwYfabhOdwKDtL2pjMO2oukUaiKo2EaRfev68wQSfICO4p0n7uNapp/rW g5rx08pJNMzrpwn1nN9WZDxZWeh3h3WWgeFrZUMfA++xpxJwJBFiR9u+UbGMyltlgOp/X0J8hJWF 3q3mP4FytPXI2klMYu6IYOv2W3eq0Gs8Zb93MWQBqxLlMGLtvVZr/N4PLXghtoWkDCrTnxjy3DHP mLBplk8D0Y3xkLQcsNimrfdiPMQsbk76tf3csegbfPc8nu6zw2Q2htrVPDIRczJffeDsbA/mydIe 1Pk5N92npW2zctyHwFkPcd9YVKuI+YMcOGF6SszqpE6AXPLlIrbpIPZAx47b+54+dW3CaLYpq+C6 mrYxaFJhyV+lyvVZjP79pLjXp9+bZi/RcuNVKJRJB7EOwcQH/Y6ArinUB3fptwYD/t10atLMOS8J s3iQ8mke58HejszKizMSyp+NNrHN2UdfuLbIcV4PH06suaIRUvBCcI1Fr6fG/iO/w9WXQiJW0OvE lp5AyMaTFj4QH2iRiIrmI82ZP9yTRcImWYSHaR6a9Sfyq/1tn+Dc5+yhcfpQsIRLf4mtoMtOv/Hg uOZhstz45PswqDMcJkRlD4dkPcZt3iidOQYjj82TJmxTAXUt3/B/AN3bj+B4kVzWw6PNYWlEcq8H aXcwNMVBMPYu6MEyqfMKUFRVz//sOtpb9qdrUIIsGPIAiiMMpYyV1SEhtBZdxjYZE8ZmKStDrMGB 4quM0eJNxRmg4JuLcJeZZRi6EngPTpEfCqtrZlS9jaX9ru+TDXKXQ72JEsGu9fzdjiE86ufjrvcd rxNlxV/IE6z32+r06lQfZLK22oAP4n1W2xDrbqkQb3loGbJABHFEsledqH542yv4XWV4zF2HpMzN 1wvczO/OyrQKGa0F+SceKo6gGB0M3Qwo/RctoSqgzBGrxKDc/soSYPnu1WZxSPfpi4oHmz16dLmD 8NzYp4zqEb4IJu+LWCYe1AfJHeEh5F/10/srHLuekdhVFbaq0FzY7ehVmcF7YoTKQo6l/muFjpdd AVfKJ3wAeVeMTlYTxO4M6/A46mWiQyyenMNdoX5kwXdRogUfrbRayfStgp6/OevDq1b8CDiJ1sU/ mKnWP3PPBz1eAZJxLzu1k33Qlu6FcZ6+I2yIgRFltORRj0NBbMr9jaCwiSNpb6vtRhYA1O54OYpF SEYtCjAWY4obbKY1CdlNtXlPzTr9+ps1d9U1xdTEzhTPJC2CWelduw3TAVN1ScNpmpFrda/nyXii VmlGd5mPB9suzfhSNYC5HLCWX+mB4S/CBfuG5kmYudGzGzaEUlDKoY+UcFjaglv5ruxVr6J37UHK C+J5rKxRByT8kWld95YPZrT3c4vWgGZcyQCy3XdrFyrzb218azXCkHo2txg7R0rZM8v793xGlLtP jVyluEPNV77qL1T3e/2uAZffxoMdOmHajmULn+rkXWAXLRY4DFtvb4GTb1WqCK51AQWkU9el2sen q8+KaeIgm0B5V3Mv+xazWjhIaGxh1vuojG5+1Hf5beCyI0fnEBgrTISogfWEYLAvRrTwDpehqxF4 hdxCpi4Vk7cfrOLW6+b2qas9r3l2a7eXZIt9onVAMYntevUITb9+wtP1YaAONDBC+Fa9g4LCdm2e xePVmpOypX5EUM95IGjzCF1OOEYRxdKqDGYNpSZj7t5YAO/J42Rxolup29PbiTyrdWfo7+eaTr5j 38KggbBtxCemCkl/0UMyM8oFJRdrcvp+EWejPONi8KF2Ix7zbrShV9Wv4uSOUv4bY5ixTMLCBkeu 90oveSXNzzX9y2od/UGxWdk4e6DTNke6XRuQWnmL8lj9qlyUbZ9KxHZjMpcWaEH85sJQv8KZOufh EHLT71um6SFn0uBSR7C7J3w3rXb0ZV7E0136QfqrLA2L6qnsoXPN0PkA0PDLl7qggoTC00Cceqet UxixOYqtum/CjMtC3IwDveIev09qijq4OU8IKQwbdi0PvQYLRLK8nLndTPGgae9Oq1u9yJLFz816 fezX+6AhNTw7P9OMwwHjTHrxYmQxND9sYBsxrX/RFU+0wO/nHR1jWdrFVQIYW4k1sIDWEPEoDI81 PgDVLoQ9E+AD3t9fggPEcy02ZOkXBPpmzTHOV3vggX96+uSuq5yBH5uyhnyFmr+803pFbq3BYXnH 6zN/6myXsy0OyRsiuSzeRj/OZZGwaEuPgz34DfvwT9ZVMdsYwn+bTc/20St0t8/987g4k//grRtr gP0/M1bptIbM2shk5ysoJLeEV41jBklJr/2l8DArmJ3cinSxU0bfEi13IPDt5rfnHoEGPBn6R5rj A0iP5luJmGYgZWXGLecFjNMJsOtbpEqhUVm6uWd61VXiZGeuCd5OdjulxN63AJ2VmxU3I6PCpqpQ ZzfRC2Cn++TkCRJmGAi8oAXwrv6HBqrHNY4RQErLxzn2IUD+Row7XvDHvKWaPYZ1T6r7Bokncg3o LUHuln8OWy6z+9bqka+oTJgrBYDqiKr1Y0PZsk5XCJwctf8ZmgGZd/GfZBw8VVfKN+vyRAAk4J8j HJ5yO0h00jV/IV0Kxci5yUQDokJronIwMeImu+SogYY2nnh/N1AGFEhf2fP+ZZAb6r+hpGBnnD6S ac1qBGuhHY2mzk15RCn0J9bD+2cR9w2q5DLK6JvR4DpJiVUlMBufoBqBpV2TGwvNSWScw5Y2PZTm 1Iyqx1vSe42n2Zuvh/c82pk/qhtRD3jLkvfRTIFpFsgZJikqSewWTqsN9WHZrcyzaFuuv3pC72do BTdCLBl7ksiH/kOXc9pPl6dfOy9r0kdqEbrLlxIK73LqMl/totbRkKDyp5KSBRCSmjHArTkR3P2V UMSHnJOsBIVbf7b2fzFSBqcTynSJTsO/jMvoSX8L8mXy1gvV8LjGbPINnb566cE7ctwudwC3WZRL rOgR4382wwKBMpigTwvwkBQk0ypN4xIWpld+ndl8KBnN8tKa3/kq7RcLFJXiyhmAdlWQ6GBEv9tk Z+uA+znPGFVp9hmnuR79LkkOg54gl61HjaqQ3DuM3AL1WsOrL8feSXqZHZViKLvgGStg+liPdvsU 1tc0XtWH62A9Bq1qWiJ3l52Q92KfdmdkUVsv/IYAREoWhtXkV4dytdb0eoaNdqWExr33xazv3UUF e6GL5HuDliyRmcOrT1c7t+S3Krg0coEfgU1CRMw7ZXsFgEwCO1E7bgC+hllS7nUfGJGtXgoHqJAs uw+KfMLe0DCfbCT2XGU0H1UteQ0KrEAp/cd5Dp/qbwETS6z6qsae6j8wbd6tOVzE5Z9XSrqfenIB 50EB5xCxLTV/1ji9UNJIELcfS9SUdCQH35wSwV+WrfS/YgAlVOhmj4+M8o5MGHit+/5PfSE30GLS kftN1BH4F6yvlgy29B/fFecgb1eW4qdsiuoD4oX4y1YvrjfZqxf8bCAO2lcY44CsJz8YjQojv213 4qq5IAa4Dp5UKQwEIrZYKrNu8MNWUD+0O0JjgespSt66v3H5fNyNqiiwT5zeeer3j0rXLNzemWYk RZTYroWgQM2BgSGYfA0xwSDecH3wkCZcwpUKW4tFDGI6egSgQFvmfLfBpdng9AhmWZ+Lh7FNNsfo OutrbqkCX4FdPsy79XR0+oZVGSZviqaUJN0FFe4PZz+DiSy8fiaOKZ69B0h1+ACKx6e4at5rKMS0 PvaNcUZ0FOvZVP/cjWxxzVF2gweH8BglmfktVZSL3Alz+Aia0suhCJjP1aqDbpLzVhJA+ZPMZKVm tGYNwNECRog8XMRMRMIuueMlMPDt6nczAlUSJPY+Rkz2p94MAD6MSOsVVLsrqHYRmIvzps9uP5ed bkIur57uYOU++62nQlmqfGNo+KDIcZ3xvy4z64yRHW7bbySUhS8HdcvoRk8wb4iRo/C7NsVVp/fe 5dHOawhhsIxVm246QkxRfvQ1oiu6Y7rRIhsWGVYCCJ6TSc+wmefCvqMTQjBjxE8OsnWphedGgZx7 duvX6HYFTeaVtlTtZe7qGgwNO7NSguD2dCA+epBq7eBvvOT+/jxg9DjbcrZfBq6I2uBut7am30dV b3Rkm09jesGqARwNn8VKnDz5mkq7/GgxwTmw1T46MaX1w54X/76MneZzukSUaXX4CrMAuXAtMueH Ba1Qx6BFhcfzE7g0f9LewZdL7hyAe4LSqNT32p7O13Gz1TGjcKYP2mccjHJFnHM4NxGE7ZoY8PDL vpAmOc/9QnP8onCjqUKY/kkdwTxV5bpv3SHxnvftfKtZm3vIUMyThxJhefpHZfJ9odlAidTji9iP 7PuKNM/A+/zKufUlpNx/E9RKAhIq4lpULdmIbcJx1WGacSg3Xt/q8SPDxxp9LpResF7g7yZ6dvio eGiK2RXuLD+YhITpbOA+hcpjwNZFrh0fQc7U/9ijVr+CDTB76mcfYIivGwKFc4mzT+PEB3MZqD0o 5gS3TPdvSkXq5fCnT86XOc2S+CFwI9ulZDAkOX76QjOVI6NBp053+3zkZXGGkPQXgtmSBRLbOPPu XD9/MAGlncxBHX2+phXqZJiTBM1vFfGds+AM4v/n+TvQEgPKruDNRScypzSMe101luiKKGOWeYW2 MtxoreLtN/BKqGYC0PCL2OzFPdG01UYUX+QxMgxDogXE9X39npHq5Tc2k4KeZ6ghzTH2irptBZ8D 7UhcRnxHbTDp8ApPamMvUXjQBdgLcbfR/g8bqkPMd+EbdzHs+WwYxz91KFDIOhTKkB1n1I8osYmd NyJdBXUn4957DKhMfEnisgocuNg2DcSsFTr9FSnhQxMP0/5YJgPx+igAVIjQaUz4js27FFtPS9F7 XXOo02MwhRiutlSsJSczoTIO9WaOUlD4kXScdvVtcTIc8Fq3L3O1HQK82gVVLbYTJsQdllvZyOs0 lC5jM7CCf9hrBsgXPUYBhWC3LNJ7PU7NpCb0t0McRFVqYwulJXn1gR4z0Ry+ahTLP6J/xDW5WlJw wLX4sQQ697Bqr5ab3J+GldU57m9QXaZIU1pD9X/7RksTLg94Cfs/06zWw9SNps3sQvMK6ePR+N4E 1J60hp7bHyzFP+Y1pIWORl8LkT+ixs1tXF37J6cKxhewklgnZ0MMHylG5nlexLhiF3chL827FNIg D+rH9QyeoFyO0RFakmTS1V/P3rM8ULlMSIT8El07CJ24TFYxlvp/OF8i3yExDU+cfA42rGY68Itb dcugluX2SkrMsNwRqtp9dMXBrVgtQ2Qcr9cKfNCIIl0KfAXWveWAiL+4NTt9ibUqRxnLy03F4qVu gCyudq/EclAi7JgZn3B8n9YRiEvURVqNMPjump8EuPQnQgCUc78jx11KIFrzdnNch2HxdJ0u41gK K+xAEaK+CVSBaNs32q2dHzzY0jtfFr5GvpmLgSIPRRI91r8pZXuob+t3kmxGE6zphDlgcWuuRn89 qGs5wss+A0hR+/SiWIckgX/KNQvNGmcQzLuu0DaaVwJlWueMZH0WqGXwvkxUMnX400gQvLQT2740 wfwP0UMldDqHkkuA4LLurGnjWNKeCaMVLDF9wbp1C4c= `protect end_protected
gpl-2.0
08d7c2a542c5dda2ac94ac3b5725209e
0.941072
1.850115
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/flt_dec_op_lat.vhd
3
33,841
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dbKZS9DYc8Ip9WWTccTbJfLKzOrKFJ6Qe5yYxu/5SgHRguY7wr3/n4FJDxW7XzvHyqbnC017DaHC 87e8KZKz3Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A0+nTRbFluMLOYzw6AEuwq/n2ylLfv8j6xs6yMwsVWfL6tCVTtCtKRxCyYM7lo5CCwaMZkKGM4Ol lLudJCv5y38QTr/3dS1AwS7O4l8mYhdvH++4tgt62IUKjKiB7prznlZGwvB8liguR7kuxodBwxy8 P3pWSYDo2ieleulkwJ0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fX00ZuYwqTeXTX765rXcJSZP/WM5GFJvd9IeOiO6uKAHgVhChAWJToCurH5u+K3S5deMgbVPwZAS xDx3fS8PN010n1LaFT524J1T3ktjKlLpBJylAO7jgmxSEAPJbU2LIK9y15OTicrn6on9T33WDfdi 2aOOQFwqG+abjYmNS3S4+Waad9n2J2yJ9bAJNmDg0nohWc66x61Qo0HQRSrQXaV74dkPddPqXVXi RJI149vGSjm2Rn+kSgeeng2PGK9YTwthfClOsm4o5GBMfY/6TvnG6kiKQ1cz/p+WHBeWrr/7es+S VBRZrAzAog3PVQJ8awFQBqwoMF1OKyijq+TPFQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mCUKgVNN/Oij1fqDMgbsBFPSgofHl7bP4UJfEx5D8nBdjUUppTFQ+3qxjDEeE9R84/1uAt7Swm1x Zk3tOFz64v3Zb27EE6x1LVBPp5OkcOddMcOiorW2OGEFpx22hhkklVTti3gRyhya29k4QISwqicK VzLRyIngy4UEl+orPaE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NLSrCFiYNq9jCJBuaaXO1NaBBjEFwY7z0OCNa10EEPCu+gMIY3OsvgAwR62RDs3UWgY8Rsww2oYN BqIDdq1WdwAT/0YdQhyDFzJehAT1UkC3H44mOMVQs/Q0jm3VTVH/Arw6nyeVBaBwjS2Dg5aZmIei RDN+7/DPTuVX+VLKAL1lMD89w8mjrPhWQ8E8AN/vj1ET+kkzrk92Q4fD6HUA+4Ompp+gb74DXp3v GkgHg3J8VckWbaBrRuJzoaw/Td+N4NJO5BOhY2MD2tyZcq9cLezYFqc4kFY/wPC5lkEzjDO7CZn0 AytmhavbYZZ6bpwWyoLP7OFGSR29XjN6v6v8Ow== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23312) `protect data_block rOinUinkj8YW9gTdKG1QsKmn/xtOS4yOGkPldzrBWCA3yNgahCDqMocpldmlp7kNE6IOGiMq0hKW 7xKlxHCfXoXnzRsgEIYcSHNeXIbTlC4rH1cdrbdhz3rdaCxqEkj24Nyj1Eaa/Uesb6gst8n7mRgf cQJxWipkHn+thscFAwbWYJneSkxtD9zAWC0ihd7+0P5jKvKICjt2P6+zfwaDyp726VQxsIDmoiam jbkqVsm8xn5sadwhjukczHzu9QqTTyv0y/9nMcQXKYvYudomfO4oJ55X4G/voVW31kx+BedaGRzQ 8qQkOtDYXsQMYlYMVvG5VC1hTzO5kzgVaA5c91K8i95KYTfLrLCs+7HJWl9l/2qoRIqS0DvtKHE/ qNCW2/VOlamqB1QzhQYsUJ6jDGVehDG719euQy8WZmuf7Y96bGmgV5srAma1PQvDaHn6+AnuX1ip wZhvsxrdGRKqIAscHdd1X0OYGVOGXuqIaAdvTPsC7pZR7kuDu+D70MhOBEpxl3fKH3y+6qXBnySk f314b0zjcroIDca9hbPBEOwjZu+4WIAofYDiMIWBM3sCgd+wWrAMEbKrGKpx6K18ZdD0txgqgTRo sL53ehTQ1/wevP2hGAVJ9QTweyYsPuHNF9k3aBiae1fcJ1LVIETM7/f7Wf62/VpdZoK+b0ecsRH0 O9XM78ZXGd5CtdBiM98MywYuY0mGlMlmtZICjYktcSpYzs19z7pfBqE8Mq4Ouv+Wvg8nQ9LdDkaI Rdi0kUFeTFBRi0QUho3DDZvmGbcLHML/8j0cP3zjUiz/y5N6lWFHk2Ox8pJ6NKOto7/6xkc7qIXI VjZcYE6m38z9sraUZJUtHJL0ROUtJtey6hNtjJmTBGIiORM0cS9Z13j8TlJMo9qkzU5ICTF/H7Ay AEXgfofUbeBhwHwiV8a45UktrPXJxRyhYf9yRGuMwhBlOPM1nNrtatMVb6Xy99PFJelcoMZy9GSV 8eXMx7hBaX9YS5zCnP4cgLUK1bRYZag/RfPh76HGfDzYUHZdlFU8FCVel5jiqNqAh6OrVfjP+5X6 yP3pCDyOHXR2rpY3ODlNx17LQF0vDJplghZEoobmo1spyiDTMJi8AuNYFQ5pGvJ5baHeObuB5VZ0 pKJiep4msb+8zFTMnl1/gTaql56ytMqFZ05r63mrHydljnBJndbDWy9Q4nQC+eMBhwrj4ZGZWQ1k Pqo2wCVV2Hs2JG39gYuAun5fiBGw1GddU4bgy4gTmhl9KYfu4soEzXfqRt99Rdr6sETUcUFweb+M x4Rw3csfZ6QVXe5KaiD3/GxyAEi0Sb4qIB266tpwHontUoqIwfRV0CrzKdNkXUKO/JTWhbN1JOjO jGeT5h4ZHoFwS29AbbyTN3rOgMS4G31frWzUQgKUsp3sm3jR2pxCT13qy6KSjo9BGHl9sr4ReN7I dcsWF3qzm0WOocmTR+wZaAjxFnmxqV39IUrBDBnTSR/+GyzswZvBlBmE5M+50jqPeJT8U/JwzRV2 VZd3ruInlRI6+mx+AyxwsVkdwlINgI8Xd+tWEY3PRL8d6PxTE/s9hVf3bwIPktmuQ+qH6Z6a/Kkv eb2Wb9aMOZzqh/GCRhOySPhifXYIGOHZ8ikx6wq+arbI3+Dm5+W2XMZxXjH+zhZJh5ACCR/So13w uDA1TbdtIKnI48Nkk0h2jmaCStNvydEq7fr3I1vLYV+siYm1ph37O9SErGf70Lao8LGxQVEQ2p/1 zR9Ar+cyoYdgWNSsYJjLfO1D2GHBUVNRHqDL++ngOtz8cXNnPIBabfuTcq+24UUPP4WxyfbdrbZs OHizzqfYZCRP8NgQca5qbrI35U2zcbvMV6UicoVHKN3xmjAESRvnP347r3UTKRpHGZVq5hutACIF oM9TfCq4cmQ3E/sAT3o01fy4d7r4/Ptu21H91S+WIxP9WmDEyp8EpRgXz6uDb2VA4BA+p7C4ANFV 4TTOCKDOTokFsa+6zjC/HwbmLr2ZVhbgwgUjnX+XjsSluWt3JyWsFb7qDScBxOnCUQ9V0zwf0gqx K0TjevEkgx92KY67EA4GqoNOWIXCm/gC9UAk5IGVdCvS/iRz7zsINvrm7BUW4/Ypsgi0CPLMBFdB 3kpz8rLoPGYc2YJsE3vKfWrQ4DsY9XCsqFAeFDeJWLFW3viyhmV5s6oZ9+bY5mMNF2J6DpMYf2Jz wDD/32uVtd+eTlfDFwxjuJGMSuChV6MZ2smuBDRvDRUd1xRx11hD7V1h1aUOssng7VXfU8EmNH89 nDj/QRLWKttMLKSOzw/zD0JatetTSA2mcI3VaTtIsWEJNAD3LhJix1a0f0ii3+lEvhspMKkRQvTN FVfE8dNeg8ObyrTuTN6M8sO4IZaP5ygqs+3O/3rd463Ick2hxP2A6GcKpju8siQrOJp16JH43pYQ tXguWgU53d52/9He0k3jK6URxTMiXsZaVgcytVQpZ+nwyzuLPKEst/za/CDZavUYH0Rt48pBdg3C IXzxEl/srZn5Uc3/dtbgieqV0vaKb+adkkQTFdWQ9DkOHIeE6/74yCVWacjPWx0cQodGDAjSNDHp gzblc5oNx20XEMJYDAdM3DnW0X3AOMWv60vR1EPWlGQjsm377dpgzvMzikl7CuOSporP65qZKcoL jbX3GgqKKCV5NW6i5mOt7cAJDeRsm0qbMDeEa9yg0euIpRaq+WD3PoqiMciK/6LHA0m3LySS4cXC ddqWw99qy8ygAUtHaidDE4cHl9o2EefvgKWdjCKH4QuS53t7Jz/9gRKRFc1jT5iE6ZVjaK0EIwXh jOsOBmBzpQoTwGWDg1SpG4kYax82kriIxA1LwRdMjLbCNogjSHwV/+m0UD7dh9RHxhCIpEd74MJp b7ullzoF6vFosLaeThqgwDadyNXuF5r4W4pVjGJ4kf0ynrHO4YY2xJqgX47nUPs8AbdGdqON64QF RzFPHtMGg9b9MEklaHVi5rVU2lKhIBo2Ls0mpRh4pjFaruhsgNMMr6mMeeMsqV3iWNLph58EBLCk N/XpMyV0S5qC+0ZrePV9IgzDo3UsXiXrbuMqpN2+XjumeU4OmY7Nel+lEj4BJV8Jxqz+ILHJb5Xn hC7Ka0MaIKg6qWzeuEPFgGZOoKnQJjkNc8HF1YLIfha3X6XRqfQypBqqRUghjYhU+JQ9AJFvK5A5 xivPYOlYjDNulpAbYl9C8FlEH8ts0xKcTYUZD0BV1aBOHnwKSBZ1S6Zl4iBs0OWutON3XY6gWSF6 9jtsiG/h9uGahQD7QYBQcinK5d5TFX1NJ1vhiIIJRxvq7b7Atx6IxH59ML4Nau1TTitTlYCuZBa2 jcNX1L8frulMwLYzqO/R3znbll6wFYun+23OX7YvSjG65WVTGESi7OJEgURsIm6svNG2BXcv2bn1 8FBXu+yv8E2ooHqkUuIvxngNizwQ8FU0xQVTLWzcWqSZhGWg+NctAF+a/wOCbH2VGYs2IoF3xMel Rxzeq4xJWKI3BtxIJHKvR05rp0ysLmXKoZZTC2GEwOuE42F2ruSANJP2jRQwZ9SjF+v5IALzM2JC /mq010fMd5Iswkj1ORIWBx843XNpjdSiqFjuqMcu8YsZhKG281OaitKNKhmnDMmZG0s3pUxI83Sp yqLgYLA7wMTTBH1qPI3ry9NzZ5VG+tyRFLkmYWrce7k9Rxm/Mx+kyg0RbSPPKUzFnV3A1w+GSyOE oM8CAhaX+e50xN7Ksp3nm1EN1Eqm6vX65nw97OI6YFgioN7ya56n5MpLKpQTMpXju3W5yFL3lJnT goA4DaAj0qbttSf6pCUUsJ+9/Eb6+pVM0uWCPs5S8fkRTqtha5BStB8uDb5NXGECuIYA7+lT7KK4 OvC9JDCELcH0KlEyTL7UJANoJ7xwXLR4Gd4NsPYtDxSSrEFYhtn0ijTpgVQ9PlwxVqSyQ6+0Jg8K Z1C2/C3qSTR9y3PNQeuCr1pdsPN9KO0f397n1sMJ73mOj0BmL7sFXzcZbExfTCFerg6JLbjz2flY k0cW52t+lDaxnE44YaqBGsb3zBUf7RlSSJj1QAflxOpFvcK+ylQAl95aiM0cpuVgI1rQJpGlkXJW 041FAw/xZ0oEYx5+Y1jHiWQXlCPk2r8QIbgGhIScnXZAUsLYC50C9At59sYcYqjOf+86Sz671v+W FlWmkKbnbyT9CyhWn+/S+ZURwm4bugdLN7eWPkvIcBrPXqvou2P1HT9lHMJ1RCtGFYH1Nby8tPMm y8O0aVs7+5LYGeNfkqIRoFqMZNbtGoNGnqjxPiKkcWiuB228LD/MllusL0/s7Oly4Dcrncea91BI 9gX6EwRTH4oK4Ov9r3m9+9+RnnP8C4szlfnSCsrle6G9HV5Hg6MAwlsDx/+eRW2DghZGnNTNNBEd 7GKbO5NFdpA0fPUvtGh6502lKhneVkYNbuzCt0PgfIJLGc1eFOq7NKkAV74VSQ+qMNG0MSW9Q9VN dyV09JiLd0EJOTACAtK/vnkI7orI5PB2hCRDFVvpN9IMcNFQ0LukcwqWiiIWZLPEKNgggNIJUgTt Ok5eIgnfbN0e3iv+4sBx2nG+LilWEuqzujWIkd7rOZdLqCE/CiabKEB7re1CGoW9x0H4Fr5ZAQrk Z9CQcMNDQhTaJ5GceP/BtAqiLOO88wcCMPZw7lEWlAe8GPIjCIFEVhoDJfssrXS4saVhBtel/TlO dXNolnHT8NTTGV1/USEby50WcztW2STpO5WaTcyEETMkktPBYWG8u71D7W3yW8QxDBxyxM0X9xqC GbPiL7FhGSGAVZTee+2dF+Cl1Os1OuO2gU5alx4yYbK3AJYZDfUcSi+hPAh130QA0ifQC4TK69Jb nMq6s2zB7fT4tOeGreZ66/XiSrO/IKqxMB0fHmhFmwTvkiWtUQxyU1e620EnlC+xMWUcnCVM+2tz jyj++ftay4+BLFL0FZC/UqKc4zlHF9q2EHiP6mwNdaZct4VDgHaNYDw1QEwfaYzIcG2YSvsCgPWK oI4gZJckWcTy8+t0sMIg4NJeOIoRNWGYh43SuQPsCOn1I0hN/agbnE9zMSxLI0mJVeIiU0xV3tMx 5r6jdnr1u7z+MiJFOIrT8Rz9X2GnDTIwMSRnsUt52uEL2Cf6Bvq/1z6u4a+3CWwYF5aDPhb5fYRi TSSVOmv8bimtUf1gPTd4j1DQyJdb/2nXju9kyJrXLTGkd98ByINQpGWHpVbYuyQIadhydyAaFp78 U8pbvt/9m7lFbHZmQpYsDeZqrJuVtrt+f37A8hxmAD5weARK/MlmQfm6eH4KoSZCP4IKmXFSmfHj Kan9nkD2s+w2vJUNMZAZV/1TiYxEpf28ZserD+HkGylhLY0QIvL99nzp5katWSgG96RJgrm9+BX5 T/T6WBwuq0NjeWCudVg3MyJxfpYbD3iNWGnLH5JIrP2gaxun1Hqxo4jh/w23f4Axf+tf4jbQBQBr 6qd5vyGVNS/PH0ZgWW77Atuuxu+c5wPIVeOVF97z94LexjolgHbnW7IZbfGtQIyCadvafghLzBdA B19K0NNfxwav+X+8oyMql8TsJrFzvn++muGrkiDd54fD8fx9TZ4vBU9Nhib0KXI4meEM8IuoYP8z EgX3QPNFNrRO7H5dYvWtu/z5XJ/CPUXxl0yhF1juAhwcc0W0VFErjB3OcvLURYc3IipVfnBps+ep xT1UAyF2DgMK2hxEE4iTfN7OiAtXuqMZlRE479gLmY0VTtX/N1rMe+iWnQ5BQmEACgG1Bv/JN2aa wmgPMnXWAIhgROnxZ2EyvA04LmMmRvYijb39SBgJAAAtpIVsxPUKCnw1e1Yb2vQM3c4cw+U3bHKa a+pmBDvl5ODOb5BJ1TqGBI6WPDOT6bJ30kdSgCtwAt7wMZbG4KtPvlt5cZFziamBmRhXcKkcJpWp edXuk1GmWWJLC4MsHppWF7Cyorxwvs8AGA//DSor6BE1sJteWnic9bYu0UR2bZ7Ysf1gd9ooIpXT HqJQS+gVqlounKKOeS2HZrJoxzcvg19GG8uocqZVc8b+/7qqm0zsEtg0BzfHsOpDnNIZgBDrdRVA Oee3kCUPzc58X/jnBXi0eL9WYiX+JTC6aXVjjhzo4whxoPQ8zb3wBnh/EcCKEyV09SxO4q6+WqOd eiGlfEdo4G7nPwagaoYK1Hsjf57KQ2tyNZ1Q5eWzmVMO+zVsdm0BXtNqZ83zkdYNf9VvZd35IET3 SEPtZLOfh3xJ2LeH/pu97Sas8R9g4jRK9lr8U/PDzlV/O28lRntVodWD8k72p2w2aoVMxRIr4Wm/ y2b7+mKbuyrlggDmicA/qFb4D4wtACjKHCsNsoNSTWbHuXXB2bkQLmdSYEySiAx7j6D+9vNLpb1C CqXOCSAK0l8rkiR4rZ6/Ozat2Fr9J4t518/1sGWX9z5UoHz1baAzeCS9YSk6mL/Bdoity+f4S23w 8fFCTrcz/uA0MrHpl2GlGXn8HmDzKE/QucKdCvgn87W0dtIazPzjSegvsCU/rkzD51Qt5poeyogR MJO/G8nBQmB4Ct/YdsbRjCKeqBgn+FnLAUJh6hqzrSSEN/mNVJFgjkmUq3RjlK9HCBS70kdtIqpv gZE9JoujTU2PZZanlpR7o1QEm1LX7tdLrTOuXLq0SIN0ciX6ibkGmh451aZ4MYFcKatolik3ni4j tpvcMTEUX7eewLAAO47s7IwRQSMLc/OJUYsRVFf8F+7+jE543c1bg+MpnETNlWpTEWp8VxdVG35c 5l6qXxwUuJ+mHsuFyxUXud0mO40evtkyttNxf7Qn8MhZb7sNjGeDtgBnei4XFuvZac5C0clAPRnd N8RBRcYk30rDCMXlQ+gHnUIKCuUH8atkh+M9QZfqHawEKbDiMAGeYN32aFR7R843OVN+moqQtHtF ERPnUBaVSkAOuzJfsu4ttCKN5VndIBgLkN7eObNdLzNhbP/SlT/Q7bpKe/0QzLn23mB/6QyC7bzG odEEOigE0bha/hgB4Bfv8dMq3JE1B2+OG4lx/mEayieNsNS9XhBk408SdRXXSYL2W+iWxS7K65cg S9TiW+sxOy3o8/pid82JAIruEPDixBNGpAPSs0AnTj5zCMB9aJvETBCxVZkJzkSu4ULRz52m3Bya 15fgjgfz3wuualUcgvLml5M84Pq1K56uRh/QZ8oSPGlIqSzUOq7tNMKasRKNmgOTmAg6pjnNpvcC +0q2SyBWDcs4DIidj3wK6KXG/Rt/7Y2lw2BI1xNP9WkQ4AOU/vHKfJozdwxEX8hK5xslQn7JEQIB aN/5ZFmhkeTw9OdKNi0nSrkOj69bM1XOD3SliM1PhyoBwknliuKaF46Gl2CNc1bwqRsxWlJDIv14 Cp43bt3d+rUroJ6quPjSDR2d9vvUFWoNSUorVmd6Ud+LsbesUZQF3/1b0OEzJX91FgzsBqyZiWHF IZ3EOt7UOUSzVyx9Xmmcd/d5Kbxw4BS0QknhpLbtuWnyWjKq58m0k8qhuafLTMr6Ec75+obA4wrH DeFgid2w7FDyMcDv0iR1oW9/8gjghLoLV+kLHnL0MaYolGdH7I8PugkqZt7KTr1KTyhzpmp/+XYQ hXdqbmetjJxVOYijeHv+abNbrpj6tH4YWF1T64ZJc9KquWiw7LxWtrN+qOqJYuSuD1of8hOaOVGO g5OAhiXJwaQ3tFA3uVEblA8Fd9NvvPgrMHQpCZ6UX6bUEmrEuY3upcNOVDz8DOJZ+04aBQa76bT5 DPU04kTYPjaj6RstJ59YA8K1ssVa7GOFQvyzIzywXAShuQATBd1TjzFkcC1z1xSk9R0kqlhLs6ss rVOf9gT3jQcNXcJ2/qxH7RXi7OJS9LYvrnbxe1iNA9/SK6YKJSsf47t/a+jGtiZ/8zTB94GnbAUN eH0GyfyxCCYd3tcWyYtYNxzKr9kM9eYIOgGTzo1Jq5i1iPi1byee1jBKuKXpGBVWRAt89q/OyUP6 owVhz/w+vgdM15cqu6J0JJrD+PKXi23SWKX+ufK+98HiryJ/rj3aCpiuI6DlhdiIN2vttZDPqUEs ZIiD2gx7mpbssEz8bcgkZckHyreySeIJ4U2v12wegPmYW4exc+qpZRIsPx9hcP/QI94wLJ4eA3bn VrzyWsY37UCc8HHp34b8e9gdarIw+coT1ukFu4WbMz3vNZ1qdfUjid9VXOickMV4+jrBTEkTkYVk k/vVaKK7koMKwgUAPDpnYbLFnx7RhNpkcvMlBC1Z24FSPfaYu1Up+EqUbUroRTB9rqSItL3dlhx+ 2beSgE1wMUVNPoG8wN/yg4atwD777KNBRjhjcKAWC4FdtJPKHD6sjxQ16ro5dNFF4ZTACNWraatr 8JjL/jNvk2d6WVjDsP26OegDOdNLB4CSp6ML443/L+2CuuQA5FZA4NZvpbWenayx3QcRaMH8F/YM p6oRkcKzwC3CkYFDWUw253L+CT/EPguMGSlj+LzEOxyZJWAkA0yvHXy075MD3iIhZfZ0FHj0XfVv tLS47Uds0YpMuLgNdMYjuEYTY7av/6Jm0kcb/ROkGsIYJKpcbs2BLd4LE/Ssc78jHdfu/l1MBHGG D/DU6jnWcxJeWHdJ92qILUxIbgvFu3SNlJ1RsgtbQpvq+3dPsdf6740G74pe0TTD8Yug9f9t1qw4 hGMr1hcw++QcJ+qAmw0mazQ+WrkSzyBgz0jhn1+jrKgxw8NoL4s3IKbJhqvsB2NDNU9fgPRfLffH u+Xl/e9YAXvy6dtz4z2F1XZBj35oJQVQjLux6drgpiQi/UxEP0nl9sd7we6APm6G3PkICdnjnuhk 45astj4cox929//BMWBaXjZbmmwQt+z0xdlffl9HIrUbmT+0JdmLKicU9sHig99UQG1jyaKx+rPM kXttWdScQ31ln71R3OeOBe/MkgjF28GLqKkgDNot40YMQiV84o6tOB/3Lwm71A82jq6i4NW+EYvQ B6OKZz8mB1jXO4SnB7RMWOigXeqQqyPVgB7ok2MZVdponFFs6cy8M4g8hjkPcqSZNrAldbAOJMIo ZsaDJRfQQYzWm9nuOm/j+e4Vv7mY3ULfVfJUgr1sOFltQ2JeKZ6r7UANZoH6QBNvsINCroPV0yJH Anp0MirmDFFjZzkDD5s3tItXfz1Z/XRajjdLpMjI7Uv2Hhb3Lp9vEnfGTkNLZKon3Wj2VFJzlsKx W0FL9ZX6WBoR6NsaS9yBecVNYMfFaGrv70H79ngGe8ExW/8x9xfMohg70Az7HEKdlqnF45RI+ywC PG0aD8DXUoWgvkHhdMVDrX/FU5Bwty54jxB27qmPwRlgT5qMKnezOzPVhm3RWBrL7ggOuPYlhJlc VGj2afHse1ot2/DrED+jLNChcx4707yItCVm9MLXXC9vzjPBgR/1RMvOM36EPJCjvB0cCyO3nnIh 1aN+2lpddCHbdORXr6RuKBcjGD5Ux2knsjy2+BXMCcCuKhcC7ZesgM0/uWwcPP+qWLtAe0z1Up17 OCwX2kekYFUQE84h+FhFdZ6hZq8tlEmYrN7AxE42X345+IaqiwQCwy/SWUKpbwCjcPQ5KMHZ6vkd 6YS/+SIndGaF6fJ13AMylPe5k+rZVlVXgH0QCygUEqdIGTT/eZCFqNa8v66cMyfHaN93bEZeQKUz r4/cqZs+NKJnoBIDk0qmfhFvpGBf23xGM/X+KtI5+VacdKyH6ZxXY5VksL6PD7wHQjQ4HuEY17Gl G113GVgsbe3OCUEWv0QRWB5KpzWFAOgtY1+jMxBRUh5TzJ/S0Zn6J1gJvpuNJaOmAk+3e/5jM5kg /iGtwcGhX1lK7ldApSzJFiLHxUXIJY4cW4lwCXl9pp0nyx2wvDbeZxDoyuG4S8eiLBr3RNoiJv/i BQzxmnaSk7D7rDi9DAhD5CxSc3Pjok5w7o0ExHVhkJDXyRMpZYXHqucNyq8u00m2w8VlK6ys0A2A fPq5Ag8OBLKZlvOZQ+wM1MqVDNDMVg2TkTJqNsCzxH+RM3M0PyCWBen7wIxEtQIghoG0U04+rrDy 8lvIuA38v0yO919lruVHwdGmlHjDX+K2mU3lP+eafo9ch42UvE3P5K2CJX3jRKbNGUTtikszydz+ VmCqRJVX6aGDtB2THD6IIhEdqVkSTnE7X1yGn0XiORNOoVKRDWa3C3e7zlxfIpyyL0cC8p5isIa9 wzdwH5bn/p7IBtR1WczdW17k72i3+DNvB/B8hY088ii0AMJPD4uIfeuFwuLUwCiALQmqlLvJ6lW8 wkhYrAps7eRphx7utkyrQbUPHrz1rGLhNPzBSXmZu/rSPOBYEuSoYQffKKAB9W2mMLXlSefGBLvl DsG+pW5D7n2332OC+LrLpODkfMsppUg/J4aAhbdFs0sCeGppEDZKL+fp7QHjNjCIhEZcFlMIxWgT 4vPajnRMVLAJ2adew8R2cMyWcj/fu8PMhbpclYn4rlCRkzeu9A+ueK7mQc6/sBoaZpA2+DYfgL1n KcIApBu59++Z/XErXs8+J/wL0CTmIhOPVyGcT9YefCLrHZOYxQhGntPh7AHeV3DdVpXC7yWnbVBQ qAu+PPbvYSySeOHe9XXcqSrj5DOvG4Q0Ji9yoR//sR1PjeUu4dFx/UKRd7P53sY1hJlMNdRNPKM1 3YQf/rUVCej6Eqv0h0PJ73PWxz0ylp+2+WVjMpHZ/T2iDHzpHL0cJT64eaE8RPfr35eWYZLubPIh ro5uSlFczDOCMSLsaiGM3WSiAMYHIMJSkKSpDvngPOMar4gi1QB/K74jmMpfz63ErtunsUesl0e4 h+k5y5WH30utnStZJaIDEFTAdhFq/VqnavMNteeVfkF1oENHPGbgfH0pTkxzG3pmcc4hduTWXh47 J3wSRV0jtrksxXJYi/8pw0EoaKBSV6OwulHnFnW4bET+wQDOKy6rOTfMtjmSffmgSn1GxXnSKMUU tkaB+irZxAXod7TJ3xsAy1RTDGmlGkX7YIf8wQEQjAZkaPB9IlnaBoGXHmJJGcaXehOsRporP+sZ nJlVQSD3m8c3h9D/y2LRAi1Dwb9uIXymjzUXEcd2h9r2kAaKRgIZ5FVyOkU5MquSrpgsfEpJez/z dqS4So4bU880Ywy1naw+v446+mPp1YnX4xWJrgfbQhUlD+s/T4E2pMVeefbqFn0YuMwWZcF+RRXb S/BBWuRMAx/GCDT0QIKc3OcdBiUYWGYzCnCA0BcJvCY9WioG+uwS6JPCcTftU7f9xUrv2wMAdJO0 O+fE6z2YoLzhYar+S9VQYsfpho01hJ78x+G0L3CJVb42Cj6WmFJf5eLSs6Y6gns9wgSdry+dzpMh 8GsmdA03KaiF3Z/sy0aQDnPRqJnrOwBNAa8p8g72Eer7h2jJeA5qnJvhLh4BZUd7SSvaBP9SXpMJ iW1o4CQHJbIVXOHSvcXR2xB7zjK/W3mx9sn3koan8uJWkDpMaFGob7NWSWKci6YWA+SPgGuA5waC ayRbtmiy3WObthpBBxU7n+LJru9yqzpxup2PIDndtWjwJgIQ0QNLyDrQKuviD0LJadTwDgIfKIDy /pPcoo6Px9EKEQRU47IQwnTjty6jq3JRe3pFja2IFCrI2fWHwGNE8aI5hxhwhCtNzGMfxqbLKq0V t/kABj3CBs/XqZv0nd/ZdTsqjy783tC9GaNrOicD1Ce8W/1CiCPIJT6y78wJzHTNOzSdN2o1cVyo oaQgrsLM7aYoNeOzvZ3N9IQoauzqtHqbcHcb2kj1qvW6ZyhgyoDa0CUCglGJDzN+v3jnNMKyuLTT mEkduwAtM1qmPo1E23bzZVMiR/I5yZ/3bQ6ZfONyO6RJJh2RC2kfPR48RWNIfns+Eq3rgdfJ3+qq k5NMkzVxjEtfEl2MHDxJakpCougvGBCm6ZxdPp4BmF0cUe6JpNGrInri05ABW2VzDdlYhAoKFXan lpBEzCtNAl1Rt0KHNMpYq3QNIJL9p4Ikm7zEbqBGMqa1sCc9V3NndLJ6xXZC52CfujJpb1+/JzAr VkfII/Mg8owv1nvR04FWLJJWE+Jr2Bdg2UkaYqyoJr5Faxojhx5pqbDqf3YekKMn9BEPbzWCaV8J qpMEqw/FJ0Wom6L6rdfNit6Cl8ydRy963JHZkXk+wowSszT3ercGCnawYyrmWQT1FRBWY1Z4gPxK couIwHIQxYQA7VrXHZlMoUlE6IEihkhuFC8MSE1eH7izkWlII4MWEkCRh+QngiJZrBzhPzrliPUY QZMF8EdpjCOVn6nqNREzT9kj3h9u2RwrI0eW+Y759PuL4ejDTrOIFLY8WknKkmbHcrTWEW2OtG2x ZuktadsSHXL0YHdLzzhN1z7xKXUNitYp1mD3Z5iUpRsMX48AckX/T3mnftZiCaR9hXkhZyxLFq2w AytU8rUr/8kRUIn295AQilOzrZZCbmkyGlw0O1+efV+iX5cMLYlD+ShFxnWzjv7h7V8Y0skjoN/N iZWiZwxDKyVY+oDruweJgxVWgTWzeqvm1ZGMvxDNvfouk1Jlpcl/TX1U+zugTWT5JQj7ES2epf4k 2Z5FopHXC39+0TPOWVMzXPypFhh3Gwaihyapg+OatlAvGZgrsKzgvR6aAtcNb9SSTugeFP8BoEPN 6xjxTSWwyMxEHJYnsQ864E0LTevIPbCnbOBKwkHu2Cf4MkTCqPQZmQXaF6vfcNiRQjoFYIZXSfId r244zV38eDJqipwgQKmt9McfXbNjQWEKrDMBPsIIoMo8BuMyqsJyb8aRP4PdCuOeUTVHe271Xom8 xnmA03UF4ZtDjpun3zV2beTQ9Bwe8NKoyBdA2eR6IO48jwb41RVERLB7pEJjtz58xuHOfDjSni7p wbIeK5Ct6s/J3UF4djNXRD5qSuUznde4xrlip/mR+UwgG2vX2B9WvfBSsnpXLOhBiATBa2eSAY4Y 8tx91CaOj9LnZ/6g2f5zQf8V23FudIA85UvyOOMLtM+KSHNaQOY8tSXEUMP86Cyl3APjKM9zTQ8M 4W4xYu7G6aVIAtpbMDpBnnDAmqrpPzXfXPOH28SgXVBKhy9Sv0wLbzz0tsMo4KUauAzZqxRVw/VW HM96Bxz46it6wtkkUY+ky1jrDwjEBh59WmUiVWq5c2eo+2Ma0dqHcZgcvGi9KZD0Qzn2uerZMnML MuT1K2NE119s2KLLCR7H4nVGAVJ70LOFoCqiPLNbXRFM4AM8Of2S5/NZLaa2CwlKzKpQWq4DDPze Tlyf1UomJWT10bHz4V+MR6MyUuFePqNbWcIk4TOiudUHRZOEB1nfdDbNxHfsWB8xQAyByyG2tvCd 9Nogy4+G9o/vZefNMcd+1zM3IPaUo7EgeLlP3rqkLadpd32oQHeFi2P4azlmkkpDX/jM/C8OZVlB 0vPBbTAIhhFrYxkpuq+Q5XEgARtsP79aTnIEJj7SM7TBRbCoaoL4k7HuOn7/PEkPO5haMKpMeM34 nZSas5jeNIfe3TF0FI+FaX5otyGogSyDsouYNkdnNftvvIWCoWhSJQPzfpFKmA9gSmFN4y9aT9gG AxTS9f17jCfm3uEFn42FPF22daIEHzB/WxS0ibrAvEIgf76wDa5BAhIpKnfAKxEVPVOfRACz/eVR Ea3n9jCZQxdYZql9PvQ8kq1AF980ZFu+j/G75oj/dd6jIa18/9MG67XWMWMnIcwYoP80/9uwqEVC DxytZ8cekVnMtZJWSCv4v6j9yXJ7tAxO3xOEIPQap7l11N/1UYhFDsmKmGDWZrWON5HZ4MBzhixx 3Byp7MArBTYiIeZ6jozvpjaL0lj3CA6rmVtTZMlUj6t+WmAjvyyQdurWEOl7c8YjuMQt66YTgCmv o7JZRs7x+sVtKYRhbp2n4Y3nam193rmX/8XfozlC1/14nLngRs9IFgmMLm12bnhqBCXSMRTpIspo kIk4onnaXgHyXhPv/wkP2VIMiEqbzO50uibk7blDaAN99MHUTz8SbLhWhnN2CwIwfZz2oRzVCxAR BGfRyu0XW+DZMPHGGzdW9T8NQ6fPHfWmj5xGOkAIfPz7AAxT29pih0QFQuMnOLaytcX0me167BM3 b5EQmfOo5DMVGIqlpHtOV/AKYquDebc18ZMKljweXEg3zRz383GuRaIXTnH6chB7Dgs6Tm7jwxx5 WimHmoK3XnE+N2MP3AYmGrQsW1xtr8UmLssWKQV9yMv8HVZRG/PlggcUal614aEMFZjUdQxL5dFo ySYiBxr8+162Y7w2WMhb3NUs9By4q7Hozwu7c1bgvB1VRryyrsQa8fGmFgT5HtoLxZTONLg5MM1V Pg9l+DnE/ovhWsAVsONWvlwWMhofXj/QqVN+FcWfFTVWQMgEAyczEYqkSStaGcDXl0Flquhp4XmI 7tlGZjPOVUXsQE0IIF3s1LLGPgv658IpUIrTznHzMwxNzRQ0ugjpQQaaWziAco4RKcSCAwjRWu2R Cq8aAnrhBSDRjYSXco1+7Bs0ktG5vFJzwJwPsjS3JNoFLDmvUbniGzVZWKQgUYSmeKsjK1+Rb/mV cSTCaGFioQQHp2mz/SnthcN6Rr9vaWzMYKVwuZ4iQ9ibZg4XRqKc6WSGrB0JkZExyVEC4j3h/a2M 94aol0oebCmNimfcnNcjn0Y8/erPlPMKpTC3v8hmOAnVL+i3Alvag/6BhUhFPGuoDExG2XrhJfI2 +A1gDSv0Ng1SrI/HnEWc0toFAvoDsbroXQRyRnRyQZr1OzrMZEXB/aVt2iV+/5ebaUTyuxo3PUMo Lg5l7d7r47B2Q4IATsmKLdpEUl5rXTor/lPSbNbdyslOoyDCPs05YXGX2F0Q/Wfs2DdalWqKAaOd e5NCU4fH1YiFcOCA7FMwWnvixHAWVAG/en4GeLcELT7h1KigMZfsZaX5XKxCIqI9RYfnizyxoWYL SlN0ixNLkPLriXFrPREjQexyr/ih9CbCJ+abR4q91SpgYWF5jYyE2fvJn/V3sOzbZ9rMmX6khXAs vL6Rq53Xcow/ij6wDaMlqx9pCOVDXZWrfDXLSU0f4et/Q9y+5CINv9UcO6c7H05FCxYTBevJ3Y0x nUSFCx2QhTrxjGwNtAMmlzfzLZWVhhrgWE7ay6ItJZoE9Ofwrz+Tnt3YGfg2arsEYj6XwxDPrrnD r2imVyxWnl/q+5qMbCxpj7lRayROp6n7CUt3r91G3SgTvAGxSn9VWWleMiSFg9FCKl2Ih+GixeKH 4j0LRXk435g+AnJpnDYpPNTPBChyrJ8U943DB4OfukDYqAmgCMzEnBDJMHloBWAqCDU2bW0efDzG su4AZJSYnqDQDwcr++kvx+yZIGaeO0px9feV/7Og/XcYxJXYvpUr4R/0ScYKdMDgxh9x4pvGXopI 0zG+wuBjH//LG19gneEIp05eM3Lo6syGEzY0k8eS7tP8fu1ObYINfvj4vcTSpYBb4+F/9byAM0dP JT4WK8KPFTaIDNlv83UfDinBsQZrqLVg1JDYACeuvSWzzocwMfXzJZcmW0Pm9+qvSP6cfUUG7Ot2 hxi63aOuDKnUnIZcB5+PFiW8JLl2CuAoOr7+ziOswu2t2D8UdqooG+85P3l9emJdi+Dr40Rh77nW Z7s/a8HYG9q2mdeEOnuMiem3vn18NH5cw3SXuMUjTHxr940zYs4o2NIF04k28PW3QPP0DnsDJ+E1 xS/ZQe2v6PkCMth/OVg/PsU+xD1WVk8/tIVtfSdI4Ygs2HoCr5qQyeAR7Gdlxb7CoBSygvS9FshD TJ+9aY4qu8xn1BvZv5LurKCuhmhyKNfO6NGh3PTWwiJL+/cLuPP14RXWuHWeE8SqxRXGQ7sv5eK3 cfQAdcsRQYfa6Iv4ArJ7rl0wHJH25c09jWXf9J2RFWernVGDEY2zzY9tejyejai5qFQvhuryUHcs BZu9Hg1C5xW3HOtFRpprduZculVrzt+E1SVSh6JxqLM5b24WsfG2fJxrwoGDh/ophRQ9zL9xtY3U eKed7KpRV7KH64vcZ0oam2/kxSrP7z/yzMxByJsdpxnLjGEg0nve39Bg2Lp/TiG/lyguQfosySfD O4Ypby7cfywRYyhjwVqnzIzzG4qXmZzW/7OfCTobB/cc8E+917iOaZgNqYpJ/ImSZRpJlx71LARU ggyykzb2REIPwK2NeiLrfdus+FvweJXFlDB7K8LzhdeIb1g/ay6bYrZHkJ3FrsMZ2GwfXctoQ5RK yos0J+DZERA9olFBhlPiPB5KNYVNRGlLFz4EDNIQBIdoLCioi3ib54QvGg8oEMAm0udMoPaY9KwX n/0N8SiD4vupnfr7QZy37/aFzdgn4R3ugTQoZTz7qsjoVexy5Ux8oaXGbShDXoFX96MbVLS4860V uT0k9tojKxNWr8MLi/pcbp1I5kwmcGjDUWEVZe7TmTtFf12aTstWWsca1Ky9+B5Paw3+Xjq+guQ3 geyGJpE2SCI8MOMCQTfDmmwOqPAqCiO+h2t1BIKJuKtqSqzymbPjsZRPP2T8J2uSHKuSy08gF6Cg o6mBrQI61nG1vdJlblY77muVPNm3kSLq4y1oOR48OOPzoK7+gkV55neoxOm0GVzS7XZYP3mW9Xvy EClTXHerk+aGeKd/00pi7Xb/BLKuwXDNjFGbrBpvyn+lwcNWokjzYYKLjOuy1ZJ8HeXm8/VhiSoD 9nct/AVxMHrbMNqiSBfU6u7nm6fNvvO12SXlCQQ0czgZlcBFM2qVedkBhytzsC0VSYoLDVbH4I90 czSK1kIjAiM3CpzTLV4+t4IAoZCi1DyAgbSikZOwwSsl02vc9pTkfhMXZQsZenkjahiYzP/g2Nsw jf4bH7stb67HQeMoP0fs+Ew+QdChX4rDBRG+0iF2sDTWE2Udsq7kp441dlfVxE14jwoqg/mY3mKT WEN0y4Ch2hjxU+fk4s1Dyv2iig4jSW2oc/4Q2/Qo4wY/DR1nDxA+KVy18ubDWcAILwvIqcRFx7ei 5Xvt387Vgl+1sgPsIgILB3AvCJY/OWn3FRYmTLGnUyDhQWmKsr9xlPEKscd7Y4qAr7RXHxDKxrlD OTsTpK/eOEJHc94S0EcJ3Xfegn6U2gsyZdTqz8sXtAW+VgmObCTB48kB7eHCf0kISzvEJKyYKLSd VKdDztX7ZUjJEkR3pQQ7Q90Jkseta5kU0CY0gkVBd5U/xSCgAHAdrmMb0Mu5lJX1YhEKXmjoeUnL 2VA7QGvqrmrv8dyR2Yz70nsucfiPI605GBf/N4v/SqozL8WpIWqU6y6VbPmrxOULGq0hXvof8QtM WreyNJKk94WXHiLOY5+BLb0GyRHnJEO0UR8UV9nqdctxIjBFOWRZX0Kc6gfLFREXe1q3ycEef7l2 ZN22WomTU2V1h0ABdOpTfaf15UNRp7X4JSBRwgy0bpiHyUYpEoSLM3amlYlFOHkR0QBpRZWftsWR FbHnV0cvEvUMfuzVvgkLfanOVqhYxO4q6+PWTmvje7J4qAM97tR26XInPExmMRPt7QPwunxHpqA5 vI58Vx05O8grVdn0maypyx9Umscgv4XbrtPNVtSzz/b8/se0zasPXL4idTVLHimKnYnyKAjVbQXT bVU7adQYlwCt+JnIrmDUlxrsRGKpU8Q+oYnfUCZ8IsZ+I/0jqs4A8EpCHh6mLDAgc0HTmz4eeiKV Y6UgWnD4rPg5eey2+3YlMklfx7nyvSYe86RuTLFQU6RLm242nAwH63P5xnWi20IZUYAYw6cnGPNR 5GZZ6otBXg23fpCSFnT6lMBKa5Vkl/K+MexaaYLFd1uS7+UHICoz3nbHN3iUTq2w3VFdmu7nKk1N XtG9u2HK41YcTD8g4GJNud1dnWOAsCdnJGhpdqZMf1o+2K1LkoP9BRr4q6Adb6AAzKI+OpXs9T7U 7yxsVHxUoj6/7yj+Swk5hkwk01QO0ODCsagwbxgeIntVvepCiGDXAQ3c/1Zef+DB4xRrE6/YCg+N 4ljIbeOkiBnAPZQk9nOl4bJwFRmd0IfHg7DG30Jv44HecTET3Zxs6nuJgqWM+RQ0zqW6rIEJES5T fr+Oorm9RJGc6/TCWFE4MKrZCODUsoHgWEO8/0tCclJIIMCpJHmAEj1fSWHtIggbOITTHBT4twGn DMejm+Mpi+++2VHwmU11C7usCmnQf+dNnOSSFe6wZiKDttt5y7pBGL4vGTYJkaZqa1BXsB480Vmd fnJ5RAZiNGxiMaT4No+WkOU9yyvm9suwqxyJ4lE6i+bm5VeJw48nkNqhIzywLytj7R1p2MxuWn2h ccgRAO+ThRNWUKV8gmpWwPioFMhLoly+4xMyikZ31RvHpznpNxKRnfjPqMkX1EPFcpBWMdC7+2Vt 4Lt+NnD/BcuQErxDecipPsMcRnoMPqbtb313IDQhefXH65TjRmvadePcQBdJx442UzM1wiUotApN fFwh1Pv/1fn0VZB1rWKvL4ZA4fa6qnrI+R6MoH1ImHiSwgRt75oJ4i7uSj8RXUofsDv+qlnRpkpn 3TK6sabxDKJKgNCDRhxVgRtwk3boGrObnZkrUyeAvP8bPFugcXG78zEp+AABvD1Rd0X0Lk/ry386 sp8DoNHuqhmGCxanQbdxO0dGXsKmcBwbSQJNdF63LYHoRclyGsNn/XRxWzayRt+CYf/Y8HkwpWQc mCuqIfrXrcc51hiCVckTsFPmFFzQ9+iNmakToo3aI7DQcsBzvcLQ54eOwMlihzeZFPGsnzBrgusb nIc0cVtV0EzT71gWeWA3/XgCI5BDqRdZCcSvREb1RZnWDAzV9zYDoCX1o3RRiqxdtT/5FG+w+BSn tguWV5Cf8IAUS3IWgqvINXDRIqkxQCf2PLvto2FqkJr8VuLV3BExZBXNpwuJx84OrYQSMk3d25rI aDVlrv2VfTL0icfSlIsBvbWz2GxJEMXiNiaZtvsgaUeWDyClA/9srKz2nRoyinOBBd756kQQeAp1 OKpAZke9QOQEEN6GxWfzRIW8Cu4XlFzAETjIL+vBuDkYN6IaTpSdJtVV+msbIuoNjAbpHB0PauYZ uCTNNhu/5O1ArRGyIMZcYMyIsmNZMWrr/vudHJCBjouaVwg8hXcN5Kj/PDydL8JvtX0VG1LtStBt HGAvEpL5Omy5CSu3uGzQ79qhIF+kK72y1Eqj/VMvk2C+yrbvmzmexxhUt7Bohz1SfrEH7K/dylpr hQSmcolCCtEOdkEoUw9SWAQ7hD5nbghB5Ma10KR+f5K+3bMkpW5KoqYWquU+k/rDnjh2woxI+oWO F1ZWSMXXIHpR7mTkL5SvNocLWPtWztDAErLkWTxXO2iMpwqn+MjqiAEjhtziRtS0hYR6Bc/lPCyF x6rSxP0KndKqkzjBm9/c1Mo7AuNP9c1c1ZDsgvjjlx2wUku76WJESwk2qqnGtZeYWMB+plE/ZUR4 YgX/gn63OGAhc/yUfcixUWHn3teb9mCug30g42VzUooO2t4r18E9MQCYPDW4PwROEU89zCNfRJ9+ P999qrKuxKZh6bQrN8mPnfBfEqjALE7Id0Ik+Eb/AanjZJQRKXqfQ4y8YFZ2xcNnag7KLFillAj5 dMANX7o9PLuTLpnVdaF0CtryqCfue5XX+rQ6vVpQCEoyd0qzXpISIM1oT544+2tZeINWDDyyWWxY woHgWlpqyB9w52b03swirxbD7zHuSxPHUCPbAIBjYQRhg0rnws2J0bE6apdXHIXLjSwaXPIf15a6 p3sOTiyv6jKulJt+T3H/O+9a1qIjz+Z6JLMx4BruZHz+Ao723unrS9LtRzFYkOQsVZU8rlXjGJrY FNyS3Bln2Mz99vmjn6PNT8nlNCmfUOqbqrQZD7t3bEbmiqGFMXIe34i9DDTPbhlCbFjf69LdanmO ZfmBJ81W9QZ+kF4Gz7xc8vG9rrs+HZj2kaN8CAUdan0WNKwdPeTkt2u4KUwmNJD5uYGnnV1cMMtm gJ4UP0bsJ41TiVm9mTL6Jw7PSekx7vJCf9Jr6cklF8bnaBhrSgB5MUG8HANhR7M3s0uO5Ixlcqrl aeaHBZX7JBj79Fc6Rs0LC+0U7x/mSAVJWpw2SwfQSMR1lWHMVrppCdzSAjyVfD09KEvav3pROIqA KrAgQcZOVZVcxiBFzrvAcHthgOZjtHqBO6LQQx5b/Wdnmoy4OS5YrKhrFJC/XRbR0v4deIl9rcS8 P3zHyT0Lsf374IpL5Pg/X7lgU9CFDriWl3FA4KO9to620S5UE69ObinonZhxew9H+U9JhA7nt7BE 29rY4eheF6MZ8lzOkYYmBY4fZYrJh1K5+m7axantOgGnES65i0EcOWHURt9Zgfn9KZOsB8eNnNBg QAehNl60UjDkpzJiPV8GX/6VZWcDAtAEq6JcDRaMdlMtT+2rQ4b4ffHgfT70LgQkq8OemY84drSK TUXYDu9VXqh95ucib2/ebA0w2Ppds0I95GOa3AmP7znBJ/jYQxgNuVEKSSMLtaTej6V+zUhNAZbn 7nwwZQ7aqePeeRjCIdMKBQb82nJn6xfIYdNfNsKCpes0BNnlKpU1BQ9pFc3F+RELe0kXm/cNAhTW bbqxTSFWPB1ssSvZJGUKh0ooNS59ljwPDBd3EJgpdg/XAYG/cBtN8ZeI48drOJ/PBJQpFPrGhxfW jR7jXH9277zM+BQv59hnc575vLLPrdT590G29rEuOZYpPbNIejFPRVSj3KelcGyNJWS2yI9pKMPg Jst+Gw48pK6P/ZwbgjCKRboJ13H+sfcsTZ1ocq/T78MiVsDAA9mwU4MlvcvXjsqjbM6+f4ARgld+ iEOT9vtX0qAup0ueCNJxkV8s1wa8xIYuUvWlyafW4Ozjb91IKIbQNxFHqYSMOw1jCohZQrYiiaoo Og+98E+R/WPxIrOP35HNmCFFgTO5o/jpDvBpXNjB0U7I9v9l6FyvJ2pIjgKfss4NwS1ZR5sXXmVN qcaObCR1puN3mqQYEakv4v1mqADnIwZpSWvrzLWp4pip7WCTBtBHRHhsYrVKPiw5be2rGljy6DeA Y8lYkGTcGAuLjWHhYYzr9UaPL/KW8Zr8DVfc3mJzz8yUbqDLyxF/qGEf7OEEp08gzgwfAChgIbf2 02p/xEAWlYL0SVpyb6U+wmRbeobdy5Bdb80ANcpHeI1JiYWntQ5skb4D2hBd42vLuAQqnd6W8wHk Z9kXVF/rUHuba5UU9VDQklFL7EmydCOxC0e/NgGWKQW6lupZv9ZbFdH0rZyXyPVoYFDXe2rOQCeO fN8Rp8HYfPJ+xJ7D69hvA66r2j9gGMEJ9RoB4LiCsn/R91fdfcWIRnzGCC3HJnjNcK4cduCw+V2m B0KFAh7GNF3FRiDkBBPO8J4R1/9++MxJR3CpewGEoVooxV3aCxLDY11oU3cucKnwBmrKQPnxZI8g uC7usj7gmCF2iL6m4RiCmDSMu6caVVqN4pmG4fjaeEny49wwCLEd65A/Cb+3W/t7sudTJ+Vcq14K CG0t5cKuwZDwXGd0Aog97e+62m9x7OWz+PrC/y+39M7jQCc6ot1WNIuGdHzyQmylVC67warT65GW ++jtas4PKUa7Z4nkeb4+gigAK40N5+dZ88jmUbxnvfy9wTyI72uuc2Jjqyqda01bVNHZe+2tcXYx SRGZWv06tNoTg0psOnRwoywnNhjxKB+jQMg8Lmo6ctbvodQ79LQBitK3jM4uOc5WTcyE/A3vGJwS RYNdCRwufctAcqtr60Svt1GlBN8IIbWPxclMccfERdbe3HHX6ptjLqvkivKPBIMGceIxAHJcqA+Y AT8LIlDLHVQPD1+e7ESapp9n+/q/ND6GuV8p08hdt6bXOoZmwxRCz0w1sSGQNd3E8Wbt24CEPav3 0sIWqMgvlCgpnWLXrUv6VZYb4NRMaLWckkyIEpiBEQ32ZOYCGhO1drSiFpQPBHoggGWab29UusXD 7198s+VYWhSDkB9vl2xiGdxMJMWkuTzxbvZ2L7bkgg8V1LXu5M8u4Sdu5eLRM/Q/RhC0FvCR9Ils 3UyAlPMJ5nKPvZXWkM7m3cAKXDtYSYN1Xw/8SpRpJsklOoMJIq5wq9nsTnYidbjuBAOQrROROsA8 ZyzS+VQ9IirGL+vwUdrVLTENBuEB2xxxpJfOs+/9nfOAEOFmfTOpS7Jl6g49m0XStX7m5f5nT8xf tU1whzDdUnQimkwf6XwgrBOjeIuGQNpK8foS7DxiHwpnJQ+L64XvUuIGNLh+tdd37iEyR0rfW0UV pztBvMRlkoj3ozJ6QWouq1I4uptACoyiVqPakFebsnaw/sm1E6UHU3XQlQLPDEBf37ZPOoRYTFhj 44V2VMALmQqoxaEDuIAped2g7qYVN7sNOJTL8fGb6N5Soin6rD/U/0oVJ5btCfCjlEztD3c9wXri B7jkF7lFbUKBOBdPVN8Fcw18QsG/i+ugQB+uVspo8wdWNf8Orr/CYYWZcX41TJcoPuHgQUZCKA1R Gao8DfIJLymUqnHL1yJdyDi9F2q3lgC9IZTFUHaCmPgGQrkFhGRFLROKB7yzy4CGzCZ+/ALqVRpn heKXyJyl2HQ3WqA/DDCGtNEonzpF8Fdn0f4glPBP5RZl3ZxV0wLbfETfvhhh6fCttJE9m/jE4Qtk bot7eecnq+n0qLWHlI7H/31brW9JFNwGPD3l0ErTUKqAQJzx1D63rqa9WJ5azkRnjTYStSJUHcPm H9vCt4Jn79yAZ0MaU3FAFWgozblxkLCOxa0MhmI79Bqxh3FetrfFVZzSDv631o4SDzgAmcAoyY3J E3bDEt2jYNEM5fdS6/4uGtyZ5sqVN2O8o4dUZH/BVQCB46q67Z4tn0epnrp39NwBSOS/J8BkHoRU 60iswhumQALfZG8pHvFDDA4v03RgpYtBXJAKY71iloLmTP2ziTegPyAVJpdvuiIeI5d4Ry5rLX9/ 1WcHEfL7TkYbKbJkoDwND3fhrEahJm/i5I7Put3rR4dlWCmaFR9zddnTt/Not9odJ7SvkyuZgby5 nRnFee9MAadwKBqkmbCOb5bwCGPZLhqcchHRbeCXrb1T4yJuz8jb38g4E2c62Pp/teSbKJ4UK+LR CkNf5y8pucNHnThNfKY+tqt3rKPzLelK2IVvLf0v0jcrs4H3c/tKHQpmJyO/aS4LWAS1GT31yExC VbF2PQgrYCO18nfE06HSee0fcT6GtZMPbi4ztkmYpUpmTUhafCTEdc10Pc0CaUPLWBF9OZ9DQ+Bt jfGzvNgTZSNZbgqMjkjplKUkx7oyN95KdTOJsrI8ZznzzhHjE5vNFxFC2lZ0UUnSVWytasXfHldH U3X3wcR06LDjF/jnvHrtcDzqfz5LIwuImh2VcadoX/yNEMXoA29X2i4N2mMV4Ih91eQC3DVATKH1 LlQphyiM7FuMGQfOFpQzIqMqzwaLHA2pa0JwtDRfqiO4e7W9VepTcKhlS6w0ZODU7vFd4k1clNgQ pdOqc1TcNF3t6w8rsTePk+EBZp+t+HuMrHKdCRcZe3M+/D762bjjNBjUnR5T9DBRGxDh/MMFluQz KvHu22o0M7DcoYCZouBsAJVnYoQUBVpMlJSqcPfrHvsYAwK7HcjnDTrPa65025QCrkAqZO4RA8Mb VXLUNIJPpO636YIWJyKeVCDIkQ+nOpn9CUrg9Cm1sYqQZOsgxp6fgYUoIWbuFvIRR4t4CWhv6xO0 XzvKgxOVSYSsChu6StO+J3AiBxFzibuUMsb2CbqkOLnEClFgsCVP/YpFvbw405vUoE1jDU3LW++I yVLLedOcme3+hzrj+rDI0CyFtPoffY7501LnAIbSBYe9TRFIMziGFJL5x9E6w7fXqaxBUaJ5sjcA mXuTyCaIUnMS5unbbYg5vIGih0Z93YV8aywHMnV9wIuptnpZ+jWjHOkgrCComsNNisIgdBqigPNr PYaVxl066P+/1IGMoBdgS02jEgRS2rNQWk/G0B7Pb06nbqdjjjV5FSIeCVaKb0bsRYMqexrA3Gn1 XO2GoXZQMypLGONkFqqh3CZj+2FyI3tIIOj699Updvxk4WyhpdMvDeJXCaWW3KjO31qxqpTT+NKc JQLxebkaRkK8tg3lvwjhxaMJQhmpHUfFtWkMPTsIRfzYqXYZR0SjMRYNFFm0PZ7taSYp8mSDeLkG DFl4zClVcWYdQ9iNmJSb99DZpTEhMxNh71nb8Wc/6uFKuxKi0syzJk4DilTlwlGPRDbrQe9O4Kax sI9q+CDXl0+QvECsE3HwGeZwhJQFMJ0jxP+BNVQP6hblCKDwlrQEDZ5GhLuAGMPtaPApLs5pyIQo 99yDDD/mIuWRvHdDrjkSMKAbkDY23hr/I7ILckwsvx1F+3Rl1Vmjv8nB5HYwwqiDkwXkCVV7EnFS boZUqelxpPfHWtAp06YOUhl9bdl6aTQSQDusBw6lUm3a93Fh4n44T4Ydm3YQvQfZ5fuTh2t/H0K5 QS/rbqgo9CLxSBhKMkg195ReVpcRjvNZYVczpOn7fi2g41jF+Mxe/gbBXdReX3zRgZhm7ndehoFs o58G/aI3SVLhsR7Ama4NFG+KkvZyhKrFq0MeflsS932OuRZ/vo1VPnRID5A/pLFMUfk3gNgQoCr/ I6XFkiXbPTLYcUpwrW1GxkkKsvQdjhbT7kxsO2jZ6NGRqgB+S24toxp1MFHBMani7hkSJRLDXtqM oDUiUSdxx3JL4d5AIVsObLt+5RuYZOxFJkYWiBVBZCrn2l8ZnVBsrRZv7tivQdwT4M+jO9xtu4VC rbczUt49H7sX4NenVU68TNUXgXoobJnl7DRMmUBa1sPgGw6/NBduByoQrBodY0xsXydwimmbk+BH XF7W7W5DL3FdHqGLqRih8zGexNo2ahZYaNmh2QWsbocPEoBpHi7qISlCNfCDxSNFhLCBe9rSoFVX XVBF2nux96NoTuQldUJWlFM38W5LpBCC6xk88mqo9iejJDppnyUhlZKkoq8LvrwO22qkaYUordaK 3Gi9FF7KUCn6VqwlcdKUcxSRGOo1YMmXWk4YKis6iackV1+xlOKZYLTC5Dn7jUsQfW1XQtXzkp3R VhD7XV+Grv/5aqDDZrU9rsMKsBr1L+oiZ1ogZB30IEg2sUoUdxcp7q5Lisj0ZJHI1UvaYIRZzX7j rslatrXAx9zbwPfwna9UZSwGz9BafHFEPKDiQu1Uzh500cxZQ8U1U6YjGYK9iKoGQx/bHko80nSM 6KzuzafIL0GHjPs/G+oa2QAMCieeji7pFeZ4O9r0Wz+rfDEipLeRL44cZEepAxd74GkH4FAjd80r dVZ8Z4pJanEdj4O79cl9IPuCY4y6UPORShc4vK6LlIxW8UFVRfzgur0B1633l7gC+sXpU54vmk4M sEH+Zonddww17HYde5rRYD8LgVs9ARrV42lZFg2lRZBw/Bk04Hu+dwdZSt253LRWklwaCR8yTo8K waIWg/k0wpz79PupX3Le+2plfQ9XTNAfAecbA9cFBOG+ASzGvPugtNYNoOTCsaJkDulUbGaLm8aa gaF5ofm+NQpukG1dlzaMGBCRD2rY+NFxs+FfAdgDW0OrBfgytY0aEfA0dW4HhdtGX2stf4+fFOwh HjoAiBPMkGn00iQnFUbB4RloluVLpqC+fQciXIvN4Da1w+VJuGuEtQ8+ScZaC2tiVAEAJg00p7c6 KiR7Kvo9keZPunfZvJvHud2JpjKc6KHJoDaMEVsL9rqfGXH7TwezVJ/j83GRnxB+xFHfNseFNz8w SPwl7Gp7qVAwHvC4cZmFL5GiohAmQ5351tFmOOXmZ01TBJOa3rIFkKZh75hK3LIB+pRXPOOPOXtT RtRuvhXTdLzwS8zjoVumWboO1cphzNbFnF53J0eRQtqZS7KQu40nEEiGCreIdxshGDKQRF2WgTW/ DFWOaOxsp+Lk8sD1wQMRLYWjwEhCeOyFDgSIc1oFwRnSnGFkkIuxQjFLZL6Tv9wTwFb/tu0jL9nj 0+O18+yZibo6q2xxFSP2/Wl/SMYGb2Bx09ruEOE1/8nSRxCW6AtWo0n5FhOOoau9xiRE1iNx+Xsw 2N7Av7mxmDHuleS/chag1wdkJXKmNxfLAid8MELZLkjpO9UJYtO+EjHp+6tpjqDM4BRzLYOHIrkg yxHWGei+xrKdhoPKSNEbuPb8o4c12tFPO/ajyqHY2gKWgyLte7eDlQEqeYI1aDBfL8h3bNKK0q+i RxT12nBTH9Vj3z8K/yLL7h9e6umV7uWiQdYIhb9GacXtH20C/BWRkCWA4Q0WoeW/ZD7kpv+mPVzf TGXpCsWV6VYr/3ypFOvClE5zIe0N+VYD5g4q1P2Vd9aKqFHkOLRdt4ggLmF3mrL3GpuHTvICO1iB 8F+6j0gpn0MioMVHxQdOGS3oeuOgE2kP4bKZiN8ctXc8sZqHWA5pYbExtTXRxcAI5aQrF6nNWvD1 VrFRCvuBZHmN2Uvg2A4IDSFRzoO9pj2dwsfsU6LfI1RGpFiZeKRHBChGTD4ApZqzYTFS38Uo/WpS dKjuIqDFDTxowAYig5tcoyVKJLWaG4lm3K8h/fQN26Ed1JL7Klhv4yFld0uJzvT4tjIWI3h6pvAd 5muQSBPx1dwAMGHw5Ku3Jb5fR1ROW4l0HHEc/3sLKk4iNpTtQmP8RVJhJaKxxc253Tjf3K5OI7Xc vqeA8QdYCKTE0p/kCWFWUo6nGyHWPOgoaF5/myZUtToh28xZZ1+vHoPhQXwwMU9eEE5CtJQdZ1LG 6ozwnObqoN9uPh9vSaCr/w4eJr6nzrAaY0/YQUC20fGZ/BLNFiyzO2ZNqI3nFmRw6OMTWVnBE93P WQHkV1pvno7AGxtl2zFycpOOaV48ohtQfh5OpgMqvNwTQ9FLdt92oiHB4BJZg/dz4BTkJqtuiIbc XY713GUJQyKFH1UnfSMnsmg4XmcHbbqBEXhWBsMu1DuEz7A5hVYojM6YPra5SybWiJkvGAzQpZTG juPtnkkqIgok/Aw7iWWw69HMPHF1wiKCCdMCdXdhx4mTDeUd0nKZ0GhbZJlrkOOaN62g52lEBh7E NClxlsRHL/tAD+jIaNCG61cuqrWuAMG3rJZNrzlz0MbI/ZV1aAgfEVTp5QI19SDOu8+7F/WxENhJ Q8vx6NpRPRhMi6INGhaIwhrFS+01BDjx0gEZjRxH6EH56qa3AMdMsrRYX8IHll4rXs5tDjwvLbhY yTrWM4/ntNcAka3hTipAOPDYKGg7vHZHYc5d++RE+HMSDKbU0KSEvnAAwD4iztts0BiP6H2zQe8B iPFEZE0w6VAkl9q8WRX4OUsH7eaXKQiTV8nB0j6SfKlUBaAkMftUOxWKpQZFXFg55SoYPZD4pAvR V3HDsB4pXT67/eFYs0imXWy4TYf1f2T1qckKcjhyfctBJlfeQ4m6nB0MEzmbtU8urNRT3O32pqC7 xKz/RO/CZa7m1HXbZTYPQgi492+QhkshKSGtRPeoVY+Up7g4G7xOZPDW8Q4DFvhhtuKxQ993cPxL qpS0KHkntAVKcQQytPIIctiqFqom7JJumoNmJpuYWI3AL9s/NNP9RRIkSQWHRI1uG8D5PrDj+MPK zs8NwAfSWkMdxv9WAMvf9FTTYfl5PF0pJfaTyjwT4DT0dY/mJi6FVNdS5NrbpfpLqVM/+WcALgb7 VatLW891hYECjy8snyXt/ENsNac86SufvQ5bn8r/Sp5mDgEusGwI3Fq0kZSMIwwsilmHgS1KP4uC a9fVthJwDjkT8ZZB7JUWmuNI4ZYoO8AedCkZg5nvMzCrMNzdpL0cWH9PEGxghCgAwsg70rvicQwn BT2U9ifHezvQJnrqyepIhUciA+43uYbXnZvOCJ88tUY+yix1H0rlbs6Q6DYSq+lpmB/jTTFBr3vs bex3KYiXqWR86Gy39JVomKMrnJH/GbUUbwm+3nL9qCs3a+FDPQ6FY2K8o2GQKITHOFU0NsGwJg9d nQQutphv3aH8Mg62p555ky/VPLC8h+ljggeT3/ZvNhCcbTB+lZCG6s35ICrE7Rnzo/aVa6x5JhxH HUHtF3OAKQyqeimPZqsQxmIb7pGh4XSHQURhhnyR9F4yW0GxQFwQTGS/AHPAYPzaD4qOcelqKFje 6vjHDPl5945j/sgTj4RfS1NK03PHbYAr3AGfH3N8Mpfv7V/e1APHNl6INHrYZFlibZCELIIbiat4 HqJu8fxNMwE1rLNvaNXfjevJ2GRP+sR9kUvfa2uqrCPzfIWtRA5xWwNs72LmMeGsiYSkxF5eWRqB 0xQGHJreAudJgKlNyZqi8b3DEk6/GfXPqyBLb2Xoi8vMcyNx4nO/mH6QhAqb3mEXiSTIuWAFCr7Z +LEbgiTaG6zobh1HaCu+4itUFRjmjNlXEAhLkibwUbnECLiAmt3qyPPr8CyIHDnOfiSzljhovuCT VPZhfWdr1KDXWMvGu2tHQjB/aWt4yWtHhpay4PkxdRdv9Jqo/bf74Uf/K//p6o6Mv0ZQqUFCW5XD StXhelrh8oYqdjVz7dZaVWnzMlurOUOuRcqVxLgpQwXqJv7QRyoq2xrCpsRvs8ix4nj6XkaAzN8V 6CG+54StIh7Ix3QYJUIFiGujpFDiOpweAQ+TXI7/WsIeu6+RvF7QZ5atrAoslj4kNnidfgjTpplK 2+5rTidPY3mI9jEf3Xme+jHhZvF9ZmyhNsav8glNBxBqfSVriniuCXuS/aEgPUzsIQok47h2n3Hb o6aki9fgw38Z3WUMBZ9xcpfdLJZtdbnOBG31DMRpsfxXL6CXGCwsQ1MVIJ3fFADCZCP5+MKuEVfS Vf2/Rc9HvTjEITyy83pfTXvvHXYAn3IN4AZz0Gc1P7WKweTXT1Dh7ov98hGoDyM3vB0Mduv/zYZi RUmGpQY0lhJB93kyUfa/dgbq+zKLPCpx3nFS/SnMsEcb/E4sX7yhnU2auKM4fRfiLf7jA6Qjbgvh u7u6KpYJX0oQPonp67dblvWBu4CYUicxTNNDynTS6l33R1HeX2+5tiuXkWbk2vgqXRfTA4c7fGda YCu6S3LFF1hPKqgb7CmuY/Jm9eyYSO7tIYNL1hCMXLtYG6RVp97eLQCF+dzisbxRhtkrrdqIfWP9 vqr0rsmesIMha41v0S1ZycpglT9TLGR7/T/HFGp8n8Pkvm7VXhCUdcwSwuAQORj3T5dfP6ViJTHn CHHKS5770ydzWsqwD2vbCrm8re1V8MgFmGQxnsSi1GK4nzQwj77mYhrNtpp9i2ifOniaX45qk5Pp s92enUuaI0PHhEcLwgiZGIve1/Qd3h82xWpssJmRUiAaziOuU8zSNoGGep2xs1k7Zp6yDCHE3gjY RMHdlaS0p0+kBuAv3vHdnJY+Rc1+Ap6bJgswU+htUpHqA6DlsisWdFai5Kox9nZeA2KWzhnlDYgV fTCuXlv3Ht42IGbGCUBf2waV3ZC94TQGAwqCKVVmKy/o5dDrQAIn6gu2g5CW7czAxFkroce6hh/J ogiQoEg3NUR+uBLq/Czuy75O2ysFRqM1W1xvi5jv+CUldJKMH+3BFUk2Y7KqW265X97wj/NbzMts mC9gjmB3Qo7amL5yJF081hGMqH0q1rZWZTHFf9prIPQC45a5WgGo6OW+KfCpcZtcGkGSu2kEMy7k jhautdmswGgKr8zv2wWxTw7ORvfYJ9jODU4fA/QdyNOGEkaF/DcaX50cRdGhvWLwbZj1lRE5df2x +F9kFUh9j8dyvJipciYbuYxRr/5VSYs2mQug8MHJc+WdZbc6ozHVjTiJj+NRnnYjiVmV6QS65+bY MEEtqGgywwrqa8f0HJ8wXkBCH+t3I4RB72N9fvJ/Zo5M/sD5FlHSSQPhAmEz8aWMYn7aViCkIYxr aaQTCmVLuubne1WLm/1xTNHwgblOYdVULziyrgoEc2HxVplkgEYqZIMWL+ffKT7WPNoYHHmAttyg cAivAySeSLSmvdNYvi3poPgz/HdzbYMJncH79OCZ+TiCv6jcjxGm4QUYg6DejR17glwZBmJp73o3 /Oax30druJtVouysgvsJS7QBqjNXalzH7CnI1NcHupgVzmMblDy0oYCO5bkKN+lFVliqSNkbMRzD FT3LevJiawNaaEmE1I9htIXiLBXFhSrG3ToDz3hh5xlPk9ZxIEHJjJvD/Xx6M4Q2q7PiB2MkArpT 75KN6x3vFeGsNZ4qk8MvVFf6XDWLo0iLzL7H9M6JnBMxL5UAc5kG3G4YIkgnrnoBT2IeLBMtLfL3 2S+n0TFCPeuPobvDcI7O4eFpKtCFypHhFcV1tscLhPBEWUtklAoFsROUp7u6OqMUO8JSAwt5JV7P K07FHaZAuwuuyDBboisnCgNwvtKJz/f9tV2ojhCSqr5kRXNsCpuNaa4rusjMJ8hg/UFYuUM0LIjv lLeeJYsH9bplAN+HaDBip7mF8x5/1r+CmQ37Nwrgci1uLLmsw6eN/MmXcirYmNxg8EGEGDyYmaNB a6NNRcXDc/Ui2mkCvlZDD5T+5/RGLSu1aU7bmGBOFR3gfsXC9SYZC2WRCiq4GF77Q2u8fxsnCWUW uIIN12Da8h4R/CNL2BrZ/7k+psMUYyjDZ3xIEmkZDLaSPLnECG9f+XFfqHMev441yFkuq154IDlr p+WEK1JbZv8lyvOtXe4qIgKM7D78ywYETBsypumjERVY9CYbmwV33eAiY3cRlMrdmvmGEhkKrjiP eMOpWna+ToyWVuIuUJGQJ0apwtJJHxPd6e4fsfMtYOzIWXWVNCTkX7Pis9A/OyPH6wPprmyfEJs7 h89kcsktygN4NZQutdHmiqz9z10uuK34y73KNR1jC2+49edCQXCwliV9+OO3dQk/aAmNjJJM96nl Uq+YhAQAAVcmZGA8exoqtDzTnCLIEHmBPuHMcglW+bS8ehA3s0xC63OmWFrHG8yxcPBUSg9FWqDo 4iNZXd1WUGbF/52/ItU+U0rIqHpnS29xud91vfrcehymvs3LJRMWoBmH4Ded7/wiz+6XAOrwjSOX cOmL+6uCNc8GYtv2BpS3PbKAkXl2kdg1Ca/sceGAcgMGgQ3z4uSQcu4c6clatVZF2Ju2on9wBRe/ cHv/vLIw+AhFx7ZF8dpd+dPlvwzcTQJz72XRSqNwYvmxOuyvIGBOzQrAZZ0J2kaSCBqzUp5YHw0= `protect end_protected
gpl-2.0
df9cb13fb99a0a2697e1451209562f2c
0.947372
1.834101
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/clk_108MHz/clk_108MHz_funcsim.vhdl
2
7,447
-- Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2013.4 (lin64) Build 353583 Mon Dec 9 17:26:26 MST 2013 -- Date : Mon Mar 31 20:12:08 2014 -- Host : macbook running 64-bit Arch Linux -- Command : write_vhdl -force -mode funcsim -- /home/keith/Documents/VHDL-lib/top/lab_4/part_1/ip/clk_108MHz/clk_108MHz_funcsim.vhdl -- Design : clk_108MHz -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_108MHzclk_108MHz_clk_wiz is port ( clk_100MHz : in STD_LOGIC; clk_108MHz : out STD_LOGIC; locked : out STD_LOGIC ); end clk_108MHzclk_108MHz_clk_wiz; architecture STRUCTURE of clk_108MHzclk_108MHz_clk_wiz is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal clk_100MHz_clk_108MHz : STD_LOGIC; signal clk_108MHz_clk_108MHz : STD_LOGIC; signal clkfbout_buf_clk_108MHz : STD_LOGIC; signal clkfbout_clk_108MHz : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute box_type : string; attribute box_type of clkf_buf : label is "PRIMITIVE"; attribute box_type of clkin1_bufg : label is "PRIMITIVE"; attribute box_type of clkout1_buf : label is "PRIMITIVE"; attribute box_type of mmcm_adv_inst : label is "PRIMITIVE"; begin GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_clk_108MHz, O => clkfbout_buf_clk_108MHz ); clkin1_bufg: unisim.vcomponents.BUFG port map ( I => clk_100MHz, O => clk_100MHz_clk_108MHz ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_108MHz_clk_108MHz, O => clk_108MHz ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 10.125000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 10.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 9.375000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 1, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 1, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "BUF_IN", DIVCLK_DIVIDE => 1, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.000000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_clk_108MHz, CLKFBOUT => clkfbout_clk_108MHz, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_100MHz_clk_108MHz, CLKIN2 => \<const0>\, CLKINSEL => \<const1>\, CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_108MHz_clk_108MHz, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6) => \<const0>\, DADDR(5) => \<const0>\, DADDR(4) => \<const0>\, DADDR(3) => \<const0>\, DADDR(2) => \<const0>\, DADDR(1) => \<const0>\, DADDR(0) => \<const0>\, DCLK => \<const0>\, DEN => \<const0>\, DI(15) => \<const0>\, DI(14) => \<const0>\, DI(13) => \<const0>\, DI(12) => \<const0>\, DI(11) => \<const0>\, DI(10) => \<const0>\, DI(9) => \<const0>\, DI(8) => \<const0>\, DI(7) => \<const0>\, DI(6) => \<const0>\, DI(5) => \<const0>\, DI(4) => \<const0>\, DI(3) => \<const0>\, DI(2) => \<const0>\, DI(1) => \<const0>\, DI(0) => \<const0>\, DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => \<const0>\, LOCKED => locked, PSCLK => \<const0>\, PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => \<const0>\, PSINCDEC => \<const0>\, PWRDWN => \<const0>\, RST => \<const0>\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_108MHz is port ( clk_100MHz : in STD_LOGIC; clk_108MHz : out STD_LOGIC; locked : out STD_LOGIC ); end clk_108MHz; architecture STRUCTURE of clk_108MHz is attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of STRUCTURE : architecture is true; begin U0: entity work.clk_108MHzclk_108MHz_clk_wiz port map ( clk_100MHz => clk_100MHz, clk_108MHz => clk_108MHz, locked => locked ); end STRUCTURE;
gpl-2.0
6b131e7bc7b6dd8e77de27d6dc4b8100
0.612596
3.303904
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_mult/fix_mult/fix_mult_dsp48e1_dbl.vhd
2
36,545
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OL7I3uUtFH4wX5JuBLRgAjOgqxWyvMV1Ogavi8H7VuD6AuwpBrKToPtIXeqEaBi2nYvYzrdyXZ/p FIOBe4Y+uw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FagLWxIpaXsLlItEA8mzzPBPfvFQeQbmDKLnXMRZALFrTONOB2LxuLWYoK1HR5lK3zA6fKABKoUy xV8HmoHvzOS96Afcm9HNBDTwOKLC0K8JUNXb6HrdQKgLWIm6le6FEEm+VExZeP3dnmVHjj2KujL8 3Cs8c5LLEU7mpuFYhtQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CBzi9XV0O5m/ODDTbSa/Fz6KqmagXcWuFoSRY2hG/442Af7V3y0Yg4jAjacAUmX1fLwOnd3Gm5Wp zM+UW451chsyMKJPUq3w3XjZ9FyCsxlVtrKwEu01LTVAn/yVCwMLbusdQQt5VUUr1KdpSt9Aoyq0 EhwiCiOJ7A0U2IChigLHK1b4pJ97QQtzw3gpFpyXHXKOGColHlQcx2pwiWe5TWbfqNag77gFAn8c 02rmz+NYP4SdPxEdkAp40t4XXkbtUOPqylPMN/RsMQYEd+lGgTxXxAc4kgpW5JXCg3e9AiCXN/nM 7PDZOECedvIi9AGfYX7Gbww3FL/UQ+1gdpFVhw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mqflAxUn4fhnnwFGkronN5PKQSsAsRawfzyiZsbcUN+0YMd3KA7RDpYP+cYl9sDn7S/vWy5Q5FFB KBekzSmGEz7L8E2c6eD6A+lnwS5CTyjzWsHlr1LY2wVoDR2Pvzn9Gz4ufv2oqelSrbSf8+jkN4bv rrrs0FLy1JTzaLe6Krg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ge3CNeIUuaa5YyMuhonbNsFVf1vwdnVxqI9tIXpP9KkMFf54DNCVrhMhGiGaN09ibLm1MmkdabIH LvwKzLALNzh2wHaUPq9lU5kAI9pOm4c7NNPA3jzqO9NvwuIoi8vBbsEKC8vfHioHusEFWZ0TOfhA Yt05QZ4wSCIoTX73ld8cgJM/KpRtt5Zj+24B0OW8ybvRX8eG88Qjhx0CFk0R3sPgDBJ7yaFqC700 vsWzJBMRZKDBz84yf9Df/124qJ2Np5DlOqkaiBY5P/7oaVSe8211F14b3uufBagdASyrqES5ikNR +vOmfQs9LTYhCfUoV6Tb4+aoEfbhB6rvwO2XHQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25312) `protect data_block kT5hfcUCs4pbLN8w20QoX8kPsfxsTqvkdQ4yAYBHTxyqR5k9EnvoRxDATsjafjAakKZvdpbfL1S8 b5MN1S4LWgxL4JNin3wjqQtDjeL4yN6fQziX+o35UMk9+3+UXswjVuUPlX3l5zyx+/FF6vK12BI2 VAbOi8UXgS/VIxEPw6P61dABDDXN6V5DYzfxVYWRrtL2Y1IbmmHpHiuDI9VZ0axdXLkCxOhzmV// x5hmQHDmydEiw1AcBwTrbrXXXaSzJXr4tOduGZ8PN4r3UgD6J6PHWBtxt4kez06yuVs2JC2Siu3i DwPFFfc9TFLMDZOIvEJ9q64WE4/EAzsEbBADmtGR/xRErt7e/RD6mYV+8yCJ6CqJgSc0KqIzwGlr uzXa9b9E7zRz5h98FoEhZmT/Gg2Qa0flN5grgocqE03CiQ1SHiiSedBxTHL2ETNaT/AR71KeapN+ SKzU58yM4r4x1N5pbpEM+dVSgdSPZLIyaY9HLI/lgOvAmugdj0EEOsMav9qltkij9C4yR0qGezj4 gB7VR+lI6SMr/MLrcwknNW01sekwjFjoGAhbwEuLVAs46hRT4efqH7MfwS2gA3deemkAeBw/oPyX O5O2d57Pic8hsMH1uWW9GC/wCDpbXIEYPp82pt2MRK/C9g0SA7mwSoT22cWaOeNDXLuYZhHWWuQt 7VwgitnOADYxcceXJUU0Fq24/m82Q2HKyg87EkC4WV7/W3HcsVTwsoADcUSt5dMFbqnBXWOZoyoj ri0EN/t2r8LhWXE+9SpsJYhSI27IBc5wSow3z3t7h68QuoWl4oLEv7n6yTHDgfkED8PcCf7DmkLf Bm57X6BULa9P/uUz63FDsF6olTXy1CXG+sYHstAL6lmPfgFnkVKQNU06XlP57P7LdYaCFLJd6L7h 3UIobf79ElRcS8ziy+DftlpzSmM/xh6RWDluUsvEq/zas0kIIQD+PfYkHlyBF7LtT6Rdr+8KAMsX 2qzgiMLCaG7zxBeI//hudrsswptwVsTqkugF9+YCeZXuXCJxZJfWxNF0nhQ3WUz3g9BgI50e44IV GuymDsptQPk6PvFAILNTUkvK8f+mqm/qDUC00tV0v/lBPo4888AidSga0nktHWhPCEwxja34Clk2 vXlSMFrR3BZAjcLlM8fADz3ZyAC1QM23IODV4nmZuZdLswrXMSSUc6lof8BzryKYl2yynD5/BbX9 yjqZ6FbJCyJUzdTGa3VvdVdwJVyHjDXP5MzNSM7SFnQhAGZt9xxq8TPwq3+wd/Uca+q3iraPIRy8 uon8KEQDD2ROTulF+DeP6BLX3iU/FUu+ENIW3fvSDDZNMf2HS6ABgZ8Y6SzZNGoA8tAXRVtc7gu0 3n8BcOuQY0Im/gc+MyMTBYlAysaT7zreBuXuvc7QX6C7FLA6bUBGVGBI3Pn8YTuMfnOEkg0chKAC SzG1eYUiIDtFd6bJN+niDc86dn9qwj9XOuPkJ7B4iDGZocX1Cn8d91hAXyogRRFLTqCEBjK7iuV+ uG5rf0FiJOcl1FFFalBuAKbd4fw/qByZznOK5KVB+RED6Cu6uR0QcS59go/MVhzQ1uiSY9g30EcO R17tWjv9NZ5OsFSWsw1WzuxDhfYnvlwWtxWbO1bDZXElhLR/24moKp0NWx6z+U7QpHMxrm4Wp9cY PoTiRaM8ghUWwES766skZwTwoY3y3n1ETzVWrSerIGqAWyZ8wStc2nCxOv8WGJrdA6Dt59/iosc0 GlS6E3L3cxDYkA9rByhrxEbHW8MykTSiQaOy/+w2CZSTDjKYptq1TOERC7LihZ2U+LJh7lwRdh7J BdMj0YHmoXti/4agD60eDAoL5tIXUbTesOaPX6vU2V1tZAs6FHsVURUTP5XIvSkdM1M6ZJdT+vtL qFFOrjcIAljGGq6BVFOQ9Ftqiqx5abwFFrhiG96f/uT/T5wIjs06jnhaJdl7ufQG8jDiz7EPJwTC lLshJTJGWgnwcfsX9KyUH2CA5ifp6r3CFrqFtkUm1r9ayOKn1hVX4B0TRk1VlUSDvxGIfYzqfU3G y/fGIGwJfP27c92O7PXIFWiqcKF4l38lFAJNuLG7iiphyC0hmbQJoywVR+Fe6LGc4Qq2luaMD2wn v2Y19yZA68TEPt1oFKEECTN9C2zqWA+ntoZ29GtbzpvhgGfges7zq4vURg+Rxz1pHvtK/L8gcWMe PSijXfcmWskzSEUBYVhir/f1fybJKkGT61PQkqNEGIbO7CHLIpXVHcb55YSCT/V63e1rczH7m+8B hSR1R3cf0VD/YW9nPI/hgTiFbU7hFeOf5R0tygn5J2tAioPpVHvXobNnVvZ79wUL8WsbOVHn0SJx cKaHDye40XVGsUfEeAeTvKIHQ8l0BLeITGVeH/fZdJY/OxRz/x2gNEKtrv1/8rhcZasoHor3f0em LG6MOcOjqoU1JAUfeEPVKkgl21I5zhd2JMCFt6xA9PJchB0k9LosDTgCpwby9FWsNUYJ0Sbid7Cn WRi6CXzVbuHTYA0aT8F7RtYDA5LTtDbRLxGKqhPbU1OmrXQawMqFpTzRGzS5dMRKioIA5mmzG7oY S+iN+BZ4sdvnmKNgCPJmJFdDaDv1uSGHMSJ+OhvWEdRvQNx1KiYrG0U6vLuFTdQE+xJWfkEXT5uW Dz+nQZg3fpDyGf5/P/bMBhQHAlnBvm6+0YJZ2HtI+aVGhXoNBR12R6YGpe2oVmpWkTMuOBxts424 Rm+ogW0cUC60/2ddTXy47AWv7KF/kfMCuu68rDhqPW+YZEEoO3LIuQrG6MxRx2eSYeW+LBNofdjp SJicmQDQIjn8Zt3xHO2SxsBksaIY7MtRtdwbWRJL3wLbfQDQJR73/jCu2qc/SL5om4LE+JiP9Bw4 HjGVygAfwEvqJjnJAh05ZjpL+AHSo+T26Sb0CR3vzSK8u3K4uBUQ9JacPmHcvLzWM8y025HszI8E tKBAR3UcJvRad5rHnQLcBeSxuUb3h3pGXMvRrluLxINzF/yyU1pB1qBLmE14tWoHBKI5tXij+Huo 0SXMmeZknZhd9LgFYMm8wMAM9srCN11YTYU6H6V4lVVHcgcArRWmfObfx3gpMFTK2vDFeQUoNu8v SGO4ZWyVuuKkt0+KcejM60eWg6V5W9SBhi+Lz2lOQjijfevI0GdezT3deoIr+5+zWPiZ3PSIwnzw k2KwFFTotqzQHCSl1wToX56yWSaKHriWK6uw7JwdegjRkIGEVrnh9lydWw7otKlZQbtux0WumXBF 9bS3Rjpjw622VDbvSB+4YCmiuiKkvHJxuH+zbeAVohrSxJwLzX1DUCzRM487SFhrPeWJ8qqmwbhK TcznyQgpid7D3y3tF8RJ0ZTCBaraqgntiEMblDWNOSuTU5QZn9EO1R+vGaj8vTW7AS9TMxNS/JE6 nZBQJrrg7xdXP5FV410RXKb3/9nCXglaOdoPchFGbYANP71VjPfcRaMW3NSYDl9lNU11kvK81XAA PFpzZ5L4/JD5GCGrrOGUCeHrtSiET+qqW4UF+3a4X8X8og7h/HSaCRYrwEff4zr2Xcli24csl6tG vbdVjJWvRvWZJvNSCkw+wwa1vwQHyMw411+NfzLEdmrj/r+9ia9ooEgODqn3wlBD/HbThvSUprk4 Cod6qHZQmeb1YtoAA8LtJsF0A+Q8pJxHs6kOsBTon+tmKMnHl/0IJW5mOD/aYmUhi1DeIzs3AVPW qEidEtaFEOwN+NoD/ZxAXXoplHyBQAvkPYEgx6HDaw8mNelluSqfur/rOzvjyq0qeKM7TqqOfwtM hRFDIZ/hA9A3uUrX1DSQJd1Gu/6MbcafsH4YIiCu+PxRlrjOoGIfyFH0mxqVgxX/SrbG/J580bWI jFVJ9aamIq/8Ll2kpEn0cbjpz91u31HyKzb2o0EZSVp+Etu4aiabg3QNNfAMulgHG/4BPt0Im3M8 fk1K/MgEybEsLeEM0RDEz9dx1xF8Rfle7xXd2pehQfwo9YyNRdLokanpfbpdM12INxTK50YqWnqU fJDJfWzVvaMBWZaJ8kDwp1psWC1u97MUtmR54rlM9245P1zYOR2uura2Yf4/hlGGxpFVD0SUHFqH DeZp4/y7dBI78GrK79KZCSZR5kxJ82w7eQVDzn22tT840G/wqxXwzvT+B9V7Dx+F9Hn9GCHJbStn Gx5RPKvqODdU+vB3fMVPgYkCxE+Fq3yx+tIEl1v8hefFPok0+GSBKC8MQJtrFU0hh4cbK9HsMkCN IxF+hJBHVWgr4oCmbmW/H3znTBgdTE37QSarpEePfHbuhi3klZN1gwR8Ur34Sm+8YBYo5OlMtW3B sEBV0yybFwwWggEfqUNsGdOYNy6QIsY544RgwbaC0+ZnCPFiJFsBEF0VyiBzQy/p4aJFfGpOC7ru 8ROi65vCl102e3BfUuxGmSAZUBvUByTI4Uis4z1v6UD/828DzaVKkoI7yVnDginqnuidvumlHCCE verIDCVuDMS5AHnw9WlDJqv3KKGz+uDpE0Ku0AheFy3+aCmqUkEeojQcSF97WmHOnt44fnFRXiPe L4uRG7c1kFM1wDS3LfjK5lfB91ThRwKurI18rAOtOheRObtyTT2giO9pAEbi/TbUx07p92x0WbDk kzUVXO1tUM8b21tanfSMieOLvtwOEY0NDReFyTfyWwD+ejSgVEFNP1OsPFoxL02r62sgjiQBbiTb 6npdOjrqFbhMDnhy4Acnqpto2WWw4p5YtpD5Bq+Ho+bO/yRn0VeXEcJPQDPVWWcvNnAsf1+FyLfk s8eCkXi3XNMUH0EY90oHniLcyRoMhmInW4Cin9GoRFvx6NA2pg1kjrOPsy4JNf/h86ov+hpb8bsl LU4BxoI/nExDfYvu3+120y1hz0Lq2/5bVqIJ7Pbn8CcpXZpoLfn3rigzXV3i8pdTBY0WMIrn5wSm dNqSTWvZ7x5WK1XwxdqjVk6Nh4hyNr1ZbM7lt8myTF/Us04dOLiXP6G9TrwqSLn7RnBlepshoIqy hYrDOo4X4yc4DHxe32LdKlsCFcnxidhViSl/XzKoIEdtytZUZkYFsLRPR71xlCvgR5OQtVVjkHAU nZCTli1ag7xtDNlmBbbFBCwH5d4T2T5Tn3gr+3zo4HDZp0VXG52ShIgomKtG8VoQPv5MX5yW6nNO dmbvWoofNrprCFGZzjLPpuzYzWRdkkGJmMFIwREJ1ukX5tlWJrHp0Byta9V8Txitvrg5r0POxnRD MoRf3XjInQ25lQ+I8j/SaUe7mehkV8CahB4Hj2oxD3X8f0Y1U70KTwE+OFy7+JW4JeiAWwJXShyn iOilQo7j5qB6g/vgLJM6MmNVwiG3RjtodzLxy6DI90nJ9wCU5uGFrzq01h+iZDmMpxOfq4t81RT6 Wx2RsewRpJ6VDLN5pP+crymJTBEs5ogOW1nbArGzU05G5/czIr64zB0IDxBP8t2GWYel2yTqJ1DX yl7mU00KyNHbKyxFFLa9RXMjiE1eQIMGEjwQ7DiSavyX/qSdjLlHKaXKFPg44Ce9t+U/sujmMx/B DFMObO4ymx9RzcDHAs8lhvjewOY6baMC4YarApP+5k/sezhYOP+xMU8b0DN+LSWtOwA/mhcL36aa EK5xGLBtQ8QxV3sEOVVh0Bpnp4jjX0bQcCUWRnBwpwIeirkFsN8Ofy8Ns8I2ThDl22K65AXcww85 t+48U3J8wfPu/HghpRx+vmTBzO7jprjPdxlwWVqJ1zKEH7Z1IRryVSZeDUPPpt5d4O8KqrU4l8pa XLVtzYAw5IbtylUT15TF1q6uI8042Px19nrR3UrbQ3NFsjpD3/FYTSFw2V8zMa+E1puYadLt4XgX opd6ZLJVYQ7lue+o6ZnTB186sUoH7JTbRWvZC1BdNbz/pjW1XPUD8qA9VBmNl81AR2DmYyC2GbG0 p+hZtMceYwYZ0X6MQtA2xq39JDQ0gEgxSBsEx4G8CwtLnMlRGE/GH1pZMgwUT3UW/K6R4Mx1AjL6 D1dlmRGTGZgKaYLNYeU/0WWQHXsbmHuWbEyzzNOozJvkIbbYSaIDN84xQV1ObZYxObtfs95DqStP kyba4LGeituQv/UOm2Y0CcW1Da8qTYPdQO2uY3119MJwlTopPNrmn6StUXWCpjAdDrDNMTt9Ovbh GonjDw0/Bjuvn2UG13PJ4yFcATAO/FoJvXCTmICxpYtVF6svq8GGHzfXqCyh++t8EWyHH9yr96fu ADxaXSPCm1jdlDWsVaRfDQf5Jy+G+Kv3jXFnutcVHsJzWWxsE9mi6WLiTkJR8eYw136Ixs9eVzBP LoeIkiQV0S1W5e005/nutr9IhU22hYog6GYZaj8Hou0fOw9FJyNFjxVxHddN+q2LJ0Kr4R6ah01A 7hz4vJRLfm2Uf00A+6C58iR3C4nvq/C9hKAON5fCp0G/IXfL4enjQP1ztsKNvZytEdlQDvMh8l33 xZKP7Xd6nS8VAqZWDKSX9jBM6gLVux+Tsa4Vi2WfFzB2sm/PFQMvxGgQGboHk6V0eUsZNIyRJV/K bUf2wgpQ9EyOc9AYHwq8PHiuWd7uu+1+lxLbgPxdlNn+grBDCk8PlTNZ5N0c1PVUcSXMhWUJpij0 wA8wZqzOYBt1bSURq1DyPLIOpJ0lHd1OF+HvhMaxWk4//h1MNT5h+IT4tmfOR1KcK/0Kz4Kp1xCG nxEfyX0nrl4Sr/FqBv6xdCYmsXrprDiLfAdQq0aO4PDSC6Tgz+d7ctR/7/ekIbSqw4DlFnhOaIa4 OaOzKZS/Ff9n2zdfpmvEa+XkSd14ZEpotRDDSHKPCAGc1FHY0lBLMSvab0XTEJ+oHKR6Sm8BurLB i623Py060XZFWfsw1j0izekhx4LZmghObOiMUiKg2gAYllFRNqIi4qwlmQmnatebw1rs2wCnncAz VwukWYFxo0CUIKHQMotm3UJKp25b+jpCl4XOd23NiVs1e5aSgyBS78UW2Ensjo8zReh30x+sVN6B KYHOWAC/xH/IuO7fEWRd3nw+SUwI/7GZrdQuBtqcMxVyrWrNqaOzV0gQneZwlzWCOsdD5kkByamF 7LmHo3PICbmHoMG/Jgy4QND34mblBLg+DztZcw/hOwTYOsIJ5N4i8QZdVHNyEdfdPPT9qA0iLGBf PpCoXVZOd4nTmSh2LcWzFEDS/WQ/TzgJ1YhZ1s/bu/nh6X5WOFgij5T5FW8bsxjSayuhUCxgKkAJ tVZps9iFESDbpRxtBlIZIn+9aY7pAGF7QRXk5OdsSG1S8u3r6uuFzh4B49kpMd3wVMc5bYV5phfp cxYzvO/f4sbyQIIl+zKoCl8dSTT0v9pOS0ca5fFAq83Y5L3wglzILfUdbPshKTSkXRfkvMnCtIZf 04AF7dQ39hSmxp4xKCkRSudUcid2xVsW27uXfAChEMxINwDV65yb1MedhMMPEoTX6l2MBnWkIZ6W UxSXU8YvdjlPoGD7vwgTTro2SAK8QOj9LrEBmGuGHtmR9OaN+kU0ksc09eHCpVuCg+t4fCBZFgmR eNQhVZmrs0CcAeO2LqLoniyuBNHb9y+nPr8SUHDGVOQN2wdhWczW67VlikTx7pkQQ84OFjPqgefj azsETQKgm2l/plr6baXSN9HfjNEOHgJaBKz6YPz+ATqfW8jgqI7J+a2qWSOZvTk6WRZX4R2AOUFN Y2rwH+JkJskygoZwCpUmCGF+La6jiebP2fdqphtfRg8BJLk011B9AifaS4hbiGYhYJ/uS8+SXjo1 MCxmjDGhgg9QwyyVDMCzKTChleKCGEXWRAfSRpzotKt47UEJ4ZjsxfZLduXszCWuQQwJXfNZhC2U 5/ha5AsGi/Vp8FpSI4LYYxwneO4BXPnspwfuXMr1UHqnXQ3kURUhaBooVr2E6ycTd/6kB5PqVPkI /y9cI2HN/A+nh8pHpDtaQudEV+/b2bcGKmlI+70HYiEiCn2wZS9QT9LNpO+cnqpJhVMEb26aWqGx 9m6zDFLDIAPUzTKD9LxV2WuEfS8Zh/gNdgs5w/1CNogf3fV4uKfz0wWcmduS01amo3Vm6xvZDtgg 8Gde7XSM82uRDRwfAcKx0rPvhLATq2WNuDhC0SRTueaVOCsV2bWYH7twSAH+EigFE6mx3xyTq4oR onZZ9sRahhSx7+9TG5hBJaFfRWS0fCu5aNSrszGwo78LDfd+gpSdeC6Z+KDeIQDB4d1lfeopqa+0 Pou8lSTbBdaP5DZYsWybItXF8/osfdhPboaBHOMTwMHo98MrwPhqIQlrEU1wSIyRm4eyDS42/ig5 XBbwYK3Z/53FKiTu0RqeZs2f1V9INMpXfZrv3mlvX4kdaUCktmB4XlW9lo/UclkVX1n4NLi8oqnb TsO5AcvT0XaHW5RGoK2xia0Xfi/av0OStaNBYnI0gxAnMNIECCoPRnjIAf4lNY5IGkxZ8nEFDdJm o6aGnOyYiING3XNCxNMrXnBKFFxabMrUPf2eiaeQLtITzqdEpTENrcwPf5aRPV7GfS3qqIu1NPbK Y6eNYS4UQgBEqDLdoEuDNB4mH7n92IptPYBwkkzrybq+f9pCoUEzWWC812U1gEUSqOsRJaXKkbXu DhbjgjtMJRL9A/CQeWR0/PiXs0NXqvEqLRrTjee7aiYZ6DwzKifHPFFphBBuX2qH9Zu8b5DJ4eIF UwiR17s+KqZK/cRmlsuIkrPNj+CqdnF8Wg3Rm3h4sJJsS2lEhc3G0sz91jMi9xadAXkvbJt8rigF enHfCNHEwo7I1KwwcZdJNFZmWHhW6BeIwTbxYISBV/eYOVkFrkHAvU+2a+niOAGaPX67l6Fe24bx a3z+lpRLucWYD3QUpNVu3RPS5QFBYZY/SyHsc3AP5Mp0kBbvYiWZ4+f1e5j+hGSpGxMA4HBLvAHj 2b+8xxjpSXJpqK7tK7OGBsOd9Ebo7Q9V/c3Nj3/uETf5NGLwO1c/4cgsopKpUIIhTUjelFttUg3a +nanvTmhd3WGiPjVvCeYjkrEjY2gR4N+8ZTwXeqwDiIbkingFr22N9XN76oqNpcmUwJ8n8vH5o2u KuxgaOEaccWlKBQoBAlIuNSnr2nH4RCdUlGQqX5lrnqUWonAP2hMVtP5AYUG29S6kjneEnjdZq1l tsMOGRT0lsKJztnSRKwQ/kXzAB+At9Y3NO5iGKlKQDLPCouANFllr3c6+5clS/RHRdPmqrvBmy7t bXlbMguu4eGBAyJyZH9q9Bw7p6cvd1ITkWNaQ7FtJa7eH2w3tsxT4KbNT1yoJDYcVLITsgZ+rv72 lWXxPzDCB+0J2+1UGCSDYrCp41tHyh/i3F26dVD/yJ7rmrYUWz27TQgrzFIgvWGF5Av6E83oRDoE 049t33RkgwJ1LdD+fkFlCdkNXwiZP4biuN3cWFxcI/nhFsJvFNEBHu62gxmHA4N8JluU6H4s4t19 0eT4e2xL/eP44ih6IyzVnhTuods6lrIVnSb7/IpST0u8Q1kbcfxrx1ThRUTNv0q5uYOwdieJ2URd K3d/t33z9REKyoh3aG33rkDoRSv7GvITzgnH0qG5wJBArCHJyLab3Zd/JRHnxTKfupIHP6niujoC kREQhNttgTGjO/KFYs2mPt/ju2ni5A3ZZmMeQx6X51FmZHH7///sOcEqJQ9R0d5CpkLdYwJySziq CtjO6eID1KoBYqv53oV6mugvgR2P3BPvvDzL9FqAghP0aPMngTLOlpxcGy+jJ3Ju4GtIdt6HBBRR 8dUdm/z4OXOLW6uuLIPrAC9eVMLvRlY229Qr0DGqwM/WB26xnfn+4v7GiE1Tljaw9RSB8AMsvJAr 6jchYjOO+6ejEORzYoA1kHoV6BFiS1GZmC8Jw3fUoEZZx2xYX7pb73gbTQaUePOY5xi1b9kQmRd2 06Y1PLeWEeB6DqWovQmKnAce5qVKLP5RPNAdp8uwHA7PwdwRZwbrsdXWl+aiucOwtf1bFXQxsdgA tr3gcJ4qOHY6baok8/Bte7TPcomOIRFAGJA15wMX0X6HaZmjIei5p51MxbXy4IPROXLg5cXZ3RFr QMDkJyP3X3AQ9roRzU+GAO4VDBol/LVe5trX5nGge0Fog2T2roEsc4ywd2ypZ5lMwrfHzSfFJ7Go a0EKCCxQK+RetpOZwrsPdzltbN5Q5GkBBJ4rD1LeiqOOHR+7DiwCmFNjtGkdef5vPE6+bS4hcF9a Uc2HBThQh/ACU73dRPR4H9iG2ccKQ44Ll8ljYOXazZcCqssneKI0Km1NsftA9DxxXvxyfk/9oMsX ViRw0Hqt71oO/IMa8ADgCprgn0yEe56ybI4nJIV/pI/4yu467vFk5qg+VYiXncIHsbLaB+S/dXDE p895Iw8f29D4jrvM8fvDJetFR3PNkw5q6HuGNnZq3EMq+FdggBKL1D44D4Y4frAZLP+dUyvmhwyC tEteJe1UwJhXGfZnT7L79EWSeb/n1/eWBllr8iH4hQXvlUqKtx4dl3EBbD+jR2vbwAjJtQ9pKfwh yp3xwYqzgt/4qvBKCi13dFDxImdbTm04I6Rz5XW7LVTYSSEIGWC+Pts/hj64kN/BjSUNmBz+LS4B /3F5wDAYiKlXJHEHC78mEpaGW2RSm5efi/oz7u0b/pKOtjIbL1Rh57TBXz/yItbcuSr+8oTP06TU P3cFcVvBmrhmTsoPBbr4TdHs4CBURtB+u7VzqqBNXJLh4q/VNjZHu4uMil/3R1CZ4Y7/VoF0zuuq CWMl3RbbPkRc79i4IgLbzNz+zmBE8RoXlInVNDUaC5ZxlHxuFKBp+zCKjguUHpk/0w9aVGhv/R6I hN/9/Ru9l1ixsgYR4cxLVTzbvUlOIrGDtCOQ3JSkACs2sRA9iAOZl98iyG47KWxzvVcMIRpvw68O JxpGSm5e+QI7y0SYX8uVDgm8NOXrkO5l8R29IuMmczI6MhaqVTGlqSyRuGElH/CnFufTNlqf8auN hIL5j3kSYTTbHnXcX0vPsJCMWjwKfxR+1NYBOHt3D8YOMJS7GzXuJHfUzPZ2YJfVgUN7rjQY/jYU M9Sw7kz408CxnpL2AMhySuJ+a+m5BoslDRO+J+Hph+ydmKBmQ2ryG4Yu48SNIWpX1aUQpFv0u4ds O/Epd/YA2MqKJzyxNMCzb+PDfdUJK/KfZ0Npo8NTUwK6USGSDVmnC6aiImLBHGBa/sDSHskNALeN ZxwGh3sBNAhpIMiKZhdVuPfMHrhqutm8Ig8wT2Z1SaaX1pbJgNw2zh0aMWAQ6tjSG6h5zn8Tt/Xw IBppjRug1ebzUv11DpjDo7BxwYDuQB5bMbGBXBy1YMSU/j5bI3noJL2FyfGM+36iAylxRdml9VRT o0beY8Umpmrf+hoP5B0U02Y77KT3RBTav6FJiXTyRCcq01inobBF1TTmlAbYHAjIMT55qM//xHAR O7jHIHd5eyPZ2xIbgRkTz73Vgxpa1YlZa3kzLHs4VnotPdoxHNCxGUOHEf2nxVDqi6EtDWP/X/MY Tg4teptSfIYD5lC2cT07kvh0+tn6plXGX5C0LIi2RXKcyjidVPbVukix6CgDXKBxRcFVoxZURnfB XjWXG7fkLlXK+xTjrcSj0uOffA9fWSBk4fVEzZc03/uVM0vXi/xjpKou8RIL31W6trhdDQZUN1vU rUAEDAkCwKuqQFTMW8MZjFDargcYbtP+jMKWyPdU+0K/KMdsIkYHYZZ038WHU9uEDr6Kq05JXgzD 7vf3PXjWNdtIq/NiMWEe1fc6nLaoscktlM40BmY2CSzP+cVfn3pOT6g0dSMapeFMhfhhj7uFg9ul S5SHIY/l463XGPpOHs1Hry4hixvyYFrvG1dESZkcS3z/9B0kvrWjgI/yBdq+++JnNl6D9fJvvJhb NCpwwOZqWS3M2Q3GAF9xIbBayOR+RqAfl1mBvOEwjCqOMEflShyWdHUk6KBSgvW7FCawjMFdEaL1 CUhIyCMu6uJjCFPYDj2emeKQfSKMIUAIik1BJ/oQnKp1ShePXE3MYO0d2fE7R59m3W/YmirVIavy ornrLJXm2boIN7fOoeV37BiMtDIKubqylVuqJHNULGYLTC/Oyttb97CQd+gQ0gGKfCPquO57ci3q crJe7cB6m5ny7Euz0Q5Cz4UXYHXoxVhaiqwgf71TVkw32OM5q9C4VqArogHhFJDLLBA3IQwNBSu/ Tz36ClmPob/TCt5/fNpmmIXVzbl3xwcQ1kZQMkb0rXrxZ6YoYzhRw5WKzrEi7TeN/t9r2AHNiY0C 1mXpqEkk0OUr0t+MBrweQANlRI2cw7/i8aWD/FTE6mJhejxR1OKXlvE/TQmsq6hp/B8axlG4LcI2 Ak2ffLTq8vKGsgJ9Qws5aUShhYSUGJzn/lsGkFA6IvdSQrPks1qAv4S52iBDGZo3H9QKQLQzvGzi G9X4DuLa819hA1ejqkXn7F8KusZBoz9wCHTTuiwzd1A0UYoxJNmge6guxu8NYOH+4fio98wdnRfl ooW7vFgPkiHgJQbjP8nOgCFPRUJa//LUzJvH4m5BShc/F7AOQ5PUAhtg9NLwHPBNAtdS4RYHogvX 9X1etzjgc0vgpbTzSmDvkk+oqnwJ2bVmKzwB9SiS6qmk9C25AUDlpww7Jhrc7QxS0m9+8iGn3TeM LMtg3+serkzlkHXf9eeUmpr0gpsM1MhMOBpnQD1LzKIgx4J783r4r3BfI0csbkd25JigXUI+RkMr OHj5cd1idAOZ7FW2QQ8wyOkJKxs/Ekdf9wtXJ6ZLtw7PJ8TkiV1H1PfX0JKQ+i7TlzVj6g7Tr4EL TwJuRzi3dtLMaxmRLwY4/3AHsE7WDQnqAGlKu73jVqTtc+zhcd+IOMsNidCHdwGhYuixtFVC3AKa VVR/KIWvX6pbdPquJuCpSFvdc0NfuEoHySkNgkZe+KRwvPJ5bUSnf42dmL62eDZmEbgV/EcdRLtX Zh27bE4SBcqYBAcKSLHSvjTE+mPO646utYcfhLINbZxQt7mn3fAvVER6czNCIcUBhl6jFBm52TJC Yavb6q70N6DAY/9Vwp30A/qs9uGaF1RM//Dm4204YBD6aDOYhS5JukaugCMJ0LYYiAbX3LkUrqhq FOXAKj25wBnRUrh9T14H5p7O2dJiPJSdS8nP8oh8Gl9QFhkk4Uzl7+cREF+XYFwuPOCfcWXLh8XX wJrVlV9i0XWPdNlqYOfgt+dMP6IjuFiR8zEOV0zJebF5aM5lDqnbkLbCHsz3PyuPGrSNpe78INQs vYjUEexpcQ3NGFv5Mq4hc43XhTlYkhP1pB6wy2FeTvPNuTZrjFaTVrbVEFFHu/ifs1dKc2p/XwOL NH9CYDWoUnStJuKCAGM0rDQTr5uVtOj/zFo5hZ8BOoZl3/uk3vbZ6eU1xG2ORn3oXJenSZyUORus FyAuN8Y4i5XmkfHelw9RRGLtivOWfGzSRhoyO4TCof5rq5CaGkAVH4k8xjcufTAttLP/WYDfwlBn lqyRdSkqxhb5BDQZiV5hetKRmnNSnBGtUMnyu0P7LwGKMFeorRnkb0nYOnUM93vIFqf06Nu6qS2r ceGGydSm+WmLv6cto52493oO6U8vKPNfPqBbxT67CbFaMPiaPiBaWjGltGtHx+ohgavZwb2FgODw Vc3N3ZS+xiqK1CT8JRiLxqono9mu8eZdFXP5gG67hbxfX0TH3ROTeNlDQichT/PezeTKYetdR7Tg HgNfl018yq7fOdFp02hpxMtbtcrBS2UZcOiyK2hDOCS+CqGEFOEzGNi/hM1tBuJv/yD+bRJNZodB Ww7cE5dtl/QF4pb0IOIs/sCmWMA5Ulg0C8CIIzCkcWURpHS+y+Zu7Af7FrVxCPZBwreYBPtD9v7H 7pTvwIHTNSZW793Pcf/j6SNBPuf016EaiH0FRLuaVj/FpabSvQcPPJsDrFs3ydW5DdzHwcasEFh2 /ZZ9oxhv94PoswjRAebUKM0CB0DoMhdsoZMuYigY073ah5clQYaDAu7g+UpNI0t2FRa8EZvzjB76 kimjR1VafU6ONBrAujr112989pzWLgnoRFIXjxJ7y4EHgiYpQ6KUcvCsdMPmniT1Nr1TukGu+ijO Vz9lm+a1z3vfs9/cHO6xH1QceYqFlw/TeT9l/mSU8wXb2fBj2VTbsBdKUfu/vm5c3/lQJfZQRpo9 vT+y+5RNuwVlycpiA/UEcG59SFe3Ds4wsiL/Nwrb/uQyqwlvEbYzzU1V7ec/cuqgnB7F7ZRt4yyL NbFHqbbM936ffE+m4lX4yP61Ax7y/x1czrfVQ29/+pEf+JB2dCuTuVN/V93e7conooDhvA43CWV+ y1FawbuKacYfMH0+tlw3VoveA8Sg9hLLXRv8fVpFiYZ+Tw4iGtR42U5w0jaiPbKDo3jYI2P+fcgv 51CVW18pTmxM/yDnS8JxamlKpVJ+qkda/CmoAm2DPehH5vTViNQTRUp9Lz5xVtjfQ+NpDQmOc5M7 DaOHAxUXt7c/XquUR99jQPefnFZFhedNyZ5YZZokRF9zcsat2kK6xNN8eX6oaZIfp9vHLjyW9CmX eQ8KxcDynryojs2yzBvoj8ynN5NYg66pF+ryEvw1xxB9u4WZO+9jst5MzgWllpjfEpTG++4En6y6 gswNE/xN6wy7Kesy1Ornt/xAw2+Wz1KNvWQHWIeyqpEsqIghCp5krN6f/pHeec3gAB5WsFNNCTGj 4g18hzoI2mURqBFxp/8yMFbjEvKEbxFosGJqq9hrAjChshSruOxsOvaxDvSQIFeB8oDw2olrI5Rh 8V3myoDBuZrkd40Eo0vs8f44P7xGhEnLPT4eGS8td5zEXEz0QXrcTDlf2hVXuFbE+u3YbOyWx77s P8Hf/VG0C0xIlWOZIXbQfKU8uKbPGLyJBD5v1a6/5yaN+ytYqc8lrU8eY9X+GH/TiAEmDzOesDtl oZMs7Po3Be1kiLElsHQUjcq9A/xKAZVp9jxgF5aDa0yPvMya+1Iy2ESvbcZalqN7Ol+W6vij9m8j CAevktvMFOGjeSwa2PccgbAuNxqJENVG1j7bV8O+GEMnq2zlAOFG9aWDTlzKbp9acYoti2KY0dJ3 mE5O03SYMXmu6duErlm3YKjUEYDM4JUzEgwX3yQJEXG59Ra1wIb8LCi32h0iy6ggLlyvh4lcPT1r /ZDubKybD+afGw7q1B8SG/Glg/faazGiUDeInJa4hSfW2yKPRiCnQBhLcnaNU7e+xaZHUEe4ZsL+ xSd/IOz0zMxbOux69855RO6RXLudAXh/WMnbYBXogzu3clBPABkqSmxnBinnyoVMeOnLlS0VfxAw ngHQR4u4Yk91wuZDqa32/fCc5l1ke0yti0IK3T5PN61Hquu/WJrXdmnLbgCWW1gD24lMPy4Mg5r5 Rx0AHVOy69kTjgZapZhx4wdbn6jsMgi2makJcUV3NHZEB2TBO3giJQ9iWcPWqF/o8WgN2UnDTTCe tWbIVoq/b35D2agNt2TQniy+StDXRaxGCGuhtJ72JpguqlVQEfsqhnxccFNA5Q7jp5fN/oU2/cCg jzZ+wMbGSFwPXX1Ks0B7JRRRVnRXhauzufeWaTLdtBlmMu1oT1ylqurVsTy3MP8tHPZ1pb82j93t j5peE5nLD/E1TKf7+Jk0h9UBUgK4xQYNbhWGjUIIgu3kdhKqMR6I0zO7WQ9Nc3W0uZ2Rg7dQI60C Kws7OFfGL89gH9eVsdSelG592jXdlhItoU27ETN+EevgxNzlpwknYGaQxY0/HS1jaLf2I9j3JLO3 A+9QCGxNFidN4snFwGpdU6aEsmlgKNCyvL5XTfEhzMBzeNWMyuAaSi+gEvJkzySxTVvTQ+wI3LNC qvPCELX/aXn5sZXz2+1dQq9hDrpyVJmQGX71jqZVARhKT4ot0ea1m7ipQuvSLRsfCJEmDtBpD4Rg 9tLGf+QlXN8a96mr4771X0G9LhMNoOJu8Q/30a0SSb03A2eLjHPB1cxkMllZXWxmtlUs7CRuQqjQ dv6rt6ZrhimvawpztxcnunrnCAy/yp5g+XbpI8Y6hN+AXvTVP0BZb3unJehc7MtqL+y7ta1tRGX8 5woqfjDEtT4f9gTX5GXeS8yEQ3tXaz2imxL5DXNleZT+LaTkQR0OeQUT0H3zsK2sf3qhAAL1CIbN k1iVXPgq+g0v1Rvay7pr/SlG8cQ/xTZKma26lqgaxMhT5awTxiPwgsrOJwpLUNr9IzMicX9Nt4h7 sldi4WmV+x3MC89/pLKV4IDZV/44kCYQJ0TUYn6Q54QtfjcQP7KZaYrnh8A9z65wO8FLa9+P44dH QIuR/moO6iWp7Yja1zMgGTwtpuc+Hi+UZwcySlO4/G3Ru8KjMcb2yQCyl7hbAmtLVFnEu90xxJ1I JdyUjaCgkNEle2fRYhWEnveruSKto/AklYUe7HKQlEv2Ap1GOOFY0aiCJyc0M/WrXXbcJBTd3Tl5 hwN5j+mAlrhJMvdOY+HBNIvEOSf27ulqXRTmJsdjFgLO67JFi3D30L/0R/Z1fx2tg5JkZY0iJy9m W9yaOF/qXSasWBz8iXvXKaZa8+dSxDjsxJgKDFQccUMUyqHQnGmLKYqF6N4+SjGhtZeyBOOmhybo wRU7Lt1EdjoBbmSZ9sMGxK/b4uYvXTSoA83EY3BXTVjJ2H9LkU6HwtAQ54dNSYQ7m6Jt/DCXsuxY qe2SeHiXDO84h0QQImgf69GoKEL/ZojmtYb7Z7CCfhNwoqPj8miGsaLC50NKZC1o1HSoxS1oluEm S4GyE5Dm5H1CHUstMcDbconORre+VapUXew8rQDcGWMP7CxRjO3rapspq4AAdg+xkNamVMOSA420 2vSyr115zBlHvIYqJFXUCaIDkja2TAs6jbxAYSc9upd7WATtNLE5W2QI1Cy/J5x1X//kg/kqUDaX YFm0G6yGaMTcdCFjZXjP6ntXT3Q+0u7Kht3sVgBC3Fy5M61+/nH+19AEarvhioCAk9orrym0zn/H s/gi6Y1vIaD+EpdYmMDZ9d6yAp3OsvWit3XUdxjyMnSkLTcXHIu32aCfnNYSW1y5CaF6gVfgtGeC RRgvQPdGx1sW3+bm9iuV5BHusuwa3H5GRuD7mBLRrDxZ6hrxEMrkN3JcRud7JkUu002RGolszkJb tldtaO7b7SOGcXYVuO6lVZhigYbAZb9ezTy/xp+a6WCS58eJlLFw+KJLBwSjuuvwN2/Qv6MvLPo4 EzoB2dwgSTTsr6sPP49ngHycvRB487g/Mfkpn4avS8MMIC5pPlARzZuHKJQVb5Xq9ITUNfBLDfOq bAimpYxxr5rAGfeBGEACeWa7A656E2QuGVwGNQZZt+evmD8KvkKDhIBp5hATsZ7+jexugs6u1cJB N9YGc2Wu3ReIhOnKvUE2enDnqKjUPTDDh6nsb6aKM66DBoIii0rxlx0AzJILrQ/ZiJge3aljY/dA unYnga17t2rhYXbABPnhhRMXlq1V6uFlchTjvcdPuxvOXHbKp3FOA4xFED5JPCoW4BGXT6EckNHi NU73JyJ62zdAGASu5StIREDPAY5h+IUkA7Y5C99qHY6b0LXqlbfZG9tT/JKhLT5yW6Qhz8dOJQWP VLce+Irx2nP/n2v5Sq48MnV9TSo9GLOOG/RJQMG0DjW5NarFf6tbKsLia1uPPx2mzrnwy9/oEy4X mDS+J0ehevIU2GpvpUO+dD7Xw8ABSdQzWgzYCErqSRJnywAuoI0uVmuLpysAGXIaO3W9uHiCr+Os GmSQb95yoLRKvLpUpAyfO6supSZKAyKOX/p/N354ThsrThhGC0aPR1zRAvRWcpLFGHJ1NuoXSHRi mjuzFarcZshBB/JW4fLbi3cuvbSiaijhHPOyjZqEpTWK8FlRayCH8TUncTfQPCvG9AhwkgksTZl+ +lUDbC2OEsxK91CKNkNmJT+641DhCne1QX4Q/sUI7Uryy9mU4QLGw6z4Q2K3cTL6lU+FqjtTDHDR 3EwA8FnMWiNXs9ghGu8yaa92Qt1PSYSf0ziaEPUJpjYhrmZAUUWI2WpofyTuOjo0FrhwHOd//93T s9cVTl5xFebFhPF3nwodF50poU81mhHKiJFIdusWGl0rggcWMB0wso3ntiyvitYDpVi4f9Hm5PUp GGfQRvkGQAiWyHQJMkd9L5nWrjIgFKuVHdW1FrSNyVNnArHrQnRG9FuztEOgf7hJyTWJFWQ9uCCo KADTsB3hGebAW6M9XNKQUhhSOe81Z0pEcy9Kpiv5zgAlYmUIG49Rke2MmiYsVF0zZu9fdyTCMoPU k931bUjTYq61ZqZTYldWcvpEeSMInwwpZcnL+o6Bk3N0W/fPWNggY6uqJrsiMFw4PMIUaUbJ2Khi brfeG3M1cQY7DW9cDKvRSyXhEVVwbnYALkJbNcs3d96ug7Lc1FPyVn5G+ILyTX0XmIsxaC/J7N8I UVUnjtwxKMuTTxCcaPiBJDKURdjU1PpvsJP+BPHANY6uNWMKqEkfrTssQ/Sy6NHwuxIiUbG3Z4jz 99s9mFAVcHE7W6fX2DkYEOs4LfzzfTbqBQjCOUW8iOCcRLMsZdxNhTKawhW7dz1bRyysMS8WNBQ2 aTGSM4HYuHc8WepcNOnb4ABNRb6K+j5XpuS48D0fpY0eycS0QHmWqexw0fk1tlKkP1eWGJ4wQgaw p3J32S1JcUxvag5WgYIh8GJiCA4Bf//dgnK3uhxBa9wq/zue1H6B5lW6tpMWpGWDO+id1wqx8Yei VtwmCooAW/m05KvBzT38tiwRVpikEjFzhvBCrDL8wsvMoCRVeeE/FTVdEziArRA/HsPIOUP52Y+/ 97xFi81S3FjoI5fSwAx45T+czq2uqvEkxVH2uQ1oVJi8nZqkCZHOxY2EAWdapv3buemK1xA9AVLM w8U39btpW7n8LSo1IzhSiMol6lA0hpjJ1Ov7RsvzdXefir4NFQ7P0Nd27so+fEmLO5eFOmTi/NIN XazpITnvtAl3zDWJqYXXgDWq3PLcnFFqLRxRcEpJRIGQPi9zqn7ww8W2TKn06avk2wCJAp0Fkw/j yYpVvy4HB73rwp4MyzVXlHlARMpm1MHohqSkF/YU6blKNBJR+HahKMlqeSIRpGKaRbfhFH74he2c aU56hNGCbR6yC3sw5l5+TSKeYL8AVif90cWhVssbWnU+a8L6lwq4EbmBXh1ykDmfD1mHOTXIh9Ue 0sNfD/CWLpa2mDb4R/AGvOPjGFlSWZwMJfknkfePw+ozf7jraW830ZJ4+7zhW0qMQrIq/gBHHjFc sY1EnYyomT+M9QyRZxLSxdTHiqIzUp9zif+rAkdFHVMehQA4De/LCC9BNvLSU+aq4CTAocP2QCH+ UX5zMefYDy9qaiynp6/055syMY5eJrAzTnVTEuG/769JyZV/Gmo2pQo/sS5qTtFsO1/L720poq1r CYy21yBbO/vu/JQ31x8rKneBR3PTXLjtt7yywesh9fVylKfc/D0jOvypG6N/RnAUz6GEOzte+icL L6x7pGl6jQD/YmAbZcgulMXx7xLE7efG1lVAaL9FBZmhwjMTSyeG/VC743AI19+qvFqcEQM0Fju/ gdrPyrOeaccVhEGz+rqxq7/rp7W+gGL5jkOQbWkLR25PsNjbW/OMtA16fhXCxTPInPna9UcO7/mw JOWc1lt8iRofDl9oDFZNWtNJBXj+ekHWJvAOkKTfggkvWzLctgIHNGR3h1F4YwGGmxbc+RolSp6J vo0HLxdNOL6cLO+BDx1fbpJmOtjvXZ2pHMygJIWDsAYdz04Sacl8iSw3MhU3VBFlIDyiuGWm4W03 a2Ys6jPJnBhG1EPJAnWnNlaE4/qHk44m1lgyZ/S4DO3f9uum0PPNNQgkERzXR0FavL3ssZVsz4Cc X7PPU+BJ8I9PpjkSJ0oT/xwHOFCm6pfA/GWjQPNg+0w2+b1APsxOMaTfXnBykLlYRfDMRqdIIrBO aROCoENgW7Rkc685UJhjMuCmP5jhQNejnGYs5YTBzRjb+C7EhnWfnNIf6o6ojf9RXLq5pUSuAgP0 sy6d/H+LiELdTX93wrF3ehZjAmvVj3+CV/RLbvhCmPlIJ9jPmBzsQrXFGlT8Px3ecebEjBG93guj TkAF2bAmki5w6xvywfU8k4kbnOg9ZjLAzkE0Rf/U625/0gqcc7aBj6gbuTgSzHfQqs0EevyNtzN9 rGmdieg9wsWA4tGP9Ue7bvUfV3BQeh1bt4f45/RRKd63XD4DALUej/0+Xob2zgUseGMBamCpXarr L1MWYRItTubFiZZCosx6dzzPFH0fwsPd9Fjcf9vVISuuX2F5vrWLeELNKrdy+WWqZyHLrh7zk5Ak M+JHuUqOoLSmIPsv211BjKTG7PG038x3oUAxxNuFEC8kjIJ3fhs+nVYMbTjRCfRcF/gXtjoMSpmE L7UuYGlrApMpzf3O8pMosLvITEzuGOGKKYFz/za5aJniG1XNRJY+aEy3Q4y3ojVFuiaAo72AS96G Tf22GIVYBxotG/+vQciEZgkkUi98Ob8C+mpazvAamf+otvXkRUZ0yMn4h7QBz3ZYkO+yZYriE7TP +JDr2jSzWU1SKM3CGhOOK/REl0FSi+9dcUCkbglrO3jh7zKzHpjOwIWSRq9/uQALdaizjagHp8uR YnGt9TBn6cvizZ7tgWOmg35LxE8Gt/Mkz7kHIJ6S0qoOjrD3ykoMxj3VZPkOC0+avBq6qYtPuYCd 9R0eJ0fHCj2qPDHPBI3aDRb687yAQEw7tGFwZgFt6rF+OjPbU/QjodDf3Cy3YQiF8BU4qzZy43/F chWYrPVItz9Rqc1aIHG2tHrRGiwHKY1k1IgEGFrHW7oy3H8tyLr+yR+wQKO/nvNm2UGksSRl1iKY tFEbIfnDIOFomj6ET5wxntXifZv5WpNs8l7CZWkYIfjpywbniCLQnvAhpvqApIKMoqRQdS7PgzvW ynSe8dIPMdTQkxwdreXUC8SZ3hAMc05AB4EWn8kuqDHdejY9xYe8KtFq2uKiW+HyqsSmHHWEM5S2 aJsTjM5hcg3A8iBsptVy3plralc6YvmGo/A0XD7B8sxs2f5TuuQ5p2U4lGTQWcE25a6SDSJYpVP3 ptDEH/R5u2va17k3zj5eLoMbnEpcqB2AgDI73vemdMS8iQREXFH6bDOYDmshAmC3kMw0i4DNTpeM sYuO7+/FvfbhLDHmCojZumBkm/ZQd2Sfcqgk623bdmKgzamAA9iYPhzfVter0nQYtp8fG1vnFRkf cAHS6O/4jcDYtcsayVzSfNpKuL7q6FHtNzfvYUdLMYpHqfxcQdr3DZzKt8rkDo2PuZJAq43/72Xo 8BC446/yXAM/oqFXdej2JgGTWxqieEj6Tk9/o5JfyE5bf4HgKwbmEpmrcmpfTbB6MYXWfeoeT+QS Bk8njc3pKGoh2vKBMXtCh4WJt9dntsip14EA9Ok8Gu+lX6w45HoWEOlQmUv0ir5ojXzXhYNFgvDZ Qclc/5tfX4frKSV7XG/BNFjOAfUyQCxIGBpPtaPd/olY9voy85UKaAFU9Wkuxj96vpdvx6hgFe2r bCHjVifeV2NEfOyI5qznZObkOl8ZUDStu8v8cGWsiVMX9u9Zo/EQrZUbmxoHjm4dX8/x0BJ60cqe LA8MPPtoMIVN7/wWv9ZDX19g5DC8QZs9mBcBCdQ333vSLqWFhehNt1aEsqvJv/OV4JQ0XISrnuqW pPk/VIS/t/chrVb86SBu/X+y/Lc9EPHKd147JIzFEQelRnX9ptI/yoRx55H96nROC2cHJ2lrDQ1E ph6wnmC6vxMuJay1+DnmlXXEJWhGWMXilm8cMhjCgRO8Oaerg8J5aoxww68lJZEfBYXtJddvOXL3 pBikbGszT32Mu9SKMqhvw/nQDUJ/GBaediTcjgguZITO8k43UZ0ORXDIkYqqXz2PySe53NPhJxgd /2cyUviv3bDNYCf7yUx6X6qrx/0nXypxDIBrzvrKN+BOpO6KFKVfvo66KwFud2MsJDifjReeQlo9 6cehIJIfz8b7HtJrixVuegI1Q99oeHIuxQcGu6wYzeVBoUHjfO+KX5oLcSGXKOapQGmfx0aubJ+w U0VAXEb8ba7BERNpgR5mcvsMEDC4WrHEBmUmSsCjeGSEN5Jbg7vMAmCyXe8IlAueK6RrAtQIJaWZ ZFxJIfNVBOgzlYR5CSyk1vMZmMCf3QVvbeXo68AKZatgYGQq4vKRpuHdcMm+NjTTDrDJoe+zFgBA Uf58oLH2pG4uW9wrbccnQpkF6BCHEsbKGh+85ZudW7nn2ihssG8Q630FDPqQJXl4zWdcbjJhBex+ x6ShFAfmrfrcC9iduAvMw+EsnR99T1sYYkCcABrKxvxhCK8GmuvZY4UJ5bGBNUHlQ4kMe6XbWwCJ Oz9ji9/gNgYp/DePseCcP5xhcDueYbNB95CKhdE6xjsw3w5Hexx7qb8wq3o+k+xGmMu0pm3xfs9g vzx1x4qzVfdf2gzT/fjf4CntIRzr/gZtLuf3hfsGxFB9WzLqv8ts26JQphCuKxth8kVO0heNYIlO d6xDl3Q3dqRcNDVvF31uZDy5NK4llunDRAakoxSGaN+5i8SK/idNOb3ALUY9rWDO+37GU7X4Svmg OwT2ngW48BMbhYyaR/VQ2k+JEqwsCzXqaT3qE0BKhW0U+MbFxaMcEpurUhLId89xrtjxz6OfnASA w0jjpfT9Fjr993ErASCVcf+aodfW7BLoHT3MlTa1kbGH6dVCs3ibOqmR7RFpGpHLZBNfzeVhoieq pCVsc9jK/rMnYcobdbGCmVgabByaMdz4Ryy0aEmwQyywC5yW0Di6k5ZEl1u7ibQ/3qQ9xPsz16L7 1yCM1RS9tzdXuFMxT0tKihI5Brc1icCgfee14XhPB/qs3HZRbCf4y+t/OrL/k8p3mOLne1gi0eWQ XWryegvWEbRqPciK0RCfwauJ6InOhMDhzaabaTOkpb66F9Mo5X/eO8J7CpM4fQmrKyP/3rrnn/7E O+a+lTFxet11LAn7BZEhtM2dfOvQNz/yY/BIEj3OR9X8qqQOnq/n+Vlx3HyURDYMaf5UQJ6AY9DY 0z+fZX86jOSS70mWXYFErwIbh3rCTE7MdCxWThYiYW+sSO9Lm+cKQzUtanliZDs2+Cbmpku7qIGE /DQ0YkxA6qAqJOwMMiBUD4MPFl6aG1SXRF37HtOGVthdZ6QqZFv0j97CBpFKVsLtC281kZB4nOLm CK8TD19tEgW7qsQDqTrEhAudUqT70/3vsz25iTHD0ncHkupENIO1oCczb2Sh/mQLjq7pD7cUhzDo dMIDGag/bLVJJNQIkr2IFB4xn4Z1K74dI7NqnFeTpuNp3HRyMnWarqaCz5OwNw9yF/5pB6/skcls yMeAoZCcI+D2Abvf7hTskNMly5WAtTFV0GnURnuFodw51bGnfrdVZieQ7aJ1VzZMOJwA65xsGLhZ gZ69W/jr6kW1JdCbsNxXn42TWIGOjQVEbS8Nu3WIe+7pSgkpuwNkDuUWYUKOQI/EBay+NTswDgeS egPPO4/wSk8EfgQXj0sT15hsacRVTUsYO1LSNg/MrnkSgNnuM9h4WD80QWy/Db6hzl6hjuf298QN 7UG+NRZ+Di0cb844O6IRCVCRpACpN+MYhRut+6/TL8hBDNWaSntN9gipSoDGSggzJ5yyjXYMYE0F tYAAmyOmT8jtQTNlg92kHjEbohQCNMIbZkDlsgWOPx6SS34xWjkky72Hxfcfh33/+zzoBH4kQGtB /eaglrXvFD4VgNBaPHoCRCVHpsjFlfHQP9eGlnFCDt7kz0Ry6V1bJMqHjMepVFOaiCJ0uTKWm1FU NOLCbWN732fP8e9MHkWwi4IyMZNii9kBbhFcKvxqsbjrGEC5vO6e5d758/bMPDeFtVa8vo8r7iJM ISbTWFouw6CMigQ9n8btymjroTuYFYLZYw3hSZrsKZV0b0+g/YbjxCArrhQMG+NYlSrbfW8LWXRO wnhdunXzV5D6dOBQNgsi4LB5FoKFWhaM+hWZVNUyt3Kte/dIgP8Jd8YBA/FcWFyzuLmKSmgZQP2K lgcS5BPzZgYF1HyVNcPGUMwgxKfJm7ilrKhCY/VP3uF0OQiEz1klYuf3+b5D/peTUo0rfBtA/lTA F00UnOTAcWfv/+3dbpXwXQETYxtjCwADvYeyjIqoD24V9uNU0NGFsvkVGxvVtWicIo71n8h7ZNvi 4j/T+jp8wBuUCLPz/QIZC6wp2WH+O/grIYHnHEJ2QYXyWxS9p2BNAnZ/pGC7W2W4pmCz+4nyQrr/ GNm2JyIcrM0kgAWdfxpXWvFxHvWnBrez4kdL/D4wnb2Vou45ihCPnLVaCFJcXMvdc/qdK4IIqCr2 rkkcNFEBuCMFFd3K6N1SU9Dl16eq/cwTZMh7h55NLVVt8XC1oGQT+zaMiJJp9+lzMvrv9xSUy9SX cC9VMtKi3TorbRUcMrC4xET7/bchYaIaz5AIiYZrNtAnm4BTEPIpFHiggjD2JMG75+SgaGDrELcD ciGrFxuXLWYMV48VTFHxBGX0snP7B+acLPBB5oLRVuTqIpy4NvmErTmm5MytgOcvC40s1P2CqXiO Epgcv+zjejY0yg/47MpmIiNC4NIbtpBXNswF+GuOmpaME+FWyjSbzctKNs1woP3psHn6jkGGhMIq THz8+jIEEkizzZZ3XCIaOUqKec3a4BnnX+NCqLUnLiOD9QZomm2oA1V1OcNC6mFpyhyCkpHO81Bg MeVNF5mfKt70DCsgJsCBfP2OCAgm4rUeQkcLaVST9fxGdZOQ0991i/921Z+hNFx6IpHW3f/1QH8m 1dl1Ho6K7mHe+RE5nk8eMqA37KMBnFZmGc9BsA/58nB6b8dLr+EsoEg3ApPYsB8HeLVga/AztKfB KA0GOyv6Zl7iz3EcRV1B3X7rnp4kmxvGwZarq6msT+oubC6fk+H8Z4Nhg9nGBp21PJvcsF8f8dQ2 4cL49un7r+SwA8+56EUgEiUT6b/xQeRni8hhsKuebEr9acz3tK4iEvfz1GLFEboXIGM3uM17dbX6 w2oR+xk7Q+aF6AFrBeFfW3WJsrs12vcOjYT9no8dv/D2L+uTnBtobAnDJHReKBSzzoihHjfMgft3 UAzl8m9fv/2AArP15FNI4wVkk+vZT4oNqXElh2kxaGMXEZ+FVtJnwjbWNNmaqLftwAAfsRPZX5Vx dW7IqCk4cguC9Leuqlefaq4DpeDEYj9+LjGy4ppojSZ8x7/eUrKpo3Q22vDbIbsrS27X9ytnAXSv wmR1InokUCjhRLHBr/172aNodC0etPOhiMHeBZEyPV1jfum5bLesJuEmpPhLsvRy07q75MUdl9r8 1nMH5waNTe0bxYt0I081F0aUKkWcWAz/KXhjPCgTTNx+i6PN1acBY0P9WmFu/GR5ZDyA//jlu1gu HOnzGtBUTHp6ONx+vMn87FSZg4mh/qp98eCbn/txaDm3Rb4E5IA7ip23DcVBSw/Siu7Mk+GQCEa6 0Ek6OprtVu0nPEh5/ggneEY4Rw2fJcU0F6pysdTtLe9yF8RGXBvWMS66EwEeYeuIc4CW229NaYs/ T1xs4o1Ri0rvmMUEVcOxM9x2gYR74exdKRTpRzWkxrwckEESf7Cr2sU/xHsQFfYj9iDKNSP+Sr3/ +K5K2nSEdG8eMQ/NziT+j1+GNB7bkJrcDxOOmj+OHyZSQcAJ+dPvTdaKCO7M8sQ+XS+B2QUiSXFe TT0kBS3WbavbD89n7gpwMktGakFZT25+NHjzugMpG3i1NSdBG1fKczOTJbEo5CqTQOXFIa15HfJN 1qpoltB+PRdZcpxjJvni73xfl8g+i4m4i6k6/T2oFa3r7JdrzuwXyjk6XZFUPQ3wTFJ4BMzfG1uu aSDdpViu3zAxwfKZmlu7IlXuajFXN2ifHwtBIjuWvhQwlPW+ewaVh9zcLVYZJAKYArr9OwPZ54Sw 05yCz5pGUXbqdn8P1XSARXlCTbqjuu1H4MG3HaFnyymkd03xnUCYENEE5DS0+roo1pjwFHx7b6UC a7qd6Y1BeZOYdcrmLQBVX1PRdvO/qL+jGs/niLLKorBxCGKB2sS0PnToSs48gZF3vPjEbLz3yM/B F46zeRg7pq9a6Fh/k2zOqx72u/j0DhGuVIAg+jrKPLsZWSWWBgmO7tSk19Wjn3f/plV2y1UHhj0d kNOeO449cPtswojnjjmVR/aWqVtKBo+8R4lfWWVn6qSaWIgibxz4JhQIteTJXL87IE4VFM/BlwC9 rej9rvMaJ362C0Lb3/yvA4hvOklDeS61wNHJY6Xsr5swfAYAd9STbV9bgOHHjcHJ7oT9K0b3YPil G/7OWmk0lUErf60mxXZfJmSkZvBu/li2nm8jCGaNSrTj5ep2WQSUYhEyk82OlJo/anKMbgn7vB+Q ko/glEPjFjhBvYjQ4ywpAwy5fO8FFHCG5qKMHhmOSIHcPABBiF7EOa2kwaYYApw/rKcOsz+t+ua7 6k2RqhqR95GvI50Ht20WlYZXmoVAd+XJLZA7xJa6vbIulzmg25+96XZJd0qYxBbNNfzGKTFW88Fe AWGNtFdAcFG6qO/NrCacm+QGDGvdR1o5kqj/taC8z456ziBEvYlx3CxNpN59JRtkKnQXj2mtJf9l cTqybbM4Lc6tzzwbfaGTgHQawu8XSB0fmKwcsy8uUuZTdymJhHML182dTFctbLlJsSg6q0x67xbg /GLrO2X6gv1xUuvMQStsikcCv8jIiA8dkfpHha4J341kv4k6AvXeFzskZU1e3bvubHMXCDrMA87v VeX3CcWRviFgweOstGRK2/Fuf7SP3c1lOxZtnIX5RZ+C9dtspkqDF/UOzKf3lNfbnTJUtHvdLNBz cj++T/XuWx8owUHSsUjlHOUJwGPaEet2Y8nkMmwlWiInM0Iz7kh6LxtKTwp51NP+2LPKhYvrNlaL Ye8AKuqHHV7bOanc7irPfHMeHv0Kg5nG/d86E4Ozv6iim9PRqOcIymq/2rgllX6M9qeuR/aLVJZY krUvgRZ3B5e3UHwMx7U6ZXRMBIj3prLP8pmYnDPTTzut4L7UpPFMum4K8FWYdk3lZR1LBrykxf76 y2hlQADJ8DiokaZ+XXOOhIaFmq4pbkRcjcVI0ni0GFKeD1XqdEZsoV+GLrhoJ4C0r+bCSyj04lJN YJpUP3600EQOrZwO84nnV6azDoHfDozUiOcB8mBo0SNAEGpGYJhWvgBY0O+lZHLcjVdMJtXwpJRe FY/6omUcbaPWrhSB1FyJ16x1Z58zPQgG6tlG2fFPC2suk7IPXlKIvwZjYnevvakVNJQ0zwuwlS69 XkCjWYOhWS8o3z+2lYI2t1W+v8rIMVgHIUruehkZygTFbufXqFD1UIjOpNYINMhmwMWn6PhTf3lW qfwJMmHznf2ju0NK6nnznIGDZU3bIeFENLvzC24k3LOiIbmtLnai/gVanwejVnj5div3tJXXdDey kBxBU+mQF+17r3BDFm3SWQHWR0/K7Lb649Xcn4K6PelNypqpBdTtfhCo/AnT4ll5IAi2QZpouEPi fz2U7q38H6DjiJhvUYWI8RTvqdx9OdNRjgrVJ+gbP6kJWen9V1t5eBqgCVk/jEeoWiT4XsbDh31s ji0aMIByG8oqeqob5UXhPn+nQ8+WarH3VYB/fN28ilnewkTRWn83SB3zrL4xqm4+RH8jBx66siEU LXfTkbB57kFAl0TMxQSyyl77bTw1GiwX+ion7OqOowil25l+FubUg3lcLTq3tCPJie9JxTb8e6/4 sEd+GEe2l14ZoX5hICKpaFaAUddAaKDYjW/eQsihnz5juVAwAc+U8ckY3KfsFsC+gpg4Kf4H1O8b jL2YXrNEEMuYr1c6QRVjXCtqqCpyDAfxCLpb3WVuI4U7z8oWCuLjFqM35wo2oYZ/4fDMTmSeDg4L q1f5T/z+gDX19JJQJZCQ7vWjVp0JV3THWdYztDoMu4lTIl2KoFTGAU0ibev6lWcA5aGBmtSNsxqa d4ei9y/U9Laev+MHRDIoGRWgUy+Ooj6BJBrSPvSy7MIxFZRHTxKiX8Ns1gihHaI2TWCzaSdVfAbS Q2jEFv9YQLUK+y2pAEi8YqcVybF/MI6n62LYxXnBGIxCYTAwuc6uwm0uYWMBgl1c6B/SyncKlVLp 2U1onYwF8dhiXaj+DKYWCW4oYT7Y6tWpK5fNAKcPzk8KoY8B1rdBeBDamCwPrtEJKUKvSwzsA+jk WRoJDpJ18mBuylWa9/xLt5j/nMMIxdKZovG5E7YCPkjzRcEXUCs/OWrnBpQ/RfktK+7X0+2I20kD zjDWXz76w3M9f4fyqh/b/dgg3Vdwj/YIdqtz0h1H/dwlpJDfPluRo1HT/lB2QGSdPtYe8ij5bbr/ azpQLpbHiUAJdD6/FiHx/clZGBgKU26GpP+a4meEyOaWLfpD95WWilZrjTWNBd5DXcDisDGUiHIo EhS1orP5z8l8pT0NrOyB/S7NWoqHd2vW2WbQxkP53vDxd+ZAUdtI16jU/oFdHEhpyyJmAXGqdced Rl+nhx/P+WKewqsu072Ik13Gu8EUuoOVeksO+6jlhjfEE2yTek3keUGCb/Vd0+e7NRMeTddTWDVm a0YBjcEuyxKA/V0VvpV3oe1X0ZnCwaEsVyZW0hudbJ24vNfdUdAk2I15nGbV38U7CbvmQC5gPgky 2lBQxFFDBUSKRXrccuiXoI8gsuSLN5LDJpIRRm7jiUR8BOtroH0LSTHdNDjPmTI2AV3a9p4K0PtP nX8WRH3zBRxjMZq6PHTyYKUNcbhsCJxNnpqXGdfwEp6ZBcXbaNZQ2IMhIUVLF0Fe9qLAM+ZP5GC7 rIbuiZIFcioqJCqaRnz0752rutjmVykPAyo54pyqaziqlZxoA4INvKMgeBQWi3rkvcBgdukS+urQ a6Rh4K47t4q3nKgbkjAT27L/ts9frj6seSm6FD960/uvdH3Gs01RPfBTorKH1a10QO6J/YvWjqd2 SsvkzFhobAG+x0wWaPS0xLpJLh+3u0j0gkJGKASUdVomTKR5iaK5lMk2DY3x6myItJk9tshZyk5F uLtInRlQ9iI4FVTDMrotHgrklnQcu0TW8nBsoSUhs61SycoBZlv+AlujhIorYHYXM65cNYB2vblU sQE3QvO1RVvngsHCggR6/pQD9lT54bMutNwV/Y0WBVIgrQCUrREThdBs2ebt5Xm71N2JObl1D7qO eEgXO1DaD3ys4F99WuCddkmQXEWB99OuqDZcB9M0sqLPwmf2J3zrBPW+I71TltYbEZp8bUgszZlZ 1t1g64nww1ZzDuju2mzG4TMCT280SEAinNTuczC01booUdNiwWrTY73RrkCD+VjImWtBaHuqFYaT QtvpY9h0toir/0L1sPaQacL6+cWb4crjr4jrd1i1hHOVgfW/sOFZ5vm/1+9YtCMaysqtj277tu3+ 1FAWcIE7/vTU+pyUUS8bSPOEfSTSfSCun18GO6GIcq/yZ4988Jtv8nZgXR90R+c5FeCEbTcgeqxd Tp8QwNISN+QJq79rsGBHZiUk9+he098WE82UYUlbJdjK8WkQcPD9BMK8xrQbwos3B7GCC5llmrSA eMVER4Te0OdlJ2mqZloBC+yI3dIvIhFJpOgroI+RDpswiVQTyP4Gpaet7hbHlHTpMa56Uh+SS2P9 flRjQw5aq9TbrMYnbbZzvy5ujSOwtrm1EgLoFAvoRh8o67GrXxKZYKhSuiT2NQwpMP/0jaWpLT0d qNLrDKweg/3deHdLrRWLmUrX5wh04hCvtgckUo5tqthDJbWBje2fQsPftvItHGHnws/JYCHhrZVV p158NsFtV/etf55n5WZ4U+KqhceFbOcI0pqLyvF8O4/GQ8ezOdFZXL0ElnaaixCLhJn2bldT+ka0 t98OCrRFdBpfV7j5pxhpMVjNeE5M9lH5NPD7ESJQ+o4dFBd3+EyZsxKO4n3TBgvR3wtgKTgXogCg mm5UhdBuk5oChXIheneQx1EWXK9yOL6MI9sXnhksdk98cnGJgJfLJarG5hdaY9/SNNqVKROi4OTn 1hhW7uedR78XibTDdL/HuSPSiNiyDgumWViAHmECzg8bD/dFjuu2gfK156+44Ol6HPw8nZFw304R eDjxlcs6/vdalWtYJnl3Z10iV6xmj7iFkLeaw9ZvaVN/Um9GAhx6PDH4imsiuul21uQPv57jXrK2 2OY/DcjkDTf2oqT30EkJHwR0oLbIVLTsthYCIll4u7DgHGkssRzJXMEA0A7O/nHNXf44V+GP5wTJ V6+ahteY79klVVYFvM52JINzXiG2ri+REPxwxsxzSrm2ji4ICt2r/cd62JcoORxY2/K8r3FewSB1 jrTOTtvC97f1TSg/LJY6Ohn6wN3z32OIsUvqsNJupF5ODEp1temzF9OAFyNAvAlMLGckNavCOI0D qCbWKn2hHqI/Rn2YopJLXVpSUzfm5Ce6Mm0Cq5/WP1qzn08HfgQVhCj1yMnsyqg6JMGhWFPGXQRS l54+fqDEhaiOfjvcYrJQMNjtCPfg3Ppz8ORd6dY7nSJ6RYlKfr0jfbSEKJ37fvPjTSyUGEH37O7z hWcNRUQIJ237cVUfyjoFQ3/sflBG4enlMcL9QjEDUlwI+767c7UTF+wH3Jm0zIabrIyafCLpqe3Y NIo5nvZ52zVtF4QQATN7BxwNoIN8YQ8O+0GV/5W+ySAXUHH1w//5yn7M7L7OOwNZwgYqhZYHnTXX 2utXS/kPBSdqkEBph2T+Vn+eSiGR1jFd+Gkc8mSsSWgFbcqUX420gM1YyzAl0NAa4B29Aw90m/3I WrdMBcRmwuda2APBMKmH63y+HX0tcnzdJaSD0Zk+k87PbJ3vdcBqfvOufhGZ3HGe9ZfUUk7tO/OO nO+c/aCxVjMIH7gsi3DYL+33vIZz1CG8SrRSKksU2lP8n6LYDExrYuVhWsS7EtS0NfcUh34cwib5 g6tOJZ2DezQQXFZRI1113DTZO9dAurbpSz0gR6gSnWa1uYgertjPPqypqCF6N80N6Vf71jX7SsFD 0iamwYwikgU+N/0h28VxP24aj1MOWPL7s8equBARv9qAj+BSB454+t1f7TO0t5vFMW71lI/keNri Xjg+vfjSewNLOqxQR+1ro8DBmqyI3FQA2uf42RtAh+Ygbne74CDKqVzl2WTe5cTf3qwzIu5m/qCy tJYRFW43IX/tgw9B1KBV68BBWdbfJpJVDa8kkPdTysnd8auIKuTi9hFlVi8//XAmBxzHnioNe2XJ RQ3Sz4xLk5S37XHv6Q9n6k0djiHiW3ipiH8n67Y5Uey8zZLuki+pcB6AYf0IKGL1vnHLXCBVFd8p 6MRVeL4P7TTAPsNseATTjeDrCztWerwmMRVutlC8SQsoRgS1Gn7/zj+Hf4eq2Rateyx56fI5Ebie Q8rEpn6+EnLVnWAMZEI9OByC9waw5eFsX/mSVF+bVW7qhKlA83sfkjnK9kwYgavf40skReQOAnn4 B/3wtWpBcf6n5DeMYyIW/+JiZPnFTS20XD+I/+QS65+tj1ndgqyQrlQ3E95/j9HFQD/nm4M1UA+N qOwKo3ThEh06FJeAUDrRS8WhGqAfIIJAHn4uZfQu1506UxKGhGZ4L4BxJgoO5p88fZNWdae9RffD umlhSXOAHLRF5WKfj/b8/W8tng/MOcA9+MACKvX4es1KoSJ/cTU6CdN8AkqoXniAXozBc9TK1FNi tsjNe8m4RHG4KkItQuYlgOPcPXpaR/9fX4oQqqtaAh4SAtjDpUjUAQ4l3XdiaVO28jolsw7d7E/6 TfezD0dsdEItUOn7lf5plF6LeH7BPfsL/Q/h3/vigyTnAZ4OVYhbGc+5umWU1lm5b0izSJHuIdEI 3b2ADEtnXISYOpS/F/Sg66TCjpk17DT+A/f5I+rxqeMnH4ijcLt5+o3Qnsh/6aUC+t+CBQQFvRLD H1xwahdlUwh488pfHZBFM3ej2G6MkPS4r6ri/x62heESPFoMBA9b7hIalkir3n0BUxhzd7DsVOo3 auN6N/SZnIPbRk7R+AVhnGQ+O3tkrvJ6pJDOAkgEFZxsAfG2QF+tmjycDxdhmaHZZ69qFJv/jhH4 05v8eTSQG//w6LVb+Ekfa4Wkvl9fGZzQuki+jO513jQDw8DGrStuLo3w7i3myfnNu35uVwQ1DWo/ 9Bh7ie90FlL3GKQnxuARmznqiJQSaO1tf3uv3ONskoKvU3a6Fcn0HleTQJtaxsO9xIz7xD9HdoIO 2czjk+ENNkhG8xkWCiR/s8Pcf4VLrz2FJEY+bO2v69tGO+wZWWlz0FM8XwsU5hoENX9L4azbFmZw 2QF9zQ0Rjai+6rBd23a02QH8zyIy9TouB7ny5LY/8/eBxggM1TSeO4P5IvA+IWSNnsKYWvSwkmuf 0O0qO6vrdxQXpNAJ1uW4cwRNXjId1I2sOpV0DJkLx9DfDuW+Kl42HYQi+JHhQqeLZMczhCcgbm8T OeGRDbyHraalXaEVcOmAJwg+xFRDTkFjcQlhW8JaxRkL8Uv+bykOjhdVM/f8ui93BVotuRIF4P79 aOi71KjEJFYZl0Na5akQgwJVrRYED+KojbS1RekYod81IF4T79xsDTYpEsfZCvhkq+Gqxm7kRBbC LR4BJV0HKX3GQNix3/bpWoHNJRVU3sYtBl90hGI34ZEpJ1GCxfjp+CuL/7nlUW/yF2m1P5HNTEs+ +OzBoLLS2l+GM2mfePuq/z1ABN/Yca+SikGIy4PBFZoJVTeExV+u3VnN7OjrjDubTbniQEUHGTug 193FnHGOrpkJga/TLKPMUtV+YA8OKBcK4VOSoT89V1U8gNOha+30UsSUHxGXFD1TsfsAPWQNE0X0 D6RrjQqF4EJeuUx80Q6vqN/dWOYLyoAdQEz+CThlGyCiRDjv3/o9eIKXtp2yVxpHULirZlfhIwGy BgTxt7GyFlpNPmPueM1qG5fAj6eLb+pKKr63elrobUPAvC1C92L+hPBXu+auDkXHCtiLK63Qlady BUbvVLB71umV3+lmvhx4AQQf9tArUudmbZ4zmFlraq/7xqXQO/KzWVgFXpK4T453yf6HvZ+EOFla Qd0lYvMYBXuTiZAqlR+ABlUJPVKorbhj3vH62RJsGrmA/veK1X8wUl4BsNb41MTKUo1Mr0hyWGPn wQC2Pldz6vuHc9IWP6Jrk4bqVawBct4qT8p+KtlVL+OELcAzU3okVN0HSzG+LjLUHo3yQ80ekpCP vapPd4DVXA08vNTEwTaFVKDJoAB4JPYUEjjlOrRMC7bCity47BrS9HY04MLlpPypKS6iFxsaloC9 Qw4y0YdUb9i5gMUT5dkdVfhnRFsIVp9KDA7qeLTI+7KgoWwUxuGuRORAgTo1yNXmSX5eCWKhCq1q XDW4BMz+wfv4C/yFLp8A6J9hxlQtgwz8/5HTzKxLofKuKBwbxML3jfb3/UoqrFC+tI0tx+kX9C49 f986VnaaWDCZXuV+7e+bG3mBiT48Rhu+AmqpLPrfR7qIitlUNfD9cpdxxC8G+l5Qk1F9j+PQ5DLE +XqmJbyAHkSJ5r5ZLJHIFyykGcWWxDzh5gHyTi1GeI0I6YO3QKxkKen8H/Qv1cpqioGyVNAWiiME 0Z+N6BeaRaCbaaeWG5pbGkhfwwr8ak8r2/mdp5DP3R+arFE1M/SNWTUxOR74/X//SyIgREQLjXVi GeYNdPJWbYHxmE6+zcQnUe6LgbnryugCAensfIgGkBq1cJPZlOHXUcslMF3MYg/5XmadqfBZIoAs FlmVhA== `protect end_protected
gpl-2.0
9893642899aedbd67b983712c0f7443e
0.944753
1.82124
false
false
false
false
UVVM/uvvm_vvc_framework
bitvis_vip_scoreboard/src/predefined_sb.vhd
1
3,402
--======================================================================================================================== -- Copyright (c) 2018 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; package local_pkg is function slv_to_string( constant value : in std_logic_vector ) return string; end package local_pkg; package body local_pkg is function slv_to_string( constant value : in std_logic_vector ) return string is begin return to_string(value, HEX, KEEP_LEADING_0, INCL_RADIX); end function; end package body local_pkg; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; use work.generic_sb_pkg; use work.local_pkg.all; ------------------------------------------------------------------------------------------ -- Package declarations ------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------ -- -- slv_sb_pkg -- -- Predefined scoreboard package for std_logic_vector. Vector length is defined by -- the constant C_SB_SLV_WIDTH located under scoreboard adaptions in adaptions_pkg. -- ------------------------------------------------------------------------------------------ package slv_sb_pkg is new work.generic_sb_pkg generic map (t_expected_element => std_logic_vector(C_SB_SLV_WIDTH-1 downto 0), t_actual_element => std_logic_vector(C_SB_SLV_WIDTH-1 downto 0), match => std_match, expected_to_string => slv_to_string, actual_to_string => slv_to_string); ------------------------------------------------------------------------------------------ -- -- int_sb_pkg -- -- Predefined scoreboard package for integer. -- ------------------------------------------------------------------------------------------ package int_sb_pkg is new work.generic_sb_pkg generic map (t_expected_element => integer, t_actual_element => integer, match => "=", expected_to_string => to_string, actual_to_string => to_string);
mit
2536d63f6a028de6e68ef695ceca75f6
0.477954
5.070045
false
false
false
false
fafaldo/ethernet
ethernet4b/display_test.vhd
1
3,300
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:37:54 03/23/2014 -- Design Name: -- Module Name: C:/Users/fafik/Dropbox/infa/xilinx/ethernet4/display_test.vhd -- Project Name: ethernet -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: header_display -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY display_test IS END display_test; ARCHITECTURE behavior OF display_test IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT header_display PORT( char : OUT std_logic_vector(7 downto 0); char_we : OUT std_logic; tx_busy : IN std_logic; busy : IN std_logic; clk : IN std_logic; ram_clk : OUT std_logic; ram_enable : OUT std_logic; ram_address : OUT std_logic_vector(11 downto 0); ram_output : IN std_logic_vector(7 downto 0); start : IN std_logic; reset : IN std_logic ); END COMPONENT; --Inputs signal tx_busy : std_logic := '0'; signal busy : std_logic := '0'; signal clk : std_logic := '0'; signal ram_output : std_logic_vector(7 downto 0) := (others => '0'); signal start : std_logic := '0'; signal reset : std_logic := '0'; --Outputs signal char : std_logic_vector(7 downto 0); signal char_we : std_logic; signal ram_clk : std_logic; signal ram_enable : std_logic; signal ram_address : std_logic_vector(11 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; constant ram_clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: header_display PORT MAP ( char => char, char_we => char_we, tx_busy => tx_busy, busy => busy, clk => clk, ram_clk => ram_clk, ram_enable => ram_enable, ram_address => ram_address, ram_output => ram_output, start => start, reset => reset ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; ram_clk_process :process begin ram_clk <= '0'; wait for ram_clk_period/2; ram_clk <= '1'; wait for ram_clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for clk_period*10; -- insert stimulus here wait; end process; END;
apache-2.0
18f090444884d0eebde9cbe6286d7441
0.581515
3.771429
false
true
false
false
UVVM/UVVM_All
bitvis_vip_axilite/src/vvc_cmd_pkg.vhd
1
6,922
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; --use work.axilite_bfm_pkg.all; use work.transaction_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_cmd_pkg is alias t_operation is work.transaction_pkg.t_operation; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- Common UVVM fields (Used by td_vvc_framework_common_methods_pkg procedures, and thus mandatory) operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); data_routing : t_data_routing; cmd_idx : natural; command_type : t_immediate_or_queued; -- QUEUED/IMMEDIATE msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; parent_msg_id_panel : t_msg_id_panel; -- VVC dedicated fields addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0); -- Max width may be increased if required data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); byte_enable : std_logic_vector(C_VVC_CMD_BYTE_ENABLE_MAX_LENGTH-1 downto 0); end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( operation => NO_OPERATION, -- Default unless overwritten by a common operation addr => (others => '0'), data => (others => '0'), byte_enable => (others => '1'), alert_level => failure, proc_call => (others => NUL), msg => (others => NUL), data_routing => NA, cmd_idx => 0, command_type => NO_command_type, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, delay => 0 ns, quietness => NON_QUIET, parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - Used for storing the result of a BFM procedure called by the VVC, -- so that the result can be transported from the VVC to for example a sequencer via -- fetch_result() as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result includes the return value of the procedure in the BFM. -- It can also be defined as a record if multiple values shall be transported from the BFM --=============================================================================================== subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => -1)); end package vvc_cmd_pkg; package body vvc_cmd_pkg is end package body vvc_cmd_pkg;
mit
5da210ed30630002ac3203471540a1ef
0.450159
5.142645
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48e2_wrapper_v3_0.vhd
8
33,991
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dypiioUitRnvRaIey0cwToWp69VPoPtGjE7wiYdwdwzeUr+Lfib2Tjhm1xNT5rdPkHL9+wmwzVru 9Hd+TxPrmg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NUfD/GHJrOd/qogedfzQcxTc/0SdKSS5HBngy204ApFhdH8woOp/E/qkABUhWf6vrahUSE/GLxf2 9+LkE7kJHeallsm8o06h7coNJh6nasWRkAhabrfcqod+H0gmcR7Zs1ev2MVdWZGq+wpdDmlDN8Yk pUyMkK2FSkmhtqdVYG8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pQ9i4QJszZqJppmBNAqd6CSjK0Asd4msEXepT1olDANWpYn1q+Fj+vBsOQ+/m0uKOgfIPRrLcULj Bw2QEphZAoTYB5XZBGMt//xJ5Cg9oBYjJQyfoOdAQXMAlGmSvlkfc5iICKdJvi9pPIWWuhnEaKFd p3vZfLYHw+tz5nHjTU4RE2JbmUjG9HA0i+n8DO08xR+DE6cJ1HydUs0EV1gD1V47eCFFecLL31Yi BPJEm7MV+V6OPNADBAY8NQ0vn4EIcXNAKPjW46qak3xcz7Cqoxb6m0ewElsoucbEMhN3PL9LhxoT 5Yf+E8Sm9UamnqgPJo3P1UgV/jSkyErAokFHtg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block D3a3L/ompCyxvtFucUYeEOQF82K/G7ZZQsbx1izGkgPenK/ThZujqCVGI6CgkUBDQPl9dX+foVHQ B0XpFUjt+fISZ4RgCml+u3UkBlgZWO1a/OqtnfbIrL7BT7PGBvz/D3Nf7zJxbN/NCeA66CShuiLX /sQCxKcJ8vskxBTZISc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eKnWiEl6O78YHFK4iUzw/hrir/bRXNbeFOxW2qnHYtzi16COF6eNDiq/09Kf8lTKaML0j906jpbR PwVy5eU0MbqqrwouD6tUY5Ocz/lkLrr3LN19zfk7xXbOGZf0IONM3Yb3VjtngaJBjKkBtaPPt49C /oJTNU9ejs1d0sD4rJyWxBPJl3l8fA2OdFhJI2oO42MPaUpj2jK5yazqqslPOqbwMRdipsNsL4Re 92C2ED31fF1FpR/+CmmqplMxuuvOmb6QBLiyWt7cDvwfcg40tzl/xz3lC3cq8Sp2YIlM1iORQbcF eo4fjEZGTDjou8Dbqb8TfP9NjRG4P4BHE1obwg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23424) `protect data_block 9CTNH+3CVHu5IbxE+xMlVC6Z8JqR4usxETAwZc4uryWDUglhYyHxjPl2V70XuUHtsrFd+RrBt+8r 2IP378pDJYHindp51fQYwiN6m8u+KIe7GmtnnGn6PPvKdhgdOqXD5c1p9R0jxwII7CTU6klJFaUE nPAe6hzj7BNlX7VWNKdvr3gvRM8BAHDCt4jiHwSJegqE0NY9lk3CmljW96XG7zXvC0PkB5nf6fO0 vSlTXIcWO16IBW/rsSn8GkLjxX02myBriEaxazu3oFOsr7Yi/9ndAnWmUTVvB4qngKPDM+xke0W5 T3MKsbDDdO5JBYVwDeH0CsbNkidySlZ2Ylod+cPrwlxYPO3hDTTmKhBi0yRxbH5sP9UcyCciW+SM J4wvFxzba3Uxjf8J5xl3gCCNgUo6+4FGVkN5zmXEe2nDJF9DP9JHcQMRwceIEFm23CFeOCBVlbkp mPI0Tj049aaHjp35aUCuJtyukygUDjpp3b+qXTCprCwkFSlApL3xZX+JiBPuOE8P5OFCA4poV+Ix YxOKbQyLfktuPFDyQYfiAEoAxnXhnYaHsHlZTWd9RD27DcXn+nrWr/Ssw6RkoPSjpwYwV10fMigQ OcDfKNatHTD83Sd2xtiJRMEDpAFtHXnMRW0+8CrMG29uXL5now7ImQ9lBkDXOEnBVgEaNvmPnTzP Kmz2/N21NJlZZdOfjYigfKESfBPcdyOjw7xTiQkwj1qQtfEZcC+qpndG9ri0dFw0Cp94ukRYFmAs 7CynrOk+nfOrj2dIEUjkAVQyGDEMucVvx4uwNhTthaUtilsOzng47UpNfbTw4OrBH5UwJEIdxoz9 X2gzqboYdJAtyG1eD5jiJh1ciUKVpa6EpXvng2LRmagqU7eETsT2x4dM7xokfias22pbRyIcaga/ 7jw1hE+o6HqLk8L8ISmhEA2ODQNpJBt6+kPJKJh8sq6DOIiuKb0ug74KviTYMcDlK1oEnhwGhufa 6Nq6214q+XZYHExZjMhJwREHgIHv62emTUyvuwM9OC/vFxLoT4dNuUILiB8esyoSEmh6sbT1mwGJ kUToCq7nCyTbY2HTqBtr0NyaAGAd3v5DC1QogAEeDRLnbz+qs4/qgR3c3u+JAlyq15lOEJFBEdMv WIA/ZK9tYKPQJN9RRBED2eYQENG6M1AgTX7ElgDKyYlS7Wk6galjjCOgOUbFHdvneuSqPUYXQItH V/rMQBA5FgcXrEXOCWv65RXWq7aLfAadQyIndJIxUDnv9QTz91N7pgP63uZ5BUHFN6p1ck7M706z 7spFEFvXmATbOsvmdX0mbuTcUVwpQA/QN9FDL8kidr79hjPRUo6zWmsXiK5jn4N/beeXRI3UPBf4 OwmQ+ahteeOtca38wBnogVu3x7ngixNxfkXiZ+3S3nQUr3OaJVwKW/RCh/3DmcNs4/PSJ9tykN4x l7SiteA57QmxFtR5FlaG10as3ChaRZJUgSZRIA4HI2nxuhNcr24OIT7uWO0kxAjSRvPanu6o+kQj MIQVriQdR7pkAkLngsyHYuoUwiNZNVIslVyK61Qit2eDwnmyb70015x6sq3gQt05FpCv9kV3kd7B Jd3TZZX84UygSdiI674tMiwhhoYgJQI8HCYLuv3g9tlkr4X7f5iFcobyYx+IkORh6oncFlUxS0hj WuBh4xymBws2jJE6DIKOcSVaQLZU0PkO5S19gBZaDkMSuaN3S8e9OK9ZAkLrhrX7uGiBU3mWFkU+ EB5O4vydnelMOBT/IdWfM8E8kEvJm/zYY65iu+R+84Z7+Ol7uEhOSjZW+IeYPMQCJEQtjn6OlAxW u692Emp0Abejp7mLk00yc09OFJFZO3aMD8uTDRz123/15H+Lbn6zfsuCVPz4jBAvqacR9ORWQzxw xfg3Y+CcF4qVIQNFZzkE+BDX/DZELYmvDOG5YaPR4ycAShGk1QvQeXZlRpbhNRyIYoMbz9asM4ZC WUYA7dzKHjjHBdhK6NoRWa2f6f01K6rkoOeAPvnL2gvjaHFiT/O/D7h0pIwW+ZI0dYr+YK4iD1th Fvryq6NXNnlJk7ngTWrhEPvB7OVvqyAW9BtZJolVxxyKvJz4+0T+gQJi44yUT02qLwb2VjabiCAn G1HrU9ExKVekzdlsPzCXkGoUe3BAW3oO0BIpa+c/7JWCFG9fARdXj1KvXAVWgiKxpSWxGCAyeRce S7oOzlHdpDInPCJHd0J0luH/HJlVzi2Ay9u/p0CHfCn4C5j4PsiOTIrWkwYdU3azACloceM47gfW 5SAfitLw453fqe3h2imqq/p3tm8HCxOA/GbzTCRQdZ1u4vBI6/vSmVvFXAeRQMHZyymkOK7AEFiQ eZZbXPGF1xLbUdFTxxD9fGjgTupYJHuAEp7wOI9Bnti9OmMOFxukx6HeQKLKoQ0Q33epYSdiOoOP R4Fnv4i7Eqpd6m9rVE0Qjzz850D5kUgQvEhrdMADG3IMj7zrmofwoGEHtZS3fXEYGAUxGa71bWff UropSKY6vxNgkhNn1mudX7ePqJ7RYDjIRWo5wdQIXyGOeaTKCQxaLD6r3Qa0ZnygVupTjlF5DGwy GzP+R49tpwXUyXI2n3A4DItgSyrICqXd29ZhU0ZJpKaEkhuNVerFoEgQUk5x8XLr7wRy7W4bI/UV ui5Iiq5/pbq/vEVRNllxZZC19uwzR4ACYOcLSoomZHcCtfhOaRupkGop+0Liu21RSkfqJvhZwITo 4+lDw3O+iymbijlE2qAvk+V27S9ItfLlrYp2fGHp9jotl+6A7nHakIgfT9juC1hplT/DGp84bo41 +XTF6gM2K3tDlMc42Dl36yEj/nBAuEvvEiWhRPMBAICVw4KPggb/FCYIlARvUhukGDNVlpAiBypJ fqNr1CsvgH6p1kvE+DII1IMFBfLJz/3rfNzEmhuxHt6YT92ZfmHtgAFU8t8tVh9aNMhVgjUkC4n2 mAc9CCUfBcnQigErfehjJdRMYKfIi1vIAs0o9lf1zm7CFKt+Y7iCxUAD2u/mIqbJ3RnsW/28UABP zYhj0wcQ4Xz2R7wnCov/Tbo7n5fDs/kKYUYXbc//0UZJr7w4W3c9Z4jEHgTAbG2kXT4LeoTgARQw 8zVSqF1yGzrMaA127RDHl45DYLLII4DwYKkTt1c8uJ/qVIo7dryez+OTPiWVqu30o6L/2N+/ygna 1Vh01LstXKWW2IL2A9YhuBYgDsecVK7c+eYJ5GXjkdk9QO4EBnFku56akmiNL5L9W2BmzSH/z90f 3mqXnzW8du1G1TRX49EyNVW391s9Q7orLIRF0jQJ13mbNCm3kd6PT57YlB0VBS0ujrOXrcjNlazQ Tt1f44qV7T4F/gR17Hj+oyLmCLhpEpp5rk0pRLMDpgXknSdT4ONjeC998U6+0TrYDnDcfwbsL97D QNB5/55h9rXOdSylY4z37c5Bi8GwG1Cc5clNWYwMutGFi326EqwMQxUt1p6gTKQH4l5WCBPt5WTB fAe6G+DPJw9TsA35bp6ypPKwT7gdF/l+lxF5pr06b8udPbaxIpBONjt2hKhnfTUMsHab3odITyN7 wSNyWSNlR2fBLtB+2/VhlgsUziAk1F1ncsjbusf6Lsx5fTPHAN8rumCFKOE9jyf4AbfHrfAAuvrh BeLLrQuidSzX0JPqOY1ll+SKGufvbUxghhBtgCy++YQdHQHEH1ECng0GfFTrBUKMXB+Ufrma9B+o AmJ6yhlmmzc7FpBeswYIb6xg9JSeZGeWp2xMyH3hwRwqBlpeDOPdWnVABb75sBp59bcLG4bD5gpk FN+brZVTNxNv6AGz6UyGvzFHK/N+A6YkC3zqdqxPQJe6plKhMFCZJhuEeW1mxcEmwXA96VjZxH+z 4zpZ5tuNdmVmveQx3hlZW0NAdjHOJg2bgkQjhFTAVfYdC/XyXDWGH4bDwXkel9XB2Hhzsdp8S9Ww wQ1sSIO9z/x9UR5lF+GWteSrXLVl8A/VkZu08j0DNT2zmocJmOCzNzW1R5YCnyMav2OId3hjWjuv z31ngS3F5/s08FvBdiiLmk+fIJm7tBoF8OeZVMeQD4E5cJGImuEN+Hem2VCEI4HEQzyrnHBEtTCA fWhCxLW3cXyEXcEoHFekKuGsxxdLAqXpJYzauGEoclND9iF+XxB2RbEx/LiIPx2OA3IQppetQ8jt xw7paI0B0PtJF8Q+cPAyDfLsN6pZuwYBkB45T02n3tZsfiHfzpE8UP9gHxYswGh7OYw13+TG5bsi uojooPk9FUToLHxBHcyfFnxv3Pse3RTzaq1AMmC+LkUpELDXKiA71akQY16Rc8yWTIIpNm/s/Gbz d3nT4uDDWy9/KNb76/d890j06302K2JrAWCFpgUK0ZyEq9auG9q0JhW+E3VhNPgSKAEyxs39s4lO NGxcgdCXt01kGXtBsPNGCXwMruob0PrdfgDebb+qRiUoyuSZafit1pr6tsfEZlteFw/JX94WjEf6 uaqhvQ9R5KumXb3lh0WjGbONJT5YJaLjadI3pro803ElAr20jReLGhQ0UcfWuWVwKXkeNqy/bJIR pVklChBo/F05+oGLLUEvEaQO1cSoTltXGOREOFelLdg9KWAIezm13HImsR2/+UZfZp4HZUbFOrwe Dv4YbkphWE18HMK6y/SFJVvl+Zqh3ZtXYtFQDsQkpdk9xLCztoTVn3qgg6sN4se+T+ZC1RYPwoDh 6bZd5dELLmWhTthJczWi0/waYEl20adcpkOichNnMMCiPfyS9WE5WOHcYoaLsY3DT/JjqLCQJqaS qKNIo52bebaNhD1elf92waG4HhwpWrQXmb8fg8zGCPI1Ss/7NLwStAR6KVoFedMBQ62yEgVJO/4s +prBnGIc7dRvsfPulIW62rwVxGy2lY1gplaLthNqM22jbUKzy404vjNA8b+himtyemeWP9Y6NUhd LsAVvIQZr4HnMQb/CRE0dhxolRXnYlP3OsHQL3PKyqaYnWwEPLiuh7PJ0comrLBwHm347KX6hdUl 5dxUgRIS04kKo34WRhNW756hUF1CSeVfC4OYehqIEfutkE/pC88CoyxeO+nCfu1N3oN7W4rA+4ad fxAPEt96sfUpqbsCnaPnPU9awoKTys5gSRbzHJuQ5Ne/36j/Hf8Q+LWqpqVu4ldzGX2IF7KADDpL 5EvkG0DjNoETal/gdxzVmYIWBY6VWemeaDkqcKner0VIRh7NPS241bWTkQEWAwCr5454kFdjOo6X SZntNOllif2A4KWSqL1KSGc/rlqaXGdShydyGDQy1j05ypAZcazJlcJ5jUfghawpm7HFvJBQoxWp C4jZmIWMlCGM2ohWqWAunU3F91QPA83+c2hD56kJkwGcVRBkclmltmhC5thvYoTUUcPFquL+VtEI KDK1om4iDffuWmZ8hOZEpZNdpXZUZPSG0ebvs76qAHjao/viqv45l/Ma/lx3wD8ZdpBVchefq/Fj yJXnpUqIIP1t1w+Pb6CIxg5ebfOCYM9DVP+NAd4RGGmu566u1P+3RC/1g6xH5Ldk6PCCpCp14kJv bVsg99yBLJx7YGYLXZ1IutiRcBjCNoIlrfZc+6P4VKCIBy+fekN8kGR6aGH3lfCzgpgtg4HtIkXN dK4FOmgdQkWzpHK1gee0Z96KBDyN4SNlPjdZzG7lscikyQtoqHYyNZP0JSZruMhFy96xhxmpNqJy qUqTnqOcMuaOuJgYXZ12SQVSRh8ps/XxNdnCKwL2yKuWjg7gFhkD+5B35i4wyUrsLhH0T2FNWP0R bU0HlEJ8I1uguehEAZ2Z7KQ4lvWhpVHAHLLP9J0P3UPBPNI1ad3yiwaPQPfVVWX+CptqYGxHVYY8 Sw1y5yj78rAOve0dcnB1Oh5V/xn88fWyqEJ4NWEHxHltam5veI/AefZ20rWFeDUQBWghLLE0CDHj ggA/1d+QltYR84g0GLAZ3nllpsOlFFa3mOtyKbfMfpjm/swNGycxx3WX2e+6gKSYDI9tdXfXmMxv Nw2hfTLnM421/Ljq2zZnJTYBsgwtgNuhcZfPaZsC/UFgD9fi3l1bXD0d4Em/C1W9TyI6m6jp0zJo 052xScfWhvA7pr5ObP8UgpVw+8uAhk+5iN3TFC03ipoNOKKtPB5ZAx7CvO/X3rRxIxGhevDRtV43 M8RaVK8S3eE+y+g4op/aKlkpmfn+Zudus1YyBds5PLHY45lkjC54JIAuw85sIrvG/DJvETERbiBh 8hK7+iPSijKXzfW/8XuNAUybFFvOw8aOvS6EkNFgMfuNbg9LqYL5VtAPYvOlWiHeYE9XG5Lf1fmo uX+oC1BMPSv/vFF7pWMgRYzbZt9qiq9eZw61jFA4IXPDMAKsM+PAQQPNgwEpIrq9scCsX3Jr5uwm ajKImIxEGRJVrdfjfGnNdZjYLEc0aYZ8b3RZTtQlIRoYhnjtS/Vm2L1M76EiFQCEq4V/DpsknqU2 Yt2SWcHpZki/4GSw4MnVFuwfFKXducN8G3pSeZjo0qVDuma50sY1PZVuQqu75+T4JAKM5uVKwfO1 YM31SzBZHYYcjpPUpGgdYjq/Q/G/Nha753vJ+sF64ruhT2azwScdFmsR22U1sf0nngb5pyPAn8/x IWS3TNpJq8y2evrln2wQ9nysQ3PqYSlXVIw9Yz4onMVMEgqhjgYOcboKEKr+OjI843txb5dlvsf5 jBL2Ejlrz13JvfSntLXzGk1BbWbJJjQfLARTNZO72T+miiNJxBJq+AJOqwKlHxjLp/m2z5jFBmXn q1sDw1s98O65B5rgfTGtFGdsyC7Yd+Be8Cc8EvQx9s1Lt0gRwVTB0WsOhxgfcI41DHmJBl9cstmu njAVe78IQENDuCDnLSOashiOP+PZmJVWDE7k+v1dsVRqZGmzgujBbe3F4qjZhcAM6QKiUEXqIm0D i5ZADbxOrFuDVjoIjg06WDVg5yffOm1MttrEterixiXlIjvtrGgS3qGyy55W+419cwu0dJ6A5d5b BWq19lamfe5X9pKbepoe4FNR7MHfCIMks0pswvTN4GNLfIRrqYP2agItp0glayRmSObIvP9Jgawo rCdYXRrvJH12aP7NpbJCYqIU2U9MRdVP0BGwES1DNVZY3IG8B7gSq+10lbuyt1B8rVGxnLWjUAWC yt3jVVPd9h8q6QthcxPKxbjDDKkGo4OFOCdvfKxGKnpjpsP4OfYdA76WNhzwq9Up9WAXTT6Ruqiv F5A/GV3f7EVluscUgdlBlf00Co3KmQ1BKLnBkzEl41ozLyF4jT9OX3iBjfPHt2BJi5a5X/7nzdYA RIYXhqG6Xa4FSQLW4A0BV403dKFn9QJzuTaUOnp7l1JfbpdI49z2Xxw4CLWclX9BLUxou+i2fnhM 9qOJZO9ipHx1zv+WsuXmqaWEFO2HzTcKpEenUzktM7ScUuxoDbAo8hPceRK2n55/WR/yPa9k3Jls 4Roxo6N8xq/WnIRmhM21ONO3UVIQec4J4XXFV4kwyDSePP3QxKa2KZWtDEpZZrO+axv0rF17l1od 0k0xlGLZxtEE29yrkIDzmIjvB38fSGWY7YeXgqxsa8CV1axl++Yg1yiOdCrmaX+Vo8bbhwEV/7nz MlIiAQSiRpeKpqlZPTLqlFfiLAL6KJ52SMrs79IHKiZuYWwcBRHIpEi9KT00Ntoth1Vop2qQjpr5 lg7OeuIpJF0sSTxupa8NwIfC4jh90NsJG6n9MkRcSD1SFeHQUewtVgKkmGa+WSMjcocJWMyV3vAd azc2yPylcmiK2i6xCUfAU4otsvHAotnAXHpZGaWEEG8LUI3j+IGNUZ4+mr5OpEez0UBOF4qYHpFN TV6gXgRAgTtlZHNONMtl0QO6PI0kwLPqEXFVRKOwMFQZWcY9J8WK2ks4ASZ0OHPh+DR7wy0mUW1m Qaz7YKKch6PlNr9VY2jlwbZRkxbMeX2YblewfIpeb+VFEe5ptgYdaAmpmlbBfivjaWH2v/XlM0eX NBzoo6Y28Z35L89OsoUnGSpzhiSmybsE+w4qMGtURV1q/Ul3scR8QYwubbYOy7s71RydDdLkVKAd pAWGSGXVGDBqhY0qIrDl2CV6IAFJ33QGndJAK2yBCUg7TG9NB9mgPu05uO5aYVC//EcOxVhTPnyv ek8mdu7duW/B4xJBuDxHFvh4BwnxXad2g8/PHFbcUiMTpNJmu8ub6p3vfFJiFL5GvSv7lxMuF1JI vLZRWraSYAxceAOD0V6jsxsP14edLABZt7sE42ZLzVeh6bxZOrcmNeAJyCRrawA1WHFDNeWscn8u yZTu4SIlWylr8UBlpzXRvT334HmtXX2Xq54ZR+/3wHRkFsSBOGJIFV8CK+XoSHEHJxn1ICeTx6Fz 5uPM7W6fWrXkwZtt4C4WwkCmanQ3FDKJoH/zGHVjAg8ZVOlhourcpIOyNuF8JPZzq6CjDOqg/9BB 6Bw+VHvzGx2wQacaiNr+tbEePKA+I6X8hdKkKXx7BgLhywruFESrHSuwvxt0ZTaCNuMVAxa1dcW2 5TGD5GV0q1XAU5pd01hSUsnzaGtXdFUkpu1OQEbMUGLnaSQgtDTq0x5xSfV2VweEs+/WpvFLvOuz lAeTqKjVlDtkrZ2sOlCpu/L7ZdntQSN/zJFNh6f/OLOYMjq+QCPJaDpGfXTIuJ9KO22UbFVoKQ6N bqxzroEEPxGIr5jtuBM8PP4Fg5e2d0lHEVCE6KKUq1x52gQJxl0tF27gxJtDWplLD36zYGmkxRkG csS0L7G/nm3e/UPDHbMcGbWA6NOSnmpixO1p0fxUbxjisCwTUISSWMwUmalBfjFHWKVfbc4wyB4N E+jUiKwMFnB1+vhnOYy3dSId/HNzNQdZeVVajl5VIsLvLLzcCDkT32pwzmi1f3iLDZ+T7FJdj+js mbmY9T67T5NBjF/jDwA+gTmtSmm+GlTOcZYtgalyhBjLwFxAEXSVfAFakwPOHVgP5pqqoc7oigb7 BOwtEE6IOZ1gQ32JjGNNSxKd1ZFdNGxMQ4fZB97MDeNKhgX7oUHqtcYOzjnduDC5Sm7ZbVpBSU3d I6m4xeYzsxddCDQOdC5/HeB25gpl6v+jY1m3oByUepxMq/NchHHhc7rmnQOYBKzcFkqIL1C1caEg ++mZikESZ3zfgpANfAPNPeOW1AHarhzZ+5mlGLc3337CqN7GNUjcmn3hTYg29WDzl29Y/EGtebqU YsbfbXurT0bjVeKHfMKmKqsHbjKVwfXDtmmAPlDfcqrwIW0D/JsMtcJ/3sEgqcBHLBPTIT9gDtOA SJYRfznrVR8U2KmUUUV7j7FZconaDshz9xgJgnuOcEQtVLBzJim3v2VS6khMEPBYP7Nvu0xMPI7n 9i39FoSi/TU1u7v1leK/99TVT0l91xlVfmOCBmcnjatQ+/olPpcYcHBxSqCJvNmic+22O09m8hLj GuhRsC74KjrBNZkgpO/iLd/+2KzzbLmg+7NQ2BfQo4QUTXstzGLNa9PMbE32BvPcMnO/Nx4p6NO/ 2I73QAIwnUl8CTcAG6fUmewpTIul6aAzOPzhB06kboArxniCWOf2OPBMffYEcUu8D6S9MGxQw2La p7dfL+Ynt4fseaxM4B0yxe+pLksWjriYMYXExi9AjsA0PbFAv+oxN8+a3T5l8DCLTzokvL08mLrp 9QIB2WVlz21LFpS06wIWAamGQ3FNkyR/Of7F1t0TsRhO2NITjSdzl6FxXdGAAks2/7Njwux8g44+ Q8Ww/cvPwGrMoFX4PzPqs8dhFIB/PhbxkmTcU6zMDmXCIeA7J2wo7ZqHDRE3JOSrUbvVUtfqzbxX Ltn9doyqyt8LnzMIg3G5GAKCYdSQPcoe5t8j/rv81xP/Dd3kRpCYLHoIXpZ9+enxhqHgJqO/xnJ0 EXsUj9dVrGbnR/anEdGCNDEbnB/lOfoN+nF5TwVzX9SgRoBX7sf2mHmo3Y6ImufAkjb5KjW8cLOJ X1Iz3wC33zNI3LLfTzaz1f1CXD303mq4ve9EDHyMZTWHZeJBZbXjr4PvXSjWC5R8LixCf8hOvxUq molLIQq4W/jeUq1LJ9HLzLrUsuwI9XTVx11EkZ7+Z/UxxWRkV+nrQ/yOQmbhMr99MZ61IC/5+tyy GwtA55EAilAF4tB6Eq5WQymppicga0Fl2wc7hYiZBwWy9wu0j/k+DBIePcrBPWyU2E8dFPSm+EIX ugZeB8upicXOYfqI861qwsS135YZsOlHJqMAfXLoaETT42GJxyi/xP/6J/spKz23d0XXFGPIeyDZ pNrJT45l0Zqt0TnWQOXmWwzWe8m0h8bycT6pHb7+pCUDpXRU5XVV6aC2ByUuIWxjRIapHuEUeXVx Sui0Bni9q2h37gD8Q7P7PJxvxs/SclijxdI5CbaFAH2kJhSLdX7qSD9YQCHLs85N13QVstMl0giD A3l1YYUZudHSUviPTqgXzFW9bVX/FJmpg44s2oCRIOYDGgVAILzu4NiWhBmWoEvBqHDc15NqEbhe /VgPQnrXCHwUZnaKIdmnoI3F+ia4ezRDOlDRVKLC/65xPepeXgFjdhxjaiRLBT4Rx8bMfOJiWl+P 7H9fgTJRMcjFvu1vcpFnQZSwska3AZe46/VMetwxx2lhQCagzPLB3qM9WfwS6KfOIZqlMupurosz sHI+QzQ/8Iq6DIy9WzmEZkoVh0Q7uMZvZiwLPIxDuMFq39uUwFUTOLCfLuLh54B4xrXK1RYG7wld SV+zaqToaKnILvQcVnpXJtiKnFCxmvPbGgHQzt1UUvZQDYiv2oS5R78LyZFqZWL+m/RVFd7Jymiz h8hDzOMFeEX2pVCv4V4GMDyey9zfo83qIT589uCxUfMguuO6zecRba7EHmyY54IC8hFLBi/1Wq9T HP7onrDNp6hKld6rzFWtoRy4l4sVrmfn/EuNl/PbZinaZ9pA9Kv8TTiC72gSCooxfxUsLYjdP26L AaNp9UdU8ZZDTrPTgzPudKHPaG/01nyDVR2CP4G9jq+8fLBeDy0X5tMl0mh9Voy2wiNi2MhwH49v Qavn+r9i8APuMH+9E8bF/C4DbmsgNQfSJW/3nr2V1ZgJpf4/2iVXYHgovUnyVblioqRSCYiDcw6W 6dP8btA5akCA9dhAHPLyVaO2pdhoqVng6XfTOtGjiST4I9NrvF1bmBU+Mz3goUijZDvFsZJKkqKr ebynDxeCKT/6clHLQc5hjmgVy2uBQG5Dot3ugny1/6bvXWX0x290CaxvkCVDVhWbIX1WH1cxIBEA FKfy2VJ+A/1I16pKiuFg3VZETk68K4+sywHXAySd2NTOjryelN98Tr1/cplzZIQkBnKcRhARKDdG 06eL5gZ9e8NqixbGHPsUX+vzFqQcDEo5kBb+PxzEUonDg9dJK1baHwiQoq9mxnosMlLgEkp6vbeH fZCjbSn+ZQSvJISfkHq86cMJW8LGiZ8mHOto098aRVuh0FaO9lDLK3JNDS1qCUIpVA/cjhqmty3y E55TEHnxqAvsTvxmtLsczx+++Gl1At1lyGaT5ChYP2hJcfzmN8MvRBB9JsJLywuDnlYtZqGMfN0X 8nuZEcqWSz2okRyM07/UfV4JHfqe0EWQ9bXjAKmkxSkqBdks1cV+W2A6UdKhuKIwZds7CbFd2v8p N3GbIH0Di245k7PvxRDA92Ss0sLa1dP45eErjnoivfi6wZJQWonLLxmbji799ijtQMqpkSgKDsDo 35G1ETZsGIxFHnA20Enx2LzUsY4a0Xt8OX1ZXIzozibhMeRsce61P0aXI57AyruQQ222esCY9bJi JMMsS+P2UTJ2iSSZiZcS+TLOUiNMpiw+1mykfBjCLyMDO5G9CtlJA7a8Ez8OA6a89Mu5pNS2ydxw WQi72dCCxWEHxwjyAkZP2UVIc9FtKze7yIQsIQpIPr/bFZ7Iyf4I1hjxIxLmuGkwO2Hka3VOMlSU 34oP7oA0kz8xe2zWghp/ntkRVVMr20mA5H70fHRVOXQSwreVk0AtoyxsW7no7a+v5EBnrzMapAcz hu2KHJ1NLd4QBv6B9gCJLA6GXDYzcY9OhuSiqhXfjPivgn9tMZSm8/LLR8dbuF7951bFN2qIf6Eh Qh3hy02fzPBFidFscaQIVuPUjygv1hu2ymIJ4/cY9zOWnXwzxHu3XaULHkku0bS1/2WDu3rCscNg BDNnkQPPrsfWItSC9s5WHkGSn44R49avpxzq4dmwnt48O2TNriGXLb4uXmiYdHOYrqSX0w0zXNjj BLxsp/m2G4tuuqG0zwUKuu5pTQrSDWYgMOhgSbswtlKlvLzoWXSG2Ew0heJzpbANmEx7Zxfqff1W R6MR+AjnimWnO5xB05o4SNdHRNpOAcAXcQUe1SPeZtyEQyuRjngx7ccTC9s62H2TMrogFW2WnTHm mF/VRdx0adghzwRlCz2qVuIBCORpFHwsuOQwXMboWiAq9DtHaFVmJriJXoTWiqEZyQ/wZNbGWlmq acSTi4AOi4I4TPw7gVnMjQddISYY1m+fyggxe8lMKfTz6EmUzzuFlGOoHgIc9oMxHP1U2wSDs6p8 jEYj94EaP/pR4INn1s5vpPTemOtvcUiNjC1a7MH4JCxJp96LhzvBMzTnTcjbkj9i/fUWuu/zsIRa 0Rf8Xk5w6hS20XuGbxJdrPOl+Jt0tGA4hMEU1BV5JKixTkW5aDHM7eWLfkxOL3jM+1gY4EhZqhVQ WdNeWRWHSDaEACsZG4LGqm985NOeYR2++KfSWmRY5lWAA+Lw18x4cbHAtajo5MxcJLcPUWctfEp+ 5hZepmfIR0Me14HvI1w5zA6atSmP5YIZOaK365SVZAZZRJ38KnorpePWs/6dBzuWhJWEJNnQZ0ig AUfETiA9IjGEVMbjuLcGxR6gxfRx/SVBj8x9A3QkVvUg0R06Q0dl9f0uVikioQmrIOyJc2GN4baS x/NzpqHvj90hsi0vnvrrbsoTrh11Wu5GCTARZJR09lonXhVYVbu0FisESVHIGj9PwVQMwPy6LZ/l 0YrHm+yHalhIMoRR7YArAHGcBCK6v/WiVFH2Lc/kzbcgTdiFgGmzdzC12FH3IQ45A6P2YiUvQrr7 hwoKBIGRDrmez9/+qHcOKtmlvLAf7HDgwRlayr4IzWgX5XnTANko9kJPk3qVh1Oy8Cdhu/AJ7idg mbbQhzSn/zG+NDC2XJLJJaseiL2050IAWgAhNUmB1IPC7AIIQ+S38gQTyUW70jWUYmF9VQPCvJpO tr4aECKd275KLRCxNoWPNsjbH6FsmFecgeTCSWiUBX0xgzAEs08GJ7NkJBX6Z08+19Wb7hUtE5In 7cpYxV+r0jz6fna76jUBgM+IpetUyVLc8moUz9ktLJu+xKZMh8Woc0hE922sx/bGyk2Itgv7rCH6 Qr6yaHW36F2MDkQXlAz1WpmoWCTR6dwul2hAEdP08AdVz7AM0v40QXFEZ8bkfG5Eu88zFDwa1Cve xVU50FIVWxXUO6cNDZiBY3zFOw5MIkREA/UHlneVtxtRL06rfXgMQLPWVwVp3zu9FPoYz+xon8UQ Wfla9hAjU2NIwW9kaac0Y6LJfWqN9orOUtnP1n7WAzmPpYCysfp3vMAF3bkiCx0TKRaxltO0Lq1c DYniKbSPMCTYggaTaoVrUDxI1p4AtSaZa+ZOgCBKKePxHNZSaKv5YgZlCilz2Ro0SBGy9dW37TFG JDrnKmPVhiq4x1/OwE6L9EE99E+wNRw6ipIuilM5DQ/M8Gakp61IVv2REJ9qme1HIW8O7w3MWLdw zOIp388PCIAHDuGORZbE7z4A1r4jy2dsl5O429B0PpELjE13qugf7C2OL/xoHK4C/Qy4Co7RNPPm hr6eEX1rAP3RBy42V5hSSVJzI+6ABC4BqcoKzdJFRxnRIQmP7dtu4C6r6tOsbAR9HYKLxJJH6jnB eFHn0+oheCwOlg5cDGRKxiCSh9Jbnc6n2N0L7qF4uAZaKk9+sYY/qMGBA1qLz/09Fjjr2iIOj5PK zHgPZ6v2qily15J4Z3wmx0NBcaOGq5Z6nB+QpKpY9AkIknny5kJHkFf9TPgVgLqu3ZuvTm1Icmrz rei7IlKDGf5eOxbwI74v+uX9AB24vpy9hDmSknYfSHeYF/nwppHSHkfiY5E411TjTLK09MGhhQiI N+yEwpGUHpEDzf33vA8hhs6IrjNY/ArmarhEM2f/9Irh+2TYH1ycV3goDK/W+clfBFjABDAU36r+ RJ+of+4NFEU/1pQwRF9e9VujYAg3ChjemrRgsKaO5L/Gm+47IdOq5OE2Xxgl98Pv1Z8AxZroaBkl nXd7iGN+am2d21BfNP5slcuMS98Ou3zPVEc2RO/vv94fvsoGfAnfxmb0CGtqo+6NdXtCZaDc11oc 01vzGynmFvWIxxDPxa1tTJXqF13xCcveSSKN0N5cVqnkQfmyKfHi9JJdUUFTtUv4pMy7n/mKZxhw xgp5nDgDfVfIe82scfWGIb3JXASXfmwGh0mPsK208bIhjur/2JvbcbL3pKjLsIw7w13rHl0dv5OO SfNl/n3FwmI/SSfvKhHpKkQWZ1IsfHGPseA1wSyxcBZvSbn3XaZYx9Y2cnS6iLGAo4kO4Vq8GgaV KKjN8R29zh+0a+TE/IbHC0xe1w6zMGe3zHjLfeEDWlDCofq6HxG+6TKZTDyd/ZQ9l0A/DkiKjADs ymh7tKcJajUZLo4tUFtZBzoNCb72+qvBkr9EQly3QGqLAdzzSp6NhEHxokSXJhacMh5SWdUCNWyY hTSE2xCRDVpFYBkib8/lfGyLqNs26gdcOaklK/lZQrvjKmfLfxSg09Allgq1DRgGPea/2umbTvX7 fgsGTpVq16T8E27NmX5UOrBS3BWPPJ2/TfdWB0F7i81LD9xWgR4TI+109kDJZzjvcDGecvdyo2TU /33RCjpJMbhacukH5dxQjBUwgtQk4p4rIjGFCA/NQluJj3JhO9KYXTKLAF0xX0d5em3rcA5dqrRU Or+YheU9/4jneUTQ20wCx1xk7EyiIqCCiJzegKPsLNP8p6G7xbQm1Qp4pUqrwf5QsS0GphVNi4aV 5dpnLcaipOslHsDLG5mOl9s5a3WnHxmDrOtd0mu0sjG7ugF9guTpRYArjY7V9IpZDeHLNnR2xvO6 sqkZNBxKFRzP/D68/npVRS4XS5e2MkGpsdp6oUQKIP55Xo3hoxkRZn3L50rCxvquBaYWbxeBMYfK QE9s43VcvmQiiP5XWW32H0OfrE7dFMOhZD9uQ/xX5nqBkyRXIiElRxILPwL1YjiazVwOLoJZQS6K KW8MdFPKVgidPUCMsYPNecFrH9FZNpn+VzU4JNCsTLooszK9f+nlMASQ8B1sjfkIqvAIU8Wnd64l lo85lxVIiZyeEaiigHCRNqoyslcs+y8RwuR9RhCqY1Vl3pxA7ye1/nP6OflfqQAoN6GcwA9vOBFW HMy7gbD3hOVys1Y/F4WpE8Qf9ZJimDzwpnMqKgD8lnfAL+1ev62Nk0by8oJqctIvJ502zEUY/xmb mJ/RZWNbxG15CSsxeRVYgkxls2gt3iVDCHv1hSliQ9zLO1HGN1zDzDD4b5xS/QqlucIhgAuPm8Ou 07Re2WuY05ocPTGDhB349U6pzBH7/ozErBKPGc2B8YbE/jBZEN9TMYu22n0xomzqQsdJycYiWMF9 oL5cOHZQtuN6ZT0SEaO7kPfGy8SnjohNEj5FxfRH0vp7Qat0pswHR7fqw2axcgCei2afIeTBo1Mf WpYqR41qA4EOZPLHSP6+lewSGyci65EOpiOaqjvIHmByYlnBTJstj3IlKMD4dHfeJ6XTj9MDv4x5 JdBXNzWh5+/gATi/DoJmdNXSD1NZjZkr1YwfF1rHC9Olr0qN+hlMe1d/9LDZb3rg3EtBslsj2xDI Ugu4WblEgHtLLmNbeKf/zI95gkwHZi/gorJ08oM7qXUHlh9pkwZ2JP6Y9s50nsWNoiJMe0gT/Lqz 57HZvkfYx9Or3bLt/obXaPO8okOh7cf+7JzcEfzynRx81X1QdjfTY6+SjYMpVseGdvZfnHKo/ZRD lgcWgp4aAh2XsUKUKTU672EgjUN2i0kD/Ub+ev4TXMAFkXzDCMJPSiRe9rJtA3SXHKnTEy2smnj4 d9jXqsipBeJD7cJAD27wuRLnw9sxsOEURdjCpg5TcHiBlFZYVg4hDtBIWd51Xfb8N4g4j7MVLWXs FQS98gH41coiYUCu8ILDDdo9ZsWRg70M8tygryWJafrecnfSwLtqYa6rej1UxIRA3MjO959PMjfe Sl8t5qtK7+WuAcqkYucks/zXAGGq44ez8q1HyGqVwMa0ymV5qsmZr4YtamyvnZvJ+8IxlyB0poaf KjDwT/omqf5dOjHXnMK7i9YqzmM8nUIIiavE+BZm6VEgfBa0vCr9TOP+m/vAk3Rp8Yr/vBzMlVZZ evsHxKkeFE+24/An3oo+ptb3IFFVUvIvqrSmECKhCQh9qpEiViIN2u0WbgGuI1Iiq3man3vwZfUT CtepwbQ8UjDmJjx8sLVvqB0QFMSXlO1VjOrZlJ4vPYlllJ2SgTSEdQR0qx9Itx7VVptzrSFnWP4/ RThIWIc6md2CNIjDM3l/w3CCtJtJqaINzDf0z1nIh6Y3Iotv0cNbWqLzTTBtsG0k8QyrLrBr+Oc7 rQZsixDc1l+3FSK1y6IPMsPXZS9bEWtW30Tt1LkmqPlXeX44v+y4ud0RrDQ7zD5AYculLi6pEVEF KCIdlZXdk5KMW0xNJZ8912uL+4o6Z5ZXiu/eLBmS6PqPZSxnMrmSBSBs2MNDIFfMuX448FE+tf7r m8q/KrdflpVCuacnZoXdQU+150x1nOTnuRkohIADzKcW1GH7OJzUWRq8p1Tgw75wCy1MilMJmpw+ q9F0ZixftQcWDthaqOpkcUVj6G5F+bqHR1OYuLxRHNA9oC++yEx8+hWm9og739M4b6Sb/mbiey6W st4P5+h11VjtSirn9ZPzbVuBBpDPEZlaCR5P/6HlO7DKxZDU8UDg7h2dDZ5cm/JK5p/8wcpgngmu wslpSTwk896Sb+JNjtBfLpE2n+MwB0EIFPxTRRYbFkSl3fGsNYe7DYwM+3Y+V/Nftm8oJ03V7c6v 0nesCwmmXOhb0SD9FFEnRJMVmAaIy/zHEsM+QiOJHdhcs1dHCsVGPEr1ITZ0z5v4qaaFW9jCslQT HyqdAgUVgOSXenBofN/P+v0UofARpY5rsLBpi9TojLeMKpOKbpdz0PS3yT1e7gUMgCOODAXghfRw JjBQnqcYqtSvlJeK0BdN2x2pD7evv0sddQBWmIaxbm/aqz2MsrI5JYh6Ej9He63GWYEFk3e361TW 5wDJDIGjASRBMW7k9z6QdEIXacLbph+FHIcEaWnaFdOMiqEC/8Dq9vj25AeBeg9jbWbUNxZ7Lvrd r7XWa+SFvRLpZfx+xEoOzmCMQEsMeQ+sHic86/VPPytLt4pQLohhjqXUNxuBCxi40EvRxAnlK4T7 /NRKXVYrLtqOMGrkJcj3F/pUo+NSCzPGucS1HEXxNVefk6++EK5nawz05VYkhYzAhFzx9hLRq11T YyQTkwePGJF49QHTrqEW6v27/9m98VXzpEYPNBv01TTqHQOZTRQJQ8eWcamZ4SkHG2xntD5rZgxA UhcYzpCXeZq3Q6oF5yHFC0AOdBGTEDzUD4HAo7GR1ZeGptAWjgKvoa2E/H55AquhlzSAYHNNMeRA d16yj2unmBc8SdmEPq9GXpOdVIf63qWixAKd5swk6oiOLE6ohfXWwMJUys9Xl46xYe6wPFMngPGQ KMxbEn3XFL4hfMfrYTuzZl+r9CBTUSOLGG2PQXr+sXOIonKBwi2ryuZPI5mbej2HJpzh/F78WAjp h6KuJM3sqK6xDH63h1i2AGeLnOiLmVC2YreUWMwzM9wa5pnbu+PGtE/oTXl9/XjkHGO05E1/RzuR 7oveuHUdkrVyNfx1+J/3BLL7O9hgHuXrEEuavtnb2t+bAgwmiyy9BoptMTUALw8b/CpE445Wi5Qk J8GT58GHgih55JAQDR1kfwLorc+YnXnPPRwSan94g0lVaW/l4mdRwTPh/PCqUovx+6FlpPImt7MB XhqVK4gaG7xfV2AmIWXznrjdrm6HAgQqcmKxkHSK+aLyrdRNHyW9MIRx/MkUBDTbWbZtUNDyzDbi q4pSDzboikJP26fM7+N3WhJS1JpLmfiPKvGbB4W5gdYa7niAegysxx6UeS3phsp2m0PsThX1M197 mslolZLAB/zvKFYKE0++mWjphS5G6w+cyO/ir04n0Xmz5Dc9ysYs5he1H4HS5IV/4cwkeyWLjkbr MTx1X6I/UXAthS1iCJnzYsO4fLYdGSAwHW5JqTAagm8qGRAZS3QVpVOAk6UKMN/dt+WHFu+XKu1k CtVMtX0TEReAhlD6HarznIKSQNbQYUink+0jaGOVjMWoZw91JaEiZua5G/cUH0rVNlmt9wlczOnm ZiMKRM7bcDrPZDbbLwUmHLa6ij6ZCzOeLMaO99i0HPEdr4trmXP15UxDYJwHr0SirlCbGv+n0+z1 F4Wz6peC4uRJxLRaXiskMj1WFHAmZS5e9P8S4lQr7lr5+fMDideHeb9yAYcnBGB24F3iqEO/HDEW hN5c6RF/4GfvWCGJakXJQ58uB6jmqyyal6DwvifpnKc3o/x9WB7PtFkRMCHZZt4bqswLm79xg6no z0if/97bN5NHex+oDY6Pz5Pp3U7RsakryFzMQoR6r8paAEP25V6MY56i4N8BI7+Q5xJedQW7nqHw 3ls45OvAvfrqNIGsJlESWzV/chtFeBDynfPqEnMfbnTodeU/67RKGUOB76wbFsR1zOtFiHMREvfA H4aBXXOMIBCTqUJZYVZ/ebJ453godHlQsXpEPMVR8yuvux+ObCuRPm9xHjBAnJEgIKUvR1US9zNA wEVyXxL9sdOzwHhb8ZXsewzV80wgcr/xSVgoVqwxAs83AwMu6YTMD2k0rTfm5PPez69bLvqhuqOJ gV9TdPoadRxSCkUaphpWvVBPR1LYn++Mn1iVB/I1dIUjW2E4BDK3o30hJQ10/AD/T+bIAj2vM/jU gBQXpK50UKBrq7CL56O4PgCQkVENYBbrOLJfZajkS3VRbFHNZ2hJcvvqiOx13ddzu6TtlfO06TEE wz4yKASzqzZlB9pBUVlz2iuPWOjmvmfArjNE2bjak0i68nqmmfbGhhfaikfTrcC0C13lXkb5mopF ad3O8sZ/LIZeFCuo4htjX3drGIvbNU+520StQqG6smxOZ3jkGGMKhrsuiRHpYzD/HgwT1svW6UEZ uz1rkIAIbFarSzS0MxOXxGdkQXg9kTv1FM7ApH0cMh3IDOWCSkGwkJNzx+Ip6olB6LWrXH/Tj8Mw yDNmydfwOnEgNo7XXFiTk+WCVQxDk5v7ZpniBbCJvuxj0S/qQoB9v7GAUW1wh9JKuy+blGHcOLFx no3VYfDB/c0sEC+TUYsmzTCTWDPc5aBaq1NN5F6OvcCFIkCWrQirVLdVn1cOFizwHzM2xiK2PSMK Rj0eWGMWIU9GsAi4z2+QuWCGuubHqp/uC0DZNmN4wz73xqbKRTL6vHE2MklkfGAgmI6mpjeHgTPI NfyzsgOo9IJFl4Ybbmy8sjvhPF4CRzOm4W5dXbCENDDNGCby9BC2zy/tZ22ptW07QPEOIzdrJZ4D nGa6NKkbFqHzQzvDSMRh9XaMzUJviRQcxRSo6D4NSVkiQH8ofjDZkbPnIXJTBVFn+r/892AnKkVn XVUxQq6fGx1qIPUfX3XYRmmCPlfhZQawLyqLxxqwyPrFJCUIoPFKHRsKdm2Vtg1kr09HWqqBkJp8 bG22T3y9xCLPksFbcyLYbGJDavF8midXcUqgQourAjyCRACMJMcGhxf2nttDGVKLQ6tOzDAydMO3 8rOlyLX0/F/BZYmGs0aM38iB+WZhqWwY/LQriHDs4TFWwVbMQyas+XzS8qovB0bMkJtZDqYKadJI ufsdijpxHvXl5+nDz7YBQl5h5aMoiA/efix4lduJt6cQpJu5CoJElBI2n1aJNMyrQZuZGSd11q4A NROZiJqVYW1Je21yW1GZqtMQyknpvNJ+SBnb/7QSRLaRMIXHAVskRDKNkUlPjUT2LMeK7aV3MmMT RgxkQ0lsb7qkt85rmbMBkVQLNsLnT7yW7haSIY4rtWBWl07tyibzYQzcEI85e8kwkfnoWKIbS7ow r+nUac4cSO6D5qw3hcC+2qMrsK7VL3Gcv7qSsZsJoNzD4gsgRbUSWzLbX2nR0BxRNGGWjdexuRPm Q1sH44qlbQwlEReI6+gEw8rc2C3FDXMAtCNXAStRWMu9xkCRX/Plq58NjoNrvO/s6+g8vXiN+tWr ZvLlBPbdw3UIqAhA+ga+DSmYu5Hvaf7SR6IzEwX/HCnqGdZqPIE2wDoS4KjrZwMRXOmOwYNT2tuB iJ3sOGr4U0WKdOXSbVoBcXhGFplcXetFUhe1SEO2AUBg/WeR+vvFGoNp2yM6DEDSEGJTLWN8gZ1u 1lz0p1LxqPMHB37CT3IuyBj4Eryut/9h6Kh4QLL6Cu0Ds1bhzOK4B4WQ71+Hd3iJwLuCfWMaImnW TF0pFx+0gayHwS1657b0wv3b8cz4tHuN3No0JG7nE0jK41cfeYY43jNkGjciXM6FpKwCW9MK+OyF AePtaPVEjc/Vsv0QszMUXgDLvskDTFUZcQEHrZYIzdLIq70cY7/d7gbMO2jY4xTNosAjh/SsCBse qsYiNAsae5YisMsBPgxl4tDm8/ZPpb1oY8l9lfwZ9QdGQuHQtX/8UBEmF8DaLvFgNN7sX2lQirj2 cx2uxLeL0olnQUarrvarVCAeb+RZS5nda9e/O8mRt04KZj0a9HCl2dAbJydJ30T7NejUlxZhui6G 2J5ewTsImUeYI7rZmWWbQLqLVdt/IPTbdkT2BJbww6Bq6CA1nW16MYdjgbHu4KjAhGwiMsRUMwjS hme6L62221l3mE8aGuX8zRpsTfIJPVbc6C+Mcb3IVgWxXkJK3c7Z1n1/IKBgMzHo9BNbqlZBLmC/ b6F18X2msSP6DF/K/5Os0vm8yFSUFwawPQzJN2go9vuEIudBZTy8JQ5jWbcL2C2XGlOD9aQJd1Ap QTh4cHwG2p+GsMzazTK53deSJGhfrYd31pOSgxa7Q0mse7aSRt3HqZCZaPx4MxIsUdnyutjgWh6h rxZLwNhW5S/70S6psMrs0XPdFtnEgqecyXp5aW51OwYb/9dr/MH17XbPyZeLAOaBADYh5y+zBzx8 rLen9JrNA9CEPQXMFTDTcLVjLC9whaPJTKklBeb5PTsm/wqWJ8BETQDvoYKfKxXKz8Wbf/qzYRcp Q345mIg0495PEtj2mKO/XFJK4F6AJxyiupWMHOlNk8GZfXYK9YIMv2Q1DklQK2+tYi8HZEZhZhhM NP+bJLbDe4YRMGl2EeTBrwEVwSM9O9/xPKaoI9Fvm13Jux0rGJuSBQRB1ACAyQ6AmeGbipwvT36g hqIXnjQ2la0quJt/ss0EYItla7YKQQcZPcizoi9SpIWMotkJXjc6Fbw65xnh3P5YEzfc+YT/WsrO AYT2T9Uwa57NvA45RSSWzUEUB6TwI+57KBaq5Nsl4VlQCHPSAhQsRtDJ/xkhKNumxdRMP+l8kQWC MyZnNlAIlqNqHtf5deSMUHLYCMjMQj4JdB2CAJ9taeAdUFqMfxHFkAdK3nPWtcR8lJZmsHdzCK2c nWN/9/09/kjcDxjORGG1Hl8wAbzlFlZ1doj+3tzL0t9HPK/zXmaNSl4ez6w1VWDCgob1D8q57iUI lZMlVl7dB45qoeWK08FzG8Kl+Rw1s2x+COPxLzObLvkRCUjRuPLDln06jbisK6vXht638IhrrkzS hCplvQr3a3MfYibEr2AEIQ0MMMunOisIl8/JBE7oh8CN9lpCeEECQ9jdAGLPKpEvF+KfWnIRbdq5 FPD5VM7hwBmS4f1AqnQbeGSpttJFTKpN2xutJsS1PTJA5NuFhOzNE9SDIrZR2g+Z5o4FMGKnSY9N qXAElF6UWSRle3qwjCMRgkgj5uBeDsTCKf4OIaVUypL4mf4dIAk/TYRYm5HAE088urGuYVERt8lZ W73pbGEJBuyUksZBG5rA9gjqR1pdDkxE+zpGyw61E1iiGreOWwTl1lx/BvUuFyTFQvGZjxXa09pr DOaSrw1ss793geZiXSpkX2GRMBbO4+YPQFaKJqC/iIooWxjpwIZHBCQidpzCx4bKZ+2ufTOIVRTI UhE+9F/kRGpigbNt2L4hiSScqUK1j0HMwN+7uPLrJs5jVg5WmCczeKIUBjQlM+mKWybvpluso+XS 0mfByhyunDhjAIv9DyYgfv30aPkvSTvcE3fg9U+X1S7Dnr/S/qM7diXpaI7UsP7vd9hBshMt5Kk4 B0dTMW9sJAi/8pFuwtYD2O8tP2ku5D7tC0jQaE1nK0N+CBiY/3F0v1RYhHZR89T/ZWfTW6+ZOkke fNXOefpdlLaVwA1IaqCyHu70xlF9X+rxM15MbthF1+YHb4vJvQrxdBVxUpqrlQQR0CL0KOhZh43Q vXNh/rjV4PAw+Tg2pTcwvZvvv6Zw1H0kesbSKI8aOC2V8tHzE5mlzVxS0KhMF/ppbVq2KeTplqPS r+tsiWXurpG3gvna4eYVcUNOuJbgWM4CCJZpymoqCmU8Dhhb7DEjQl62hC/LLdvuSUIMxixtOOaY BI5K3JdiIdOjFPP5+BZ4WVIuSulVQuVdP8o18oNF/3cXIo35TzRwVgHgf1YzAueFKWoYTwYizWWH v40uSFz2UcTsXwVCRBONFl33WisU7qu6diIeApeizplwg/VouP0LyciomLs72MaoJ2p5vGGEQ1J0 +g2wN3IxdLOCRX9r9lCFiKmQxqKxRg3LWRBwc5zilSXuBpNdZfdYkkmvpPbdSIEF5N34uFRF8KXm PjRMjCVU8KqFh1rnUemWZ3Q5W4JIPmVge8ACGGWhz1ZauJ3CEIFmHy69loz8w/BnnHIuLRz+4hmw SwUMOOFzYHl7KEbwsSGDpbvOfHizK/7FYapdQHqq1mwD9cnLTeOddE9p19FVKXyEQF9uPpiOdtdK Rg/Un30VyPKqUoHUncZZIJL0bqLsqTW9lQhFsW+1hP+KPLeoWMYRnndpqbrg9TdC2I4ISLLvMjGs /VGshDmmbAQfdni1jBbzHqESuXuwzYnI3h7diO7JAhF3gxEiQL6saAxTXRv00yx6AWF/ZNChU3mN H5B5wbo444Zz8ToXJR3NB/H96+Mo9VPqvVuL0FeamHPWqbaXewKqihGiAmjxw4F7gVOPbnGzvDY+ B7ieYMZ9S0ewA/OPJ2SKo/kt1G/PF20IWGQhsn9kMF6HNwZKwoGEtSlxr+8FoxXORzkHpsegXce+ nhjteRMrnTOcy0Rnp+Pj1Jc8su00TFPSaB9Fo3jgccYL2jl3GlcLhksHnoF85TnOro/14kwWwrEr +cijdK8b+ILXnGrXgFdrHe7KhwnM2akScZRLh+3PSvB0WkRgeELZm21IonDZaXUKPOgF00N0QUBV KhKp8Z/hw+2aUrdm0s+hcqIpAmV/mc+y483n7A4njPkZnOoc2Tgq/ItEX5mYxLS+q7M3TvfiWwGE b+t4VHzl5dvWKxFqaB+/t2DaEBVtlYa+Ma1TPqG/XO3eVvo8qwihF/qNV//VSmx4jzDzUkXiDlag SkhXVhtzHpjvhib2BO572ywjs+EPrMYrtreSqPed92feJoOTIwmOcvt7x3gbRgzjLv1NGRajQ9Pa mI7CPr2KZJz/G8Y9a0UxUqnq4b7mKMhdfZoK8+rlp5G6Kv6wTQjVJCuZBioAdrRYKV+L1zauTSTL Zt7mdUCoyoNCvoGmo+uUh0QtS4w/30AeOv284x3ijrDDdpEwzkDeKcda17E/4CNTTQzmZQ73A7nz nE9PC+znNpQ0qOXPkJa7VSb8XtnZUTCynQYNDS2Kys3iaJWQzc37PwY0xrIGxe6xL/AzGHtuwXj6 aYED7MuzYYe5inK+R2ojXUd3Ys7ZGOLZlt8Ebn5jFj5Kaf0sqWwZgSkrrMhemr0edh8VzQgeKMDx /1nZQPxb09Q87u0bf8IZx22YI7tUl1IALIodY5rA0qAzoQan9cRUqLv/rERGbZq69kzNCQ8k9W1Z EoQwLKerp4mwhvMjLwZQSPfM9qKtWqzGjSVtumLgrqTOKqH8COcCkLLD4YPQ7VU9swQRIsdUx6EH 2NNYfbHEKiVfdxS6mGqvZo+P+B86tnOM6oTWqiHaJgd++ngkO+htC6+1RuxnSq0T5VTyJ9LhqECi B6FmR3s2ITzi0TrLmautQruSDAJSWnND12b9nFti+eyHHtA83o8EjIShGv0aL5o0Qw4sXql1GORm 2FkMC9OgYfROlshRftA4Mux3WBASw2HVm63xIvRz0pUihsZhpDesH8wvEDOMKhb0Y7AOzEITHrBQ Yw2U8sZZLikMLmRZ1fLjHJMPbLl1edz1u5HHAJpNnLpMuHfz10S4qcU2XJhx/+/gmr5BQp/BHTXX 9QwjcjumRhNFL1u/t41ESl02Mr3yTug8btz2yatGBzgo6he3JJPmTpZno6MhFGHkhYYTTbaUfyH6 8WjTfCj9fiVGQgfm+gAyuAWy9eJCegZVFfWBfEjifkbeHr7jP3SKjuZ3vyQzmanuBzv9CIGkhm8n fN08LWc+O8UhXIVAa1EPxiL0C/pbG27iTkWns7Y6FsZ+NgOzMYtLZcdfzfoRiG1Lu/Z9c37xQbCB 9wmakXzaHiLfA5SQvYr3n5/FV2cMbs1+cpVp3DKMC60CZyw/Nn5TERHQfXu24EA3nYBhQl4LSoUK GuGiidcnu6d28KPQ74uMql9GTBlj4UmsLPOPlTZMc+HjVtUNKQaWZfZ7JFVrlbIKKUr1hU+KQ0pq SN3InBuYE6HcYxu7yO0NQvJOArrMUX5pOYgSOXNGNA8mQ27nME+PECK7yO2TfDnJaC5zZJ42lzKU LAxyOvofxVAy0ybl9R6MQ+MnGKk5RWdmq8tUz5L0xfZE2Vorkj0pRl+3u2KNgA20c70hxgL8SSS4 J6Az+gPxjBy9PVo2oEoacdbBCv2+w/XaM4kjMefw2+wjdxCjvCO5kxcDR+CoQ96dG9EN0rXIBh8s Ksar5FNuetAsoGk4lenpr5EysN3jjgVDMDyqYl8hVYAYzTwwCQD6hxKW+5JNLUe2sZGChXJgZQJm J05y3hg53M9+55yKYG3DkhauBbp/hOb6XgwagX/ZVO+qMVHRI6schwbDsgK6+yFhb/o5OPt3H1kd pGaYhmRNbhwbvi7EwA/NYqLjcGxVBzHWEgiNuJIilg/0PHmcJhrrjGeiLHaoP/vElTlGYLshelQA c2FNRKxKzwj76+TB2oXsx1ynMopzsHBMQ+cdCboBu+8q9MaUZxYtASXjL9muhpR+CS2LqgUi+EmN nMGVfF64S+e2WZ5qQYcwt3a0f3Ua/ONjeN0xYsLIsfSZv92lvYnJm2nVS47rzQNBaBF6yLGM5aSn Z7nhQbN2iaMUo+kpmR4g3t3eWLipuDf8GePQy2E9tMoS9Xiq8AmRikLhmg/lRv0dFEbZNWxf7yV1 udvFBJ1ne1ltbaR8+j4awA85PNA1tTT3lTGGLW7OCWElrYewb+0i4oeFfrPSlaij29NOFwmnggzv M2oqvFQSeDpHX4sXwyHaxv02fXSH41Ao9LNtcI/A0OIbd/zyAyYCPJZQdMXL7woWXyeLIpdgriq3 oqrtHpGT/Il1SfUJ6H5nXgmF9boUUzdeVweusJpON8TMlCeHapTNWfPOHWuatyVMwm42DlSZ4837 /mbqmSmWvbsSAQKBYoAKMQl2fX6OFJIRSaI+BKVeVR+Tx94Jyrg4NSw8B7qwWwgh+WfiU1lvR9WV SeH9FwQqYqKh77pIerxVKD7DHE9rLWjsx0nlXod9CkYTHROK4jFpZEeJpeNhKuzMlOUdXcRNlQy5 ytJ16fEDeybqoaQEXMdWDg1THXdt5oJnWORlw6xWaJk/vYZXpwVyjh+n9TWoR+AbKcaiW5PwTAMl gs8lmvZui+C+HRKKeIgSis+1naT5qmpZQ1TQeKnNpTkqcUqWJ0x2pqwl/XnqRIqvaevd0WU3sOUT oh7H8KT3B19u96rjdfQevoBwe43sB6mVG3n+If2fyB3MQaxf4TJobnJbEE3ZLZULfqZZYGnpQDRG 3yeoA73SSrb2G/xY65Ozof4kvbGyKW1JvrXvFpShsjF0iX9IDZfMGi8Hf5N+Eanr1KBORKjJCiuu snlvuyY8i07SJfnq47VkBVbKkGSxGHKfV35pTshMnBDh8j5jb/Bd3vgYG3oJGbVZA96e2vgyhHjs 5X0EGPM1IIUQExtEAv0gWLZ8rJVh1Ujq2UpRsndgbvHXyilhP2GGeJgLPY4tDhEbj35ZE5nrsPPI 0+97JN6bgHdiHhJrukV+asPxsVPX2M7DtGC2xO9WQ+5D0oLXF/dXqM1LbTmG6gAkfAp94TKcWwAJ JyRCiQe6ETaaPgBQoQOwd1A8+nMc3dyejKN75LlOdK60eRgXLv9W4pJE+C+Ps/r/AS3RTnoDGfuW UtB3LkVStqO/GO06Jz4dx2R+NL78FUVx8KFx4CYVmduZW0sT3X56BDK9IVZxOrgRr1d3ExO5/HjS R6y4BjMBluw1+NjjL0NuDG8k5FNU+8ksehgQjIJjQUufyVB1H8p/A3eBKFxuwJChXif5yE4pCaYB Zgp3E3kkzYFMFmd3sFCyBFDZp8ikE9jreBik3MwtoCfjE7NUiL4Df4A31+RHOauGnKf04vX6FnOb 3FcGKe7ZX3hSkVr0WLSDWZTC7INzFRSPfAG9U762KIt7B3+bLe7434yUG+Y5alSEwKJrVSJvMwjF 7Y+lNfrmaj+nVGxDQMbF77pXGRLH40LOeHrJGDhRzR7zJsfgWT+HzIcu7kZv2XlhDbyNKzliPzwY +voncYJCgqt6TpiFZk33FhauK6jrGa2gMcjqO6mRDKkj+rdASAdpUkGMsrfr5keeiCoMeA6ECw13 pHPveF+wE8hCHQ9T0W68AAEPON8EP79qn/iUx+5Mln+RogU7vsS6niphAh/nv1X4lOpalYrnUApy PydbSf5woV3ivXXlDzSBe2b1TUiVJ5/1N8BIPrap0iwEy1fNAE6vJ+Q5qDB5JTY12pfBt6rKGMUr kN4RlujoztfOrZeUJUuesRnWea5LHozRltO/gYyYB2l/18XEZWKaNxuV0akPD06ltAAbc+js/4L0 dgVg49MRk7IkyKmrW7HHBf+INVpTJZnh1bHwOD2KMtHjI/v9bUF9mwybauHV8agYKZ3hXWy/qaEn ZTpcJyyqUNOH3rCy4Bq3ZRu0amz4yYMbryY3iKf6+eLVHoCzFDnCYpaaR/4uzTjSRArh4sjC18dv WAl/TQmTUHc52vO8HjdhV24VDIJIs2M1rIP9WqwLDKoCAVkcvnlbMnx1iDmluoSw14S2Q5HkjeBn dWQ3Q+9XIAMfMT0u6qGFelJ0q97D3yfYwZ1fNvSC90GcRNKwJLU8t8wwBTAnAuJN6VGLTFq7mAAA sZI4BzsM/ZOoZmHw02gOVrIZyW93Am8Il9IYecYRTvCvr8jgAQ7AruNDE8VSbNGqi1A+YZv7Gkl9 ktVrjhLbJOsIZZKWJkrN2qs/skXGyT3wmZ+lKLEKGnMEuGlTfLnIGX+XSX56OlL+W2rz1A4WqHML GsgZu4RpCkP2pTjT74yA1RAoAqCERI3bvwwUA0f0dpMrf4bDBX474XI0IvuOfv6aiNxHEAXuRUc1 J3i15zKLjRiZUr4bSu95qjN0jURU0qreCKNO5JlzxL/Y92zw9DQfd4ljE/IBpVkO2Vz8vCsnhLv+ 2tWyh1DEj1ouGjapASQbjx1F/BW+F/GzK10rvs1rKKujCzVwxXoQ0sb5oXdz3hywRmubx9KjqiCb 6gO7agjC27lKXiHH6tvA/Jmdf/PtiyWEHSLpe59+tjNmh+BJfdEX0TzOR2F1+U/vhTS1N//nW8yW Pwf1kvQh6vEjeF5xB+/DdEe1Xd+3FZIHfkAlTYyvQzTQPM3NGnIZSpwCOTwpHtylh9aGyIHcUTRl vtc+7eVEXhMfd0Fcq/i7+ZS4Maoe8VXrhjSn73MP4N4TPSq6dV07Sr8nSTuTNvHkQhqOBA2VnDLh ryVyLqcCSdTz3ACSD7alIhRU/Bm+43MfJ8DCbDFy5NVgjwpBq5RyP3RF71UdO8ZwdE/JGhLPATbs aeGHyT+iGvXkFA3ZyxjCOxY93vK0NXn71FJhm8bqdsJlq6FCQDKLBc92A6OyRXcHTC8Y3kS+CKCS Vz9H0f1dP7aB+GqI+wNWXZcF9ebAcB9+hzn7G8qXPKbv2FtTmXw4qcMLnMAoK4GMMKXyyKorY9bV 0wnwtWqXqhylxvwW7xbGB+Gjnrpy9Sl04Hd0heBFZ8MlWxHUinOsb9YVk1AIwm7grGbzenhwPOEe YWloiZwskQs+JjOpuYARMipzMF1u4QG8LlZqPYsx2QuAs2Xuw4TNoc/uNOJGdppRNuZ6Fp/VCCJA 6wnmkcd8LHLnadq/OqH1bvtmvW4TaNr3rMpmj7IlwgxJf4OtZyHfHXGIFRRZmWCdLCY2NSqx3Epy ujmjmwqxTEk6Hdv7HiXAnPR1J9h7BrPDAOol3TLhTn2xeplhepxSPxfhfHotM+uDFmwulshhpZy6 CQA0y+HFa8dZYP/CbHICo6i2abm+yeUK0YZC82ITvmNxHysoIByv+JAwxPpkFtgESWwKYGeguK5m pOJow+h2sPpIR5TbF07LBw0KYWTL1UgVOaViEJbNAp5b/L0AiDjqClmyZ2kYphxmdWiRlCNSFCib h8awDuSkzsJUT0IdxA53ymZ8zZHkQjsZV/le6T2Qkdyv9uRzKFetxEgA3/BUMMksoz0oxqLBLVoq aUSq0SkSZK2VjR+mdKzg27jXSRzevVzvdFoQO3xkQ8Ya4nwBmdwK2N0XW0JThw9nnLUgD2p8lIYk PZCbeUD2ZTcoS77OnhHx62ZuMiqitvWl0LlZM7QeuvkZO09+Ervl+C29raIupGbiWj97PT4ySpGr gjfudvAFZAUnnB8ougIkn8DgsQETkvbuDsSqqUSbDpOxQstlrSeRwFOWf1hvd6ACan2iy0b6qM1r LlJ4RD6XhYW2rMZYFKvqjORwHSn8hXM4oM9kwHLKOYSENgoIrzlKEN0Z0acrgiDieiI1qVfaa5Bz wJoF4AuHJGrLDuj3z3ZXc5EILJLBOO9+tSfqOfwv4CY2T7cK6TGh+OoKzP34WbaW0GgIhiuOpxF7 8BzlzxqnJOOFifDdx1g5bzNvTe2q8G96Y5sNBNiWO7JfayUOWETYXqzcXl4SclyIb8Xgxb+71SDn DQ7hPyEMEfULc0EbqYi55/PiLwvkGGOnbLx/SE260lkU1u313Elf5AaJR99ZZyZEC1Wr3ZMj3ifG mLyzXDMPm0BsEu/81YKdGwBwKE9m9IIEdX+krXuIbFeLoXv8j/gAb7JPLGoEs5RFXQGGCQHI4H1L z6q2KsEzOZ3rWTZmC4c8i+6IndxymrLXqPx06rV4lraoTWw5PfBugeUm+pJ3ydZH1bnjgBEkJc6B ElZuCmtzjFSDVZFfNJCG2DzW+J52hFDTewQL2gcJNszLKHXA8lbrYSZ85VK/2gye961a8RLqmfEJ 6Pupm0I6og2I6Y4OlzakAQn3Qd1OeqX1PTBW96FwuxPFT0MC1G1HW1AGGZ3SgV81Y0fGuDReuNCo 8wIeUPUqPcX/iS3CX/qcxW5+kqKiUoTpZNd+kXZoZglXaM4CCvLHEEbES2UhoflAJJ9u6nwmxXJt l1BPpn/l3gp1XBwA//dFuOGXqht7V6ZYL1mMPQrH8m6rD0KdjGF+/RYT3WnVaBwbIUEdCJCUvSBL CtC3q/PUgSITYYsY0sa912aaOKfH8n9L5F2TmkyQgNbAD5HDICnZZNeN3gUOk5g4Uz8ehqYAIK9b brvJeTjovR4XbevLQGqYgNQ+E4eNzyEduD6HHVYTTBmWWx4adQ9KxNKp1A5Sli8IvIkzmtb53vH7 SUSHGYPDznAeRDZEWQ44i4fazvibstJpbv/qgeCq8E6dVRdz1d3HT/h4MDpJALiRsBRxRQ95o+9Y 7tlXhDGSt1rKVMdIdcWyHHgLfgCk2JLpUVX3M7ejg8/8Qwd8K4OAuC80sy++e2MvDSqaQUbpUtdh LUGTAZ1WZBz6HnSoYc95Uml8YboICsEKDN3YiyhO8hgYOHLkoDH5n7QEGrzBZr1CoDIr91lhqy/Y DuRJX0EfmVRBVIlUwp9C6ilTbJ0/FEbOZBkLetLnXR3mL6HQzHaxcObW+cXsYAQtVgn0qIy9Kvy9 JIYOnUaa89kzV9DuylgH92gK4rwcaTO2zh2mA1Ek7ZPBwnT4M2ieY+BeEl6TJTX6b0bVWlYfbMuc 0pAJ9TrwZ4Nnn1W53pLpvI2twSanR6qZ6XM1H2IDlZOc8msmlniqA8vqz7Vzw3yfml/+vUsie9P7 +7A5EZaUIHJtj7JWj4F9f3GMrIdI2h92eXKLvaSRl5CaBtC1ABWeJ6ZP7f1q1QhsgeZrZjGtXfco 90Z9jwhvAR4MBaa6V3n9lF2M06kypDXmFqjns5gnmAlbMnMjX7kZlz6GQkcDglCA0h2qS0QbTir5 OKdZgHMXNt/gnIArLDLaDkx1zHYCqAe0sAP88EoMMbmQ+ekZis1UkDxpT+5biK9Ketk/So+YE03k kIx0TLgP83kkCbFgk7xzvFJgFZ1nT0osF696qzJjNT/AkhY+tsCSqLQY/OJb/RH3979SgjsH/BMl GNup9MknMraWAWQVtWoOU2sgj4fbObP9ULKCBZelXCzuU/8lY2E5t2xHaHcz9Aew4pE6oOQAAM6D QLPH+fe6fzTCxZp0RfQWSJT2RLI7Xh2u++M2BH84LU7M/rIoTP1fCrkqZ42uc+lppQLapdvXR5vq FsnO9KAWageY0m6uU8FrG1Gln2u+RoRLkYRP3ovLMvfTiFgKhd4bW3TmLa36FiIFVAG7B8XixeRp Au887sK9eF5eHrbDeTgkoar4jMHfJSTmzrh5XBKdX15ILQFgkpxxu0Uwkc56wN775hgpAOhNQnxR /htKW8urRyLLvhH3ElJiRJ5+11lxqKhWPb6eYyXE8PZ/U/KYv13gJVO30FnqR9DFBVWQiUSJ `protect end_protected
gpl-2.0
513da4695c12b9cc39da8478e1535bce
0.947574
1.840934
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/cmpy_v6_0/hdl/cmpy_4_dsp48_mult.vhd
2
349,624
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block V33+JLyM7JBRYyNkpWu0lNO4I9auwhU6Ru3pQlMUR82I1kQZhstsvKvzPRbfm/GJEEyAExaGM3on XAjhlQx1KA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block euwYDJ6guJusTkDUQ+0F+084/6CM/oa7+ySLUBU7+dRo4EBInVf5phU6FFxGxqp5lWgsmH1fu+MV NtQ8OnIvi4L8Lnvl2U0zAqFznvPV8DyxaBXyvsGoEuKR9dLChtZFyP2RbNk3tyibwOe+nJ+6wr1X nXKOkS3b9/VGIqKo0Ys= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block L5RLEo80Is0P651ZSt/xhxJkk0o48szGVXp3L6cFpX1CiRWulTKZiMB3AXidpwq/Ie+KZ/lfR/UP qyae2glEsLJHv3/5EW9JI+RSvrVmVHH9igwQ9CuRDSXR5+YoFCDIVWR2EbqjzEGKCV5REuMYP/0f O1H+6a8ncQT8aVzUH63SFvF//aNiHn7tpUZJQ2kyRDxz/ESWE741PCU5TzncyYyx/ncuHxGtD3+M yyvpR0zuYg8D8VjUzJqmnGElCCpKWQR2CV41LyjbRPHIKSI8JALI/nWUs9N2bvDY2DjsfPqonGo9 amBhGFQ7uyzdUA1adoIoeV76iYkiRWGqczQNlw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block R2nbvUCppO4zztihka9sPiYLaFCZgL/Yl2BXc2Ta7oRxOg8E3rSW/SYfI12LfqiF+y9MpyxxFmQo oTBjVbS7E8o5IXa7MmBsw8Npn2I7XdoLdyxY39v0qQoY9XxTbRjS6yyVrSzRvKxa73iO33xpysmx XTkFlQKq0ZDFk0g/wAc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KVckniqomLrlXTdCyafqO5PgoG39RX33/1bDdcDYoP4z335NI9z2ePOQTh+1CseaOgAe7e4Npz8C LajSwLPADcfEYIVQbGZu/mQ3b8INe5KkKR55nXDtPVpD++sG7VUrwHvRt9gXP/HlzbFZ7c62XW5r 2U587bv8O3EMZunFt27zCYI4ZOLFl7jddbiUWiiZtqf3qiMmTW+VvrY5NnGqOC9GEokRN4pk8kT6 GT/iv99Tw9/MSE22884rG9TFGWgLsnneo2kh7vIzq4ODZtxP7MOss5PcE+w/e0NAZmR34om+eHBi LW7hTWd93moDhtyEV5bz6YYL0ZJcfab6xR9gng== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 257072) `protect data_block bx+YHcqIWUuo/KyHmKOxYjsWDeCWwdy/WYarIIlN1bn2YkrSDGLd6Hq9GCtZaVwkvTAf3IEhMBxX K5LoXA7kGw4u2Ywnx2MDPUYm3dz7H8wn9WWLvIVphcH+/w+KBPog7j2f4w6EBtWGFTbJyxP/a8VQ lrD9G6Bb4trWH1b3YUpan3dteqr233vZ1OwKa9Jl2Zgjx5UaqoSOpuHpbrSZKRCN4CqNpGvhzPsk 1+RoEEW6SwOZIoaL9B7uN99eOTRpmQ+t1/b8vwfhf/dQts2zdf54Cg02d7TGhwkljVFz4n5jStLB zhSz4YUhg9yAzKzDFPZblf2qfdJwcJk5Zf0VXLWr6j8HCfsYspVqZ4cNdKYAwUKGQMBu551Cdxzw Fn6xAGImHQ83YLB9QoKHXlsbbDafu3D9my783eFINmX/GVdhRropkz7G8S35+iJ5nJIVo6jaRH2z 3oFbv4RNWk06CDQpqdze2hTDGA6FxsAxsybOdf+CZOP2A/2j9Pnw3iLLjuspcBhqqwpFclEX9muv HAx0gEXdvhTqwhJ5oA/luRb+jMi8nc/K+9fE8tP+ljKQyhvIEcbPEiK9hSAM3ce5VZ2JAkSf7+bw hJO0edmtYJRBSj8oyr0lH8wcIbxCmXuRZ51MQxI504p5ofh48Jx4fxxSXo/9WSjaXsvzKuT2+GHT bhsUPMN10pH32hEQS14EgqKVc7kwUDegJlCAQcPNRerv1HF/k91g5cnOhcSc3Tvh0lJqSLK/ea+o DREZT+PbQzWWK5EIWprODn1NaYvWJ+P+ZZ8VgXKH+HUzPCIsoj3M2hrgseYm0tNXSibA6a9eW/ks +TbldGTMJGIFQfXpKZiLNmZfaYuVEHfqggoHRrBx++9SRVjQpJFuubDyJFLT4elImwXxm5LlJCjE WGyYKoOHhq3l3pR74mcRmatfoXW9k/lRK/SqeVlYaA+qs4vHKvrEN8cz+orFxOQIaFwL0BLmynb3 8Iviz1HpfiEtBR87FyG8zVyjI39SWIQusRCVMlhIkXILL3dAz1nJJDsoxHYjwFLqXpLn6OPD4i31 oOL43edKEoPfJPGvyzoN5yWINgXs/j/YHaHrXLvISZadtwhmW6N4lMmkrlt+qNbijEpuNETN628a c+dN7sCTwjTy3M0wwAwoHwvgrsj7mXCexoGTFs01Yn8dBeVRB+T8WbNFiTiEoK4oiSxetmBvKilQ 991RJXu+MWEqrABtsK1Erl/NwVOwuQqLOUXGPBtuULd43/fti7nnaqAlvYIClWIVoO68fA/5RUzo 0wQxmjyhf/L/T1/TdArctQ16ZUfKLnhHYmAkCWdZgePz+ogKCkmRtX3HNC+NDy0B2oWeXoOR0/nF ehoyecgEMvi7HrDlx7fdx9ZeZNtE0cVjklNkWbfKMbREnMMn+d5F7U7EeQwpzz9zHcuzFCewmFvS i7+aOKeXtukPw+Onmty3+bsvN0PzIWLOuZBNqb1PfolW7QSYdEMI32aX/N/B0WpdTudd3sHWStWb fwZbSuMOoSp8wddb4G5pCdl9UgAm2B8/qwPKBkV4DV35+cqWF97tGeURwmgFK5FXFBb0tZWzA6N1 lp1tEKXlzq+KYWVv97uZ+Hj9cogIJ8BL6iQIh2kFd86tBobbBjSW7yVSmX0/HQvgAoN4127lqdt2 SmEWS8cWsj4xct9GcAjuZh5qKE/TJk8ZTgPftmhK0/INW9NgLgbI9kkLfD54HFGRrm6whTnLPfMF 4Y9wY05e1gAJTbQvFQ69LEXt7QQmw161u//qJ5trbI9WnbgHfa2HUmQmOPG7WW/z5quSl4LoJeQe MO0AXVJIMWg4i50im69N8swWKE79Pfh65iJPsxuqP0DbRQSdJDO4jsRfe+s4ueAwxDITviCdNxKx vP0pXTr7BwnkLtzml//EurjAwsui4ssfGs2NaB9fTanFtEQbTMq4x/3kPoCA/qIRww1qHucsOqfn YXRzsHN6vW5rZuJ15s7tCNTVCr813aLMlbWJkTW3jVF0HO6aw9lOWoznR2hOxSq51yq/3dx2I0Rs VPzJCeP24mfmJtA0wuaUECh/B8TyvJm0IQOkQjGDYRprE9S/JJGRnrN1V0mVnh30fjvd7dw8ck+i 2f6QsMH6YiufwreztpRopyFFcjm725TDs0kXvHWoX0z6IOdnftdaiL9I4KOlcuvNgDbydXAIHbQy B3u72PVMhPO0awRhz3LmLo+kCsGqMOC2U9eLngswaO0rigR+c0sY5X8s1viv44GLuuXuwVEFRl+k IAIayHXa0vkq/zvqkbiQ74sdy8leg3BkpmK4ZADYqXpr6d75x6kk0TnGcw6zc3s3QFlRN2ev27JH QAJmTBkynX/ongUKPk88yalsPzxl+HMLltikwGNg8Pywsdbr0E9IWZxuMIfqrcA816icRfW+rWb4 Gn880Mf0J+6rTRR04b4vUsp3deWM5ktTtuScPlMnosin3DwVEmRuioKq/jD9FEYzGNClquIbQbsh 3nkmBfORmwNFoAVQRDsnX7drb9IiuGlx/2GiwT1VI7MWr3BLu4PzrddXXx/G+0zBTWIOj8JPRVJx U0I9LStwEDUhNm2N69AyGXztEgLm16gg1mFEt9rM7Y406bgJSb5s1bEoYIBPgdJFLPlXI01fdvG4 XYgbTLFCn/0TaFLyoi+iUn/jOkFLG1DQmOHtBlsxiMhxYMvn81ARZCUDTxBz2PgxOVZb8OuneE0w UYYFRXWMpyDeAuv+4DoxnFlHiaI7Bi2EqY9aK6pvpRXvgyj5x3yU8LiEMWzevWa4vIBqlpM6+USn JBBfEgWX5JWJJNuOml/FdQfkvc3qWGZMWhsJJrKksGP4GdfAOLzWd196+JwlkPuXqFm3z825DNJx 9oVg58zdiKsyWH0NQ0JVTuB2Ik5eTxfmJZHFD+HHlDkXCrLXkWSq5L8dcNtzGT2Hg9Avy9kJuoVx K+xg8HSBlZz1GfUAPIFSr/bi2ZxFF6v5q60Gj3991DxMuXtZ2pDlHxdxFpHkQeaFgGjbOASGJp0S NLEjcx0ignRNJzXlO+BXSq3vfRgDBHVev+DmmZM3XcfZ1DFSe0DxI/EHu+Lb3Q21lyy6Y0NrwREr Ttrsxrvtc99Ag+HOBzqa6CYd7ARos+kgaSsicIImknp2tNZwn0hTyUMMeF6NrjvMBCLXbnhWE2bn K1X4Q2QY2HHqjS1yM0rsH5J1VflnQ1o2sixvRenOwDP+1ORGrbV8fFSjap3SqgcKmCY1E4c8tnET eDsQVN5MHTypYvGK2m5Z4Q5ELb04U1kSb21AfT42XACbYfFa7n7qlV7og2Txb2/5b5C+h1UIB/pn 10YRWoML78vK7Zmjw0A6y2aKnb4MjIwouTPwPViljj5HUxd9P5UpOhp4w40lBh+3sA7xjNy0nj9+ xHBeNZ0qeTgavjvGaNGiEh5bpDLot/rgMPsPzGdIrwcIG6f70jolivk0iYteuBrSJj77zJpQ8TtL l0Qsg7MJkhqfh0Ro7HsE7uS4vrAebffcZyV4SAyv/bB/YVq09MECrNzi6GczL+RO4ZOHPMIb83Gx 8UDQLVa62FMEQzvFe+eVLH5ZaSRbvJicnxJerdRQV1/+X06V/4xMTTnkDgo1VyPq8hRtRa/1v7ZX OiWT8IiXyqAe9XL+u4FsdZa9q9RbvnZB4WBOaPnC+ChvlFurKOrLnzZKc1xc5ecg8z5Qn/tbZjhr ld05VG/n0zAXxUvQ1Lz/kmtZO6oa2CNUrjkeLhx13gVSShCqOp3Pvge3X187czk6gt1kx1H4lHGI U+U55f9DhSOl8IdDYuAF/47CXptDokD7eFT+qcoRJMG3zGJ3rJ8g7it691X7nK8e5k232/+vlMYy qoX7b3en+Z8MjCS3HuJWtmrdTd3MX+mYjXx3qwJzQXxL1ryOjfhcDCctgkNLmu7mYns6T9Iz0+x5 Q7HaIvjCUj7UpMVdyV/CiUQ97anyEeq6/PI0anNJVGSnbQOR4LiY3K678zFSeZdg4VmH2N5QyA3c wNQiYIQHs74j5NiqUzoqGRgofLy3c23DYEhUVB3lhdKir9nsMSDT/X41CbCcWgO6toCma9aAWWV5 XZl05p1xPf9tvMz1mVV2kIP8NuRAykTYfLJLaglY9IDqcNtCnlUVUC4qGCtNIsddWnh+CjbK+9b2 EvLBy4OcwlSQOIKpOVCgBeKpi5E++dWYZFdNMq947zW8dsQiiFCSQ0KiftgxVXRYckv+IWUHqVQz d3AzcnsLvqs32XjdKjw9KdcNj9qVp3LvA++7w1U6EYnWX4/7g9+kpET9kjISI+0aqsmEI1vRid6W jJlMoSC4BE0zp0yK7EBTWDJXjB26UY0HJSWr032p9t2G1Sx+oyOEWs3C9SVuwW87RqQkdT0IW8+9 ctDl00rRAZ3e42hu8ONi3DdweAi7wP5u0eDDClmK4Bvcp0tOUp5eYoYN2uTbSLk7q5OGdwsnU0GH z34bUIPjjUIfDoBsDbU5C40TEBpZaW2iNrbGUViv43IFpGePp3/68JAZgKaxYWYlYlUsRVYZE/W0 ek49lCMFhorYXPx/Kqy69Ja1AuOv/M8QjCPNryufXkk/NYA8jPxVErljGCoulbeP3uwJPyiX80N+ xXZUrzs4t7/r4mH8kN7qan+As6UAAJln0K9Wnde610cZxmCOgnvT5qsGvWpm4uVFt46zkEthP4Wm 0PZqHaNyDwu/Is2caefE8WVsg9kURbFLDdxSBmVFhXzWoPR1D2vcP0ITBQ8fb5yl9Eaf9+9bMwGR 7NEFtuuPJdVB1qX8vmgYaV6pkfcwgmwx56jsUNpWdrXL8QhT1zE9PRUfRDu/KN+f1NfifMgQSS7k XV58VXGb4FxGxsjQRcH7gldmyfWfsZfsZRVhqeO1MIW5/F/w9tjP3jiWhKl/+TrrL3VZ7iiOOLaB f2DC09qUqWwDMDNlCrKGETZGcL6xcLx27UPZisb6VRGGCUO+ru2u5wPLl4hZM5AUrTPLQR9cEHrf YJAF9JsQK33I8QQ/X+gsQ6usqnCxI501GRIttuF1zcENBCAjLhulpUFZMw0+W36NiXBvVL8yHhzU HEFl0rK5t5pop0wdLaxDdPndJXR48kinNy8AmVdh0mgQ27QCXQH2ELcHvGLW4Tj3s+25rn8zqG5J I45sy7Pc1z0jB83/vTNZGDuvuZBnoT/omCB5bcZ4BZ9epyRcpohXtjVhmrJSEPFnuLZo1GNHb7Jt KKUP5m0dWlQ11lTJ5ESm8Z+S0SsYGqjDisW+wBWqDV8+UgIlrDIeAME6DgzJO6S1cnlqbDwBIIMy cdywhjmh02hvdP/lmXN8LWgdH3TSh4FlfdVEAzMlvnVfCKutoSS6IbWJbP1oJXxp9mHbQpJeFKzM +UPJDTjPgJ4YTze+1wUm0o/Hdm93G6VIl5V4k+sg9Dz6eS2R31NTPJzHNl3QeClvXhDHlR54YvsU Fo18aOPCokZ6e0yrUMvJnxbwXHZasip6bVE+rZdV5zwzrlR1zl7AivYAcUQpchsbs7yJFTQggtcf YHqlZhFWIp/hdSm+MrJa4qnk8ThoKV40r34ZQW/zqDAcDMWIJoYNaOo4nptYgYS/Z/Lazd0kowoi lTmjDXalHQkGzGT/NevBvFQdoslk/16D3AvXJJOL0I6qBYy5h5I+IP2mg6LFIVuQoy8766zkl1KQ dfOxG2nVygCghPUD6r8aUUyJEEMehOq2Y9E8lLFU/WXrrruBNpmBZATCfH5ASk9tSTf5lDO0as/W QVjvppSflsrWXAfnRwRM5TW9prPlgLplpfs4wTpnzFxf+AgRC4faFXeq8fr/2z2knHYLrY6YrY2U EMutQUWZbiD7D5FqVy4T3B6TQlp+rA9ybJ9vmI3uRPQFpikMKZsdTRQdnfcFNd9gtLoK5zNoStmh yqj+nQx4u+SrAByko5iQ+kTr67QmNst/V0fQYd5wob+PghZ60/zBHTL1sc2Hf8l00NGMMs4Rl/WH 6WTX7fGrmPgTwQVyqUlvWHu9QgEa0Yw8XxIYmxJrF8HvESDMZqF1kCjjcvQuIxCw5Hq08/vWqQwa 7SwEyqcRByFyGKmTMDRZgdiOSOC40uLlvFrrUchfYMGYMazBx33r3KX0AW4FNSvhrlylm55RD1iY h6Un61lWGncWVqdHSOeODZLn9d3Ujhbl93xsyB6yXF3dZ6mYckTJjYbxpHy2w/ZhA8MC/Oo0Rx0k fGJrPbgmrebLW/73SCAzTtBMCBRdcXt1ors4/Ljj1oEwayZvWvRZHg4H3jamHDGaXnRh90uPSimB 9YgqXjya7l6mZ1t67No5AKYRM4dJW6nsXHlb32zR5PNfvY6xxjg3iAkw8pDfJk2lqOslvZvJSpBW oaSAaTMy48aw54PvZxjhMjK/LYGF1zs8+a96hqzvsC53plfO1Ii6rye5UnkKVoOnlfdIgkeB7zwp raVoh/AMDs5wvkLUyJ7Ump5LloWagalvr0Zg3x2S8keKAqxjPI3yLGHlwHzZFGyi/nvdmUYHdVxm EAGvjGKzrLVt1XP4U8C0oA3QQt3scnMxi7hcuAldqup536UBO6/QbyaaDvnOixtOPX+t3nJJjCH/ jE/Wu67rL69o25qC0xu+jtS+x3TEZ8KO3zc57LpwpvKHrLVGdTR/HJQBuMgxsAB1IUNY5cNaj1EH zG1Rlil7tJUygAop07YIAzHNS2til1iHyw9Vl9f8ijYEik3ZcIxQxTgkRdxTbdiGY9VReGCpHO0Z +Ba9SgznLbYnR3usy0zQWeOxk4Z29UvNrvMzHimrzamzWDmtwH5U3q5+LS11U2n6J5oKm8EEKqwj iR1BsPqkz4eXn5D/YhjH0jelLDvncs0Jb6FJGRmthvCvj4S175Mxxw4NXlecdoiwSXTlW1lj7Kwd 8gknJDWgQJy+wic+F55aVo6+9AQv57MdqwvDD7tiFyVJh5JoJYBIwdE2CwppCMIh2vXOaqFlDE6A nRY25KMdPqKoLT+6zs97DaQ7g9+Zv1x79knNXWEITuQ2CFjHLbZRh2lnB8tcANxHuYyqppuUA3rK xePUp1ehq7s3EVvHANfK4JoLle807pxrCIZUGbCqPFshdQ2zdSFrBntJK6N8i8gS9p7omBeZnSvl gkxlYRJgjlolF9SSzKKVW09bDML7mBRKAuQLshrloELssRJB8KWSz6YKxJePibfnGhysEzhOeNyW Eai0LWvsZFaDgo4WGJGg5PjsA134NMKptrW5pTtdLDTbKg6fII868U/1tzDSiLO3OT+Wnelnji9H /nIUIS39O8O8w+fxiU9hyjbY1FbaS/95XFoJR72t3CkW3NW2XZjWgt4KY6S2cjCxCFEb9f1G9+2g 5A4+bAfc1yaplurvfQslBkm8910EKbtig1hp2cnqWxLe6Fxr/YFejE88vz1IoOObkgdHNEFfHtV/ JvwhhUgojKzG6OMfKjlOw0B/MuBrxdSj2IjABbiqrx6ZgAAq+t7G810j950FLWd5rrgnaT0uME1W AWqWh4UdyktXiu7NjpFEy/65gSeNV9+jfbdsz95tjDrOynzlS0J08DJDkIHqBHVPSXA843v1zKcD uvgH6txoY4Lrw8jCtoUrXANGXb+iSYHNVgn+bEKGRjAlAWfoNyE1E6rL9jLF/BSpxht+8Ezi0yqA AyPGYRm2RE0knLSCMsh4cxyixNIlcCxQIbKKcA+LBshFDok+dCFY3j70LWRAoVzDVPp83BiaEBcV +wEbTL/svqYin7v/UiuPhrRElmNPst6AwKHJbPodxIVkdGGu/wJLBmwlU9IWUFyQSSMRooiCD6EU C8YSh4+u2d7SvcsncY2sU+oSSXxGdzdaMyUfAXdj6YENN90EYcgax99U0O39qPPeg6rUP2Uw7aOu d1VdWusMlzwIucmM37Vfa+x6zL1lZjGkZiJ1tP181D2cXRrqIAwOtRfWeeQXFMIi8Mjy4YpZZOZq PkimZcBo7iP0g4iMMrVH2Rx4cTsOAN817xcuIKkXvLYWq8uFw647ypaWaKaYxAo7x9dlWDt3hRxn mfnPrrX5RnZBbyUXZ5oK2fhsduFfh7zdMOrBISzMsw+xIeKb81ucUdOlIz6J15yfPIoplhr3Pl+Z mmuAO+WvbO5upClfSur/ojIDmqTR9UmUg1+ZOxv/V0RLtuSLCqXOPHsyeJDQO+M1F6Rzyy4yS7M5 YR6E+6PysXnJhOBVAapk+plN/EO7YXl2DD00CVn+uBbs/k6YHeUusob/BVRt7twGES3DtNisx6qt mBBHcPFzc02ouKcwsGv36g8D/FflgjYDBtFaLAcaCBoTqHAEYNAMnDbBqE1QWg3sZ6kuCxvR7LXq 3N0paL+qTEhjkSpNa7t+bAIel43Wx3SaEQtPuMLfBLVP6iGLSiAOqEbzcczgSmxkGra6jo/XEN7S 2AGwoRuGMBoddF8i17PjIjenA7l/Wjmgf5drbYYMkg2fVe8vJaMydyTtch9XonBSh5NJaw8gdJys 4SPzIQzPFQhCNFuypZN+hHgyEgtBr1kEZ26BPtCE/NYQrw4a0GjKBh6YnshXgettTUtSI8qwQxFs l0PWI7j20ATP3bc01SKBhhR+9C3+a0PCcI4QijkyMkvpMdWqCZgRdeFOJCgr8ZxJL34dgm3BjQWT 2O/6bIQLxrv3fVTWUw0S3Upc6fA07Hm2QZHskToNN+2CmVYaIG3/sTUJP6+ZHrYA8oJduWa0nuQS PuEUaVzWseuJr1gdOoy+pfKckT982TGCavt8rzGPlbuW0lj+53HDrGd9PTkwox60Ds3praWNmCO2 nFFnD7Ykvxq7wReAyvj1FD22jpagaynxkcLKTtC+KwJybtSa2Zd+5+AJGAdeGgxHOwPSjjcpE2DG kFg01P72XbLuOy5Ud/ShB8dKUA/dLj0LuL/csWhX84PdrieBIl385tKr703SlTvhozvc2lxgy8Z5 FHEYn+B9K5DOPQxMYFLP11AH/YtYIxsbfTpaK9eYs9BAJtp8PJJbmV+5RSS5QiJuTiOoSbZDdHyA +phFr3vgsBvVXVFTdA0Lm9KRhndELL8wVfia4hhuNXLJvRAkTIstuvronIVX27rzGIqGWi1BZJUr lm3dCrT5cl6y7yG7jTF+6xX0Pw0+QyZJcxNYPL63ZRBIp+xri9Skpc9+ODRRthL/mSpeAHv2Xp8T ZimZ/krvLIWSeeFMab41kbpMV/svVzb4+kgNmJNKzHnhahVzUtwjMTo53a+p+ihrFw5yUiT8ZkTh /VJEEn6hE5haNAxfG8ffqQq9RaQhB9OpJhwCx6+ijQTEHBUel8zMJwWpPEsARS0R4EEydKQygB/R sBZHgVjXqKgdMjN2K2VTN3tiPER0RWAqcQT6r/bdfK9YBNZmC0bQ182mAv3dNxRLOf0il9ZNyaUS +cjuHUSXHLJToPi1Q+qPRRG3Gga2SK2jkc1/IkTMf2DHAgM7Se7t8K59IdOQouHDnl8k9UFpIdME 0fSN7CsBAmBgGJag6QRlnVji734SlCqo8l/O35BoOrUlq0cqt9CoXsJ14eeKouG+udqZtyH3I9Mg 4NqF5cYE5/UiOf8yZl9wkD/gZlSptMMIAJF+zY3f+0eMRbpnenvDNn/ir+uNlFohBQJKyBqJNACD NGInVYGZTnl1f3NuAeyneP38jVcSjguUhoKa/Q38bPmCFL2RauL/1pQ3X9mq0jGsD2/KSZ9zYrhy QnyFtVu1TkanW9tphgMv9i1EQW/i/GgJ5SANgYqc4qrIkou1f3WrQmsX1NFE/Pan5t0jGzp8X52g JsRfaweFBcRflT0yRvxOLeOGOYk5fEH1WeGuqGzp2AAKLDmBmaMy0Fdx4IiIlBRIyhFKQ/Ot+c8D 6o7MQMQyllZAb17Y/h5YfIDqcLgSAlgDDznbc3hMfcB7d9JqAQAhPUR832gM0+Qs5ZUqiUQkYeKN WQs7wGOvIu4UhDqUVyC+usZDVfh6wKOwjhyS3AfIEiqUKRkIFV4KuBUOlr5uBHshcSLmJmEHnATY OfbFKi+IrbnDMXjMjbOjuYfNDCGi3hp4Pty+lu8+lpATrZ7qZpvNsHjI+ss0ZeHWbEWm3rM+MT8J wI8HglUUjiin1EmIQIZ/u6sI9rpX6w3bJ7+U/SnBYq2Y9geIroSvOkgrnVZugnAXmiWuUUQ6srjI /RPoovr1w9/ZDL0YCYj8svGIfw58vsz73nyi+VrRZsCB1nHgs3B3xx3tMC+SiwTlMHTJyUCY8bMo UCjTCRjS3XuG+P4vfjaJVcsPDCy5lr3e1f+wRcNURmj6u8rdtIGmgKHnKU4Ee2T/0wzrC1UR7oPs QhVbT0DQig3V0VbP9DC1eFeVn1g7IAjK07bFXTOcPSkGmXuJAg1Uj1BQfu4XE7r4umlTxcCtp9ZK eUBdyGXzIIrcd1s+nFWyHi6QeQS2Khv7Zh5KVk6IgFnWBiLbebie65OIwfPZGAawoRsztTYS6rUQ TRrhcpBigRUFw3zsK0zQaCTMv0btWVjj5LzB5KGr/fsIn+AYce5cCjf5ulbNT8+C8l/62eL8at69 5FpeniRTScLwGQLO1VHbKnHwLdG2aUEA8OgwFpkS/jes/f/HLVOq9WvXjQaIhFTuLTWcdDrCKQTH mgO6bW9EeMhaxcHpXHm4jA2tul0TCM/thV9x9KwHvg0ZR7Obo/GWMmotA0/SoSH0rij0UjGcgZx7 lIHWwLtwOBnxCmz5wa/O5Zs+0J3FyUvkBwLy5I7TFG1YA6EV7rm+KfpuQoagPv3q923wEUAI/gbd Hm6J0pc2RqZ+T7p3GW1upWGPRV0hluB5IP4Z2qsy/FkiY1/xH5mw2gfVECPT7NU20Itjt47RsVkS 9SlrDmHQ84OtI4ftClLnSQY18mndylDUVuhpu0XD+4l2R8mC22TABTWANMJC5Fj8UDdXia6s86Tu aE87lQS8X+wIfeF8fTNrfyuPn9ygXFUlUSq/zf4HhYCA9t+VrS+mT0qufWw+oQ5FRGN3NhgHMAjz SJlZ/BcU3W2FB6CBGrCuU6hp1NegOfGV1OSRqEZaFf9rkSDf/qnySFWptL3mcqRfyryd78TV+2sa LAJ4lRXOKlyq8r+Gba1mwB+m0j8MY4YI1ELhuu0fPo2PltuoGbkw72AKkRu64/VrX65Iy+9RlscI syonx/qVwzzxDnaD7UBG8yDlitvsBDQBeoVY+RQ5XMo0c0JeCelmWX4aWJPicYGCdqlowXaSB+A4 orF6CQpT0k7QAk+549zpTSvLA+KgmJIYbUrEsjqfTJIppBuLwlkHBfqYlVDJ2fvyM5lUzDbSNwy0 Dw02K0kdAvhKAKE6c8ciiHZg+HX0ha2a/SGJBiS/fHZrBDzrYjZjpOoGpqRE22Gtr+cgiW7IEbSI ptFya94A8jvNkf2oO7c+ku9UQobkUJE+H2dNHK71cYUfC0qcd4tnh0cTfBHQEpDAU3dh9GvRKYy7 SCJyDzlR3Wr9dNUEHw1eZlWS8tsSYk9SKYoRcdA7r8mPAIsFKzdjTXdY7lb8HSLO7P4k37733w+r w7afUUCwMJfBC/5cJy+DS7DhwJuMFWoSSPBkoKqLXoohn5qWS99RtxtF1hgJHa2EEOqKdcsHATq7 7nIodnCQkDT8LbKV1USCUItFXeZPuPISk3ijWEwbdvojkPEaJ0JpuwGJvh3qLvqLI1SsZ6MvjShE CusdjXmBSTBIMi+8l6Z6raAX6Vcl01a/zautF5muTeQe+LvTKsyNec9XYgadiUlhBUwZEXVSmSEB 2x7iEhM4v+wCcYzuyDCPX3nPc0zQ682hMZBUDyUP0LCQsuGWaYeaJkq1gJlSUH0ECN6fUSfAcLeH Wxg4P90rLGkU4XMg5mM7VAGL1W1ogRL9Bs5/AjN3uK50PCZ3GZ/8afk7sbqJz3C45XnSoWzU9Sta UrlPFMkRflq6r/u3vBrH7Gf/VPIImSfJ/SOnrwArJQVT0+0YUA5JoaTb2mAiRDSElgT+r1m/WYPW rze5LdWI+/4UmvtdpqJ5j//xrxM7eEGQlfbs/vNjqBiPPFtPGGhYDS2ZuKuSIv9jInV3iKC8/6nO oIae2SfeBO4dqJdFy2UC3HGnvgq2x2dHxGFig+ugUHm6NTo+wAUbPDcqVsqtUb73oE6j8pAgusGC LTr0g2h4YnHZ/G5PQOMqoDK+6zOU+GN1rwS8BFkDFG3prxva8ej0GOu3DWU7Fo2spBJ5l5GfIWDR w3MQTktNIKK8q6hTrWZ3lNjKSUgsoVz9R/49odT+SCHkatDGHcqpiOVks06fO37I4lX5W0/6xx12 Bata61ZDq6vaoLdow5/3S/2kz9Zlp/zrhas2BlufjbbQd7343+PwXeOZfWyBo43liI5b5m1IYH24 vvGmajk2JCQ8uYMLisPaIG00k7ktG+Q3Q0EkgTEWh+Bp8KNNp+wVJG/zm5fIYyugbiXPdioxL/qJ rBy1eJD6sVBxo9i1bI55qdciaZK3Gp+nUqcDYLlcH/td64ljgudWMz4tSRwOPB163AQvbaEW+kCK co+joEhSfkZD3T/oDgfbJnWKZlEIFZZ0eqc7r4tU8M+oqgTQisIpuweU3XAnwnOpuV695yHEemnF w2ZV6tLQ3xxeE3UICz2QcT7HoX4WfZXp+ADBJY6CnzmBE/vnXxFWJ8gQ4q+iASITKwbVLgLnNDMl 0A5VmcCN8FHZ/BOd7E7pQw1PJ8yFDBd2Q5ENdijl3j0/6vBle2sHhx1WkZStRiNkiU2ztlILeAZz SN2y8McbJYw8JEYz4LrlooWKcZia9Oe0QKuAoBj6NyG156ds02bhXoXjGyob0RdAAEqnT/oETlV2 RSuFSxzSdHYcv1QDWe1z8g0SNSnRjFmtwXTaC35Qgl2wsZllwNScua2j1VkuOkFsA+P1MOwf9pHf g219qr3ADzOqnwRXsliLvnL6GZ8XxvY1a69CXShI5NGhL8HsJt9p0JrIKU0Be6tVz3YB8D89ojMg GzV4SXal1RGCzwLIVG3yK+5P/mSxWJfTb7hPXQQT54a/RH9LReiEnAVmSMlW9wwrH/x/11FNYg2j XHG55x3HWQfTcfm6GQReBs5nQ+S0viZoK+ozPelL0mySTugEkT9LUI8rPbwX5fX5olN7wWLAR89J JyePg6MFzFAAw75izlmlAjctD0NTTZXLMuWLOd5Wy60d+Yud56HItr2dy9BPwK+/QtJgtfu5ods2 a4otRB0H0tePKkSHTBbdipzw4J9Nu/30A/gG35MklyL4S3O6AyW/8yhFKFRWC2ejjcc0Vacp+B06 LTsbY0VsqR47FFXtmiz+VoleYpOGvZ7MvAlzS2pZn3dznZ0xu17A0OZrIMmnv+vV9hvbcI6j0FBI 5ZZjyG3G3zgF3dDS8BXjxqvM1N32AWACZf2W6We25oTRMGu8ZrspgITsgdeyQXjcdn9xSjGudeN2 pi0codnr0rtNFjsENTwyPeR6VWcFjQek+w63PO+ZRewg1sZFa3pLDvwpdvFDnaLVPJDcWVoi3jrQ 8wE1FuDwwUUpZM5E+9gi8Gmb5a7m50ixMd+sM3KdiZB+cAtno8EGvtwWKVtMKxsHm+y6Z2E9jHIT E0aW+H5ltr133VD/9HOlav9WX3tiE/0PeENhIawaN0ywYW9jtJN59PMrlyGg/hVnJp6+I200NwYl 7H3gNV2ri99bk9d5eVgamrB1zQGDlDekc1A5kKcApMeKD39TA0Jn91yXJuv+9xHUFQMix+VfjTjx NypiyTMf6WVvxwGWKCPu/rZTCJal/ufXvMR97QVPpOMo0fB1AelOdqYNU1CoFbB/JYtxxVqv80xi 3hEK7ToqRMapc5pqyjxDBpYZxYF+/xLwIqZ6Vc38KzU40w0N1xnbayAat7x7bw5YjZNHoJ6zZLir FWwIyco5KgKJsP2uODnH95plwigQU9HYhjxcTLfxdqy8Ahl4W1lEdN1dmwhbfBflp+kC4Bwabhiw lDsgW5P63ogGM0Bdjv+ViUoPl7oo5fI4rX6T3exRjCWqt3R+Y4l5ANRB/01mhdnSmX3bICsKdmBx FGIfu6AsqrgaOplqFua36KbkCkvbLj2pFbuLcXE+vhLiLQiPk0+PmGhg9zskgTblODw4xhwzKemo lcp56u1mlaeg/LduiGeNxFojQgMAMG4iGKHdtb3QykeZXHRZSGsXDHVIHVbZ4C0tePA0c/tquYPC M5h2mINHwKeLyRuAUx6ZDm9qu/ZKg+c4ZWjNvu6uve+afIRT6gScs4JOojDUlXVHWhs7a2wG+lEr fi1T41QOJ8s4PCs3CQHLQnyv2vTSwgwU2ap/r5X4ZK3tRXXIFmuoi8stzzNf/aYebYY1vIeptnhO Qc3fGZ62PD0LaikFcmeUTwxoMfpV+Sy0GZlUc6+fLBXoErkkWCxXUuASkhdmezXQmb+xUN2i6vn1 UoE4SBOYAIoed0zvRxGEi3q5QtiQvTUlB7Oyd1tTPcuT4knrCxP3gwfBhh7qKRi5Yb2ylU0iRPgZ TPeK6Ku8r134xE/tqm8aIYldCLTtoUgfOhgPckQuTbZA3aqd83lzy3o92e2+94dR0FV4tmEyKqZC D6PbODOWcUktR9LQr/Ku6vWlh5i87t7Ds+nL4elOKYfBtfta6O6e6OqHB5TlJ1Tat/zre+UbuwCv tMAkxxsK/reQdEBQbAupeB0EH8CikM5gU95AAECzl2BwhlXY8TRb7x50XyWm8i1xeB4yYJe88VyJ uhlWRN53dO11HMcY8s0BG0VUK9gGZ3sGkNTQUzf9l8a560yH1QwUXADNaH4XS5gA2My2+TIiIIwA cC0tMvIXoJyWVtwdq/++Gwyf89oWHnmUIj7n766vxnLfsk8ePp9/XxAYlEraVTELoe0OjwS2rh5r yh/bIZpUizMq+rO5V+3Qjpy/VwA/+dzk2BJNSReXGvRve8y0hggVclHkfidnN1pF98zZWyLW1Zzj dkwspiSEp5ReydQ6IgWvTXAH29LRRyM2FwPo6IaEtkmJn1oPRuv/q8+bOVUijHgh6LMp4K0pkwaa ZUBUdOJWnDKECPA6HUx0TqJ5S9zJ7BUUCE6naC8sxyVVkf+phd/lBhrVcQ5rvwfGNYBHl66hlWTj 5BmQYT2UbwUT0L6eTIJbrJCGK6JbXa2N2NzqWo6nni6AlqQsxt9eVJlGdLh8yFmX5mll80zOU6KN MzNg4e3RjqX1SjRHAeIosKca7qiTcU9KrDRFGHsUb1yORVjNn0vQ2WSzmBvkj2TpVEwlJYs806Qm acn0PV3uPxjIsy0/5+boo2U4Son7JSAnxYt8oLZ6tNn75QiT00JS/bx0Aft5q57egq9qQiPUfCNj bZOJNJDH+xr6QIb/w8d6Vz5qmfkpwKvA5TofAEwo4pE/LKFRQaZWYhjSaEQr4mLH4NvRzXMV1RQc 4hir8vkdCX0tMqe44lPlbIlzAf/PEJab9R1jGJOmfKBJmxelDhVqt3cxa/Qn/tvGSz86MkldhQs4 hUS04q2i2xXUUcNY2oXL1XkV3QfP2lDI+b1yQ285OPj1b3tvX/+1rqMyCjuRHkqcvmzZU7Nn5J2V 2AJkRAS7oBYI4U7bXmrWbOrQJel3GlK4fOFfg5LI6dRhqGuknrwhbLvt1QTGeVPtJljxB6hCQ67o 70ug4K49p1fbiXwwBWVf9yVHNI3psjrmLiKnEzlw2/CrVqTM32jqkGVRbwdw/xC90j8+jf8bKPtB TuqoVivSYsDzWbHcV+5+1YbZYGbXLvmS6D8Lms0ZCeFNXGY7Ch5VjxsBRXacm1+hqI1Tm4FKnezD CNwuLtIETyhzImCqBDC1uNUwqPJvJLU5WOEvmzEPh/XS0cG6qEX3l4/005PXRjUdSvRPVIYvG9+U OoJFkZd8N6l1i5Wic00cO8Knoei1ulYKlM+UUDHFmOGmjjyssXOkMMZDbDgjPBy+TTcyPJYA1YUl YrBRAaCG5tADp16TDm9Do+Y9L3aesoczhL1143oUmNCKrg87Ek+t+2uFiKvi0Fioza8sm4DEH+Xm NH8Ax831yGy5WGTQTHczUOTHtfT+dFlXB3zyehSF9m5Vbba4UzSP5VG9E16+6rBpBIunDxe22vAq ANaziHlva0ARYW1OKsLLv7uPv+IHKOnqQBh+jwtVtjc6/nkOWqxS/EOZn5jMTb5toMHsnzyGnV7m EhWOdwiddzpOf5J47VHFz9g5jUulceOSDs8KReGb0NY1uQglWsuM/GxkaqaLREm3pqrw3D1umDJp XU2bLU0Ec3x4tF6IAFhVkCcOvHvJrJOX/GHeMogJJF4nkeFhVYqMv8VB0aV38aSA0XG45CQiLlAi teGav/qB+qYgK3LjqF1NK9DsYNksFyTPALeF0mUMC5quD0SV3iZjkc81qmB1XQnguR5Yo70tyLuZ 9VfZ7Aj6SkyBDReLOruQXr9eUTdQ3+sGvPu0i0e/mw4CCUm132jj4ucHxTYMTyM80fG0i1aDFPBv LE+oyjBUKBQYISHSr7L8c0yKgeOGP8rUFetsCVPdqBu8tscXLtq+ZTtrBc7awInR6WyfOlNiHTvy E+nIjrBdl2xXyqNw6JYFKKohOjKozhBTjO9jdZDMLVT+D0MS1/pT0VSKPv/LL8grGAgn5nbknu2u RqRikthI/BeGZxW9zsUXSA4jUoYcRsk1bWBFkmKJ++PaZ1ounK2L45uFszZyH6NYWY5m3AvYY7XJ aztXqdWa6m7rDbf/O8s2moR9UjcJo1hq6p+QidAvZDZozOf/Fc9lKxk5Es8RKTH/DdO4AZx3I+bC z0E8zGQhy8IYxTcFuTaziS7Qaa9iJUGdpwRBCBGwDbN4HlZblB4A1BorWmUugnTX/x42wwHFTdS0 1NBG5xcJ4hi95cFzrxpuQziZr+K513jHN9wYD/OH651fo5fLoAyVXC4nKONEWc0IggjjbDGUVSpc u1x8cZ4JD1T8kv3RWT09y7R4zQ+HxPT7kh3zfM0+qNDTcmTPGpRTiqEL0nV58VaBacC+vaF1k6NX hpjp4I96LoVMAuJrfaUCS23WjUkuSQEPMmlwWa7fNrykfPmX0I8HxHRVWNtpUF69pJiHp6ezWkl/ 6uD328YAVkNCOQFmeZHiFUNBgGeVlcUTBMHMRc9SPCjRoZ5oqokAC9UjKVkecFX38+Z5UlhwqOGd ca+qu3HfHrnGIN2mL1JfloT37kmuGWWyTcZsBwpEOco+cP9AT1KcqiE4H+muiEAfaav8ZI8OS+tN kH8cTucBbT5ksZk92uD8cQrkeatG2Ol1JACtczK2Aprj40W0zAvcRvRoolbpanVh5HSUP6Pv4pzb dDrlYWIQ/JwpUEW6tdMK3GP333EEIWpFpByzgB5PToQVX4daLXPHFdm5C6oGcASDRD3hl6mt8Io1 uFcFsHmpeY94VEArecm3Y3XVqVT96D0HDnhmoC8fU+1+84xoGOqEUpHchNV8nDJ6PWSLKGWNDA1A XLysqgXTKCkXKjqa3THMjLQ91WuLwLUol5n6HbuRmcWfqVpzdzQdQju8sWGpI8rKkFy2JMAcmaUb DPjzd1CquwPOJUxSGr+p8cAf5GIkHH3hIQELmzzuraGKfcdpaAtwnDSt8p5akhCxy2P+6GQlZuTp DmZ694DZXzzoLtS0jD82OlbXyyUtnf8PByNh2QNpHUCv0AfNORjZikwAaB3rIp9oqwND9Amk1w+T vctwFA9t/e7rHSpRclPalgI5HZOX/JRse27xs2D+APJo/QQUQrP2+6x/PXyfPNGnqIsJsgcOkFuZ S91euT2kh1aCBfS4n2yr09CZZWYLHr7ooolchp6yq9k8EAqy2ObJbn0qn+tuDIbzD7iUOhcu+LUX X/wKetLnAGVogLRPxcm7r+rvbQ/SKBBcA5d/ZYR5+BVC3+pJby7RDUO8v1irfYn1WKAv+FYu1PP4 1Dc0G2toprsDCyQ+HSgW+nc1dh1a4sknPv2kYf3hfTHOjOzdd2dMvqlBz1OhmerABiF4IgJVqxpk OwMI9bDfclJ2GSYlTkrkmvGiWJhCi+66Za7etLM76kTiRPjjwAUMPIk4hCqi5MHWV26vTZRu0Eig YjgVJ4GPMcUbyrywjuKxgrWyhMJDlsC6w9ncAUrI07ofeTyqLV4l4twaYK3EFUEh5t80qMEdj4nJ n0JtmUBUCyONYjuSAZcK6pRUJfOw+evPyeiV01PmALGjjIg/3vEj9wZ2jnrDzxgx5GB0jc1SH/VP xSdtAC9j2uF9VX1Uq6Ni+lUfKpXRdGMnnC5difQRNVi7abC94vm9POD7SkATJCqbZd0JD49Tcotl yMcle6sdd5PjsmlG7AzMJHYOTW3TYhhF6fMVDgQBC5H47qGIdjWgl37h3xGbPbdS8QOvI1Z1HuyJ yi1UsfTlmX4dE6nFnOJ/aCLrvw8QdA20YKT6SMTa+vkFg1gQcls3/skkgALIPbA6cn+7Nigf4Iye z0s6lH86nXii1uJDET9PpqWfDLULhLD9MKUwaWm+vMZkf/xISJvQUa5lgjS7B4hs5iHtDq0UPwga Jn7r0AdY1WVC/ehAi/MjbXSHYnLcL88vTz4i1jfkKMHPtuwr0StRG1ufaGt7ytJRSAll0BFv6TYv d2Clwq6N43rIZ60VGN66SmRewL68yOY3WSGzQ4SEPb+bMQxa5wd43EvzOM41cJnkHImg1GlqaRSf GEMJvrFxgqYTyQQPDJTjsY6Mb4Vr+VvSkqEj4osLxcB3WSaQtWmHH/SclW1RquUxaBQZuZLhJJ9V cInjLOs7qwt0ivE3ZxWNC4RizZ3Vvwm85dwScNP4HQsb8USOupqpZoovBpaCw8FgvoixpOA2baYb mnZRs0kL3I7zxHDowOlnQHvhISFC/JIgAWoC6k2yGioH+FpBsB5NAQgAu3smLoBPh37Z9zkFxr2O pLPupt7VdZr/jXwRNo2bq6L8wfSDnN2l1zC1ggiAKvaVJjGcqjwejZcYHaUvfUDQdx95jrYqm7/D xep3Egz1aifzxqEAgRpoNVQhyTl3wYEY0HvzsLUamkwk5x8Z5WlhVolRsjH0+O6CUgMfdNMnAGZm iprpKuuNoucmf4uofbLW5LtitpjEdfcwJ/wBd9AIpZxn5c8TOH505OuvxV3/0xIQtqVQLbrXnuUh pu/qdmlpenKNZHHhNoTZTlwC+TBKUf6ZLzRXdEIG9MhfhjobrzLc5bXixvHtXHDiRPWNjnXlp5xQ 976JRZZffQn2PqBVlW+3VrYUgbg8oXpiQDJk1TghHHmepan3G65ruqypZY5cxd83FP7/NNANpMYL E1Bipx5NQ6vH60E3hn9eIQTYmxzzNzkjU+7/czwMMF1RV1fMShSTG3pi01sOyODMjC6cq8If0uJo Jcyt0ZwdDDoh/jVokzUpEDvbowpMKbAROyKfcwpuOaEvEsn8a3Zs018tF2m5k3fY/7FAjstJzB5d jH2Mj+rwRQY8xb9sEkvdS1TLfyGgx4XXIhioqg4lPsx38LR4QL3iQspn2BUAV5lbhHdL98LL878w 5B6OH1e+BipVNjyt44IemjSdpBQsC7/b2K2h1Stug4XRuKkTfxRIIljESlgWWPDAy2WEtSG1y1EN xbofhrxwNj3XTjDWkkpYi1C+TWeRnEDRwDxKJLgxdpKbTqJrmifMSqbSbTjuhDscjURHnWWqLvsY L2LR2xmDYYkMAIz3udoMlbO5dcRAGiD6ZycHEZntlnWFnULaZp4GyzPHcYVhdfsi3p2K9orPdT6h Bn22aBHYs48GYdKyqOkiWUIxqeXvOFF5kXsMn7T31Xl5joymWjn1HCzVPD8j21GwWyA7HqTBIyvJ QLyfwA6rytv6eiozB35T1LKHltaRUOUg1cEaYCnGeC29mrol1Q6jkKu4jMRCUBFRZfkQYNHWebrd b6HtNyz+AIZx8Pl2WJdEklJN6XkxJsDMR+YfqEer8T5wKcpJju0a8Vd6nYkvUCWBcBY3WOib5ZxI xLOiIpAZLWX1WuQedB6LFTDt4TALIjZPvRfdGgqUOM8z25nkoLWIdix2OTe4zgf0TsFq+2TlZtAA XNb9tAojh9iS3kSwpKfZYO8oR3//yVrP4KX9lp91MJfvRB/5VazdScwpSpANTiPtg1xPfSh9vjjq garN4Ouic5QEy0LCMbW6E4RzcJERMolMLAokpHitZiXNWNkXSiKP+nvqrYOfd4Mv9Pv+63Dz7M0z zudiEeY9OQfqDsn+Bn3a68XArgeAbTK/THUrmWCHTtBsyQg+Wy8pykX8Xa+3j3S1epsgkAaVNky4 hIcAKvJWbjpL5jBZzl5tZ7ozgkWXa37uUfitHilTfplqkd3lNSGiKAPGuW4TSW9vURyi6ORpDgcQ iV1NAkW9cIzkq85teJ3gc53JS8qlqUCU4WRTzHMIhdtkSjjkZepExBNuGKTtUpum63E0Vdf4zAq+ 1d9NNRrzGFBUHC15uOdjENIpmDWRzjhPmwXQiNY5YcGgiBUG03hVMEo86VyZe4QKOK7dFXSrf3hr uOBv4qYzTFNLiICOYKSbInijSKiDW9zlPCLSxakUAgb7CiiPOfgQzaFJFy4NHBW/JXZMDzpcxBTK XorgkVcSLimb6EXltX2AlesV59E8k41JrFVUoi4MO91U0mMSL/eyiEQzkVH5qnXdHMoMdR9gztx6 pUKCGLLstiLKaT9821XQ3ztl5wbJDmVQ3Oa+F9HcXFBRVddfak70RPj9HVNt6kIoLvEhEn30A8ky f146ErULts/kVFaPwNHnj2cLD+NZNt8s707Wk5XzH3mHqFnChIZ2czIvqptydhh+Fm0tX5T7Vlm4 zVbN9H1hRDWN5fSKZ8SS6wChYT4nYoGj3uOSsT1G3hUCFiGtP/SROXoucKA70A8AGAQ/5kYLw4Yx Y0SCLQAKEP3dCDYMeGcw+qW/4wahkt4k1CSJ1A7XnEyYoD+5nQuHjRIVktT71YbmSOQsQVhNE+4N qcLebSJQuWaMAT/EiQcFlLQtUoFyELfEURZoEOHovrr113RtjmT6iNhvhJgH1xUo502ZCx7wHiNk 3SPcspa9Ws6LpQ1hTupbF4EFErvWNng6M4iWqirsBrDuSI/xoXhe/8q9LVvYbYN1//3X+8EERt0r QZRDJ5WniR1Fb0kVH7nnceebdsJ4rt2D2vBsF2P/R9oP7B3uJjmwlTVaZKjM+3VmI4dVsEOtxxFz ndICVbAI11vC90yOz07EgebdBUsDu9LqdrzeLtXFRZwX5aym/jpaKTqiSF6UKyZctkM0CvGza6kq 6ukPyfFTINKLCduGHjg3eWSiOa3OnWVT0y+JEg5riLlObL8qDJeQWdWaz2Uc24JFVhb9wxEeJaCb Z12X05ZCZmZGtgGDTlEXoHtVcX8ZInJliRjeU6xvsWRjzPu+qSuTB8O0ExBGevvzaLfuvYH7D+2b 0QK/Prs5UYANaGnBNHQYswgScjsNu/dZnXjuaW94igpfbGI1E8/0WWbo3yoAGBSXJKOm9hD9UF6Y XCHJt4RJtmYD2uDNh6L/UgQMu2SRlXhUXZXtsGy82dV6sT5XRvNRDheiRuU7jSxGcwmG5lA7UyAk GVro4ndIX9eofk6y77JlqJS3CyxeuePfLeRIB/z17zqFqjGz5P/GnVj5Z2kzSVYuXp3IEmXX0BCE eMlegI1R+RT2rRZ9FvXCOKCGVDx2Yi73osg/SZRrNz9O1+XuKN8cnVWtRHP3ohYRYO5IyIgd3hD4 XQBy6caWwYewHsIQxppszQiS1Uoi2IlhBLSqTE1mZy0JrKUwGDxHLzD9zm/sRpE04qeOslUQ3etL wP4+rwnYNI/Usg+RPBTVm2G8AmQavVF0vWK8bfaNhew0J29GIjESqrAlLYYKHDG2cfJUG/qEBUEE XHkHwsxhp2YMwAYLcEcml1qm6qf4BqV9d5h2QTjgfzpML21mJHypCjpNW+ktw7uHfgjC20daaWQn 7PRHhKu9CpcMh1BF0FjEGmFfxmPoDtB/uM6xZaZjykr2WVbV77vDZ6rN/+E7H3iFPreIQDjJWC5N Np4Zvcpg0wAGMzhDjH3FdN/6dNLCRxneuG/QIL8AA4qE73Yza9OQ/RwnBPyLqp42qqs1oOaERgsk TV2//C+4PLNEsIXUFeWc/93COlBY/wghhzNuEhxmyB3pESJ4bGix/nIw0wMmuXT4Svfkohxdt6Gs z7cxgvYNBeVqZUiyMc7TfFCVXiwYDkNxmdW1Wbzzr4igYd+/qOadsgnkUW5HElLDCya8LbYUScKN +rZWi//WEvbQX6MBhXPMEsSG/PLEiquFhsWOpcNK+n1Ylpt94zrTlGrV97/5+UgcXPuQpFh3MQrG mlDQvcn1lSwUCXDWW4nOM1CG/Cv61XLSdg1+ucXz327UByUTBUu6g+XmfMsbXe9wQYwO0t7aTH/x C3YexWjsEFo0j8C6OjQiM8RYd8t/WpCUp8lBd2tRkG8LzRgEbFSvNB0ZUcCBl6UTu6QtNMr35ESj I6ljJWasq2UDt4GEL36K1dK/L/Qm98kfwxdMRDZX3ln4e5G6e1KULh0qkWtp7OdRG2EfG1MHU1ga 2N7BSUxqQDHhLfUA+w6tlretDT3Mt4+cXJiNFh5rgylj74Q+1bfK3hKWQaqM5WTWua6cmpZuk/Eh b0eGpGPbTHnU63sGothCczCA+XyigV83BGqrzMlg67z925R1pAXNLGE9v9Unkl4BCNR4CcLHxVBM s/dJI09PQVd35UbJA+Ng6cFnGOWtVWgzJTORXlPH6v2dc0dEn8doWwA54SUBF9Mvi925fX7Udhjc 3Hjl9W1qcYnMsBLeN0w5jxZAQGh4KT9ID12MnyetfFpOq2N/731fnq2fMMtc69ubBrqgo89o0ty4 Uw7o6M81j94zyM5jo/iYEkRpG5ZiVRQPCeSoypwKCVlFsZxgzdvYekyiXCTJZh6zhIiuuES+sZVl jK4IN1BByPXx4tKSAIA1w2HmHn/RuyB71pPvEedOOX0Cl/Hy3wHM62ZVnW9h1OPCMzP2RpeQBdUG p9rc0tizBIWLxHtqdWCSmFr4KhLlli0HfS+nNYpInonSapUIm2BYIFbLWV8l2IQMQf315icHdX5+ g7vyScDcnyb0Q7jdbwXxViMNJBKljsEwjNfFlLNJLOs2eAsaNNQqLqgB85X8y/4xViZL87WDaYlL tWTSlD8qoxfCwgCVi/9e014tHZdSs+FMblsL8KTgExzu/hd/EX5B+UMPFo0teW3wA/qoD4e1JkaW Sk3hvANYGkQQEbXVHPE66sF2cMdcU37wi51+c+N8xNShRoMwhHUxGmSF2XwR1aB06CDACyCZ1Dqm V5tIvOGGph2E123xoGPioAMhuNsbSAWz4ou0d06CyE4+qKvy1cluN0pUbHcdxzSMFLxKnipRkqRr UJrk1PCyEQz8WkItNy9yppgB1DrYgr12U3poBrCn/lzAZ2KTtHj7wj1NFIdCqboHc4W4br+t6Mfy u6n51AvttMgizZuc35jxKVKf0zqnvEqL2g8l+V6lb+DRVG2IwjBR+SeAJbIBkVu8Pce/kVWDScFu Y92XZ/5+EGRAao+M4R6flcg4t1HMk7odtHlU9d8LiKo0/kOweEUhUCAoVICFZtfR48sRNnkwnfJV ++NQMBGSB6YqPDrbSKc1IJKCfDlzWJtKBPqN5IExk2czi3M3ta36mMizmrRrJIQtoIsFakvUzX2j cfiDMtU3S1pKD8Z6493d44r2NVfeyZYlsirYPPrnwnCYWW0llcvle61AAvReRkIj6JJ0qf/EHunh 4i7ibHsNobGYRmcku3Z/QxE0vNr9AZXCS8NEfq4hLOfUlTRzkNRcryYqMGwTtRSM54eRZP8aawFT Oo2gMy7hi1ahLLZPHX0KSVGNhKAXy7ynr6ZiOLI9yePOJbdzr++IUF+OPObxv2arbchcGhltGzTB NwwA1r4e97uz9pvpQWDausWrxLgZklyBRggvgH2iZJ1njsbG1huCwcJu9ildHrZxGbsKP5+Yk7hd 5ys4O/rN6aOUxXcUs0o8GQOR4SbtPl99GSvWrFGszNMu/7tmQsNXr6X0mPeySk5ib9NzWlmUTNh1 bIwzAugVZ5AyQNG1m2JTYBNeCRI6UZO7GIKhZlDs8QqT9AP04egH/qAyMZr/SC+VNh4WgUzaDYh9 0h60EHFljcomwg+Dih/6l6ts+iGfp3LBixG82CBhHw8TKCxWhybJ0JXOTLOD0lKtz/UVYU8+iSHN o20oCflSJ5XbB/pO0UChihisHTtbEqkn7RnAA05rSOpCQILplTsCqpmhGoLcEBN8Q68U3IxdKAS7 MKhvXjvFe2CJ8eFkO679ldy3HNCu5a4ZGCtDh/zM/+a4TwInwYpgbDg9apndo1Y+Hsu61f6HRpbv 4JeoM5ojU/nOMGyM/pKmN5HPmccbOLuAZGuwrQmHSs7fAiViAa+nfBw337Y63oCSpLPuEJpy6BQu tEe+Tu3z3vOyjwWtB1jgrE02uma39qr/3RqmZkFGrBTlkWZmL2V9doEl1T/jhCCtmbADobagLaQ3 qhhGMG2jGHMCXA4/UsrMSztRqRP+v7b2Z+Q93OGfXoOJCoY/d/ahGUQ0uF0oFWpffzv3ATjti3Yd YqEu8jee5XB3bSoT1YK1S7BxWTeFr8OquAQh1iVgwVeaK78Anhrsml53Xph89h5jbz/c8qv6p4V7 uyKoD2NElnUVDQ5T5jIN2NHBQY7cCw3L2em8OzLZpTJpPrd1yq15WMdUEqMK0f/+tyjESPsqRAXH nyy8Fso/NWZbHanwWqwDlizSl2dJakftMfR91g+ylZdzsgmxgrIW/ZduVbebWa9YszU6yFVgv+Dg qsZypxnT4LBSwZzBnxvupDpZY/qn1TXHvCSvKt5XbOzYTixCk8xCZE6GreRpDdrwjmTZpjiN9F0p QneWDVQSXoSBNpz4ON/SHTuBtOeHviFzL7F/9iT5gA1h2s48MJ1h+NHQKEhUSmM6q0wv/LRJ6Ftf 7QraFB6jiYvJ8ETYmpyawmeBBWemfhAUY5znpAsaV76sInB1kV45kzFxFaEDLdC3EN2ulfKNqQRq zps7ZCApvXhylDcJ3Y9TxXYSW/YFBkT4yJDu6M2HUYvGo//LMYSq/ZC9czj36+HkXK5mtjwl7YDF 5MK0lJnkdyNbXVOHeylU0lDm3QRhaFbaSzUgRTJy6fTdW6TLvgPw8WS/z8A3SQqIX9NeoMx8PigH 78pcMaBIe85Zab30g4MWXEdSSPqnxsxQN4jepal6U/+QOp777Odk/2KaFw1XtQev7h7QvLw8jJKj yFhtPgY6UPDoGCxYFVzZsHvEX8ERaadf5ULRlkgblxGabogHo3cXDNIvhASByqbem3WnhM6ueVMQ zyUKTxzmREYbjQjbvuoxuVjIQ3UgoPd+ziJ3k8/xII2KZpLFbDtvKlmLTm+bUu/begpblBBoELDS 8qEfL0Ps3Fflj1Ns3uSyP5kF0qPtWUAxXnW52rWAWGaa7ycDxwz7ZXDUyGCLakp3igNxTf9MbjeK kECDuwqdywZUilqblnzTbxCz6SCSvKDcTMtCbtuyq4nlgzlK/gEnRVjpltq8cBFsqRwBSTQ3RmzH sMk3hEgP513nLs7DyNqmjb89CYOk5G/0x46JK6zPk2GVMHaWe0GxQlLXUsC1yBRrjKjn5Z5wiaxj q4RStadWAozUFHdwJveqBB8PIFuVtP80cxqbs0HaCRuISQhku/KPiiHAGwe6SUALYg3gQ2x8uwfP +KmWJUj3Lb4IOxAua5XngGZdPilLqlvb5729L3ehjzkjSlIGhtbund7Axb9GHzGtYEOUJuUqg6bc ckLTQ+0BjeHcUdihDJMUIo7qkcOxABO3AvqzUSrIz+hg4wumeMuvfTjpot/URBXWrb7EqjG7WR4F KMihkmnv3r7cNjYLMQp9+oErw5izku6/AFdMa0O1F55qsvxYiTKgtKLRN9NEXRJHDiTl0OVBCAG8 4S0yzclf17JlrQQsH4td65Ppf2EbQEXyfHWvzfGHy5l9BwFpjM/LODdqukcfpIS4PQiEQ0uC8pDe Bh6qz5GjuU214VGrIOwWKImc4U/glgrNw5zjuqmNnKo1zClDBlLxNZb0fQFxpvw8JSMdHABThfjx +ull2erfGzzAG1Jfoe8KJhrhHJGA7DbZKqJErdnNRawawz4Ll6UuQtV+bcDNGno4M56/jKyTQ+ky eLvYyUJ8ZMdSHeaCGF7h0AcKpvoaZgI890Imry+oMzwU4Sv+5Vl3aZD7SbsaDhRk4Sl8yQTUD+Iv 0M94ZDrWuE/fYzVxfIwr9iClni8UmCt/u1d33M9lB8olRrrwEbaNVw6oSOBxcVSeuZVlp3UCB5Ze ezlRTyR0Fwbhxow/u7ok4tlF47v0iHGb5vj76BuZgUMmQVIiM+yenlH4dEMRF37WsrqA1Ru/wOgx FCQF5cHD6iqki0PXlyV10gnzV7psmTawaofrMveignt9LuZ2c1imjlBlBQup+2DimUPckBtjdsrw uTN77imCQJ26Bl3KHEyNAKcXL+5tC/Q9umW3ehybE1DLc16bujWunPUeqCL1bBkWZYnTdqo0o3AU 0wY3KdrTXs20I5ioiMA1dq1XKRSXwJx3lB7Y1YbUQbsH7hEfMidsbGFCWZqh6qqwMVCKw5iL7h2I Wp+535zbBp8nPg0KhYGZ/on7mgIeaGrKZ5asN4EybXnw1Diobqr5h+27cx4IIUBMB5t06pUEBU9A TsT7uq7O0HNGZWcut2WWNUC+yT7zPIkjs3Sh8S19k9ZyFfAoFVxczkrIldrzUe/o8hCfuilbheJb EN7BumeYRYz95/kCBryQeY1TzoGsEcamig4JaDy3xtDHgtUH3I4LljJr36Yc6InMnvHFWua1r+VI F56ZKRauN1tjxc/lbb2de6PwoEC/1iUgM7yw5WUHaDyJpwgmYcjjQpZeqekig0A3W/LHdNQ/bp9H MuIoPA/BRy5Z7zPHsAgN4ulMaqKdBldPPxCR0X4hO79ucoY6OWrGGKWF+CLbZYiqtAeo1LSWnzKh wURRe82HwzNryuLHDq7h7I5CGMr+O0Mu8x9pJtDI+drxGjkI4nbUxWFsFoJZ16pmXFQbmuPqDG3P jrpc7ofeEDLuB9BIGDUp3EBZC+5fqCCzXgb/lQD+7sR6FaK7P2UwQbZQ9fQ/SEyskMLw7SPGjppI P1P7iQdyQYCpZWFGc5Wq5qGEJ3rc2CBtpChQ5l5odB0whXEeoyGr7Hs8/b8rHtaiG8eWJYWi5WW4 Rvo/dyuucWGj2ygN57acA1o29D/GbK4ksJNbmv4d+r7N7WPmGfUHiVS48sXnJLy+QLPnzukdnZnU tf3QQSDdXOJJwbtyK3/DGX/HngwR5+t4MYidAAL4LAUXQtPAiSDGHT/SQC9t4B1CnNXPLF2sHnXC nwRk/dTChKkFhn2ojU9jadJr/gc0hyfsHrjHKAjswQzqFnUup5V+VXR/1Jd+Bjo9nIx+OOCxXDTq LDPCXK0R3Sh8m0t+h/FB/0vCpvYsrx5fGg2IighdnX5PmzAjDEUuZiB1131xeW+t4CSnxglffwqT qXOdEHK0VJSG8EV3IJXsyjpDazJxl1FwecZUsFxQCMFwg0QRV6Gs/gYA0c9ufPzO5lxlBgd+erMR 7L/sD5zqQcoI+O0iBtkhx1PDfJTJxiStdQ2MVbg98TCY1m4B/qA6dIHDV0i+f6Zbp7gaKt1TPpr4 flPeMkRNbEu5GX3BAyK/a0BpXphv0XV4rTulsQ54biTVbMDFKWVh803isvJIappKNPldHbPN96Ib IXg8F6fii+pA1h2igA82tPMuiyTXoUFcL8Xxbl+m32oT54dV6d0SpXx5DYSVmjSORQ8vPwfmMQX1 YylnLr9Q0gunkO/VILVN0Ux9YCwdi2oCu6hmSmZV8/ZQ8oS8Sl7bmwpPqLvCWuL0mQBwy6+AnUjY XOud2vbPwv4jVJNAppV0TzN06FHHqddM5/EBx7ZW87ZBOw+/KMaAOBPgaSu6gXcYLehYI6w2+DNp UyDeWYz+uWQj3jaYhLRL+CPNFNypD2t8dLY+KUA1VLWUSxj1Q1kg8RUF0Y1pUUZo0IDeJbOYwzJz AoiWHrAqrmM2J1zsRjSTasZL23jQNwlMh2VKQXDC0HGjwJjwZeuHBK74L+wGiLFx5d0U4drEOISC m6CvqhbMQjZal2frVcJqXxD0qzQR9Wmud2t20/+Eb1Li3V7KcvEyfRSNCZ3fsjr9itldpsZAZ24H zrLZaNgh1lVGWrVyx5AnHlcTrx3oBBHjLiX9u4m7xCof71hDrKrZtyZXpiKXJB8MIcHkH4r4zyFP QgXYtxf+2miVD/0h/CqLKu+QgOZEHCEkckN5wzioc4ASJv3H7HjRSfYJQODGPxT6vl7Jq7seW6pX rTscbEaNY1PcnXmk8D9ts/BPNur8uINyvoJcpFgJoRRo+whB6AblIchpvwrdq9E6hPGqcwOBoodv 6Rwgmx1GT347rCoZdrcUFSHJ6CQG4OuTAaf+/D70BM39BarwOKW4gHa1VnO7FbVQLLCpmuoDrAt/ 3NSgwmYnr4Z0kiWlj/wz+DtvbJTwlfT0ZxqwLf3A7geIxuYrZzG6NrxyAHmZo0pcXhoBuel8IpnP 3W9zNpqhf7ec1sBbqacF0rhXlLKwuQGFtrGLYu9gm8AqW6Fyomhq/0L3oWuxRz+FvHtwUVgkOGCl HZQ1vb5C3HISm53SRt6j50TQEEs9U1hrW7Vd5f4WdYUc3/eBz6JddLuw3LNkQhlUVzmQuIQiBUlZ o1QrQeL1t2mSVsDSkOsscpuoyDP+OoDlnCoZRDS7C5ZrLpaeteLWrPio+DJPK/BTBTPUTxOBbXLh BFumYwsARucSUeioIG0p6H1qdcI8w3yS4hNjBv9egiaK3myYm1GT3OUZ8FzauEHn/62e1yu4a4US VG7uLgEZfPg3K65f4oyd8LMC5rOjxKYx99SaPFj4Pn5D/rYEqrnA7TMYtnHui75maY/EysGax96w ZxjlbK0c08MD52NfAbZF1I1ZyWuMdwVl+/WvSOarNBRBrogfsSKJlFcIlSFyLiPXNVtxjuad15TB MaP81eeNPFL4YPldvrnXXyzv4AcBbePWUwHZf6K15sqRMi3UcQ3qXl343H73WRPn5b87/VPkbjzp wM5eZzXt2Q8J5+HvnL8/gTEUnzFa1ZFAzoTH4doWL6jNC2KeBQ5AJgo1ejmXcOOxSRE9Q2VdPZ3j iO6VsTGY1iY05Xe8tY7KazBGNyhbiImPLakmirM+Ldhk/UV8U06th8crYGD/MzK+GtjvjZlyypet Qtm8gD70Z/8A2/T4YhJSHerN377vn+mwFw9lqoDMpM3zePeho+VoVxiaEVVP/2xR6f0N5adg1TUY pLhsmIN4H5KItNKQsvV7Wq0s8vq/QF6dKJ3J5zfk8BAFRZ9K9VynRs0jhzObT9uJ5YgPcRnJ3/rm dZKbgvDHUgOCZamax679DBj//7t8Mra9l4BHiGIvUJfwnPeNnxW0iaZ9kJI8tLod01zVzl4Nqlqq fxoR+kwlwELHdyxRet2ctXUAsWMLYfLlHWTalNAQrFG3VjxNWvUCn7OOORbu/FuSkooB5DYAjTU4 vt4kinkeBAIGTqwEkEG2nv/SlI8MyBzFHHkZC8MBpAqPZoS/MTRj2ttNirOxlWmZIzPLn1pqjR0a QgYBcMuKT3gd6fazFxYNcW4tVYxvdr40ltQAfIRrzzxbUcHVGybFVuh3+05oMZR2pSVNgW3MCcjG 5+3AoEaer23Ea0LQJIedd8GlHUgTP72SPAzdYqStzeRtJ3F68snGDP3O53RP9+qJKxNj5PcOUZ3e i21W1GUzAj7rod/XOfkdc8YSssUO1aCo4TUPeHHHsZHmDv+tG0ZhwCSNyJ3yM31oqTV82kP9TTWr UvnSdJoIblyJqc2xeUWQ9sAtMZYoOMkCMyMRuNLJgl/UBtvHQRSw9or6ybfJICpTzHtkv+//+LkF UMZ5PF6OrUIp+9+LTD9oCb7iHKhbe2ofQOVFtY4Z2DVfZIN6D2oO4F7yETUBKNqlSZ/36I/mu+V5 z4rGZ1RTe0y9quU9e+gW02S6dYXnTKE1Us+nFYxE80Sbx146duIwK6FGFXq+sDldDJc2J0ayqiwJ ZOqulGUrh4gwNKaFiiF3G2RPPJifGo4souu43JXo0U6vD2fM8eP/jSfDX8jgYLTBdViv0EfLKV9P I1Dsd17yQyrESK4jBD6Y3S/h/fAgks6OerBRzKxDN4Nr275FS/e4fqFEJizJVQv44VVfop2eOEbU pInNMmxhJUv9c531yq79Yfg8qwwZ5I7rA7CaXeeWik+FsdCgXrT4lNHc58aJw5Zo0MZ8D/sSIHfV EIQvSkcsuhQkAK86duFtEcVONh7ZZBuVWRnaX7Tx33/wP/P+6/LoHXiuh49P3GHAnqmQIB1dB9p1 l7gxjoigXW6Wk935g11sW8CySlkbhLotzS6jt/o2pDc9JUgOZPteiiu9PMaX+SyoECssni/pF78Z dQx1PxuI9Fv8zZ0dampth3+lAl6aCpNc0IRXs64mlB2Pq7EwAab1ZO5R5F8qNLlOJ2VX4fPrczuu 0QVpFRfUCC/Dvlk+cZxrkq2pJayrkMG1Hl4qFWyh364+FPA6SlgE1h7LiJxviani5dKOGu6QKWOX nNaoYs/Xw/Wwsc/sdNy8klCTf4ZOsIiQgPDSYpQN8c1kjZzrLFDmf5WtHMMKegg3tF+91UEYHphJ f7VpKJEse96CFyNemon5qNOBqmP2l1Ldcz4s5shAPRcvd2OY8UdZ2Jf50HOXioSIHFW49J9Z9Yvk Aa67Z6CuUSA6pC14utUXG0KNpaMVtknXBppaSPj1Aw6dXDgwijtamqKl3htOOwe1D+7jOeUzTzgq +gyZvzZYcAYRvDPlJONEqNXtVst5Cqd1EDedL1nWWKiyJgNB2XwEtqz1zBolNDMYN4NA1UePmMjA CDPqi6Xi/H4h9TOvNh8KiY6/1sC2zFS4preT4ikdBHGV6Rhs3jjyrIfPaLmDZfb5rUqcO2eXejvK 1gd7pp7CGQQc5iC5nG4n1iQqmSJtkqkgYXnrk35LkYdePOovnbEigSbsbqgKLHChSEaWoh/7BBDt 2e/MQvrAiOS2CjHHkkKGz2OSrcDNLaUvevTRdled8QE0xxbzT5qS47no2xHPGSW0Z+B/K6B5lT04 x6WUHve6VodzREB6ixKX+qVtvh7PXfhKbN1jmtmVLRV7npCvJJm5QPoGKN0yI+fXtDkSnGD8hrhq NpGPjVv82HZi153Qu/DtACsLBG3PqCxMbQtXmKarEThYwFwiXNq9zUb/kKrOzEBXft5HzmkHh9fn E+YAio/zV8LQtr83y7yzzJE7P6MYqCZv2ODhlBXK3fNEQDchreRkGMb4/YZb036DJhVAi+lVUdno eX8I/GcdASIeHK05h1MVZFERdn0455d4XhL63T95VLvCbod6awFZsnfInmCBRrBaUgcrWueJyGog P872U7F+GaGz72E5yqr1xYVSGiMpAaiVYJXeoX/pNv8q3DuJazcgW6g6eQCDQq5yltx53fKCa35j Q2hli0dQpzjR5eB5HDBmcJRAFaKXZ1VS/0MEZlEpVSqnkSjRnusowopgQ+u/LFjS/ETSYZQWzWSq 9Db7snAvdIQ//+RmdIvWWDIrj10kUhtLZnOYWa1Kkx+2TOucoHSk6P4OXTasYG/c+4/G9e9TmRN+ uJVIsS4Pjk5GEE+PG2jphFW/Arf5wQoFD4eNqlrjZY4kdpbCpk01sgUWSlSeS62XCmqmVZHqMMAo QRkZl/KDziU+WAWUEGm43yXHvOri+w7WwimONkA81YANzHFeJwRoy56kkN/10TyK2F+pLBz26XrC TfG03aDIJnOBHS3Nnt4RZqBZkAvLlwgWwqi4InIQzj2AQJjTVSZH26rih2g2WPMIC9Tuf1OXVzsk hI649WrEhVRKD/dNfzMC4u2dNTHQo458MtJIQP0C/wlX/NTOiVYEkpLr9qbfhaLIgMVxBlMOp3l4 YfFvumW+UTtkxrXDIc5SN4iBj2GSZY2NDLuAtsRRM9jkKp327nnYgHnFTMk0ty2cbx67ODqMMcLa NhiMXQmcN/J0XdKfiLoLIgUtEVdt4pObLE61gR1KwbDd68seDdbxtHHkFmPFpFhECm1sdZQPcHhx XhYYLMcvqXrqDp3tRblpt+TgiMt/FKbqq1Cfav6xsnK7RMmI3Jw4gNU/hxP9btCTEyBTx1pZ0Sgl sLa0WSUuAinLQeIZYRq8Th/eTbVxYpHTTIfEN/XXMOpBrDyH3CqxFPBj0XzYHNKfm7VpJoSI08rC +pBIKAW7dlkz2LlCQOaLlaIcGREhcUW7S0YVbYqCHuvQgmVF6kX/hXsxHhWd6YSr1Gfu3rx2+qVO ky/I3pohR4zfR1/Uon1CH9AakNP6fXm8xohuijaPg4AD1A2223r0dzvy92iw5NdxHtC9CWtMvHC5 K4yckfwi0E3lNGhqKv8MuFb7jwIIgVuUoFkV6574Wb9eGXbeyyzyvyqz6rdqxONC/m9abI88x7Et Kv5g+1VEvAJMP1Rvlm7MKzyEhjp0Ea/pVJFRyy7AbcLEoeTebDscQsyaWfyB4RRF++LRFDAUw8wj T4KUwGCZHj9QtyvHab1fQXsQTiEJKXClm11QLZWKsVTd6+Qqv2Hqg5L3ural2jGqDWOhmf7uPldv yzXOgmYJe7SXNzwr1wZidxmpZTIC49h2eCRW3hsvLxYbASjG2DafjvQI0MyCPqhmJkYBybiKjlsA 1Br+OSXjrYWL2m7L88RMWGUPliFZXpPegaxzBpbZvEz7bQxoXuS783tVrBuQvHd8MHXZ5oVTSx4c ckK6LPr2fhonr3SNjzZypnMdQKEutFmGA7Nnmr5Rad2+r9kPxf2FBtuSiycte7kotqZcPR0MdveM FSs0GaauhuBw5FAs3zg7W/aD116BTIEI1JVSdRiFGxIE6o/LtTIHv/7VQPlhysTCkEY/RahhK7NP 9yS0LCd+PhuPxhJCfQhRDkWUrZ+lHiUjGBDUIPOGxjpp7amkufqCfRBWNBbXFP1szDXRBlq4wepi 77IP38qlt4e++kNf90vaM0GhxtDuSFr3+cISh7obGHeBViowK0aq3A/+9ANstwhHPgd2/WfI+6NJ MwDB9nb+X6pBxu/bij2Kv5w7tZVrOnD5Gmp01Wd4lKhu3dtyx6jjhGwgvxn0cgS5Ut+ZDl/G8/Wc 82fXCf1/NPS+CivCQX9PEY16Eok0tOv1INjCqxliVq3ail5iN/hZvaqjk5HzBNdHWGohuCFpvieD em+WNiZQ3NIHCmcb8gTFshIbLn53XjdtFwvv7dlTKHTdWkdTLrM3NtrNgd7fE8DRo7iy2w+cMKzT 4CcN6oMv0WqXTRCMoqoYeD7srDzm7VyaoyPR3k3dBmePolT8kUL36KNfniBhkyIdp1G8nmKMT43j s1VbpJrExd8L9dCFiZMZnrKCBYb65DY3GKe/KT04Ui4hjV3d8vhk5kC3xm8d58DBjmY+BjHa+CSV 2/JfKGI4dcqDsNZISY3lvpFT+e5Boqebzp8cH6G9EesELgGS2eYFUUoW17eToxNBFonaCMc0vJZt +YyZPmXEsFy39HpNSXikmuwFzKE0EXKYAxXg9mzfX2TOAOrsUErtPSbghgChK7QMIvAK61wVIfO8 vFH/ptcF79iZB+1tNnchsxOhQmJ8u8+RnnKbbZJo6aGW4vl0XeQwFEBvInhgrwKsFLMeCDcD3I3K xreyX37eu8ezr11zrQomLxc2mnBbOacJoqJpXGjW5k+a0ktQY351PoXhzc7V1BdRporLSmemQOOJ Ppp5ee5yEsTCnqMwUtnloPc2zOE9xJ7fTf22j2guof0hE3hflzdhbZ+h9xe3wlTwNgh7zIFOF6k9 R5yQF+UO3y7aWIKZSl2+6y0N/beQ01E57y7TdEQNOJNDj55YkvWexOSF3jnrAu6WZ0FAOa2L6aOV cvFaly6qBAQ0vB8AKQ6x/S5E478/I+vuyuun4Vgi1MVHobKnUa8CaPX1qaaHUgojs09dviIpdFRo iIzyEQOtE4F4or35deLodHQO5+/O8b2/XOw+2UQufcZMpfXnDNtlm8voGBuzOLEyn6KbX25/Va9t 7EWHpjVyQtnVRjRs9X7Wk49NhxNf6QZGD/vrC1lUZktPt71H+/SKYGd4eq5NjLyYO2SxfSVswVYK YDO207bPYGTC2Yuwz1V0vN2pLdlqgiUWQ+/HYxBmrB6dYXAy3MZpCtp5TkWip64hYRxQBLgdDW0w /AdehfSr6dOSrq+wTURMWo99Qao17TpMKIuU1B/ZvDHD4PZzWgHELG5QEdKMC7uQ7K13ygK/TLcX 2r6WK9uwziYNRIWEBS8zzBUgCNvbXbsYX6lB2JKieRzaCrB9XROS/o8x7YkkERvL5q8MGqOWCG00 Ij4RQSfM5j34dH01gHJRpN5bResvgrkNzBX/liMX0aOzqh66p6ak+a+26c+wD3htZvO0W6ZPf+0x 4GTU1n9EajoOXRhErpgBnbA6qUiM8xJBDgp77bPc7Bc4oSXQ+x1PvCeiNRXFrtKAZYoi5QJbZEo9 oFoyZSXWm+hTHZyQnE/MFSPz/Ya7s2IAKKx+mLhFWEbrvwMONmsWo1wQ1B8DVtJuItEBIXsd/bIB dfwJffMYOEYy9+Sa7at80sx8+17kI0VMJGYf+bB+Lxo2L7vuu7JElVGREe21Aobeh+Mg02IZgZMs LW/xJUxO+0Ls0cpAEVRClN7IH9BzZqarGvLHHqXEJ5OfHgwcGyn+tE2aDLVN+COyQxOwRICeKwdE jUSDe0+DfQFaFwlXdlqrU/aDKafMVFzl2t+SzBtxoqRqpS1dbDO/1aHteWcg7hmZ3gdjHXUtQA9f Pp3eGlYo+PnGZrKVvPeVrOCTE1ajD7fMJKLfM8VmBVwTX15mhQdwgUHrZcx7bjsXuoC9l4qmPXfl ppIL1bTR3iujbxzRJkx4CyNTUNeWrs3RJJFbKi29IYKxvFNYU6dGwl8WbiUXDMfPyrAIitJcswh9 yVE1EGI7h6/IOfSih9xlHTGwVqc4sECeuWxomgW+vHgVuHQc3j5ixX8F4xuhQEs+iaprVceAMbx3 4x9xZFeQ464HqWM1AwJ6s7rL2Q6iNdRvJmOqZEmiezppiUNKHdSQQ488xkeXe2aRnpLEeYqHphHL iAN5GliM3nWYdpDjw2qmcxo7x6MnzmJNInqJvyedM7ruKfRE6tY2pyZUmIe0HjdPxxOQcYnvkVk4 rNf/bRcG59Y67EPw5tBe6YvUzX1s6eO7ykDb4FgU4zpEkUk3tixOiCrVbA8/xUvKVM2pptF4+hAT knpZ92MAQa81DV6DyyV+EAcVJqFAjL7ox2Mbd122HgmF810as6aykdTrOqpN3bNqUQhbMdFdYgFu ++tmAbwTB0o16EARhrmhYEMyzTvfYPL/0pUQsx0NPKcpo29sW+nQGMiQFi7V8cL8ht6C8rWE+cu7 gbKgsczCitNfS92kw2vNAU1JEfI+IE9pU4qut7QrxJJZiFMgU0lE2/XOPBPWSTQ32+Q/n9XTePS7 bFw6ot/O3FAqBvLywr/RgHD+vRHeeLCnIjUhzgE1EyswGH58R9GFunp6AmJ3NG2RjzJn2yPTbn0q yVy+aTFqiYy/O7394gEu/RrhbTL2IfiBqEfrCH+Lr6s3D6rgPbq9L/Ky12a97EbIF3FS3RUFvbns cW0Ypu8CBFJSV6by09t93YuWMVn2a0b1faVoE8QAAzOxLIcZaUSBOVmQ+kEEPVXzRQNM14e83wf8 DjCLvIfNFSKpdxVyI9pYrAPUZlCEk/27ArHNiHnQX/vWIbjNapUwv+E7UllCxF3tsHYX9fuX0G3t 2xNIwCc/Q1CJhOBZHpqZ7VBJkFrotxrnkk2Yga8HYtR88knB5K7vqjZ/KgmF1prdh1w+lFDUqTez X7lX9VsNbaw9AqKjoqPWIO13QrFmmDF6O0fDZD2cixb1du44Uv6eeWgXmsW5SGlLtz1oQXtlp+V9 V9Ch9xw5q/zUXI12jYPhidOeIq7foeXTZGw+qMyl+Ti0/3sBbtcqkb1nu5fjGGy35TkI710fNWY9 p6FZxu2wTob//urXBA9239b7j76TmOZ4/14TogsUekn19wThMLJZH6UZ6OeFnHiMS3PFvuCZA8Tl 8jEly+Bj66T7g+p4S/v9eBgI8kH3HXyVDJEDsKgEHxnHNjttNg2jrO2sWUe4BS1P9+NLv/CXztMU h1EoY8kA+DeY/GmUtwtRr1Ew0e9uV2T+sFs0D787yk3yYIUMaIA6GiEmbeZHxasQsEakykBUcfEW ASelle0jbBzcJARbcQ2r83fLlhc7pVNlz1ZMP8ixJlzJzxEstqCKnsg8upjCuar2LppkVYcf5aNq vNjYoHmFUIvLFm31FNCYs8G8NUCCrjAPcVlb/TKidIpSQLxe+yxWAzVCl4eSP+/Vh53FBA3LZF+b rTPxqZbqhWFAVlXrYvJZL7AXyK3+/F2RQ7JLpp8Av40JKhjW4nakPJR54U0hgZXoC6TxIxr6k8If GgH3qFS8TUboDd0FLqqpg0rdQjWk2mG7RzmR3cY81UVNPHsploZf9oKtfJbKhGEK9iuhsp62Zzt0 J0/K31cosu3onOmYkMFQqVLeKzBZrcfVsOF+h2+LwoPV+ZZ+QvB0KmZPDjZOuyROg9vimNHPrzCR Ds65xyHsL41+BPhu5xLHUr1l+SQmnO9tTEzRCHg4eL2oKisu5NjwjOxnbe60fx3pJksfy4B9ubSQ pQHqAqies20OHr+WVJbmNYH7guqq0K5PIXTKnPkCzKu32k3tA38W6z4fEMfXLaQyrQwa3SpsJz4R /Gp1EplYnTXyEtbgLisc39/IyIezq8xD/H4/W4SyduFV6L13Lr9zR27ptmFCJA9EAMeerbqou27x zcQfbcYIz3/3GdFHN9LEYfq95VofEUspiK/IP47tdP15/C1QsC8uVoIJrUullWbsTXwM6dOlqESK Tzj4IgSmN8WRFTtVVFb444GyIdr3nQIEgzU1h1xgvP9h/M0EYCMOA7HPeHuya6R13XHcbhFYw+KO DnsWigPdLxbTgUxGdy/d4E75d7evsH20vPJiE1eXUDNi6c2gmTa6l0obhsJfCkXnjWnrkKYlDybq PQPisAe6iTVY+rOyTsDsvpXN/TTjct9a6yZvk9dMPJAvME8l+ZCXwpCLS7frSC+ZI/SSEE8TFLFV tm2hs25cBQ6BTuhzlkw6gUWH/0KOOMmFbIWpIQeh7j3wCCMD5SsqquUXsuOxpLOSjBMz/M43KY0j ZWGyt8GDRqC5Xt00e0DB+FJaAgrnJf5Hy4EI0GCFcdj2sEVfEdxwsL2aoOPLhHion8XvS+m62vdI F0bRw88RNYMGfMtiet+dklZpHiJ3i39uuL1x4/oK7aVUkGoMDzQ/Dkh/+aTOIgOQfkaCSAksh4cm PlZzLuHp69Nd0BirEuLoU28q1aBZg6p52QhWzxI5yn2it7mbzeCyr57/O5LYTrEWhKGbJdNMH07H Q7H9FKaEjtc2JvrhzREadd6n81acGga4hi9bTD9SXNRM6T8ZQmiJiMu2noKEhaDeJdjKTp6/UfGt sfrpnSeExN8NNCjYS7/0q9nGNZLy/xNM9HtiGwu0j9/CCwOnB5HJSf6HNGXdSJF0UUTZzWxBvQpd o/pHGzHEN1xpqM7x0zAir6rJG+tVThc+czKNEO/RJI3igIJcrsiKCICtDKO145ofgtTFc8LiJWQM +3NvDMgf8wtfa1swXxxJvHWIqo5w1CCRH5HLyXBKpYg+u4pZqxWUQAOCwrQZO6mLUx27mvWXmABm uo9UYKpDZ1KwbpfGErpug/PFBSCSeaqYXOGxVdjLLFW67Jaf2LXCgxkeIDeHdgGOkkmiKZ4MnToh b8DZwbRhfcVby3DdB8XWbKNbkiJ71aZyvYn+t4Cn5Ze2nELY63122v/VI8qgjyfD8YBEr0FvDKBi EBsSOGy/JZf1sZIH1HBmKaduQ8Aslbddo9pYcNefFeoTlSydIW1gMSAo4AXQQLLn1Bxft4deZU8u JqJz/HFhJxEvE6LriB/rtTeTQFzz7DF7TGR7fOZhVkeDwBSmgV/uRm+y3LfO/vE9WhZtedsFhh87 Ab4Csv7t4FggD52WLCaYbhtY/An4sBBRNIbyHk48HJxFPybbcaYGIIyZjXd4+7XdtVkXN3tbWpFp eu+Dad68xYDfWhPFmrO21chvvlInGeqgQgEs8ivdrCOQS7DuTmfyoaxB+QRrWDdzoje88X9dC6lq UoKdojVF4pUbS51wX8EM9f9bPjMufnmiU+7OuBr/H0k4n0nSBDmHhzgF8e8MLjdBqsCUmTdgFpP+ aiHBCLK0WIcmmpZmy55mgPSlbkEtIRQw84Yak1WZf0JA1xPTAPmriDr/50MDcnXk3X5WfnKpGTUD uefaxF1O+s583hWDX5SiLVOMc+53PmMcjdXzX3o9U8AtR5s6EiOs1/mmGqzg/zkEhhCYgbOHoTSb UGuMZwsIlpYSfYWLr4bv/APII5SCoMQzO4vI7NJGuEriH1Gp7hh74YR1QDQngkTycfAo+U5QAdBt Fly0KcWzJjOKVvwNjXBA7Ls5ILfAZCKJYnA3fDZYApyXwaUB9/ebP11TeZZP+3V662eeH84ZJEDd 1T6KPA5214EYlzsLw1+XD/4TPQ6GS5rhLCbptaPoGeJ8eCRX5V3tPgJqz2TMibGVA9LDNb2SWLLJ Y+NerkCaCtMqH0UsJpia4ksYq+vY1XAdaU4vbY0puNcyRyHBGJzpnNe0F8pk8eRH/O/IQQTwFfwr N7mc5aCEhc4n+I6IUy8hnO3tU0LF/b4py5PiaZufFJJVJtCfYeX05Sxi5WVgsppEydcV4DoDrn10 2Xnd2EklK2hrNVYSOjwfyY7GD3QnUX2+5czTuuKtL2GdiOeAPZB0mqYDETPlt5LdpH/wa6U3Oh7B iahgkwx4DfB6xhHbTDJV30OhW9nUDS16ahrhw23cpXosjDIjSrHx2whm2beEIQTLPHpgHBURFzL7 fZ1gMKTzbI7mjJWtli0aFFoS6Vf3G/Sh/v2flHjpfN9CKdf5LT/8mqNysbclWmcZSQ5lUyIE06Fq wvxc3RCfm4LfhVpCa9/IOUIvbK8X0UGWRWWKEbe6hLOJfRSfemSL/anwfKmAIO1FxPt3UNQvgHvr 4NVgEv/todGZPV12bx0U/roN9NEaifngkwHpOcO/BM+nuYFKUxL9SF+K7OvXZLwJw5+Ks5VE/4/z vi6zYaLG1FnzhkBYbou30y6bbGm/moJwm4D37kvj3J5qunn/guA6TR4uWHb6EGQ8W3ctnm64eSK1 oN7a761EC8OJEB74IjELn0QHEg0z6Fhk7GMt99a27iXZaZb51lZQXLwHXWzbSGf9wyWpTFbdlcNh QFKdPtsLg2kj+bUvzPwnQ/kLj664K9iZTaIvkGOM9el4ZXmRVsMt4Rb5hWLoUoWtJzfBBlZv7286 OZhhEaDGXpgqLh+pQfHO5vwI8Qj3klDsDGCJYNw+G7Mmy/4tR95LGQf/4T8GeNTrh2Ws8Po9o2Qs pkG/k4yiQOWsKhpcGCJmo+kU1CEa4+uRCjomIzaxTad06h/xTlCaC/JnOzcFcgKAyyvfVKAIpjSl ZpcCICVg0m+75dkpSr05TJ1y0l8is7ZVMq8tCp0305vJtwRd752fSX2pvOonUddf3EWDQC/8hgUH y3jJcbxvZSVVn1mrjWIO8LVtPXZp5rDVUi7fenqiqgXG54zxvo0JVGXk+p3APSpXWTOoCXOOLo0o 5K8NZoqKk8xny0JmAmFIPATZaPT7ltYiaVAVWgviY3H2IA3DJtAyhiG6GLuKOTrLn4P8TzPidRAl r51ujLm/AboHsyco+jP+piK7iXpX5zroX/z4pMnzGLXHAroRho/eF8CUPKgLbqXFmU0c3d6RfjRV 8h/CHze34abKke5+DXCXnSb8BQFHg18rUriTSU2LtzfbQGxA5T4XK4NLWWWuAxS0wX9IUHk0fQ4b 4Hu1Ncrbyr5OORxHC2uaf77wZVwvkOBQ4xjFnCOFec7vgOOFdcehLsMRR1AvArwa4Q43blyUwfwX qIzumatsxAUWF6FTUtJgidoYiKuhjXEOekPd+xoNc1oq7ktxhU63kubToiDiXJhfc1CajP0R3X23 9qEnN55ZV++1Ch8CJoIzinQn2z1Cd5Ur3ypLmNn4NPfaYT8COhZzi/EKWzB1hCY4TALEaI2DH4FY yHVt4bh61cJquyTiBV2BQmvGUWG9xreeTHZ/6iq1rjAjWGVefImI16JFT8A6NialUJfN7dlT4JdA p72Vy2xihxXL5YsGigL8WvZl+/lyrSOnEMtFoWeQPjSh/mKqx8cw9TaqwkMo64/VdMU/rwbdvZ+9 F6pIbLTx/B2AANG51ALaqxrG6cZSIbjnmI/8/O6D0XxB/Cbfv7bUVJ21T/zsDbrAGyhEuHxwv/VA zHk+lIPBjAL7yHapLJy3A/78VovlBCiTLg5wFe9N6YTa0WGYJ8ye6z8OCADq2tukssCqyYwblr44 2gDfqG0zMKCtKJgY837BocvQol5pQK3cZTZFQlT3cKjYhZBZuGQeJLu/SMIrUUYX9iSasUtCudbY Fazjx+s2Vq89sHh3kyPB2avjNKvlvkgg+UQ+j+FlrvmPzS9jLiprM9YhZTX86pboWvPutMyZtD9t 7rIvjXAwB+EaOJFjVJgt4c7i9qRfZrx94dKxXljOtbArpxqeXF96PI7Z9LkqepK/k/81z+6kWZF4 nsXzJgumOm/wPWPQMehrCWW25ugdJ2hEmbLeIv9HRE40Un81DZMa0lTYIcLaPyXd45juO3QyzNav sYpyY3l5PbPOdFb/ypBp+4hEfe5e77m1iarmuSg1/fvOTcyowydU42YrFERjbvA+YAcUmJHu7DMZ ZsqAuGaqS0tMB4skbQLYVY/Z9hxIBMFBIIyAlTI/YAG0vigYAav+b1n+QPmcjGoKk0nMYKB+cmtf +Cl2K+QQaXv/vDj/qxXdoIHF76ZddGJmOcpPKAxnAq81K6QgbvJ40kBwYf36rJwAOO/5zTTWvZYs Ot2gt3gNdfPl6ryAp0+B6EhUzFv892H0JDra5PG1AuETr977mwEwz0rcCBI8NrQkZBtuXNzGzYpZ hW5jWkv4J5j8W0JVVuVVcZZmlT8pVzBXE/6ngVgZQwK4gEArgYLJnN+io35kyXFmOyrSKY3Ml9Zo O5Zs0pbt8I1L83XzvbLhY0FLDu38gWqOUSNKZieTjl88alqU9GwlCketc4bUjn4vdnqHNN69f1x7 fwUjsfRfVR6rFNz2gl3dmdNsCTBPAtfUpfSx+5fAJ83znRiMy/cN2tAFVSV+K206x0iyEL36bRsI HhrV6zri6JVkYUw0i1mdlGmUyO9iCxjcFiPKjy52/fNxnCi3C36Eu1rFsqheiUsBIJFANTWsRJPj E7XFzeuxJokdWaRthfrAsXzmN8tm0BE+20SXNJq275TQW6wa2lW4MidItkgdd5hzf+FZVLK8kj1n wNpfdZe/F4EleJjH1BgCwF97DdWIDyzCnzYfEtMBIjXQIMu3GyJe6KK5sRzOsGj1EEPQuUYataIN F+HwqN4t1TIEbVXORkYowPZUAy+qQ0elZOxWP6wYTs8lzgGffOA7O6Fac2a+woUy+7nGmQ+YMhF5 M4xnIoUiOofRXseLubM/JdDAp4pD7aU2yBZDKjI91Y+Y571Z8o2cOjUYIUq0w6Om44qQ+gI16V1Z ud8xe3glrwl3Hsu0hvXWvFuVwzh7SC1tVQxm99EkG2XyJpfENtaa/yG9LWoQUMeenqrs+NtCGj/t YsuTdZy+kpcOusMaMoKshEDLFs/NjJsWI6K7Q3+iT7ERvuVQ2a5khSofMgt5EbAQWNre5+BXqlDq ji/oopDvSOHmgxhfy8Taa50bZWaeujDTfsWkcSvGy/IG/bb5UMlJia+q6dvMdxsMmhjn7V5F92K/ Zknb40jdtqSmhmJbadBFmhdmeYLWg0Av7eHrFlU7VLGL9vFmIPFkPmEh59YVbFSUo8SmL/grLrNO jajhROkEi070qJbAeRDl8A0bS/dDTFEXgEtvQ7UJX38Ha4BSgzVjrSNy6e7T2kpxPsEdOX1jSN1Q /kVmbszWhBPRMiO9vriJcDUGm/KGUshT5rxv/i/cqlgUy7UXNOKoVuGHJ7n7IhM1XpeCvjAFnHgV L7jp91fOjknZX/qiiZ8qOYTfYKDtQeWxKBlfLYfn6e1EW91sR+xKuHwxwVFJ5AvxjtZw8Tuh+lX6 Ci2BocKdcXtG+YWVg1GrMOAb+MfJSoINHwci+FP851S3rAx9GVpQNVGAzSdO/qMs8E27ZHM5ChP1 tXXhWTpdEj0FkxdlASpCom5PcmkLu8U45P0jUGoIUA2DnWjXSUH9rew1ysMzODBNBFZrRi+S4BBT j7Bqxl17iZ+ROruGgQe0ELmnrevae8pnZIa1MVzbMAupwE1Bg4jcIjJmbOQJDMURN743kDjcRTpe fE0hnjPIi1qpnsjZ+PwT1N1363IGf5n+4kKApwKWXUs7lIvpIAilCyF2sVLhfvjq/FVcIEEF6H4C WHDkocqx/8mwH3YVPxnHINIes5ICFBIyqhhh20Ws0IUXboGv5Tes3iTOoXZh1iodmd0EZ6M233vl o/NlkZ2HaPKKJm1VZwPurkW96p2tcatoFRNQSHZkSEeb0p5kyu3US4PsElbOI7dTEHJ/V6JhHcUd aCQ5PJNsfmKDV7jv19yfPZPndvr7I1MmYPxeaUJgCTd4NU0TjNcHtyHvgdKZ6+i1s4eWQ5sFuMeR ZcdbY0TLlB1nWVqQSQYp01a4a4mAlA2WTMduj6rIh6rF7ymmsH+s0e/7gEdn9yyJwe9/793C0Bfj uchwPB60yZizQQ4ZI7lsu5AETDkIO10s9jznhss76mTak5lSO8IyomTgP4xsGumPVwsWUDalouTC 8kHKdH8OKAr2i5xz5uShe0jmR33xwCJp6Wg3GcdaSrcNhektcYvC79C/t980b9yKecopo24bs9OO OFMac5QVeh7vIi89G98eqw1nFs2zYxO4tvB8W3YJoPdY5+iJIx9NE9MNILg76qdb2n6MkuENPvmh UFxfyMtFT6jXhUSek/4ZqhZ0s6c8JMzQfuqWNe0ooY42A5AJR0NGEU/ypPQqly5d4eYXUwzKcj9b SzbGOjy3qt89gMTkR9ZmMGi9JhZU374tKltvtZ3nIQkPJp9PPKVFICkz69lQtK6/CymMkOy1mzqf s1Rn52MH0uXYXmj2UuRdGsTF8IwesVnaO4CIBJ7G5PGCWF9PXUAHb8pJ+VnPZ/zMPrpEdoA5uNMh yIC/QPkJYlTzl2LiXzUmVcEKLkQBoNu/QDF7qOx6GftAhHxJfKjvEwtUcXTt6m3ZL7IebBJ+4VhP dmacBgFhh0aSXsAJEAYyfsJQhFd2HbcCRNlIFKnLv2pO47VWvzq1tVWeQfJaxQ0gfQzSO7YDAKU/ QzpfmptuVvrx1IfElDG+XTXy4bUNzspzLeSWKXI5xb6eHPdCB8nMUvnNuG0D91EANez2mC8Hddtz h3rUze/1JYYld1m+aBFmTQ834uo9tB0Ol+MXajiC9EqYjR1OXzwK/zXsjYeQ2yh32Q4FZwdcK2Wy 4OlbwQ4I2EHccAuL3uTTDwCW27JDZgnyFSujaMLcjov2Vbs35OywsttDb7kBmk0TVloIslusghf0 NjyLmUj40uuuil9AU8Cpb97x+7FN8e6eW3nMFWkMox2UHPIVhny5JdlYTtqgEHxMcwzdlVYjkFKZ lWu2xSaGKOx0VWHzWZijonrNH9OgjMPgJPYIZUzf//nSWBuqT1Ay0ELu8tvmw5ihDmJ/7+HNAmYc 5RSbi633B2xFIaRoPKJ+M7l4oiYRdLcr182BFChddkuGmg5AeWM2GU4EESZAT34T6Zed0eMcLLCc Xbmh2M8Ke0SUzOPvGm1FA4JPaKbwnpG9mzbCUAJVhS+yr/oNOpsy9AnXeToY4LYTp6rS4h4uuXxR oEp0EPhvyvFeEjJ57Cqz1LJJfybIdvx8/0iBT0zeP/YrKBQZABW1NT3JUN9yQASUP+jWQGBUnHQM 5WL9YUijMx2Jq5i3yrOQn1BcwTv6wBVFq+qgQxoXQsUyqNA5jYWnVMq1aVO9vVQNDMrljGtHfQfD TFZqIsw+5D6dQuzK7onvw+9TUUH8yvXNlSnQ8exc01FYUw1maKIQyykva7lVfHraTmaxNVzccJBi BIiWiXeWxSgRkinpe/GoU6mI22tdVYDbtKt/Pq7Dj76Z02BVnlWNiPod87l0yC6pXVwHIMs0vam/ dZfhvSqy94ZD86nYs2xS4mn24GX6FSZNZqh8XG95Y1DjEzVKEERicCnxusf+AsVYrf51vVEryUXW UhsHJ6FLZeiNnYWB0pC+fEP1PepyS3K9MaOWg3v317gy8iVBm2kHRN6mcfy2dfjUTltKXy3sfAMn 2YuRd/qT9k/XUbTivIFxgcgbwHlNUPAquBm4u4pguJ5qtLMRbV69QbR0fDZXntpGuuiJvoDhpKoy c64jqPWPW0W+hrYOhvxY4IWbby8ydey0ycqjJmpdLp9ZWddtqs59Xl5G84s3yuFVonsc9VEzmCXO UmM7ozlsJujOOAtOTMy7j0JRyXhRe5C8oL0mrQfJFtTSSQHBrjW/M9Di/CVnVDnSElxOijokE08l ZZF07Y3kWMGy7rDFdIajQTSy87VpP7UkKt6SOCSOKYToSaEV++ZPQhJCjDkjP2zDZLGhw8Uu6d9p PC1SNp/v5OKrCAMIa8uxh7i8aWpJ94TVl4Xv0IOIRBpsc6Ch1fCKT/BArg/GDj9nF4TkWB08RZFy kwgDiBc9//GQ5EsvbtdeX00jy5Sd/oan+A/RZNDE3cISBX7EGbp94caTfUycxT5Ta7raIbGhWEcJ KrbnVjptvZsGR4RQcq5qHTvuc+RjlVX1gFKPMNzq/ZEZGI20Nz09osX4PJL+2+TVMPVDqPCkpm6t f80THkxPVtYwFSvI3m5pzSlSDZwX1ou3Y6OjGSm1vlp3D5w6BgKx0WpKcqyyoI/eCB6JrhFmfjH7 fzgF7olIS0NUeNMFW0W7yKfGpqqb7oCqY29QaJV9apvdorqir83A8YjkEqgO2c8ZAsb3Qna1QlJ8 Vbqd6hJqwGiN3OvnwoiNCLLdoit81/n3io5sGqgHKhEzJH1DPvSz104o7wbWHmNYZ0DlzxjB622p OYFnw5A8CGeAf/Do7eAxM2CBsjnH2psKvahzqv6xy8FsCPwF4wrmv9lxhfjJKp0bHyg18DCc4zOA dF3+05M6y75MWbMtb5O0V4UspOVqeqfzvo43/Snui60nKAvU6r/NKOG4SWhQSPOgJF32+6Q6Cbcn iumukrQEmvPHR9d9ft1OlJcXLQYrvB97b6cYBOCAEWWVpxV0EKNoPvGKRIMxsJH/h8PsVfWrtvY2 avDmVjjKYjFGEPVtFGOAqO4O7Cn0rb5mWKB8tspgV0xzsfHrvMVi6ySPCNqw7RF9PN3yqdOBq9Rj 4Qi3mTzaBZOwWHHRWBQyPiYdqktCHNB074EkHzMKeP6/HX/eT9cSttpnnQ7gNJlXKR69beku58Li kxRw3/2Cw5vtqKFsi0dexwjNCDuqVWaCSCIV1jGOc0KHD74GSUDMN+toQRAn0eO1qeiMbc1mcT2g w/RMJv89NDN2hBiViFRnjfODOPEF0Ge2pbCd1BOeEwkGaOPwAMsVzE5ZrHypLVegmBobf1BvdO3i H76kdTUk0SyXEtfmyiWAddtI74FuipxWhO0kLXqSnadNlTxSUk/xTBfkoSdQwbzGrtvj918GCHPK XWPbESL4/hFS32VOK+1gE3c1XVqech5iXxfr3PnemeJcgOgMPfXzOJXpBv3LfTPT6hVHmOm7NKWt g/MjDQAB+kApSs+sfs2XrORc6/fUQbBZxuJnwnnz5H4G+Sd/Fsh/9xxyRQE4qBavEyUUMFP6URbV qNVrDJgYrGKuB75l/8oDcyOIyTA0qNGFZpcdL8uphsl3TY/ukgKHl1XHd1NoRH3xOtWXHuIzfoYn DJgRwC95Lkn8EMfrGu2kBywzZwKbkG67MUcPg5zLFRrbfGbFFnFHarxX3HFxTtsapJYa9hHaCscn 9EBrm2CkWtHVy7dHk5/i4zCIHJCOS3kKNtjTfBaS66+L4IDnz+TDBIiGHhYzYv8kYLSYPOYSWCee hSgD+JzpUjQ3KBC2hA2us3iT0BKIOfHTTyPXbLkhX2IJ0OhcjjIAY+majhwBb1+FNoIgjR98m/N9 xmmcOPcBDn+Fh05FUTZOsCBDb2DCF+iQbkfi5oudcem4e6h/i5Csa+IAEfah0k/B7jIGWoV5TlV3 g0aSJkrWdrABa9GvHw4ksizGISX6GAVQbSfVqFLm+86RsZumEIpCgh+mihhAr4AxxtXMgxmUos1M p+pjulZwyzcK3jKAUF8XnLVnx5abEnWeeaxqTmzgeaDzfZd2mbnLh77GmkOUxOzeAQcwT5kNJRST ZQ7iRfB+WBOlTaYsgoqkUYWfhd51Tc/sCu6lYlh2Dm41c5usjaQ51gyVl+D58a+bM+Vk2KKjVBqB J50T/kpnZacK/Abv8YZc9OftJxvhOfasgrklbGwH3HUKmydFz5NAk6T1tSFgi+i/Rs0/OEfvUVbJ D8W4JuhzTNTm5cQPa5VL/Q8ffBiPsGN6BXzyNGEDIJBAIejrZgmdUdUo2DYaa841dvW4brbdyDf8 J8uHfUohxUwocx1ISxYyQ/ap0rZ9+SKKdtYEXOYQ+1bkyrFkVmPwNUNJXixEMBKhwhOqLoubVQPT e2n8qKM5BomZe/8ATTJJptgQPIt0fysa19uJ1YkjPWbTHPbFfgPzMFWGQ5ru/lcjZimULAl1hQ+j dY2Hk2u3MvfUZ5w1jQZWNp1hJzVaDgHmv1xvrFmhBKCtUSrAnDgVrn5JI3D3kJUQFbvFNBBbA1p/ JkTa110CQk6iKkPvEpLc3cVSy11zR4YVAVXpuuF/ja5vAKfvNzAvSGMpr0XhZIjNnVb0/YZAXPaC CCLILctnk74DhNpI5oYYARATJIl8e+YiqJg/L0V647/Gho7Q+mcBdHsSBUe4uoqD9BWynWPAnJYo S62SmhQdDljawtIoxausRob27OJv89sGa7AhCqCYvArV8Jk9xzZWwM6vxaXiOJCIgIJ0GkRXYm8l Lj6AU1Tcbr+td1Yv/rvY/5uV+BupCrkr3lVXwDJr1uDh3zPXOUdqrbrV4AHa967OyGb2nBfpbFTH j6XfzkKIMHAolwc0HmlCJEwENP/SSWHmni2owaVCSaJb8uN/6iacR3L/0V/xbZ6j4zCfweY3o+Oz Gz9H+LYE7QRROrz37O4jykArUe5290tbrvzBblIhm4h6ij37FagGZ+Kj1Tdkf+DOL9h1U4fG0Abp jlgf21bhPfH6jaIw3esQqmgeLiU+VnGULDNKZ6Bsdx4pk5oprXtMoN9aSBxd/uuIfpKChq/055In HyPeBfk78ogLluJYC0MsbsnS7F/LzW9MnxdTZoVcZ+FTxxuA+5BZvfBRTDzBVAuPZzM6dr+w15cm wCN74C2KRxxB0NjttId+7QFD+X6UGnrkgQJoHS9q5MJt5orfqgMlBWI998a4iHo6o6OBV1bzLym9 WJndxe5l7e1BUTVUYW6Iy2XgQJjsc3Ry6ZPd1wtHzKuXiasty5WerHzAMIuOege9gVtwM6WVjJW8 x1AQut/kSZP2+GIxrLcA/Ddhoqp/ijmdK5C3z6C2V218RoF5hRFhQ5NGcBQzmy1usfOotuXDUJeT i1mnwSP9BBa0e2+s+e8jGWJbsVC0kwmbpWvQszzs0Fqh044oof2M+dUb3rE77p1VG69sNozXMaTI mameCIe3NsccVNZcfTSIIhHby+g0RJs2vFxw56+4nEA7PQ///FckIV+WSGjHQ0xE1PeFGjCunkbZ nO58kTY5/UCS/ooW9zXPX3KE7aKaGEXk7eYfzlhLF0+rrD6lnRoTfS+Sa2JWd14JauQ4kws1LhQj 1RucANmPKFW5zCYHPOzW58nJY6diAo4nVrPDuosq+6KJFqEBWOulsD3EsU9ef/q/W6lp2NChjEOc /zv2svqLBSGjwVrw82SjGcAVxppDmNrPYk+Xa6iI01DgFsMREJkLFPLYUZKcE+uspXxCm6m42QAk 08h9vO+HUWjTu0OXGCJQCtMoOw9aPYOhTNbFPZHNG4+5TTI5YwdaIdl2dMwhHew/TWYqRk9MC4UA ZuoLgDfRK5GZQZvEspK7m6HURO+b62CQoHmK7br79GoMnv1TgaxCztBqY9Ao+Cbz+SSnowPOhl0w tNpA5jpHOvs/Uo09F1BF/QZx07dMi+jA0aMgmUYJIINaTfQGoFK/1PW4ZpIERuexUwVDUcD9hpN0 G+jhZnmS56Fbn+egvwrfz0tGrUfcHxq4wDwpci/ojzUQPzBOwF3DnnYRbeImth4/isqkfYWTwdWj Z4okKLiZu7FDypmh/YPGifMEvL0n8nTnOb/tWswu0QUDcgShRBUg26BgkoMQbM4Sx1io5CFN1SH8 dUdjQT4Fllo4yrEi3z1X1PM/vLmWO34//Vz/QUFFrZnaPjecdGY5Yb3cZ/9SAPzzvnLTg1Hb7EC2 jjctvLwpdq9jDZAlUk65IQImpS167nq681TNQzfhk7471Rblc1PtMCEV0uGNA4tX3WagAnsTQN+7 iqftKIA4vjJLbRvFk0bkRBE8JvII0e5ZX5fqBHhGqmXlEoXicWActKGSzvBM1flKnVghfyRyJ4TY Jt0/CmQGIO57M+RqJ4d9/aDg9zlo66mlyUmXbJBcAbaXELC1x3qFMqkeXs+IaJOVThaGUzC0tbOj hmNuKY4ONNR6DNsi2Ju3c3yLaP6yXs1sDr0Jw/Er0k5gJHkQf5U7/sonfOBrts5FAw+z8YyX0B9T OlOW9FTe4+OaeA/jNqplpdiQn1ZNODmtINJVejQcORtrdoq4LBDxJirbAB+GNGhndIYe7viW/7Lw L4m6jZqhVXvNKdWSDYVGGSfrrBntAGrvVeB4NEBQIupv+zgTxn8O/6qvnyivHIdR3LIg7Zr5HqJZ RoNAHfZkb7F2zCyzSIQL4LocepM7/v+7v6sKF3Zf/PtKJL3fL/qVazHnhRqOeJi+GuVj1Thi4TtP Jl7yyiN3P9Ijlysum7h0t2rg8j80JyjalRvTBDUn4VyHIScHxjdmabvNh7373leAaOvqSNQS3QNx aa55UZmh8nw3lqeSR+l1Gmo3po0SwqQHLjsfAFgnOVBiraIe3zt4iMfJ4ykeYj3GRln63GbiBIG3 QHix1cbvUlEVauELNYy3IQCNnFPFTHQ31Nzwccdj/GgSyBW27Saj8tA8Mt9ZnLicZRF7OGSe2mDW JbkbKnU8oQbZPobFVVQkxgi3YIys2osZ8A3fiw2M0Wy7MvcAs8u7mjyyV6uT0AuQp/w550OV79aI mVUTUVFfiBIM7yUS2dLw4bAtPL3Dr2fpt5RNwM/laILgsusDz+Jj7ysyU4JUIYrX0MTLk+tdzgHk yqPvg97/Riz7Lt8c+8sAOl255DNzgEpHrFjawKH6NemKt3DKxgyNuey8Gj516RsN0sxoc/8ebc3f f8q7dCE7voTiM99bNTBNhF4ZqonV7dtDi6RMymVn1H5Wzi8a/J5co/q3oGlwI9Ib8E7p94DZTRs+ mMOqU2TtgzGfe5d6fq8CvbI+lNb/zWwYsYbIH5pJOGknFED9JLa9zLxhwWv6dT7tya77Z61lmx0j oIN2jLADPlPiqr6nr+U7OKohHKbrJ2f+aVMxoP7DAX5eDDrUuZsX/sYGv48iEzAPGLiS7GGmGQfn 7nNc9vif7A05Hi6t/INKPq3Ydlcww3Yrwb/SiTtYkXAjsyIIe+q8wRoQ8f1WDa5p5kt2a534mq75 uNO9BPT3FneMzmtczNU0Cr8SplTbKBWaIi/jKeNt5DByUIOP54c6Tr8mer8osg5faluHhNKIiNfY 23AzHG720D5YTeCwss+GAADq9+6XblYb67dKYuYp/tAoSqShYbd0ymuTjs5WBYAfivcn3hP4T5tp +2QYUhlyb8l25MbWVc1Nw8TFtP/Cu11NNdh/MbVILeuMyLzjBbxTj5YJwNPAwmg5My26wZKp+K8Y gcwPqlCNi+KIB+4eXKcFCdoJKvOri8ksosvpK0EEtd9kD2y1gL3sBRGHnKenaFAXDbLTdLio0o/D jywO1HEVZhqnwfG7/dVuCpKYHv2BSxrc6EKGUk12jhqHCvr6i5nzDb+sWf+jWbAeou54txQl+Jg9 m948CjxddE+lxKxO8xOtsOUaSBoFHsn1s6Aj9bnSRDxTqCuleTYd61K6+AaRKiXSGm/1Umm73ZaF Mv2IEyrXrjq89fpDi7P9rEyNilWWP+JmtTuLbjeq3Gw/25KQVf84Tae3vs1r3+PSr2p90x9wTykA jonZa7hch1ITDJSJ5tcAB9G7uxuScqyR5BALfFQFoJRG+NmuPqy2e1gN1b6OxGs7f8Eaw+deLrQu rm9S8WI2j16rgztwiuc/ZXbP8QcYCXPx5f1h1qIhrcomShAw3789bj7z79jQx2xe+QnWCUKEbUnm p1R2tyaYiEWa/3Pk5oNgUot23FOG5VgIp30xpoFgCKAh/J2jMTRPlRjOml4vgXbjjh7TYSXg/1aI mnfbaRm8MFEKtk3YqtmUjo9dDGWTKGF4OzW6eAUz8UDbBNA9afsbp0dumrg5ij6mic2CwZCgIfOJ 4Q+PNuzyEKnjAvSX8TP7aSGUPFCXZLgjATaDcXZlc5qbvP2Lxm/BiJ4i7DI/TybJL3p7bHMVoV74 qpU5CUHUtVsQXP+pdQmUp+2CnFYpjaY/3vQmsKXq7krLhzPDnVgQ8zcX0I6T+tHB+zqTirhyOMl8 GZ0+dCn+t7EbJGWtaFsNizawhJvUzv7F1pwk0FE4KBbiyRQSuYDHvpkLX5R6YYQKv0IcdJlpq9Hu 5nMc8ddthX/QA0PlBOvdIbBVztGSvTg2zGT5Z0aCxD7JctcXOWNM2IHa9RfrkkwI/fasevxohEPY fOg2J5jjp3hHRnZsSo9U7cxEdI/jMfM3ysB/Iyw08nV5+ADXvwW9yIwlRAT3Sy+1sjN0R75AIWsa NRxA5x7qPsT1JQAoGefXrDcSo5h/k4LtHN9L+w9OD0g81Rzd06WcIICQr83AiLOjesL+QSk3jchz XTXh0jdNRy8lqP6tzPHotzIxHmtGnxGFPJZNw14eKnu8YMRozaV0w4w+799yBADXFTHh/dfrddzD 8LffvO7e9B7VRlmqeYhxU5jH5zGy+PSTg0ED5GRqqOigTl6b7LgPjKRi0PwF7QfcQPZs+tXV2GRW PZnjR1CjH41SZLUbsXCcDKVayZtCajKM4Z9b7UiKa0AT+olacRkGlTr+nFimrlZqW4QFJDbxMbkF qr3Yenz5cYClrRBCdkrOqqyyvBQ+Uns/jNJOgfai3qiImfMHQWsIwtBGZ4DMr6QBoecsat7rcHPo Kl5zbyVJPgtpaa2bdr1KjZlCP2+Y0/HFtsIWpLooSj1E5KeyMsRth9d0eS55yD3AnWdEVVc5cklq 5GtFBzNmLC72jib2eKOZx0mhDu92WsXun/OkA+Co3Lr5+JsXF4Rbuh+fi3xygUxQj5qlQJTq83qL PVRfwNvaBMETGCbqGsJdMZP4JWm9A4IDoo+FEbsliFfTHoBycyJdZldIRCfjkcd5CASf+pSyr5fo lgemVLLBdlcHrU4pt+PGzPm8dD28SID/ggSfB1Jygs+XSDIL1IxEWiJP6BhbxeGTVzL6LwkCzHwJ jVTXwO5Y0ci5aR1Vm5ULEe3cjHxSJSA59ToGe60XoYvlcm6pyzt5svUmEfzOziY0fd+nuTY6OhU/ ue2yCrjW3cd2VOnEVXBMsifCao+O/iehXSGQgmwWw9FelQURtwdyck4XORYOBCvnUURuaO1pWfFN PSuwwmgqU8jMPIy1WuvKkshSf5iddUHHzXVeuokL9MKEcAKRimKcXZqnvGl4f/2ppW6rdftZoptA kmZELn9u2zRl7H9wiYz7ZfdUEkQVO2RzDY+Q75V9Qs6FCt+gTtKy7va+7fbrvtZjVmUl2lfqYSH0 HYhM8t4ZKkJvXPuDLjdcWH/yUbc0US7qPC1HX3RmCdlv5vSFmFwwXDVgsbSp9qP/eb1HjctQGu7G ydI98cYOzuKOzt9SIYVKtOmomiCY7Esw/PTXrQyC9baeUaySUvcenRtvWnJ3ykGW5vJ9AKqskC7E W+4M4zUnOUd7imKfazOQ+P8SdQ3HGwQLeEZpU1H7vEspyviXIYAOlwc+0NVqP4jnTOhZ9a3I/5cP FW5k/Kp4izuZ6fRJY1QyrjFP9Zm3vm+3EdacT4CJq0iMR6xcOEVKhewKuxQW41APUQCal7lWVOTt cClsPopNFb8gH5akDDGCOp+cDxs7aUImckrQ5nGNekLotO8UiNh8Ujyn3KnaKfi69GaJ2iLj5ggR SvJCeU9qgQdLObzT4FYVgZdbOiV0pdUzb6bQ16NGqJY9wIi18lZuITnjBO0C6+qF6dGkkFy9I5+n H/MTeqObXTZtdjTrNkNPzbo3FPmnOPdcHxAYgQooCEs8xMahGDHulLnmD1XiuyNI60b1lreA0RS2 z+3YmeyHJSQapHw/wB5RAcgS7MbKMGow8y2tKYoOEhOLoMstk0+m3Z2ceEi3MRjzmU2eCE75uVXr GLRID6B9iIgrOBUa8CAwUPxCLW7+rCz1n/1pGOi2Zj5ShTxLArWP6u/qU67uR12eUMQ5X1vJ/x7z y7/JBOPIRZS+WgN6jMQ7jn2u1z1v3Dt0nvn00wY1BD9OkQSDYxvDRp8EGdKemB8Eg/6quObLYOJh BzFF7mG8VRidc6y8lyrDzNY4eh6LEb51LMBdSzUcKkEgWYecRfqgPfp+SvscuwDsRvIJgz0NzpnU HU1szd1BQTWnp5sbSLNsMq0kxEJe2OOGb83B1/w4gDmkF1vianaR4Tqq1Dz1yWURWHrGTrG8Kd1b jJ5jXeA1dNLXcDpnQvshUxWK2CxOK1B76QCq+hr1EsS2YiEj1pCkBWt5FQOe3hq9B3Mm8KBQc0go /R5gTI4BrYzhmXbA9KdsNhmM6SEYEW+Ev5tlG5rhulhUEsNSVJMnIttxkaIKdUCfN2wXbVIdU7V/ tmmOMRyag3gAi1bOxJxQ8HW5IeudPGsjFmCdCkZ+Kk7GK7oqn4cCdd4xcD7gcvQ7EqdAxHSHi9ob 9FSpDFcLHz7BIzJd7oqiP2p+Mn6cGAP65g0yM7EgdonbzYmBGR7YzRUxeWlEyaOx90ygM0LPTCb2 iVKviLgXgDdiuseD9TMjDV0ZYuN1mtmRL2tfSLxcDGO46Zj9oP5seJO8uK/4h4FLoDIjNM/YOY5D galQ01o1YsHndLX0FWXeBJUZX2Y1S4IEp62bHX6ax8CYtPJ8jKOmilnTqHLKXwBasYWsnJDHc9ru yC5uWuOomsefLJbaxaqWeGwnXDP0EnrY2SQ2wQAXK4ZI6I9N1Br1Qd+FcqmfoCANQzI0GCUIfL9p GqPuGXe5I80J0K9aOeW3+edDloLDgtLRsJV9NFsADlQscTdslVdabi56WL/dI3WOtIVSR5A9QiUG YGpJr3+tX9REG5dHS/Rb843Pi8CK9lCfkg/hKVFes4aQ6BBgzNdATtF2JdbImzvnjh+sMWf2ulWA N1YfbX4tDg1Moc+y8QdklXFepC129qjbNQzrdblYdmZw7wDXYZV0ar+2Is0WF7Qu+cnE5OYYiS9a iJe/+k4QdYzIsQjw0EEFQfb9iB+fyKeH91ZF5V7nTeL6PFW3ZPxhI+mBWe0rVEnQD+MlOvsTxup1 mtLook1DWQnpEvKMJrOpmceBAURti8XvmKGWms5ZiWLCoQvrrBRD57FjgRktwMqmVbPibTS8pviD bXMNq551IWIhersTD6QTGvhyG1hN6mJaCJ1sR0O77TCM4JPYDNHHZIgmpp9dMi9P0rsOSiM3GxM2 0cKT/orRldaNCQHAGpifjdW7O7MIGJYlJIcipFfJf8fjF6BJnFG2pvC9NPf66GKsxsX/AH06Jlif EZYPVnL+ue9MQlRZt4Ihu1T4ZlNzNDIp6On49Qw7D2Rd0CGZn+VDfLLxdAztTibFV8ZGbXqKCPPb qSGF679mXJHw6Ugt/08CpjdOAZ6P6/ednQI472zxaJVazD3+hSEhl3sOsRT14HkwnXeGclshna6v NN+qoClrCE4GWR2oQl2iUue10Gq/qvy08VMKSjMv/sFNnyudJVuK4KThW+wOGg5/Pucndth12VFU qaTDUcifjysEWXhUw04BhB8SK1DlItTLKCGrvbP92sZ42K1BsNhVN/gF6Bp6in5jhp9LoGgbfotP NmipZjAjyvJanwu+mzsSrtIvb+VetdynP94hWotNW29aYqZiTHgM+6q0w98Cnl7PNT+CKUmeTm4K QCwtCEA4d2IR1iAv438j2+Np+6AZ9hmA5rYj+eQvl5ymrhFJUT4x2s2bvfnfQulSooix0ePj6LMZ mSjtUSFe6lCv8XDjHcVomSKuzJESnJrKnw0bU0I0pg0A5IAPfwwmiddaRUOvJJZL/yRvjIytxuto QUkFBvgQwm4Vzvjk0LS6ha/UuwjmNTfvvwJeMWZeBu/lLTQ5cvTZzdPxm56qa5qKjH8xPiRNbh2/ 2oPfAeBJcccmwSFWUB2Ha49Mq5yB1lZ6BUwYxZOyuqZsXd3LaZKUpMdd/Ba4V5/ZPvsKWyfh/2a4 +ne25U+jEenXB/KKEiNb3tQWBQcAbyUhXt2YRBP/8VX/oRjZXZmApSpHOdkS3C+1+4THuushK+XL KH6bxQFPkAtaoQOVJVv2wgF7xAOHqzt51kCkAFWj5Kr4h56D6C4/sxbq8UvZVQOTqUXIeWy7/sXW bjbotHH9nqsvOmoceT/GpHLHoe6DQFecvMJIkwww71DF9zLUlt53Y8MjYkr6fGAoODdox3n/WGZb +VaYT28w27KsgyQy6LHTiqQc7Uj9plkVgkG+8x3Wf9aE5sDB35sK99dqVwciVnYcvgZ26KqL3rgK 1eevjKdmkj/Ay5lHaEewQIjQU4ahGG0Su3yYyBAX+N0ttpK5eZoBNDKFkH6WQTcsh1ma7QlYZnpk 4CQL7ygRkagjENV1Po3i0YdQO+DFJ+8XBAuzb8ztAXvK55tO3CiYkg2OUnKpEVsyglb81AP82sai rJVIsozZOCnI2dorNsRSyH3gqdpaLuoJk36jny/HEu5nnzMp7mnHX1tCceZqSuaDh0yQwOIlqSxB FHmzwacjdwrp0A0UwE/oHxaDVXGItwXkKTft3/8a6WzeHJqNhszZSnO9TWvzGJq4enHeLZSh/awI UppYMVDfgjM33x+4WxDI7rWx0cXWVyAyaEPghCZGzShQSoq3Acn/kwQf+MyhdwuPMkKN2f886sbK pp/Iiua2HVmsmAhbgDSuwV2ZnYisXx0szfGwF0XuTLuQO/EZXdTgoq/z7HY+8MYdV37iVfibKjlp 9soN+YGPFzXxedrzwdrSZQLkwLHXyxci1FwpqIdWOfxPlARXUNAvw/IrpKG5/kre9piAvWopoqYW ZdMvo/iHmaskAB6h+WB9eILZ5EWG55NsCpKWqWMIXeWpjMEE0dnyIs4+iR/cNN+sKE6AuHzWvuXx a0onj1HcN/A8o+L03hwDImmIoxtaY3srVHtUPUtgJoXhmjt8K/KHeyXxJVULBlkhPeqsYcGBUEvC Fb1GjNoIsFH3U9XJcR79J5srRFiWXsqIhz7tJ3B63lIre/CdeZlWryNMO7KTQ69XWgKNxU3dHpjj yr/EmHqH6y4U0LQty4DJL7Uhd1aqXwTBIvah3R4Pe4gXVWuBjSAXrM8AcvjpqFT/XIEett32gyvF vCS6N1mu8PtEFyHN77J82gba1OYkxbJb4tuIpfSOJ2UvW6V1JIz2ATGK7yehnuUK+oC3OYkmbf8i vQPj01cQB4BzOqmuocNUwGqaF3Ldh5EkQ2YPJm6Z2meVkBMdqWmj8oZtjp9hHfB/r/Vq2vA7w93k NnkiNUnow/mcJ/1JkY6uVtCh7t+n7oxU96ug8sI8k3r0IxWV7UcelqvQt/rzxqXE50REPbSTfQ7e dhhaNmO7TfW3CM+ykIzY4TOe3VG6CDVFqV2VbEC77gdy/hNa2KRZjtbzOXvCwUai5cD2fJJjID+m MutV7imOVootRnp77I6xXpGu4cDkGMWE8yxXKXAzPoWPCeohEn503s5O+ucDtQSSTTxa4uAn2tx2 /w+gfQCNsqh/fxxMfoGSzn4S4+boNF7e1GgMAQ7N/ZDXiU16WnVRrh5E1B9doTxTQX0vbYLQyT+L Xe8tx5MVTWFBkTD7fMcbNt894w937W/Vh/8OdpTlgkd4ercLwtQaB3MQqGGgr8A/F7MTGMOlbgN+ +UbN0JrBz/kuC9ajjcLU6l/GpWIWmS1yyiXpLKtpbyHw6ykQxnku4gfVsqyXzOmwYIkzFHMoJtaR zDVopQYCLAC6gF95AJpN8M8bwW0Ofi7yN4G0yq3wjtf+WUpkhVHaLK6PY0iPSA/5Y3yKLhCWaGFo HEOPprdJ9BB7eN02rke3mX10Me1WuaKq8nqqDGX+uCz7ycKH9gMEwychDNG50Gq7MgWAZ3U39eWy qz7BUfeopdvZ44EF0T9j+r9g2iTVKyNrJ5zcE6zgvYIsoivvO6sxF7Jelu6gfYpYo2JTpCOX4RBI TOr5uhqWcb8rPZxc7adpOb06XCmdNrddKq5/H7DgwNHbFb8DOf4iWYKKb2AJEqYB8ADBbprh8ZdL pSH1x0684S1JNBkF10qy8VV0SsINamB8lbPCDjTZ/EkgLPAFCMypIxPzzsRKsh6r3zeoHFf7N1PD 7Pck0qOl/fJkIzW30AJQK3ivflZVmzByyOltEi3hnQsqiGV+TYANrLzWH8O3iS7/1zHrEDHn4Noi nZ/r9Yauz3o+ILyj6Kh92WGtWXxYCgC3QxxJ/7fp6BpAVwJpHTsG7GSKEoCYnorLAdKdl2pdiyNX iAcJ3Qy/S1ybwgzr8sENwi+c0p67imifxJ2v6/Gb5OgLuDKnvx/JPp+EOLLUu0EM3fZoVvjtW4jr 28YqYO4sigEt6XC64ys8DF5bCz9Osxaq2F2k2yPuqfHHDajaGLVfgmsrVUsj7LxHKMelvlTs1UgF CQiAXU+jqQEPYOR09zHRA+nRCUrjvxWrSKDsmQ7ibQpfBBjNBggKgcZtTAbSgu0ItS3hTtmcjW1c 5hqY0g6HLT0zTvAM+8aliN4UPDVafC/bzWxydwPrxY/+sku8ozwm4XEwaUIN4xp0UeWZzIioyGdU onOc5TP6qvyjr5wJk5O/k2M/yeI0OUpse1FtIFxXXJADsW4tybpYoearZubGzErYp44nHEUy5bfo MSwgsoLPZ6QxaYica32HOWAr7IRhYTl/Kisojc0+eJfqd3Oi7TIxpqugnOCchzAl3Eu5+ThnIY6Z 4QhiDduXdwt35ppCMMG/qU3U9D76J3aYdiGssqOgt91OcDNsJt7uicLPvJT4B3cgbxTxf21BLDbD 5Lw8zWgzcY4nCkMFeMyrvQK5cWJQi5SYKqZlpb0gAf8mhXd2+XfGk+0YI3BM5KR1bHENrzagebLN oM3K/FxIiYAyGsD4mgOo04mEOA42hlZMPXQtTV6m5DKU7MPdd4k7gNNSzFNoQd1WQapdmCmIvNQh usjXuAl9i2UjHyPPR+I4CCWQHimB+N3rgBR5Hsrg1MKxVowsEGNo8fS4l6l/VHNIyZiCSna3WWBO 1Ef3l2IREivKV9jObiT5ubLYhgWHbMc1bP+q7M/mgQsy7ALHIZhaUZdWQnJfJaHGFsi7EyoI/n28 aeFpZaeQnYJWxOWBZZ35hY1CK+v4quy0RZzD6SxSf+PyJlP4fIoW+kyRkVIirGm/Tq/ym3/PLEzX qDBl9rYlAwFwx5m2/QV5AASp2rJbRbtnpEp1wA7mCgpei/coHtbuNdh7zlS+HN4FDDwgBxytbkwt 0U2PjYsaKoQwg20Jbu7eOlqzhLN10xkMFzGaDLMcC+1xqyhD3+hZYSp6QEvyufEU1m9JVVQ/B4z2 gRNjCgkomq8dQ2tewEvo9byqRBWidi1fr0Hn9rUfwMGRCwKs5MjquzNptZCWBucozXy4RbLEr3y4 eVIjb/G+TnMwjc8Z+6bZWffIn8CEFB/WtSraHjnIQ3FJHzxc7SQUTbnJOvgR1+ew/CQq3DFpf0ST r26dZUokEPypDBzxge+8RLKGvmAdeKCU9ILWk6axt9XJ/tftiT/4xw3aYaTgnx76yTASamOqg68f 5hSx3WHkRy72Z1SHr+cmR4WncMivDoGJw99Hf4JCLm3Lh1ZfyUJAYPhaXBUFoRcbsLJv4ntdgMR1 SOfhkpbAWeUD11Qnhd0QhEREny8leZc1KcRbNbox9V9L6WEc344rew2iF0vmtAX6XXBRE4xiYdVn bp9hAW5VxV7HOTdroWh84SEOIHCiu4hDGY2IzNTTjhALfmSMGVgv1iy8KfMgQDPcq2q5WWNLya51 I0eFDZQqpf/Rce4EZWWPwwwURn/ER6dpvDRKrxtJgsGME+dPlvMdlFc3SJO9E7U8UQJMSUbXRG3T fXcSbx3JOEhMrdVitTJ0pjRfOSx+TPXkHBZbAmh826ywQas9aAcFPG+m+imCbm716yvmS9on2d/c HzdpkBWTwmsMfHwoHMGXMWbjI5j2S0N5coPm7o7Znsywcpn0aLzjQ7khCzWVdfzxKMvUk9kbrjMi Wt1XIbmwdVRReqozLV0qI/1dIo72d9FaAsV2ypGnCDDyAOdaBgtNXgQ5moHsWtDxkU+X7znvaj0H bmndJPeLExYcrW09hmbpH4EqUIi2aUarH1YkVADwDaH3u8Rig/VJEzqq4IiGNS9a2cnVn1egDwU0 VuLnp8VTbeEEO/E97wsNcKURPEuGbeLW62Wf1g795Yj9JcN4baA+dq6yvGdKveIBVlo4LoHCQjVU IfF8Jeg9CBRK0JU8T2nZbWe0qt4ATIF+4v1zfmaPX9SyJpg1/uJ58os2OVRUlDEomUVlQLpuXUrI 2dVprZ1/Hz00mhqADcMT+8pGYU/XXhJdS21mtNOKJNid0gNKtFOKIFiN5z9vBhZcK7y6fnMCf19U aEjN6Ks7Mh+keRTmtAxQ6/i2C75gEfV5sQ2qWrUGEZtSS1QhuWgbqv1EUWhUzQPP2IoPIGgduyl5 fho1eiCmNmwZS0Js0S3/eM/tlMj+8R8Ik4AH0JOoZCUtn8QQ18q4DAs/XBTpb2vzok+xTTkeCPrj 8Zorxxz9hKn2cPeGDWY/sj5cBUEmdxLLv9IOzzAM61IEzC7rsDr02HVDn/7ql09aXuKZgmMDlCym 3nh8EPheI6ehYF0N18dX6qwDPiecess9WsLAXov4SJJSujv5iMRlCQn6O8XavtmUA4vbGgJMxOUd hzgN9e9/P+drvhshZ4tFAnE+FIYU0Br4SZ6G/XyadyO+Z59q1Cvdkqg0bScBHZhJgb8oeWxZsCxE FOVPfhb4UjatW5le+A6QjtvI8inH9qdxKnoNxghwd9nY27NhRbVpmL/ps6OtckhO9dfSlcvghYw+ //T8lt/vLMjf2CyfCxgxECENDa2FrDQh9HX3BZGDnwsw7LOD2YZ0bLJpAhMq1D+F3kpzXegBU/X0 G7cLhjV/YD9+KLCUfLgVGXHMbApw8qOJUXVSSQHthYXDkFS+3/Hgpu4uWaMq62zJssD7XJuKPGgl cxjE333ChXCyVerPz8yQXwWHaG9EzFoI1uH6Z8ToPvBQqVcR3B7FvZe2+N3+nj5yoayRknPX4TbC BMqkbp1+ZGHu4X91VYo8lOWZi6E29GVzmxTpMsZZfuSZS90ZT1TQ+SONbYAUYSrQLt3kVk1w6fES Gc+oQfVgDajh2r+CfEdiLAAP74sVOheF1QjeWmtMriENlYZplQaGJDPe25XbyVPQiPZwiMGQQUck KypQoM+ZpE3IPNjEcE0+6kLxV9XDVbqY3D69QQxGfQJzKgNUXFXl/jXbW159D5J0Y1hPL/0gZdVE A+h42Kug4QNoDIHdCGYUMalYdSH0BZnFrOug3kFBww/1WOnRMB+Y/bS1aFp15Ibbqhw2Q0Lcfycc jEdySJSyfoOJejoWP88DcAYAWYnfv3DQ1AtvTmdcs9b7LgHun8eHpaZR9/7Pdodzbq82EIpe4EYf bG2HH0GDtIuREhoWveuRBibpOJ1ZdKbuO8bSSxlRJp3/qnwqopu0ntgtMi10XPShX4NJ73O5fdVr W9Mwz+8A1fecwaSKnd2hUXB/enBOYkhwBed3siQfXLr6HaE8qshLDL+sI8Bc5wz0aTzPE5MqZNLj 6OUe+Bo6OhjrszRL9YjGaFibOxz7E6Eysp9WLEonkRNtMzkgnu94i1wuKRu1lIKhB+9Ip/4f+uad 5Z/qgIZqUZTqrrhSlPAg885LBqiSfmfp1H+O9CFKo0wWgWv8W1EryEPNY3wJE5f537Ih9uKs0gwG YmrqnxJdVvPQfQ0mmjlxw5HCIEZ3p7dAUgi85w+ybEpnkJx/iwHgjAzOApneZY6sIGx6hOJa2nh+ TMHRl/8cDUN4nqSouy+ykHKWRS2eSeqHP3OHNOc+KoZYHsTh5ztbr5JVLxqU49RumD2LvPrPODJT +LFhutyktRyeiKR5fJKQV+LQebkZ4E8uT1kcFdXWWbNFgIT9X8uMRY6tg3+SQDo/O+gK9WAaZXIC cG3rAq3i1bmdDbisKBdxfOe/ZZJ636LmLSvK/B++MwX2swPF5xPeQU+O2OsCmbw4jdvUmZ427lvB NstJBqUnY5xT8iZ7IgURsVyZuecRcqyXg05m8GUCOjc/+iC3ZT9qfG5TwJV8qXKXYGje/lguyjHk ZJTg/qQ3biOOANTKT6GVZbUHBOmy40uzmarwO6gP3BJ2VZrSSOb2JaGTmQa+dd0fELDDsSCCno/T /u2t7LQRMF8AuPW6VVIvv3IB52MymxouzzIFggzTansDbazBukppfgQGJ+OecP2qTrVcgd0M1uwb RMbxd54RNFIqEANmVMCjFSeIvLxIQOl0p5wY31hzUlqVoCP1cnw3OISsGCXGiBt652cWuRIVHKzz CLL5CfKBbsB3vNgRkZPEQ9lKvVLqPz9BFBVchf2X2BQUwlD02Ygu1+aSbwCIdxRa146IdTAUCQ6A onmnzALNfhh6CpDCGzG0qCcdKebsoJY9/aVciA7B0tZw8C/bTFEP3QQGC1BMhE9oNJodxHSbwkTL Jomh1DnsxmVMIWKTbUlxzIsCGvh7Hx/4xvkEEAfr3NemT18ckmCw+n2NDOY3O1CQfCmli6euK2T2 fhzl1U1zNgSEjyc6JrrLNkSQ+KdQboU0/+v7EbL03mPKs7s02a2IfhFHHFGnccUuF3wfIpWgyVAg nzpR6OpYkYdtu96lT59JN7cYYH9ps14Z7vWYO1DcK+nGenkDVmrPpWxwktfhrz/1re+C0thhJovI DMxzOKxmJGhfLWE5IRU+rWVGjMiT7S4ybX3HQEG50OJqxQ05i2g7rGutqZBg1mWRFSNIyFxw7iq7 DMh6adZVOsdjTYacurQJZnVFwdqLOWXZvfNUcWznNiVsiDVpeOHfqYO6miHuKOfAhR9dvT5/gqDB beZfp/CnCIyFirXRd3ICOiMZKDA/mQvY5jnOkla7dulIRlHoeJ8eZpaaAXH8Q6SVUaoS62I4F35m TQIX8C1Mec69mNVsQen7lXUmDM5hlUDbRY7vSJyxwaftTywnryc8MlilnjFes7TH6Owp/LrUo5pH 7xrz13OCafv6KZJNzSyLmtnNI+yPndj0dujwwMyd/GaY9BQ0bAl9JZ7Wbp08gjKWr0YrGZlAEe1w kEQCOItBNvdprI61LJzGLHFNpRHAeiI32kAmc/BkMLotAYDc6Dm9ucEc4nmuiv/kBzSp4mvoKA5b nX1UoNyxCZ6mOFf0R8ZrAmEIVPpeg0XkBaNuAz3N4AWIku+I8O8bhkZjLSFFKl1I3J/DHX2La/8y Sm0PUDMAwPaD1kTsbi7VNvj9jFeBQQ2lfdngN4XlwAgrX2ZUwuTDLHRszfJgfzRGlb3G6MVaMUwA DBnh92ROjeAvhRY3VAxH0HzNuhrO0ObRqtX0ybf71NtGHQRNsiwevUAILgqWKRTyJdnlDSZp3Tzg 753spWAQr2RW1N3fL7+KST/rOiEdYZHzo5q91+16ok53CyzXfMQYiswrB7aTbId1KFkgXKWg4eWa flv/TF7Z1ufqmBwd+AOAebYaL2II+EOE0Fs7OgnNeUC4p4NJ9BOuIMHKZWS10+Ek7b5r1Bw9gAqx qbFNRpCIeElZ58hbzI2j2KD6gORYyJf24G6l+itxeBJz6TTz0SzRo2n7Ni4rWv3xeZgqJsNZrPHp t2MUhVIvESrkmX4gJVDzrPziVP9k1BY8kcWtfhsMyCIx6nZogUdRlTo7GAkCf7YsGCIK/M3kNgHf /4qbkpldJBG5Ma6kME46EUKk7yPZp3e/Me5/6Z3TqLjWZfylIkIUOVgymEZ2yM+Dujw379+bHerG YfAiyUQRftEBZTfqBwJwxrfMW2gd+QBqANhXy+upLTbEkYa2WputkjnWU1HZy+7HOCu94ntnGksL SDODIFNtWB82tlp1oWwDa9xrndmd2kMmXOnLClOzwKAqeYSeALUvR9K0xfbIbM7K7USqhA/sg06t iv8koT6mgxGNcQl8kWh7IqtSQ/Y9lipwQBDWyoGQi3aGaVSPzzTckaPUHLPVLuK+NLUkUNClqIft otBSbexKeHJ9jXFC778A+rJDRfamLrlsakSZ9Q7CgNVl8HDzYgrl50bGfc3qarTRFnxV9WF1H/Qz QgdsNyioH0fml6o2RaYA+uHg1wTRzTqMVq4VZbucs9QniPu+tFRDghFAsXjNwf7aAjRe/raDt+sj NIABeV4ndG0fxenBSrP4r/mmIlsihxYZfz2mmZq3vmPorS0z5CJ1hh65v1PQQMiVSGo2bTgCkWYf c+CxJ/6z4HIaJPs12hZBHKbYVWFFQTDDKmhBt1+2vJSWndxmRuxD9zLxKhOvMuSX0qc2NRlHaqha lOzFcVNevG0HVvgxw2bddbC79itOBf9bXDyjDWqwz+87COGAeASvxIjp3z1JqX4WrYCs4RlkR1tb Kij1o53a4D2t5s83QJNmymUx3ZzmIqUcXkd6jYehvtrLJc3cs4+yRdjq9rLCrKxeHQXADKEo8/eG xxByzTOSWzEvWPuChdzpnwacZR1aOiAPPE5zIIf02BEvZWsr2YGvFzmqW2I+T1s+MfL4SsSFGNVo 1GOBMWLwi1UIRmFLjV4rLzkA1jjmoOAafXSMTdV8qby/3E29AUMBAVICo449fPf39UjHNJwqDWrz tR075NIQUE+eBqKLAMadqyh++GRtdZiMZiFTF58QECKsoQSUHDpAAwEl4M/9+GiA0459YvJ/n0cW GuR9ylniCunyuUL/A6SJ/SXezxXDWBS4QOCCJvXU1Uv3dfpvt4JThlXVZKvx0PhO0S4apocL16Yp dY3/XTJRZhKM29oCWFiY4x4FBrd6Vv1+mil5TxoektVAdm+APoOQbexOvQ5Qlz31ujhE9oDWqZ6A lO7nTjtl8TtaWNKwT55xzLtnEFv9xecOc9honFfQUL89CQKLr99oCNqH5M/oodyT3PyzbE6J740R 9JOzWCFk0txR1lVKaYsM+0w79SAjzXLqgx76lEy989N0zody1NaYvAAjQccJCnZUTtaghRD3/pVO 0cNgTTFNdlsgMSsMllnMmn3+2NcVx7Zhk0v3Ck5NRTfNe2IuZFXWlB4xXDlYWZyF5xw3oEerxzLi j6vhNo2L8oeUfq6CjqHVKRKcnS0qFhFmMRiZgYC0yRugEMxnDQXF/CyiLSAn2SD19Csc1VHWk9Lu kPHq3tBv1Debuf524LhImKMKxSOVmnTKJ5ogTkCcOusfG0g9peV6eBBrUxRIUFe6GvzcxocgJeen FHjjwPT2NP4bU/e18YjtEN/o2lDkQg90rBGovEIH3aEBEtJUS6FW8Dvzf+15td/kckI1YTWabNjN ecxaNc8NJxnDkdsxsxG19ARI3X6nIkkpYWlvRaBMUuVwWs6//VUBkkPmcfUcqaG1VUJ3v+sOvFam KDlxBcrN4uiEj8cxuBzcwuqRzfzswUqntzONaTxIghB9LqdVpXG8t6QtTCjBIgC8eOKoD8TDjuG+ vtXPAIXOdLYlO+s9pM0VQ6acxsWl3F2ZY5vtYtf/178F0H4lgKpyyLxn319IyerExU82ZSlgQbjc uJ2uaR4517uaUtLBnesnXR7c6+R+GwelvKLRgBVO9Zlrm/A8L9GhsEbB/aRvHTd8a+gbm2VI+bnq wdo6ptydAMcAuQ5YPsuUBO4OXRcRakgqrwke1K9Wr9zXyfQ+mySGJc3pjfQLE3HMtxsMPHE9qhuL RJEuFaQ+jqQMkkrva9zmExrzdq3TVUluhvClKcdwcQS8TnPuwu/FJ1S9Db3CjqD6dDEJFl6H7vW1 Y+54zLcUEpVv1VXgefEd5QceZvOxVm0LJ2JobkuOqP3o4FukDoDezCxCYGhUhbEMFJ2r3ph4KL50 /cL4q0jiLuPDDk3p2U3kxjnjPlHurHY1T1hjjrtnZptrjsQsndI+jWgix1zxR4wZz4NJ17PWCEJC 7B420x35rnJ2ottvTjeDjhT5gG9E6aE8z90sRFGzSYh4tK+zOx56+OAws1YO3UD9NGHISVixs4B8 FPF3141BiLV8MREOy9UcRiEFeNeN6hK0FLfU72WdfJ7nXRa0ah8ywJbsK9Z6x6B9I96uj1n0WNkc p+xKfmzVa5PvtEt6gK5lDrNHCYNIxfTDjvs4lDNt2dgZMRZ0fL4vthd2MWx2E71Ng8BohOfItWiR B3bejaCIvcLzs1oALsuu6GVzDjg1wQ+82mUrNw6f7pCUSDpcNzbv/e2M0rJErZKaVRr71EuOx7jX YIE7tL0ZhNEq+7Wu1ZYd0bJzSK6WqQPouh88+uf6pEVvEOI2xi5qeBR9sO39nbPKdniU+zqa/Wnq M/zDJW9Bo1VAYREtql5ZZ6K6MEzDXXjJwmJBqJLOEgxSiUMH10QogUsOwr9ndBkDbFS8046fxtsS 860SUSFLZd1gCieL+IiMYQvpU7W4nP17knwpFEV8Rm7NHf3sFLLeRhce40eDWgkwaviD+AFMdi5q 7717GM+NDeF9uHXooBo2s8ZYdwOP8g6JMnPfe8PcIcO7GjnW8/g5bZekfLbLSyvKS6oN0gOx+a3I ECYQWveCLwYKFx9qF/my0l4uDuUV1jdBt5rnqv1pPQjsrNH5FrubbuYObJqx3MHcNadYHeV8NDHe 8Wfxdgh8R4VMFmp8+mdYPzIpFvB5jYbq+ysKx0XleuHKNRyiPJkSDdKMCzai1J0m1+m5EIBvheLr WenYyIt7eb+FuF4nLFD2CEwEdgFeuHG5Hb/5vnZFo/cNUx0A+ZVZCLuAih1ET98GdU+XINNb4DuD JGzY4fec0no2QDCdv9pzIvtCeWNEhtqYZ7nTOTkn/MG2KSvHO8jhsvhNgkHSH0Lk5uzcQoIeQuQm 4udHeSrB9b6e7KCoEhrp/tF/QfVguvGWH08/Lom3DzpaNKF9YB5LHCZ7o3JF6eOGLYrjqYTbTLpm CkpLYRjIoujW8knTWEbO+rGOTIaN7MaMxD3IpIvjbRxFGaydWycbq/eqAN7Jr1rDpoYqx6zm87fs M4vyvZeGKyu8VrJ75u4EfxnISomeOk/wPRdQh04Dpy6/5TapFuXCYq3FfWoWApgoGgIzBZXNyx9Q Gwh/W4X2K/MU9Q5eK0gqu9Co++5w1V971l9mkfQIILXFTH4Gqd2z04L/eiIFI86GRTFLZXA3bj7x fj8VC/kbMTmVKcQLNuV0DPoZZ2ET4mczV7vNWeV7LChaBybQT9w7tLjBSiio/H0AJ65U+182k0uS kw7mwYnjEGc3GRDKS3hgs393mMEiGBY3jxHaZWPySo61zk428iScw0oYU9/YlvfVjjjKL9f1zQ8W QW6NW9IxGNAvNRuGAzlxsgQ114pc4Jyz7RPTEv3qISkANWslNxmXix9brW7pK9zfzpcLnCmAxaxq wWGcXOC38/tmSPPMHElgRUFE4zN8VXrFktzKBcxMlCxMWkMHZckImn6a1xA1iLseJC5BbrKm26NY mIhi3LeG1EqTkrTfIQl1QFXhg02/8kGONzq14YDViPpWqpTRBor85AyL22zSGaa24tP4wjBOMl9x HciifXii2qk0E3YYnD4wT3jEFdL8bT/WezxT4UTyjYN84u9bIz7SjenvIW8HdinaTcOxxb/FQvbz 5xZzf8D9VKQXMP2o9Q46IHVsSrwDUYQyOaowNCVJPhVIak6aZK/RbZz/fJdzfQKfySAoq7F4yAbC qjOJY0eJ7G7z0MsmTHpGmIE5W8twwqlXcrgmX81xagYNcVufkj4CpNSXYIQOmooVnymuyMA5xHxG dr7io5A6oAoaKnmynvzqCxsLGm0Y/zjsUXuG2GuadDkS7jLXxPmF4UR5En9BOVyBpgiWwmgFxShJ Pm7glZbx63mp7qZsUCB3IyuN3FzHrWXMv4DjsnX9bn0SwKoa5xyGQyMoY1fmOvhyZ6SsucuKeGeB LJIxPmynWV+18mygFdFR76pdeDBdhC2KAo2xuxbua9kNiBoxYnqQIWDxX3xKjHPVpTsP7G3SqVsE eqyUViUUDmIzz6RqA8NXDVs/9k/42+hWWXNK3EMcePG42Rak3b4yfmXUpMRkugaz8goP2CUUsICE SgbE7DGI9i4QGXMouqawKXggYoClTRDy7BpI9ojouIOtva3qe76DOuGftqErcsTjH06HzecTHFPv 4kQeV9KQ2ValOaqboX668wdP3AhxWBxYAvJfEPL+VyboMIPcUNCp14wMwY02ENE3HrOgXSZvvMEl O+/ow5tYu+rtIRBqlvfOJkzuU4Lvl1GoFzrdI7NzOjavEn/e+pq8mijm+UHzkIpGbFJTWkAAbBkV tyo6trZBBH8YyOAJImQ587ZLQWdfXRSEKWbAa2OVzCSAS4DgI58wKiRhehK5Rx//q6NNPjMdCsHU pRVk27IVlp1OloMxxCrgpSzj8UgIexk/uFa5446SC1PL1tg9D7yclv9h32MCvHgGEgjmogm5n3MC Q/ViwAAbbKcSKVbH/tfi1qFJ0vmXZ1Xif5bsE3O02meaKaDZgK35CKjxZNyDkV538YrwqcpAzP8O OO0OouV4J2HoI/8vytXtYYIDU8yrZErTJXt/iFgzETs2VMpIciXRjoYyxMx0WZkQFUNUGdR6nrnt C4GqC+eWMShumRiBKGffxPxO27ge9VA07EoSgZJoutH1YoCg0xkqYQ9xbfltqbulH2orycZFbriU 4PI+rjCw2A3kkkW6W3SlTiuTRhK+ISF+HX65IC9rp0a2+trB9quJeAl8ugpQAON3TAvkBrgmpCmQ dSRUIEwjqW8RSmDPhhmBHn21jCqKvtntjpA5QL/S0+psQoA5+b3Sgt8IFIXPE1BWwu3IbrUPRdcy K+Uz0htJQzAr3vSvFy8teRbisj168ZcdtwCHpVtbUF3W7jacSrWPk0tRAWBw4mkY4wpzoV+RUNGR 4z+K7B++3f1wuR8vzF6QC61xC4s8A6uyXuJevTiNawkv6E6Z3hCrMXgRQcdRUpJkZjhjRO11hJib O/b0agtXPW7t5LGtOi34790scT2SHrmCfQjg+Cp7tVi88whshXHQXrphAklzVSpXWPk/5u3m5qko PagvZFl8iKqF88iHnIG3YXgNx8gu012FoltCreK5eJwdlOpU+F9Eb6xutM3pLkMPiPMmZCTCpoIk zqHrCdHsZv6Pn2Fv2lUlSTplSY1Kua7ov2u78uEadnGwMOvTiPjObq9M8YuLs9tiAR3SzdOMMlHY xjtDvIYB4i0h7VAz12rMXfUOvVp23HqSPGioU9if+Snicl9j4HSA4UYYim8bne1z5scV29vaVg0f Ax4E0zWjDTuBdMQjbCta/4FYiTg6E4QWXe/Of+sbWsvT6UN6yqLacfeYEs9YFcMyV0vXHgTEtrWT 1GDh0DQv9gaS/iVjvJXKtGs8vUnszBYe8vv8NuDWkwwy5OJWn5/LPTkNA7Szi8HV3hz95FFBjBfT 0VNNZLhRUebaI2jEivGncYigwSDC2a2sb0P0r2QqPA8WgqGRupbSJ3FEgvZM3l0O4e6Y1Y3Y3zSC yec2TyQt+g2ZG8Rql4IiLOjvU6ySYPegU8f7SlJ6F/m2rNaJZv6xZREnG4MLptqftzbjxr/+Olpn odiBXH7PF4/l+EMTaaQBRxRRg6pQWHiEe3P2+vmxkAcElvZePmXKfDYkSaRYSUp9KxXPG5gkny/3 y6wP+gMVpaYMkccHlAsCaRcWUMsmCMkHlwA6Vho/PbAFngHP/IjboLHkhAVdsSrOOxBLWtsXE6gV dyHhDExO1vq0qaf5A1Ns/zXNQhPpTPXu09Yvq0oAAUKlxi8W8kH4btbL3SwRdust5hgiWd3BSqzF h3OdeMzoAGnUsu/7eJIBCySsHqGG58Yc/Mv7g2F37rWaArJUbotDaPYQD++FqYL8NXftWYlCpM6z MKB8NTE9CZqLFN+WZzutkRYmkzT2j9qQStSKXs3iX8kRkDGrMGbZp9o2rPKcbdvg52kx63BkpgAX srIp+a2OcA+pvsnbnfw0MwDhQ8CkjIuPsNDc5Eewb0UTvJCOtqh+7gb03ori1TYN0wbvI/on5qK9 Vpm8STcVliJjVFA+K8MVVbHvlE20M9r0sCeVSQG6vcncI9wYj+GgtH3QdocfhO2i4xWXQZwdleKp 2I2c89wwsMeyyMOV4x0AfDNjS3h/nGPtJ4JF1d6qyR0obZPGTaECY+0tUQp8uD1jhxB/o39DFOrV +m+IkYJbXgua7QcYl/4f3uVb8QvB7x+V4mq0VBpSfNFp7xIyYjpzxbqhIhmVy/GxFfPm+q+6vts1 uqTlJ/jsgjplSDpEpD2/OH/pPUkWPpgs8WgoACuOf6/bXz/GENrNwSRO5/jCi5ROZ+Ws1B+XhkN/ 9yRUfRz/3/PHfU1jM48V8dT2MeDKgYtyb3dyHc6im3Usxm+lTf5DhJ1u3geccxjYXjNdYjujDHYc pgyDpCQHXrfQHuSZqRqM+fiznwiLJ/yP/UxxUgsF08F0GZI0WFWOJs911g3JttYHELgjsIEXlYuF 7Uu+EAe40Nn4y+iwnExPVRziy6A36W+DautRLbx8gdx9s2lwad/CNarPBGmg1GFgPA7V5YX8DXiM kx13pW45i3f9eJhkKB8l3IvDK3rYdkXvi3lrVWrSEYjhSxgw+nTc+W1odEH6cBhgZO3uJGA/nwEq 0aDt+WjO2OOlJIROhEkptv8F9Vh+Hao5+f0Jrz/PwiAAbuU1vgpSv8YnAUCP5/vJj+zeyTsYPFJS PxngbpOOEF/v1QE7jUojIrUHBtTLL81EHUldsJv01pJlUuZBLhOPdm/BX8ymgjwBkndA64LSjrva pPXAlY+d1+JKBDJqowDPieiMLOTUl+oSQbxR8NA8w2eONofw1UuZ9MgNOlBsL3WkrQA4Tcd6/nmb G+xL3aix8bSIgnqCVZzmfAuraqPg4lF6IfHog1Hf7OjzuHxzXQb1dbKDwFVudZid1vYD5zScxEAe BziEQOo7teZFEa7bcXTiKfQMDca3Ik5wcAKVi5AHZntH8m3vBcck20fOStLy0IIP7vOy/M+MqL8X Iz8gmRJ78igaF+2EH6DSv3uLT0xU0YhgIwSS4EGg/Pc4+T8j9p/F02wjEK//p0qOoAKy0hoDDqPK 1BQusBJDPssI/oONJR+YoTWGZOICU0frK/0Ls6HuhWMAEZ3WwiFgRUM7xSXsn/A8l+WI/nPffYZ+ w8gp/eYqBfU5c10Qcu6OO5mr2Vq+IxwwZNizWqhAsPZTte4buMLe9VWwFRaHJ7gObytTj8SpqpL6 2evCP0ILSPnhNjX0USbTI7QjpHsVzBoQ+MRCXrdNNDLzwo6ErYHR5xZATWAjUqg/5zGohxhASpbS AXTpnu+bwMQqJGJ7JfMXP5680RfNg1mrPp9R0AU54YncLOZxYFQOv+xgL/bIyv0f1TW9AMnMXAdL 0yMfwUHYwBvWRtlo/zhTqVB8sSACXmN4qLOBZNtGfZSkeVi2vKmmYuh/ANkDAmLFua8B5m2i8fTF DS7IlkV84g52cJgIKWEJ6nTMk9MvrRIJhwxk6WCFElXi4CbuFqW0NKZm9fnPkTvM3GszmFJs+VE4 lVWNbMolveyzdjOMH7dSqzkYWi8r6n2X8pv2hzbBtam6rwKjM6/znJnnh6QQblmJj7kwWK0nwOoy eqxEnWc8OLwslgMa+7FJ7OnIHokY1b06Px4687xi4iqmxiGWZwf+Li2p4NYqEJ8tRKQcfe2vhQH3 3cSy8d0emgUiG/1w+vFQP7PTlxH7ZH4eHeNcmb4sldUlb0A+o6lUwJW6W4Ju50pCSD5fXUmpPvhX bG3MQDeD1n+mui4ejTBBUeQoG90rZqgP5n6FX4VmhbJXAf3In2JW+YLFriRjOXSKTOd9q4D7rmGf 6LKk/GOqVug2u8tEJklwxyBiaM2K7cibnSeFstOrth4KAqxRDssplsV7xWx/3P2YQ/EFG9sD5My3 UUdIuSfcJMyHkvPfu2ajC5aJ4zxHfcMuyEIMZm3lfr0ysj4cK053fb5xPtz5Z0iuhvXx4GnSCIpl 9Zy9F+bgRnvBoV+2e5czlby3ETfJGK1gjSMTZDFAGLqCXs8CFpYoCR2THaCdLEkkr2WjpTk1xtcH YuoungJH+uE+Z3iy+TsH8sw7PzQqREa8Bw9avv7f8AkQVS1MTRGQ+Af94KE7w6xvHNv65jeZuPyR 0s9gi3i3z6nImUPyF+51vqFZ4UVrwCIR+zfU0ku4o+G7PMQSxpPcSHAhCprqz7f9qjM8eR0RIuqq z/txBoaGms2w6YTW7BF3wZMtJEfyAH67WXBVjE470yrEnFAXJ3lr/SDpUHrPpPJ8rQfnKjce17U9 kUR9KvjDiHopH4g3f51bD65Tep0l5cN/a6Tmp4X5tbl7oWV+s+YhBeF66yNMs6UmbQGNQdFJI7H+ Du6/rsZs6d3LZ8G3zVkpF+nkDR1aP3aAXLShrGyVhUIenUIFANMlSw/0/lokk/wpJYrBKukQrHgr NSat7Bg72C2Cz0+pphtvdnCDm7df6H1dDOOiuFAzhEJ7mq4m7WjxRkHHaB8aOB/ssghKk1+th65n /j5TjOja2VxelJ+6iO/7RlnlFU9a5dE3sy3dn0D56oDqu780THYOrCUJLXHMx9U59tiTXRNIwYWT 7P4AHGN+PwzfwcN+GJ7Ww9Ld9LTZSlDDwsHBzHNXMyb7zsgnNMjmDOF8RjERStbr1UDLpqod5l5o dLi8/WnM+ZailgKh/6qFT7KV2Vlv/aMq81+I7Icsfm3b2ddKMU3OQQrfc2KSloajLyt//2vobShA P45nUysLE/WQImCsqm5/mGDmG7v1Hj4o6kX8tTG49wOprf1BeoMJD9S20T3A4sInYMPqB6g+YH7+ 7eGOVfxCJlWeKufFpbfW3R563ivIOWra3/0NMY/aN4ZE+vUMTBfGrDCz46OE7GXrV4K45Y3M/dbh EBhwND8Z6h37i/qKnJYPRIhhhPnF4nSz3IESQ28q47AYKVBtSBLEB6ysDyw7GnVbRUY6KUUJAk2B y+PvsA8i7b0WzM7lClN9iSUqpVORjMWPMjWFh+3BD2eDvA9Hn05HzDsC7nsP4EaB0atYDBplUC2y kOHA01u7uPo33cAsitC4nR32Jf/sOJvl+ZgWHf9mcZYEVIdH8TkYn8dHpkpZ9Lph/ZK5CBR5cU9/ 5w5sSvbCGX1hIpbvWixrKL/88OMwnwBL8zvVWfqixDBZ9/FpUlzAJ3ovezNd+kAQTAUEWA+DzhiR 77GDpnI37nulHw4eYrXAGU10Bf6X27uwWaivQZt551SS7X/QA4rr5uvpYVGD0tOwsJlQmgp5McfH VGb2JPw0jSKMWOdqSZnMnCYptUJ4cUAaQSB9RUUzpC7KhnngOPknBnnmSnRkUEDHb/ptO1mkrkqV QRiVn7gAa7pfiyHgMXeZM6T8dP5hlsNgD0bOWE5wuAUJ7rXFjTIpeU3AKty1/rp41NjRqjY0aB4r U0Iaqx20GAg213Wlc7B+gqhi5Q6iQGGfGdaLJNELPhCk0RTI3m0sw/d1b4yjfNCr45K4QT1MrETD THIdrxyNWjTY+G/aQWip/kqMSEr/r3cR9tgTX/l/YRPtyZQAXujXjagW7cTisFcPnXwy7lHc/Mpd T1Tu1oYcgbGGk2Qd9+eYvcK1i4PmQQO5r1qhIYLfUp8u/SvB+y9ZosbNhSrts8Hker81mxpFjfH+ BiRYvHv/HVwX6YyI2aSRCGmfLBA1R4pQB1liJak5nnsY604bEOf6OXlbLbTfFQQZQVSOH/bnRtSx TDzWkRFCy22R4iQg3bfeA5rEpIJOD20+oIit/YIZJ7nSCV5I8oCilt0gm4oVEMpHGsZfeLmjQfYk hyuald5bGHAy+jrKPC+NUAFlA+rFJC02RRVruCaUamcPqmUuKMeQmdGGOALYUnaineCWUeLoJF2A E54OPNTqF47DpTYX6njpf7JeFHfMOy8Khy5JXrF4/Dza4t9JlDGBXQFRBlzE9FQcu3y63BWlJd/R buBXinRFFXb5MNhpgXUn4FhD38Mwt+G+vrFZUhGTIUInvk76HRTYfWjtAT3KI1FqITMlRMjWXh0w 1sVLQlBO0FIWDpITTQMvzBNH/J/FuZ6RtzjHJ0Xl31VfEsO0JMYiaTdeMtD6nVtF5cw9o1s7ZFwL hTEGP0eRT3oiS4ohP745rfz4QNHyjTIfMBMuXq9WYW31RpLEytmUWxrEhDgqsU6JAtur6Y4jAbzl Ez0jgRIIDs8xHok+8rW/LPMfMNyBN8A2CNJ1e6N7DyHZPd5Y9O+Wb8192a5r63GOkotfWRRnrCtJ vgDKUvcrZ4Eif/eJfPyXALBCMkqKpTeWttmQ7fvyn6kANc4G5BtLa0YleJ0fAcuCjE524LyLp46f ng1g6OLySnzM6vXFRbu7lmfqjyima2VXyZhG3CmA5SJN4BU7zy9D6q+EIH4SyGj/dlWZPc5O/IGq 3EYsR0LJ0DDFG8zsBK9i3fYKdsfROGtEXC4I2FwkZ2xvCWS0cId2o6dV8q5yVhQX7GwUmZbcOzmN x2GBNx/lJMD2jPMhUWJazw+It2V1q3dXfYRGYw2Upl8YiHJU2i4JmhzdWnZWvNFzZLq/y7RI9ZZJ cZLY+lCldW0PTFu+lVdWlbdhPWvgMGFutosSX+8w4bQklPM5XQDFF0SQNgScSm5+iYcncpX7UW4p NWM0ZlVvknGfinldcqNQtnVhsnfpwiUCdT4K8Zu1u4xorjwfHMQ0O6wmxrT2HOhPzmaiSC3rd0Fr QdjbeZJt5x85g3bIHNaXRLZ9u1nHA18l3Hi/CjSW0jkLgnxwyiW8SoF2gAaq/nzFBr5AVx+JlwW+ Kl/eW7RWOrbUhjsY4oOLFqyCeovPqXcPiFapX93GtrHtXeQm4Ih/VOidhtjzAtyaAW1PZl5+Iq6K ZoH8l/jceXEInbP8t+tVdFnG3oggq02pxu5sW6VEg3VozrCjhQ7JMamLfiEzg/I1fAvkXkn6Xhhm Z+1hOZUxQuS4fOPKpMEPxx5gpANqNeW4WLfq82rEYOUwaFWvr+pJh01nV20m2Tcl8bYAwTAoLG2i +lWRdZ9ZhxOBM3eHPNXSr8/sbDYMBTifFGEl8GcYaCG60psMFYyet6ou4HApZ68k83uW55K2IFDW yPFqipDiszILLFv0cU6pkHHDMo47+vfJvdOLnRdANiaiqE0DjyVooReKrBWc5G8+OfSUnyWGhJFW T/Z7CS5Pgn0hvQaAAlwh9CkhqphVQmElv4tFtTQadd0UyaCjqkFFL9ZYxca6sIu6iVsHNKWeTQoZ /KsZFfTJQIzUs3jMfSWPxUlR8xzAfh469OC+fVZW0aHakeUH4abb8FND6BP85An8e5uMm1larBo+ PHq8m0XZYJkV1jKnQylvKkPk47SKgmY9kzKNRtPBWmqCCK9VYJXoQlvzC0SWjTr+aTm4ND4EGfbw 7tyKF2cUWhaWFt9EVOfjGbcWRgHhoyFgIlUGosz97peJUHYzf2vW7U7XAr/c0L212W7pa5noRemz PSA9ntacke0XUGYIB7tE524eLh6oLBGhotQ8iIJds8/wXIw+GJ9mzLcn2HlfEwWgZt7aybN001e7 VV23z/MlizWRUBJwO7tsUrRm5Jvth2P3bvtC8ZZhQrRzcpgUJMO+6HlbhGPrZnXaShH0gsYVFCxP u3UZytvDpxjvR/D+WAL6Aw0VBQOkz+LUnpDeKZW9O7dciLVHExALXJMIlV0cIGdjOfSS2yGzeerv UgCMlxzG2n7FmyvRTyNmjKa66LXI0cyuEGLDl5F3rrT40Jjl6CT6ZB6lJ307dQVBRXwNfjnvrp3c lqhGG2GSSvi1rHdWna+eTL3ikFgcWsem5npMleaZT6ea8wL1nVgxF7IdL6l5yX740Z/XlfjB7PEE Y0EMtbTcaKhcplSbHV5G9HdGDHeIbdN4EAWLTXiH5D9Yb8ggm0f2K2Ev81AQaR3jKD3VO2e7n8DB dBWD74De6b7l0hD9v59VBcEmWw5BXMlDsPbr8uyDH6WI0KzthOR6p7HNMqOQdmP/EDYJXJtu2nf8 Fr/ZiHzaUMzj1/AoIlTIJVOYxfLzu0R1kBiyFJ+oJwhuseU3RQ7kpJeV3DW3i/5U3QiJD4d5S/aS /r7Lp1Tu0NUoiZy1OL33pM0YCmZnHpxOsRWKo3HcCN3QitA1855I0Bx0J/4U7q4SAY9On1qZrAEl 0kTZJ7zEdpaB8EQcpHmTseSrKlwVThjYJfw7/dHB9FSCcfnBgsvhIL5ZeUJllYrKuZbB1B2mOOdm ICvpG9j2dgBCQQYQYJ1fEOKUhrCTiqYZRXrEI5uxp2lyrFi4OG/hgSRgvgfN454vLI+X0PZAgKu1 Yc+jn3vz6tN6l6vA9J6oPErA5s9wAwhOVcw0BuF8/Vi68GoGGhPKU0VRn3HmWjdwF0ctdaI8Wrn7 qMtGAbLyd/kNHuqyMKynlh6ihlDK3C3GWtkJ94EXbadG8PMhPm58KJlusuY0zTNTM17na+xEJLGE UddbG58rBRowEkai9093EMjG22t/qm8qilnibbkRVTyDt3uh/FHei/324HDhjgC4P15F3qz8FvVe Nyd3aAwKi7CBsVEZGe2dAyeCYzqqwBEWqEdU2J2CmJZ3kcdT+XWZ7P/nsTqYuK4dBH/hOG45OB5I 0aEIChPQ7PlJJhEBSBQttfwqk9oz/yzYe+voTaQho0xNYcF4PY/6d8LzTkM+YqhHwN1GC7MzqCam HSrBYGakDDGiGHR4e57dZl/6w29JYBe5EzcRxQT/boxOexXMr+AxJWpUHReIF2Me/D6LYd4pqYZW AkRFhRrq0TeAgoVw5CwzC9jjun6PX/sGjGPMwwVV5t1RoD/dWNhbvnxh01syHtwdEnZ9ze4aeW03 dEfdLhVKktMKX3EMP96ew2SNQB8xLeFLKV3kEhbGSltxEASkZD9qez/U6mAK6ma39gAnLchTrTxi SwgTUmyMoZbqsXy67T7YXaI8ZbOqbmi4YQKTWrDoHv0DoWPlyzo3suQILfZU3lLNj10iW9E8hsTw Y+LNVgWuKMFKXSXz85w1TdjMUb6MVLVL2j7d+ILXzuJdOGQBzP2RbuLI4lUIy9kT4UG/oKKoY9Bx 6qbtw6hUk1aQeFdCBxs2+Qx9H6xrxtnpb4zJzES0s6PTpwM2UDQLIesSZBHnWhQrHd5yo1x6AbMd 3pKYdpDDt/Mt36VDfpn+XFHpHX5iV/+inXUrlVY+hWnhDqE03rFID+xJAu+ypTOzGieO6wEnDxxK CI5dAnL0I9IiKY/rfB+9OVZU6Z7NHjKNjv0M20dOSTy9pFOj2VS0rkdqOXIsJkag7dUwK3jo7qUu 9UbWdvpgkwUS1E8/Rlh3Ha8/uNUjl7QE+2rbYccTGR6Stt2H59Hx0p3t4fp18+neMYqLX8olqroE ToMz64D1aUFoCzHgaQoqVMOYVLBy28Lt/8V8shswDCWhTHaChFuUCmtX+QD/ysfbrMNp9LVj9Rat rRMRY+klymeBRSi+MYcUizYZukB1IS/OCk5x510DGQ1haAqDUvkUrRXnis8s5hkOGKrM9bRlQRR6 iT+k94u/zqIOH+s7TEAAYPtfgx3b2Svym8P5u5pSpNBEeh2NqIS+vueapvqLPBSH5qj5ujIeCE6m sibb1cLUB8NpNPQhgorCZNIzdkcTCrBo9dD544Yy8R2QsxY5q8lrr/PqRcsd6iESf/J06A2WoueF V0aYkSjleE2CG59jQRHsprsL4O+4rjjHwi+fgrHJBw9aK3IyR6kyjP6WzV600GqZREKa6BIV0Ne3 WHTEMy4XRiTvQLgWTAe89Cv94OwWkSBlAALd9t09Qh3u+fkUNzpqCzjSAVcseyXwmVeqadmQVK84 ltYq1xCH2wYJwAiZwwRe/HmVGs/Gn+aUD9gNKWBZNRbZcmAFgLPvVffSRswFnzdNG+a2gTyKB4Zz c7vx9coP92dvxpkpUK1HQhxKJSSJpn/vb6ZYHs894h3yfRMBv7PTAQjhQRuhbKViqj2EsB1rf1rr 8gFod0JSpXsYQdXVNQYMVcM39tnBQaYsZ+kjUCa5LWVBHjJv58FER+gio8v5Xs1ExpPuQPPeMxCM kYFR3JdopP1OeiqCmoPQl4BX2SOhbeB/pUvhIyxbaFCZ7SoqaLBn4BYkIc9+2YRtO0PF5RGM0Nxm z7gwBbhyYVI+EnBosXviTm+A6aleT/K6b40/npCXsTngr+DO2G1sqxtWCQmrbFbMIm5GsLb/hdM5 HhDE5cRL1CSDLqgJpzQ35pOK3wC4V9sre2DOxuppEbgVl+BiJzsVQxwxVfWBFbzPpjHzHFYipAIU NLsIKiMYja0PlG67Xw5DH8YMW8ZvdduI6JNRb57nnCQMaNjfKMyyUhSOiYt7onMLO0sDzOpUN7On qCDtmMrrW0+SsbIGkIXJdsavduDOWdbTtMj2/Lj2T9eLAvLj3ynwqj17tbhtL2WaalbaQhGrKtUx EKOifP8oWfxBCNJiLi44yEnLL6ZeBvfgH+1e2Fc1u6qDFcSXEK0tBkMqyGjPiTWI4a7to1/pWdaH cT0BQ8y0OIUL1gzWcB6j6Fdf+5WxoaGIxKyZQQhT1Ya9Y/innQlWkLdrPhSYbFYuUX3a+pAfYeWF HyN9ZGyYFs8sbQ16IRYgsx+oINxpecVEcIoZHx4i5RYqJx6ZkbF2jtIbrrzs5O6qi3KgSE3cKpzJ AiAI/yuSHJs7v/NwBmk1Zb331vGFvsh3HxBoc6PTxZ2e+0I7hkpZNChknwkheTQ7X50TjQr8o0Zw xIy3mNJr/O+ReH2jQph5fxTL5UAf70XwmXdzxdEeLUIZs9Vvj3cpNBk5J641bxoEdRKBgJwmEQ+O n5KBIJE3+pKSRkLZu039hFprb0Hqlv9bwLC4o9Hw+9OnZl4uQO6RR5j8fxxpcJ5XyQ8jJHqI+4Hv 4GrHLx08YbgxlucbYCQTkJfh3KV0XC83WwsbAOUayR66p4zNHqKmAPrwS9OIumZ66D1JWKuBwK2B 0nMqs3mX9mkidZVGCaFUbkYBtu3xtx7KBsEr2gulKpDuPclKtTW5Hio/0RkLsPOZFpnTsQK0C9hp j/AtR3+Uvc5XeZZBADXjbN8DOYaqV3InhOl/OYskJtHeOT8iN872C/UpyDn9wCV96FyAnXVNpp0u EF2fKm8Jha31oCCKIR/FfoDLLAbWUEU7CBPP514Y0JtZXzs+QYTV7si4TBvjfYWMw+OxrAHzChoR 0ovasFoIoBhFtmXYhkr6HS6p4OUlmQI5W8bBjZH7QY+hLhS+OsVvq6/Z/cgjOyMOunjpZqzGjadk QS4qEI8v4UR9x9gT9jGE8xDJa94PgW4RJiszkrTnObYHXL5VMPZZ2LLM0rq78MgF49XeStWq9Bcx zGH6IrOXVkoz1AaU6iljTBPFGRamxlWzSagaguGj+QKZVtVcIKFnY7LeAB1aGfdSqsORBW4yFO7y Czhh8PgH6obRTH3m1VGsMZFdneowsviWFWjIbz6H4+yT2O4oeL+PK00gUqYHJbJJD7DAxdimIWrX yDfJQtbCbPCFY+F3rz6crcMel/o2kk9anDiBmAGv7HFjA13UXKrOgNSPZEWOkSAp9hVw0iwdQGD6 0Gxzc+1T6L4UVSyUmvMcrpRnd5AZnzjKcjVP+1aFG/STDBSDZW49PUzfI15guhYYHFrPpSez9/hK l7xdXe+8Y5+Lm0nCUbut8TtHaSYgrLMkkmlYU/RXWoQ8Iu+wJVctc7uIEoOVuPFS7M3RdUGgVzi6 Hwt1JnnEC0qrhNOCSzVYIncJR/H2Fb6qHtw6eSRTvaHgFLQcI3pfhShw6Tu3v4tSOoqt+ckhJoO1 7G/62HhskE8SjZ8NwJ3tZ0CegQ7a86Vm+kjTrtZT2qf8QCjhwLFQbrDyY5zlVSoJw06AVAbEWpRg BhSPoR2mbBiKGo8QaPvylxhMTCGfQ2uO/pe3rh1RuhYAjol9W2wkQuDi+T0zAi/7PobeK68DGGTc zXzL2C1c+oCPNFQbVInrCJRDDasOicUdUTj35GKT33JfsiUqJWQPFa/Eu1aO6jYZZyEIMmKD97az 66d/aV/18ii+WOSF/orymO8pn8tnWVdA2yPkTLJUVdsY00VYBYl7/inqCYUgJLlxmDitMwAh565w U/78zlWRLANn7x4GdZFnF0+Y0UcSFn6cQofUJ5rGNPl8FDTzrvhaAA8fBLa9acOZZcaC+GnD09kw BYuIiSoaD/8QF1fvRYGVoXZscDUUPHj+o8BNtCziuTmPYLA+EYc90RrHkw1ZKkYcLC5OHduVDNYI Cu6FlUCsGrnD7bgwbZ3ZlQPsN8AxyU6wd04JGNSHdb2/PG8mbqXgjs41mZGUAEQQCOzD2GNxgA/Y P6jHercczqXCNiSl4m2QoSu9i0Hza5bsBXiYObl9nnrTDVQR8bvk2CIh1ZW05sZUWBnOVWXIhmNF fvVbiM4/DIU7O9I2dum3M00h/TwaHilAgA381xXYvsqj44fJhSWXDziTVWN0ocVhQor+AlSQfHEv 6UXNLNhsYRQrO3ZOKL8XwKiKLzWsydYxXtplVtuOw/DbkDexy616dheddhA+fQ9CBHeIDiqBZSio Zo2DCYvCkkBNyZz/BqGlvZ5EfpJDYEI+BSPoDMkTvfw8urnP74Z6E6/NaEvaefzbzuepBskfA4ke Pae46jVmqoENRG/Dc11Htcsn0meUgGfYIMZDwRPAXOx5UixA1lH9opBsTzC894WkfLTewV/9/tXR X9rohv1CR9Q5PFg6u7rHQORSXEHrDtja2gUwlf4mKI5ZsawpACWylFrwiSDK1oTLfYepZk1/znG0 vJMh4Pzlx+akpaclvfRX6u5dq5c6kbm0YxHTOuKEVn6yVGOlypPzG9yqeXZsz6v5DTGVIx8Wk6c0 VxVdfPZ5GeUAGtKTrNqBCa82IUlwYF+oWrPXo7xHubmWL5HEABb51/Lunt9zZpGDsRyQFbMs5y1r fcCf+lMOEJlUDEpkvpctuxds1BmTLAm44I+cC0tRzjaJzkuLy5Nnp8OHBILMryrgDKQP0L7bAcQs ZZOog833zZo91fPKBGg5o7HLZi4XR7Qm1LDoDONalQe6fkm/9plXWavtT301wBm95bJRmDn0TLbK MIKspjpk1hLaXx/3OBcb/MDP2b7cLdXeuC5VIZ3usI+PKd6Gz3qPk6NBW3cbZ6df/yQR15xkIcwr E5Ssvgk9xOMhFEPDFep18zdreQbfydPQzckFtetUKCh5qB40tak6xaNB6lAM2H+l5/uzavWtZ9a+ xqyAKcM8F3ocMJzpp8mivUxaWHt0pdMPlMTNMG2KwXQrgls44QrRBFIPVU5mH7iBSPCFsBGuZg/N OxxlfUrdFMs9rXSYsEZ8yBSJlhXhFWuZ/1h+nPSorbtglDxRdtLwC9KnDO3mhw/3fiOYV6OadbP4 9IYUnzXBBR4WL/gkT9EuY+TPGIvhKD5AgJ7oTSPPCxCyWFdA+YFoqXCrypWfQHgfwbCu9K4jAHVw TlMVKs656m8rdVBuz7xMP0fREKqXpKdqo3EpO05DGMPrUWDPbrnHz1Tbo3XVZKO1kBYtShOejh0M Ms8u1saslLhSPjHXTJs42eCXlx+sEyEHsBGdwVYOyplg9oaJScVnJEPcB3Fw909jleGH7+C2vs2W pfEhabtnQlEk5PoG6KQ4N05xC2UyX0wgEZaRdX5AoDZpNLAeQjGtvZuqDzDt7zwi/LjaAUsh3oC8 FXqp/+xkold/oTTAARob2gbjC+VFQ5P6CpX7PlC5e7aQqNNOBR+WrTLmpBVx6vKrZT33PrTlFPKc dUQk4dylbrOVw3+96eZJem96T76wvys6ThoHxgpmzM3xRgywFPXj4ioBwRW8SiFRD0fCTTRs2pO+ LAz6EKICTJ1Lb54IzLgvtodqSqhTER6vVBrYq1OD7zn+xqtn3W0k193fEeXRBlfzvyvW9CsuFwpE zNAUFPx12UAEzZt8GqQLlulP8+7mHyBvUPCC5kvWEj+mzeADvFcGxZUIiMi3HF72Hoe1pLLsdpH0 kwiTXzkcsJj/YK6+wWD8NGwRWA9oUxz2629v3uA8UUsA72IT53rbTcSHzUL4YTHMqUpY65aXCwXa 3lKkSrYI9tmykHAlULDwLw4dNz6Mi6/soLlN/trKMgE2d8dHSz+/4zq8LHPjhtZPIvfeTfhXXVqA FkNhmi20uddWlWq7TP6qPZHcZcqffOXsFgzr5135ayOs9iaATAOJa6kWtzB7O23YP9BuGbbikMUG zK0qQQH4R/8BN3Y+oTn3umzoVJ7fN5kzt3P5KnA2DgG8w2OiJweUkDA62GA4Q+RB1CBojeLozITH nscZHH1u0mLxrmM1KdaBuZAT29ijDlkV5UujXpsr5oyD3TphZNjLIjqT0rOyB1X0z431PgAydJCI GEK9IyeG5pv+Kt2va91LhBDZvdDq+RljecYUOMjKlht/FzxWodw+Y4WA0cbj0APVGRjnos4OUxLZ mKqihRPQZX3p7jPmvohBy/WKd5b5YBs4oggRZ42hFpc/m9mx/xUDKC3nUvv3h2h1uJTqu8TMwOIu YuyBCvmkZIYjM3aURcwDRSL9n+1WXAScL/AwtQemFC4f7GsfzDUjTbcEP0NMBkCgmEP2H0FfGgJa 3Fcs7jxTQT+pBieyiy1hRPBbGT8PsjL9hzPMUvRnQp3CuQBSFeUwBk6LX9kSv2KlkDQBaX/39/5/ g/0cZJ0vXkcpxfQhHv5kiJWyEyXus3ndpgvj0Mk6WX5dC4TvBNOuO11iABI/d2ADtmTCiziLZZei 96NP8BZZqRHpwZaGo+UgR5vNHo50rg/aug9xf+1g8Y82bCMcG4JT4ojyx7LtH3ORWa4LVKv3BjkT LXT5YJzGFzO+GSURUxKqSM4uPU8xAmIak0NCv9HxIn3SYpgVD2RIUbzD4iBXcqVpPDBFG12b1qVw AlIe7HHf0Zyj99W0jjrOnITmwf1fplHOej1G/pMHhEol/yNbOvPdwdDqzbcEAvYlpesptvzpLgIE GKNHWJyIw4o1WIcI6PZEIPmgYpY4b4mzSYi4LsD2uw+u+cMMSd9IH7+aoXt0RsX6S9YQ7EeNnHv9 eJA6k/PUiEeJtmk1j2Oq0Rq5lSUnRyplypWAGHNXhh5FrtIqHOKBZROKK3heNzudQWgtkesQR+QO lrrj+/8nfti0g4ZSoO0lUgCTUkM3MLqO+iPS8vYTM9LUbxt3PSUAKDMbuH+ZQh0/rfJ1V8iy6vZE dbNkgQmFmVuXJMqlyiq3NdOweb9h9qRhA/FDGddLvKXRZ3qT1Mj2abS0yzY6wioy+83dbG+VQWah 6GHEVtZqOSDrVF/+kfiIvrzLtrFD9dMuX3WBXLsLpCaYeKAZVifHcsFFpauCuLL2c8LfybqBRZu1 Zq6I0fQ0H9AY7tLUKOU3ZrmI1BrZUc3wEyM3njAbrW//LsLez/p08cb9yYpBskWhx54BGF6VuXlY TUcj4oLoc8qhaFfmXNYhftF+dq5oP9iF0ZXCvc+5qSvi/tNUkIGWBpQAjI5+LK6f0JH3xuftfA16 6d23t8TqxJgKugWEPTnYaDtfcL59MNHXcggXEqxeM53E7Fce46tOYIpbR8RYoTr1SGg4/W7ThrlQ 2Hpclz+eBOUv21F3OCwBQsdpoP4+Eme/Tc4BtLU/FIoR5VAvvV8v7rtKG3Q9mpoxu4VqcDZjxhMF +U0TYfLUZ1iIY2I7n4TtEr24heQB36q+sEeEtzAVsVtpepJPxA1xZhcare3DNxD364ukL3IATUhq uela3ddzJ7IIBSEqljHQcJfZ679dK5gx0S/acZoUOy5qtyUXafgKs29bZNU8I6mZ3lwLKmbJBlJC eVrTrdW3H83cM3iJzqutr4fIrFSrHCayH7baGWyGslncdOJ+3KAwTHeEoVWA6w0vLoAzUFkLM2lH kYpxJQnMPJOWryM/wJB5Yxe4sk3mcU7acLyXN1h6hvgccPCa6Ch0FlKGS4zy3NCSDPESU+hQOC/x twJw6bmYVO5RuhbUOCJL/Dx3E601nomboSJKIsNIZ67UVb1QwQTG3XsTJhQkxwjD2a8kbSARUQp+ J+6F3UhmYfM1TljZw5+k5xHG32EFRSUE5Av5iO/WnFaGdrwEkvRPJwKKNHy8iEfxFxBc8kURlmK+ JPikrsQHqc7XOz/pxbuAMy1S2VdFxQvQbfrCT41GyMZAujjwI7EI6yoCaGatGpoAl9wnvj6yxfEb +7ifaWw7OVBZrrlzosfkLjzUZJlB9T9VvvGBNKCTSUfO97n/ICEF5fhffCK59AWlMgt+AiXkPt9x bmo9ScSEENclkS1yjDZLT9PfwA1yWs4XMANfVQbTp4MvWczCZOQkvUTTp1D0y145ukllpAkuj3j6 WA5/kKbap8mLb03KNTT+BIQorE3AEPYtPOG8wjYn9PnFFCsbueSOGq4GdwKBkmCBxxjjP5TGsvZJ ffG3fvSYqzD/AxAHhmzc+mQit+NuPV9AeMn2ZdwWyUoyNOLmc/Ms8UVWZ+t0QEjkVaqg31XIZYf3 XVnfeCUmOH7Ba5doYvDt0952Vhbs8Sw43lfOL0y027PbdcchqdXhhw6a/uvzSNa5kSpAR9LZkj/+ 6Jd6St29HgVCpB9pcY3kQ+eG1zwUO2PJ+Giu9YlowCXjgPucYh+MNSXirYUEu8FlV8BKIJiApcU2 xLRMDgOW6ukzLE9fPZWBTymALPXBz0ItWh7H2JfLiwpblJXgimHKlBqpyuRcR8rdIx5p8j1NZV+g ADukmFDnyb9wP/Aghg27PWwUa9cFutmhIw8O8uU6o0ZLcbq5lcZvrIreSnVgS6pXtqkJ6zg+bveA yUvYoQ0jPdOl00ROMzJN4BeioVoX8/8+4KHKABSUWwnly3Qe4XBpmFCRrnN8B7MU8lSy1t0egcxp 4j3RszMla0biyZg6dtFB5yxQmU1cnXYElihDPAdz4wEYc5nBLtXGw7ycEiu2VcRHdgRAfN1vrPz4 hhIDrfTr57jjuhqtuK3WTUsIUMYF3LxmhKGJvV+oFyq451h9K5oVUh//5pa1PtSd7sftjAIbLWS3 F1ivgqbMCMM/M70z5xoqkRLF5FP0lr+OmygXvcguqPBTlru/XzHaoE9qnI3VNlz6IIiHs48TYGH6 tDv6QoGv+OfaNlMPH8vnSK41kzVFHTZLD5CDK3/icRsa4neO1k4EJliGdnyCyA9hGSVpUr4h6G/Q hTwQhHr+3L+vgO5QLZNCiF5LJJ6ybRBHCiwAA1rr4btarcDpfsA7K9mglghpIauYJ6gEeiaHsA2q fLOmXBDtlGDGt/6AkCiiUkJ7i5vEhL7Etz1ynJYfKwsNtzjbXQPwI6I/L8C1KOxtT8tBzj+VqhtV 5fh/A7G8pQfGF9dsdhatHHc0Q4cd/omnd3b52ZRt4asqkvU8/FMSlmeN3PFmjGOmrQKtdJsJhPHs fQXTaojHfqYYebe2zyzKUu3/eHHNpRYTx4LMmQyLUERZVrNmqbTDyh04b7CsQphb6z0whUxUpZcz ubsLP9Mfs8I3kf7AmkMnj6T2Fhnx7yDShxUJd6ElzdaagfTThNKfb6KvmNmKmhsPaCrmAd1mOTA4 ClSem3g3MG9cPaEToIwg5lZP27fgel9PZOKLTXd9XPEjrfZFRcVltf6WcC4DSSKzwGEKf69IL/O5 8poxqYdj4W/Pu7tCnXS6cxJ6sxVPrCtnuWTc6f6uoNbAjBt+tWEhokFFEZk5ZfXVtOtg9UVv/Ntv fwxO0EAdghC2SpEkyi8VEax2b4Pea3+CCn+rhylWw1ziF/HQGrGOVeHdyhp2IGul5Emu+/KVSwYS qMy12CGOnbj/u25NaPAon5IYf92d21ieTj/ikge/6+MoAEY8R7VB54f4+LcJPPIX4PzphHqt7Um6 qPPpf+etNbz/ftZhYLI5YW+P5xgw1tTItlDDTORFJwMPEIeQyqH+fQCzJqWo+Wsx7OLydyaa6zUR HLiX6f1+KxEvaY8qRTWHrAURzwUj74f5QSFVE6Bl4uVx6rIVSerpHbLMVGsyDtrTD+P/R137P34I Y+Ptu7WJrfJUZZtuAQ1xFpblFoBsjVrDKtTaF2xVheB2d3UneBDOTij/qz9Nofv0tvqY7D13YUFP V3DSw/2wAgdMD101427NOhBS/Oqaowbz/decD0JwiZpT/SMG81RDFhVcRI7ARKJjNKiBqHVruzxC cG3ZTEWYUVdTj2y4li3J6TgkiImcJoZZDhT71gMPrEf74Fqj8mWqT4JpL2VjU3CgL2iMLUc0lvl9 R4sz57zXeCfPQxJU8aOsANRNvw5gpztISb4+uOk0TuKMqMQnqQKCJ5gnc+nIYDHOUHuvsiGhtp1f TV09/hmydADykf9282o9qzJYQV2tnu8QfjbcO4iCu807FELFTUktoeyt2BVWufU2mWLxLdD63lpM kbNTcEiCCfBhTt1Sx6OJse9G2QNij3QWzmHLbQPv7Sj3CmuuBMxsLgVGRvzXrHILCoGlBaJhx533 l56uQx4iOU/Q9po4vfk090A7DGUe2uw2naPOI/2yZesCynSmT7QX3I/sYx+zv+Ad2vy8esnviGEB oTqKkFen/1RzmklAadCCvJ6+1Ku1ovUHJYsfgM98hf8VL8j+McL2yj1mcZSu3tZxPUjbaI2+4SQ+ ZvhIrdjP7Kz7Ks9l4FJRI7FZMjXmeH+bQO08g6Ayom3VWt7eNVS1wQPwhFIlOqKLKlutve+TAxPF 4HRQRNLtiH13Z9A6atXHRVN4e0rK/z/+hZAcaYrmrkN/8mRmUHzSXuU+GpRE2Q2Si9PSKLd1f+br r1KNXl5kbtM9Ws4n8Rz209Mz2TNY6OMzcXgCs2OOdpKEcwBDSQuBS8DYVH/dAv4G59DlOclvoAkX ifjb9LTOvozQU2pL80hZ6tT3ZTIhopmC0AM/pkiw+j8oIzbpRPmhUAPSjzBkAIkowGt3IyPoLGP4 L5zWGq5zX43EhzTetCc3AQpcq2RXIPIbIdO3sWOITWYI7AYG0IGCo+6tF58X4Tuvm1etuBLuEg+R sVxqEXEOuMdjMZFlMK/DC1fWalVIsY7eunMe77Kfm5nhm6XGECxcYX2QlyjWzjtDuzb56VXL3PgI G9Z3mQwkKbxH8/U9sH6JKdzuLYGOo4HW+GoXy7o6EDmaQgp5PRV8EdxxPtCprGQve+7Ezmz0h/oB LMJcaMYuxQqCqkC4UItAYLQ/LIhRs7xqTnYNwTGYIzcSsVIczxl2yJQ+kFFkMLJAGJ41aWSUY9Km TeO7PgCbBkR4aDVWN8HlU56gfyz7LraZdLtBvjxE6dA2hetJZX3r8YjZ9dikVB9KSKICnMfG2r2N 9sZxqMv3nZ6JYHLU0QeYNY+PvHdM3lHK9u5Qw4dXJ1Os7tFN93B5+UjOK1O5O+SOCtJNmhjphktY vCfl7SKHISyeS2OXt5fskBFfax38R+cZhhPf0ny5ZL2odGOBiSSG6ooKHRB1mXu6jqQ2oUXVgTOx yzzgOtjoD2nn9WOzd2zpP02B9i8sL4ZsPR2SDKCvtyNMGF73wYXopVDBCwCB22giOgsH3fJvjNU5 qduEd1hyJCaX3Uuiihoy/GvxEHqOrmyRrQulQTg1c3HSZoFM8oij4T2I7sN8+GSFW9PF9Vhn6tn/ KYzBE6N6Sd9g9NCdrFTMmMEujxuQqDH1qfUlB7NRe2+fGdVnYGovmCtvWQiKbNrpUGr523gMHMpl xSzcxjiXpiZZ8u3qr5xmNwvXQB54t51R8tWynZZrHIKbbygfGjDY+5RYpm+saQIL47h96ufAcyD6 1gt27+fMvLJp2cU4j0rYQpj/zJ++ajvuDxryBWV+Z5zfnnTK8opgXAAYmOV1jPlWWqGtDImQUvsK +QRCL5688NrCJ0HW6oFJoCh/61QVWyMiquZvcN9mUV+ITjzTkahAVYY60F3Lvy2Jbvh6jJ4rlkOe LAFgsaoVkST/kzubbJuS/GPfT2GiJE0FOT/O9GdRqEGWxYmOH6i0ti4oQ7O74bYGbMr/IuGwUzqu XmZcGJaOczSTDPS1K4AebNaQJ64WBlWVLzdhiqlOqwgAD8sMjxfd3V7AW3t1N0ErLhJ8nFhqCN7Z Zl0L2ESnt3P4Yq0h1RP3K0rr2Z03Vu693gQvcaZAz8yZUBOmm3tvHUAdlmzvi5fh2qXIKUyBR8WK UdMOHePqZavAKpp0yjdYMvpG/7ozdROFxJIEZGvVcA7JwIO4sWLlQ/mKv/d5yL3areUH2LFvxrgq 07bJ48L4SXp25LkX9NM5DaGt7pSoTlGeKHMt6n3lZIaFRIjRCa8u/SvojxR3CBA04xVo4le6W1dM S/HQemuRJla2u9DWeiaguWQQJFWUHy80lgAyOlmpcyekjcuIvP6o9Ia6IxwejzuPxaJxUNWxwVjx g0irKglDACCVa+EtmJtpnCs9UTOAswi+DJ2UVHYBgR4fNggzGhARk2gR2Gjwj/cIYUcIv9xMKkgV qui3ExY48falEqDD6RI3XJFnqxHAnoUPzDXEeVZzdMDrduZbIRvgEuMcj56Wnz/mTfGgdCwFY48h KRdr7kJn4+uEpjDbb5zynFW3NR5OOOF6ZJDSi7xcO5drc8+ORdn707Ptp3i5EmgOc+/EmYmKVq+5 0Oh/XaYuKfxhGE2E+lN1iCSQo8Tg/hNeipid7m/0qr6xkhZUvAvRKhg+qfGDGnDLs1eeqLWB2OYy 5Usl7zOYMKwQUCFkMejqSm75LjI473LDsHDv9N4J0vTmYJzz8A1NIASqyQKTEwmXgYZlGD1sJvFT 3J+CBJoHhgtjfuTt+9uhZ3E+4jeVb73+kR5f9Vj/7mlavNyYB3cl7/bGot6EWMRUeXhifpF8p/kI HdgPO55gcG7B2R+rJlfbK5uiG/s8G0TDO02QefVWkIZGhCBvIqueI7fCFIoLR9BhkwDjMMklAYlG r0NCZn9y9lU0yvd5wmMwlRYrXuGOcPmBQB+KyI2cgZdfgP8k7i+5uXffI1ctLwOhjvkJ1McW2/ah HaysTFIJvotZbeQqmtACUOnC5Q7lpkYg1cvMNeNNkIidZzNHsoxTidbFyrCaLSUIREzPjBwHzjaX y+d7CTHpc61XgxvXy2tuOulyyQe3ODUvHHHBYGTx+oAJNyOQAV/PEAXEJG+DMOU5n26weXMmMIym NK1IaW4wnFKdYVmEyHub0e7Ca8xuA8eafZKjR/Ka6goyQGnZFGtfAfgg88jqbQjfqKxJacQjyx5c OACwEIhDiUNoovrX0Yeapmv6i9hiXngwpM1ocuvAI/9qpMpRb+pkVmzgt+t3x8tU0WTUcBKoSJPl 9zq2+4wtnEXTlc6h3hgHkc8p4aldqXP6oMZ843n6P37wQbEDmo3q0Kcul01evJvDN5lN/H6jEo/o ctzON5vx5c7UcYjeJNRO/VdYBXIrLh5nR/bazd7rh7IexX5GFw0gr4wHUYTwPH3iIjw/fTFuSyXx BjSP7m0COKvZcq8dWgmWanuYCjIgy1KE3LioAdYiN/+55rM7WieDQDvRVLIivYk2+f9BIWzOk7iW 7MeAUdZmKPiJczJIclalQIqVgfNnuuYa2FkiW9Aiqq8DfP69nFo+ptv1e17gt3jvdN+thbC5Hd0t zB5iKtz/5+IvKJXigPsWzb8WGFVdsIjh9vAXD7UntG1Q+o8BVQHxt3iSwIAIaAsVTlaKCGkkyPSI js/s1yLxyMvdQMEbVvgMKA/YcZeU1VAYhil/ckn2BDJbbyOYGcXvoB6k5GGrCAEYDUU3S5qxmahI pXBswkHrO37CtMZlCY/hXNwi0SuazrVUla10DQYuldnku07v0osoQnjliNYFvMEhGz4/RFVFGdZ5 Vu8fh8h70PV5N5vB++gR3t4zqy+X8eW0T+ikQZgupLK1uI4DXvI/uCRoIMOeOcLRTjWBiWpVWuXF lukWVaViS0juFZ6S9FU7p6CWvPw1ZRDX5ZO8GEpbc9TojjkK6vlFhfuHCemlzeLKPwxSBhEAGqL7 jxw7eTyMmASaTYYO3bU3j0RnR5DojsoaK+GULEuMXR1Hys0XjZNGaMH8KPF3Nckcf2gYsFJMCEY/ h2K8QV7DkS6on9POOGG2YXs7vqYUdskwOHcIA92efD4TZSl2QdkQgRPLjVLIlLKRtHcS4UmWOqty 4jmUbp06qMSLo4mraMf0UZ1PINYRPtEnt/0Iw2NnaxUXN0CVVvezomuqZTF7juVVxSYjzD/F1s65 dTDy979nlcTjfnhA18ov/EeiyYV38+KHUyDOPk4h+2/TkCqzpMBR8iC5xcgqrAdpSsQUNICTNZdY VoWNLvWTLQVsEFAsTVFqdYbRbPwPWuW+gyOchdvSuvW1NO5c/LEuYRi6WkmdDyBJPX/zsEiXSa// niJh7FT2CMAp/sWC/fD8FkqcalHt1I74x3iQqKq/9fKALWgX8Gb5rhjFe8juciW65MuKhWhnbPmx htbs/lclw7QVcvlm/HPJ+p9oHa6u3WItLMUWxnCf7sU8DUmgOyYFKr4sRwupCQ+xSAWO7CTltqQk BmyAVevZuIHxkDqZrd1bT86Lff5JcQL7GwuUMy8AP9yHDdUf7eTS/d2GNbJMxiSCdiyOJkTNWWQw +WcOncBOs2WFXoTunuuOyJzAb1pajOJAROYw50RGJdEKtlPytn8Q+eKZodT/RpEBuaz+C/x5Mj6d Y6gRd4RxvgzPzk9YxDlGRFWl6jvWX4C+OBuCHLwGD2B8+a5qdvJjWKhtNeH4i0qGMrpZykUbMMWO vLXtDY+233btxf2neplWSlayIUMz9gzRT4O2YIPI36kBxSeBkD1fiPzZ+nZvLL5Q+QZwoGdBSCcj 5HQuu898yZ/dZivwRMdOgt9hDnTqIT5fdDYyeewmZs+kZMwlK6sXCJphVNBfEM31ejMUBMB3BFCW y3jhh6klwAlkHe6PTorSZBTDsxoQ/h8wsyt2d+Nx5wftCSNNIcZ6zIWubwUHwlflDkN7nOFcaLhy hmtO89m5aMc7rwJNNAbQDd3IYjIku7mX4WpG8Zu+nq2E8RB/f7LfwEtxn1P49iIEZgxbmdpPcZ/h BbUQW3NXHt6tDg1vyAy+wF/xNZLYjD218L4x/84ATIm9IQzNuE4pZORfN4vIVDHU888k2SpLsdaZ GcWnSfGS4qv+kWTBXTkniH2R8xAvRhQ2A1EVVeKsAAiAZRIDklgc/287tY691y1roa/54z2BghBL HkdqWzeZI8oTIwQ46ffVARqM3HnjLes7qMnrPmCDNn41pe4YIX+KLymnNxGPNFfG66NRV14y9evw jqYUEYXPTpChhyQFX7YW27H/cTsUNKz1UHWcG9JlRoWWIOCAXeTOK5stiVjlTFOAJpEaGjmCp6C7 rak9Fttt2zlvp11BbZN3nCIFqQWA2aSkFEiGEHoBa9QV4waORBQlrr7rQQYzTWh6TsTski5y9KuS 5/FLGRAt2QBUNu9KPvd255rUd2pXz2Pm4usaJnlQuyHhyNkWNthwb79UevkMRQGcZGxE5xq9TfDh BVa92pHnXQOf1IEmgziSqxq8Q2N0l588RVYrLwQsxyt1Km1ZY1r5JMI1Kae4W6Prvq0pz0pYgGGB iqajrZTW0PwlMU9DYqW0CXjRDeJ0KneqbqElkO0t9jQWrz5DW8DP8GYslHdcezukptsf0Ie0ATd8 m3UQ6Gwu4OWNkpqJNLuwWcRsDZVU10PjFOk/3k0M55NmqyzFrW4v3cDKDMxd5xRZ5UXFfeeMh/+S 3n3MBJJAACWmmYcQ7WX/fa/CUKC2UjHG3/63egVUrSEmOjP7s+kMcjOkGiRO94gcnnGmRAlXwtht 5KwrP2X9bRIG9/+Mu24xsQU6QjXKm+t0ZrBzsmIDKyET6lSAADRz7dhzXDs31UnprF9/7y1ND415 oAcdfqGVTYOfTAfGf6QZfxfPIw1ytSrvK+uHtu8dsX3RPbfgZtzy9q7/BnDnkMR3MukPh+HxqOCf Adb5exiOvWNtQWNYO9l42RWHn2FTEilpJ7W3bnoJ+htmb/J1vSm5MRkCxwsa4tZKOdGzYG44tUCt NMcxN5i3/XCrn1Jy4oLEkGONGq7va9ukZrTVbL8FC/IjeVl2mc6xCHayy360T7KnuAgP4efSAAtN 2rzHnSyYuwfLRt3qPQs1LU3ckQRYdN8/cGQiQVveQTncFNk2jNmgVXNXPos8/44vKj4fs5jg7+3j pttrVVvak775hD8Gta7j1Vh+6xN64e3AP4sje4FE6qJAabTOrAfbrlGnJeR9ZC6HesYyM564ruWD 2/cniRZjW94SPDF2wkES9O/I/RkOtNlLndr/E1B1Jr6wHPXP554ybyEvhHRBHKeiupSmf4cnhtWT 5Xw9VKbLy3SYNj5sliEPnDZvJ5k4RCI62/7d3mSlNkb8gc1GqdgPTpDydrEproDalZYTMb63ZQU4 0eo6TpdtE9zXJKr8tHWD0kds1TjYn86ohhsp873dkzqLVykZ0JyxjyT17y1e8CF/y6eP36RSEH/i OOaOHK+Qm5Ru9ICsa4fzOlyhv5yr7TIdaY4KCOsOyYSPJHg6ACsIhwAG6ZrN9w1wpBxf1nCgmp6h k0ySMFqQbSAd3tOPLVp5UQECj74Oi0bIiVqK0O6Q7A3D4SLjh/RkzcnouDTP7DIPUhf2obWmzG5J ZWpiTz+uzr5oImMO8d8KmMu/KbeoV6Ta6SKYKGNCT24TNPEVC0iAinnukYIx3Bu1CGlHSBC9VjbK VAMGZuwBJuwQEznLXtIDzzIY9vRApt8yp/uMlcCZq9QEOMn+8bgQmrCSINq+5Zw8K1dm0yW1/dNB Fb6dE4koTGqyyghaIowW13ATj6C+qBrSRaAZG64TMP6KWdm51eWLyJA/jmPIS2mpO+fqMxZREtRb NtPVAdTF77pQhj60hx69gl+Ge4Fko9FIWdD+hWqk3aDacGAVM/wC5TcnySl3Lcb0lH6fBdP0EohG wuecoSFSo6vv926nRqr45Ek9mcWJnHJcR+brjrNyDeoS0qBDjFRPYOiAuz9b44NNSt/3Ay6KWb+C zks2Il33h+H1j50kee/1X9KaoP6xKN7flpjI2tUW+OU+GClCMO/VJmsuqhqEihpWLdpbmkmrH6/c 0VVjKptBLM7eUD9ej89GSnSevB/Z4OUC1HxFDyOC5pO3T7tiTMDYA5CUyaxj/67CHgYqHYXvTR9u o8VSUWX5QPutvk/0EEwFhgB7uHhMczQut+jDsoEoTrHBMHiRbKJIhHBBQoMAMCgIpUiJnDBn1Smg KurQTCe1r9Ln9+G51QsGJBP9RafuLzEgHX4aaOc5F0g7OK1sjQKNxkJLvXqWhr496k7lzqk2qlPw qN+1mqc/GMUSb5n31fpYTgQ0DhxgN4pHGgpJgyi1w40ipBGWIF/Do01FU71/kQD15PhlfYRCJnuo GE3a8zNTvqBocGgYRttqO3iJRVUStQsYxMSQXj5QYhWdLRU3QCP2uv0ucJkFUmoo92P6w29w0OqQ wFC65yLNI/nmgFoV77ScewmdsHl5U9JRYAXWMTfIWGxwyGH/o8T06FKYi8K40TaJSHantJ4CMpSY OnTddAysux4u3kVn/pD+ZOEkBeckN895yvB9/IX8Q+DD+DwTECIKL4rizVHyzFf7iYhm1O7OAy8a aVVXpGPdKNc0fPPNEluX/GhT6AIdOXQ+WW/e1Ds5T5Ew9ReJL1sai+8hgiVlPF3czBEFJOxyPNLp rEh7s0GoMUgCe/htS8d+ZfP8KcPXIUNg3QG2AYEpfAYmh1HSy0tsxpW24tlxtSBaeMWWgUx5+rog GFl222anGAhRpjOwcEjmzY62dVeir1A1WNPW5PNMMr6Orctz8+QzGED5RrnIzGZtr2oJnU3MkhkE i5CierXBvD5xVR7ekOhk1UvKWzK9PEqK3kuuRTLIwTE3n67QF4fiVIdYMAbxteEYorq75bD+J5Wq q+bA+wJkEOV5rNRBG2OYzFZ3HzW/xA8uQIP2j0llK10umY1061Smo7QZTp1Xz/Mm32WKvDxP5095 Yy2plVrtcbq9ApF80Y9Ofn3iGFayHMa35mt5QQR6BlMXaoC2vr3gWJCHTmlVmFc5RgFNKoRUKBzP pD9APf3iZLxRJewj24bXA4u+9qgHiG9fDBIV5DU7jSNhX+FuU4uTtpdRJbt4GaN+lTaG4Pf3IIYY Xvbl7otEzkf5TMAzYvRXtL/U7jPF2XTImtNzLaqemfS4gtnw1mc2B0YgTKl6cIl/Z6t8HNAuXlYH t0eK9P6YHfGmg/CPkIPlIK7VqS86yHu/o4boFOM0QF3TAcgZQhpU5kwO3oYfSEBdpBphVpTg8ICC 3618lHk/WVTW/nmgmJelDTcDvOdbVqUle39K1FkjJkP9HCVyLs6MsPJAjxxeN5I01jsQPRXPpWEB DXSy7Lrp5vAk5k0rgmOD/emhJOe1OU6pPexIOSOAs+cHo6VcYtUg6gdyqr6mdiKAKUBPUVFuy3BC cYzn6zHn12mZwi5/7AglVtGegCIeSE7r/suWnTmtiGtLkBz/Sc8L8HPZ9oIozSLvXjQ03VyocfTg w4OGMoAI8SuoXMQUgkgcaCPkSOxOXCogbk9woz46TBlO5roHCajT14e7vkldtUMMtuxBcHOn+634 6BvpRIVJ+ZSKq8KegbJVC6XXX3/ccTUN+FyyXmEExo9ADlUl6ACsYd/oE3ROijfIqJJG+lNduflg l6eKq2+pAy0W9ShqothiJ0TzcoWfMXXH2CLz5Qec/FDFx/6F+ZpVQzcnEm195UsDAxOKh6o5qzcP YjC8f7ieFSqityPxWXhc06USiZAwN3Uuhq3q/lTZ8e5drSmQMz9BNRbEoyhFD7/4FvGuSMY8MjLO zjyJxRbtiIsaXZ49AC64/xsAFdCR6TycgDNXYAgsffqxDFoJeCMf2aHS3kPqrKBOg3n8x/gSBSBT uPkcRcTBUcsFQ5wsR0wEYFBt5uEaOc4CSE+rVqjtxuCnbks1e+C31YBqJUOyO9ysQtIWMWvqNSZA UQX14mGO5UTLRsYwSOjdeOv2HYOE9CenOTCdx/lwFjbwXXMeYvyPqTZfMLFKv8nR9d5634Hj9sIM tpge/Kk9Wfaru5PTodHeHDSvezTMFLVb9vWir3VtEutY/Vk3KQbTpt/AtrpnWHk4o5Jj2j+IX64z /393lllQNI28BBzYbJhsbZtYkz0hbLEzty6+66kTvmK1u1HJcSGFCwRCbXXEU16aZ9gjUmk3d6vN 6/idaLcFGfTdc+GSEO7wLU8sPntdAgKUnd/1d4dExcx8ya+xUdnlu+gcAiQn9Ky4jwd1IlfzbhjD WWrSaFyKAVRRrBzKKKT7U9CPLTGc3Dib1So0gCpzW63LfXhklc7OTwuU7PmAYEBis7zUl52Ro8Xf 9mGkanYnaO8BZmbaTRdqp+KkH9g1yGzi4brLLDsCAuaSkuc0lAr4ukDe+JKFub857eoO42S/1iKv p2gwkrLWEEKkZ0MUFVVnjEHZqM/FLMyo09x1/uHfjLmTbdjxk9zndtaxUAUaYwc6Fk4PeGKgpUu5 g6m9lxXAOpJsNN1AjfXUE/w0Y9UM4ZR3KAQt87UfMcKR0hwrHBhUnheEHgnXTfueNzAMsCkPn45Q wg4a9CCfqGCVj0bcLWMD8LjXKbHgF42q7jPVgxxV6J3GSGbtEgzIgmVSAH+oIhtWrIpYKBylgXaV XzXGK0tgh2b+nzewJNk4ABQiwZb1wRopiWPOJC2NeM/pBkTwGZer5zqkg6wcWC07QYQdnrS2yNid CS89vJu2SOBqHTqOL9jRh6h7LtSp8iljZQ9orThLbvNkdYKMiDo/kNmmeMS9QtJeRz55EM1qVNWB HCyr1Bl2Ta52BI7lmQHiuqKS3FCIa1vgFlraZHRYzhi+lj82C4UnlZQLBAtKOnjQid6Btr1BBdH0 t6hAOQL7abEUkIYBPREjZSeYftXQh6UOAeiXf2MxqW3eU5CflVAuCzx5fMg3PdFUaYYC3W7g1STs cBn0QDLpHbcZUzfxUz0P+ECTcZtoXY7eHXmh8l9HYaPnuP+70hQ8kmwxuhvc+CL7JvtaPaL1SpG3 qnLl+H+Hktv2CKmjuSNLTgYZCg7oV9KLdxkS14YtnZzHhge1xAapSYLGkgvpaojyCatyT3eENn2h 3HkjG9ZVFGtIGF/koqIOF53IsY1jGzts6nA/HpmWS4GoMcCm9d8liVe+d14GIiWwE/G4f7sLQnIs KTDi12Yy8PbkO4F7WoJLYgninFDHLyc6PDlYTG32VrEtsWB2Fb6PWnnS0hqvDd2TIReNpcco+BMm swzjO/f62Wvrf0zQlNr8FLMUrrc7dkV7l4R4FmmQeXogheBofIxu0aAczCDyae/0fitSXq990g9q ZAFcZlAtLIXJbLt8xExj2yULq1QnBOlnjE0/M4q4/z/J8+3KtKnIw5CFQooTvpdzoh1wboX34cWf wz+tWZ8DSFhFGa8JifhCfUquqZqH/NHTbgQpjCK/dq8ytSFD5hu5u20MzSlepgW3zEEG9V59VKqZ a3URfIrKCrhRYzT4M6RgZPYMN9+WNrheH2641jdQRfzIsB2kaJnFf75IIByEcF/CNF3XUnwuLAEq HgSAVprgafe/RimxQAa1F8Sr8/V9kPq/jyT0mjPHmV4zzQFbG+qlBxs8ea4Ptl3LJC1RWuluBWyL uBOj6UreUONJt5KeNE7iaoCQmrb8afpdvHWiEkVNhOTKiomeOv2czka7oG+zbHKyH9YYDrMabycy O8At8vGUp09iSxTado2BiStGkW6HjTW8faNt5EFdaFSA/mKqZaW8A33kSS4w+gX+sf2avlYsfQli pfR7in9gipvaElN30cwDtcr/rNgZ7DZPkoFjO8q/EHbyU39xqvvrq7pWwnoEaRt/nZtNK+9ZNkrE obz2CvowYGiqu5UIr1f0JkP99bjYt6B5lfhYczlPeLRkd7wKEsq0quxe7DFS6WW3YqqOE5/URMTO a86zZJAWB0l82vMHQW7aL2tn92BH0Obs7iO5o0jxQHoI0exGk+NFJb4pSGXbvyePRWYfamztgS5A ykmA8m/vumZ+CWkvD5OfN6qVDmM/dgafF8N2yrBD+NRtgluBoBE7aWHKP3LTEfdfuaQZ/awwWRgx e3JIo4QgFqubYiyuMkf9aoCm9ODte6qAnv/L2N1Qo8kcw3O0Ib23QE56YsEKlZAMku6r/g60bOls tnl1IYTmBlldxRqXBnv0u406Id8eepivVDhBjiEpkVR/rn9KqMwb69eRMbL80VdRGb6T0cN6qwzX 13sWY7jXRpvHDvA9uFyQV4sztJduFPEcfzd5l7mWOIRb9Jrc2UGCKZVnz2U1KvsYENijmH05S35B EFcYVRHmoBiiRLRdljvBTHk8eq8k/dAEeu6fXlVg7lbPTcMd4NdRYCzvKGMgC9gIJam2O/MTgi1M n3SUsAMDHfJhy+Nr3rX6DnjP+WZT9WJj8r9GWDof6999MuxfWD2o1krqeor2UkaYo7qX7qbIpZ3s dauICHCth8Uc5p1u27QOMl1MCDnmPiH9f/135hpdy7P09T3+okp2cWajYhRsFdKlytxmdyiCJ/6Y cwXL+D6+SFLPG7/IMv5VwuUgjiJSTwt0+9A5Qy2eXvBW/7oZW008swHku73GaVtC4EWWtkxgCvUJ PLHR6k3wh+GuLV+orKKi8/0XlQR3EBp/RWMym6J8YXwIehuu2PZ40jKcDVoFSo2tiTzVlie59ge+ N0KGt+VuUVMYO1ewo75CKZAH9W9q2XN9eN/CpNSZRO+U5vHe767LBJQlvTmnPSHzwHYyCQGLMFFK pJbN7AY8oNbgMz6JRxEdVmDpWKsjLuIzcpFpnleB8210bv+2INjCSnSuylKCVH/A6N/ThYduwaE3 a+Tak6GleXPmGCfmUjbeFPFVBah0fiAfwdGqtQysYV83sNgLu2ShY0mYrg4oR5qloEOY2Q57k8sz lI4GgocWN0bIeO6AO8wqAvHuvJZ0dG6YFQh0rgkBV7XpvJCYLid47bOnR8Cr4JS9UXjPzuanlBEQ EvjuHnL0lOoOW2dTEcVzJnSxH9ULbQyMlDeUv1GC3PwhC5I6OgUbSNmMbSJTbwNWhzM7NwutUysE q4ORMl5F3f51ltPO7K4DQJ31U2acaBiC9BRn2yyKVLHUE3yUmLzNj3pzkQ/O6VhXDt6m7Iu1vt9O c5zquUJ4LxkUPW3V0O2NjTmbk1y5l23LCyM2hEctQuzCdlM+jD93RIWvZdpXw26CJlo+xQ4TyGxy 8ogMXSKZ72vH9JH4GPslp0zfpHfx3GhexBOVdKer+klVsAthFXGz9a/mtbUuruVqn/MLwL8bEiWb zdNEQVjCGv8+En7cypGHa+jgdyYwl5slyIWTN1YBa4X0gOHU5DLNkXjGVhbj1BZ7B8N+Nn6Vvtx7 gbl4tJZm5ICO2lpEHZGjOs+reVW18O1gcUoBuj3ebWGMbHTLzVG62VjeG+pPxl1+tXbJ4gV1UJuj sjM7TZqJRbYE0j9Z/zFX0YTx2PUd+1H0QIofPZOMw6HcI+qs1QhkWFdSOzUYrhvoovvR60IpUIuw piEq4GjgTysbqybR2YO/JF6iW/muD/miLNY9DQWkq31a/B0JBmRcfJi5N7Vqs56zjOSC+B59u6FD Nzp/qSwQOXg/PI3sykIjZK1rZNlWxlEPOIslVoQXVIvbjbNRmuaZOuPqKWtu7IfvSCR0q5AlZ/gu A6w2i+yVAm3MUYpqLoqqoHrLXblqtQjo8vVjhHezJLBjdt/2DE7wsiwk8Rr78jyLC6faLK5yrxz1 WdNaKVIREHUeDndu4tH3O4xUUz+dqS8WDdpUfJ3a3SpxvpxHT7q0pdx/bHNndCcKDAbfPvxhbNTW 37ii4RARKG9gQZ6JLyaZdVKDe9JrCRLoWLhf236Fz1/foetrl5Rs5vZI/ggd7hS0xp92xRYB39fq KXBps2zcoAo6/BkzOJXek2QiEk0tmJEs3yTCQuA0Vz9db6dUECd7oj/WOuGpVpmzV6+OHIVTSiaR SHIuWsqdZ/3IYQxq18UIToI8mw+sWOgNq8HKSafj2bmCbttoNwmcpRL1NoofxnNHy0HAIW1Jb2Hq QDxuS3eRQD7XbArjTlSo6DAxKdcWBPs1pJJYZ8cjcRtTUWCCnkr9b5Q8YZJZ0Aivk5VgXrfZo5fi zw7/uL/tvODe+zJBnEEk+ueuZj9eMmIo607NlmlVc4TYKLzGjcglY9USxBNv5NPtlf+c8jH+vZWe na1T61v8zqLDm/71frlIjyZWXPJ+jryp/SSQLUprqG5aDRxBgzfrTtzmuFFyD+92hefO7/4Jtnwf xUFpR3Oo6NO+DGVB9DEp6z8RKXN8bbF6KHpPleIN0d4E6owyqNnIcG2WgZEAJ0voQrY3hY+QChyX KFwt1oVznHgoQJBwapYdwEMSoA8X1Qqbzz8jBbNIuOg9mLhrOZd8Slw1mHLeKUMo4cLSk904hU48 1zu9CiiR5IBpipEurVcMLXqtSpty+qqDbM1L22sXm+CpY5holMJU3+GYInS4GIYjzfqL7pB3orYv P7WCbn8F4AvqTwHTqwZccK9onIiRf0INKpurA7xK64DmiRRpvFKVJnEarJILbS0A9R//+nOTYvm2 QThzY5Le4IvKvREGcvqNCtlvO+dlJe3ghIcV94T1T3xqHSqhoUSj0RX8RiKTiT3I34QWPMd1/Yfb WmHHzFvKh/IIWkT7GiBsjxQDEl+K6/y2bNGH3KLi/gEs1S6yfgrgbHlEpFJfn4AidImKpnvoaF39 gBNSzXt/HTINe5n05yCF6pkJjwiOXIKTyDAPMnGrlBgUDY30ZOffO9U5kZaDmLEZMjZ68Yb+naIV 714YUPkzjqHao9prFXdU2spxJ6KtacogCdwHxy8KkOoCW9rINFPhbAPTZfh+Dvuf44QviXDpL/A1 qqtjWpCUt7sqc7n6rTBTBceN5fSQkZ23XTilGR+YytYYF7ckWK9bbU5aRuEuOo16+FAm/GU4WpMB SbLLPZy6S42cWMXC9r9tlFD+eEIbNWUaEeUyUCOEJ15P8aujgtjQrdh9NeRS0McLoeQR9bj8AS2l afgG76kScFFC92wqE0YcC+xlb93rsaHF0YVXsIMey+vnROzhyoaPD7P6G6PfQKLAXIDcyT2ST5xW ODIGm8BUwp9Ap+9FIXnWOZsWHM+ltKuqIsLmy88uE9gAkzjiGilGdAnbdK3rHV+zRi2cJS6SePmc ZEhMYYRA9QAD9KL4EPpxFUiRC8mP8QJyMF9ww3kF4qVS+whukCmoU7fw9Nr5ngWZNd0KPSXg+a+e 6PJUi7akkXN6qOgsgtQhvx2EMwQ/1Hy0iQp9A1fZ3u9kfd+rvpAYJFSwLpfHwKdRjZ6TuLrwz7zA u/9ALsPeg3XjYB1LDLqY9tBcctGcpsOQK4ExQBYzpIj9QgBjDfKh72ijZhWGKLJz+knF2uTt29Se JEQp5VKe6460W628Rrw2XJ6gK8VBJYLuaaN9NrUDTyXm/vrO654qt4kiaK2T3AopGAVrAIeYF0QU C3PjLpEqUq6jLfccoeGHTQswkjhp8xupCSECMh07bXDF0xZPObrGaPS4ORNRdWWeMBPza609uw0Q y04u2CbgWZXIxT5WA8tmJMtAoDPgNZbCWN6EYcfKRkszjKVLXqp/AAqu4vc0SLx1YMGQY4kg8z7c yvKUkFvaQcYnAlU53dSCxc3t3HI8QIC6c4nBCrjacupWS6g4XAhnfmkJs3ucjlyzwIxaMj9BphO0 +p7EbFDT7Lt1HdVb5YWZgu+yutF9xawReNfOdnuXOzJ8yvOeBCozpWHXY7F7LID7Tjgz4yI7tSW2 NIHkYT/hNU5VpYPBYPLH22yf/7BoPPc6RkHx1rIPMdwSD5TM6CbeAffsEmOnEiFd2m+QZocTWOi6 RaVB/j6Wx++4yHn9JwYbYG7HTTp0TV6+y+ktafKnKdaTDgNRgP99yIafyBkjnReOTw200Q3Dp+Jf I1FRE+aifqOzD2NpvRZdyEJcESIokZN2sdmj3jDeUEJtwjra/QQP2hxLKNVeeLj5NbTI1D8zdJFF AmEV9h9ovP25ZSR+Iv9LrMVW3VwEBSQXwhBqL4ybX0YWU1czCTQawhOocjC7p216TUZRPe1R51t3 rPL4sq0Wi+bllRN/zVeDYu3zExaupoQJo/X6+YmL6M8/oo/8nkob1uo7ZKQ0HvMUufPYXPJkUdMX 7JXPc2FwLCTv4JMH78rtSqq+eWklQoNHr60XNvVRy0tVLV02PSiSvQgID/Ax0fHYxRdicnqnEuWT ZyqWVN85KzYOTWFsqYHc/qtVbdG3krvRVfgbUL+nVywL1OXQf98DdMExlIyt7APgAAQmfxR4uGQu wYAQaV8kPzRP3cxDtB20YoFChpXFCqg4OdGYY28zD2MDNXd2c8UH/vz3aaHuyNGCYm8HjahZs2Sc mVFv5ybFh0+Lqe+Ns2ckufeODBY3yXRhoy4aHysy9EUCiXJpbjnKfiNOAxdNJP6boTs/xujyG9Wo atUYaB9CmIoMFnHVoIH89WCTr0Nsx8803FzndRnZcBzwxdlPdtfQl8r5SED7P1iz/2abxtByixxB YYupg2aWQTAnIg1iibhM4wjFUEhgwWm3OAYvHCUZk78qMhowRdK7CImmxSXLsqBtBIvJvYkm7NnL /FtBrjYrv6CP6ByPUFBOXWlD1qokgzM8KXRSLuzojaTzCakSUNXyEcwGGSRRhqt8c8eP5+k4ir9v Ld/cIJ6T1hhOBw4irKTpfy2WCYug66nBqLIwbGys1OTAVIRaQbSe1itE7iLUIUUqmKvmLYkGMBJr pOzdOf7wqNzmNn7SSfPod3LvSJGLI2KEJ5H75ggL4aLYKtoj49wBeMnHGI4nSbVKyBmeldE0uXvP fQEFuIReeSc1ynMBX0SN0Gt3SW+cZQoDlku6bgUx4LFfwQvpRzrcRYDfPRwpHY8GKBm/XgNvx1/N J6WZgpj+lZFuAcRSE/i+7UiUSqNyQDl38iHrHoYoRYqSyECiw2YnMe13ban+uFPsqt6KEhGwQNJ/ /0ePKWryYFfdCQIZqwIMn3EVTN0C/ukXGpYFWA1MZCXg6vdDU5/NvGlWGkSFRQKiX1f8W3TkQVcH onRY+iFM7RwwfjKJCPEXzON4S9o2mHrPUzWvlc8jlFSb4cvjUx4XLlW1t2+fOMQP+xBgDfxij3hG 1lCGwy4LY6iOfUOKKzNdLuaF8MV65JOy6YAke9FktO8osSVT5qFv0qrsLuYXN+BsE4WgjP5vag8e eW20RY93PMTrkXMIEOMRwrK/aCMCDZA3zd9bL1ZEX4VmT2nNnoRmavBFMGXFWmqNaoCu5gxSf4Id QDpYp2CKbenpfGqeykvnIKY0fw1EpKijumTwCRchIhhwPvuDD/l57Dk8tADjHs72WkaOiwHTm+jD LX+0pvzBecBdkTmBiuNlLpcw8gPl0wZO2dnxrmn7ic4Sq/e/G9wxNRT5Zqs5ByxRRyxgyJ1tVD1t +TxY0vX4QLbBkM+CIQzNlKyBa180ietpGuhSsAQDRvf+FnrEKCdnkh78oj4UlPrBePCXHg/HPLnn eEOyHN7wMlVWOqeTH1OnZ7z6AOkR4l8cGoSZ6rhSr0Y2yMCiNktqNrgsnAP/bY5hvSAtLTi5Yeo1 P6FlvfURCJvIQIGhuTs0VVl1CyIIZ8rjrvORAN6wsitO4WEw5CWHwPv3lVTjh7cXed3UTZLdJvq2 oEe61wAZ7eVAXGdfw5OPqgMYhg4yihV1bfkdWhni3HkoIQkquW3GeZ+UU50DSYnBTlRsSKETpm0U 6cuyB1eoWat6ayq+71L9Y9gR2NBeY17LuItirAxesoiQ7pLs9/KFfU5gWSMATrPrKxrmLm+NRdNR iS4V6FNycfEfYBe9cIaUytC55N0tqKKGmwf3cpjMkuwpgKsKs6y9uuniPV1lYu0UV8i1JGmGAVb1 2otzh7drLG+ZeyGfSB+vibVIbdlHthvPvTb+urqL7IOdz/sEzH32hTB7MO2+0+qUIC3rmr9yL569 UpiXbysOh+pNE0ofe1U4wbO/6ikx/sQ89rZ/c3kKu1THdYL6XfZ02+t6psYzzLsecihYISvKOXyH Gq0RxJMCxEZ5dpS41eMG/IKXwDlId6fGxqCaXanXVAGjk2Ww7hxbCnHFPQHOmqRi+j5h6hwDDtPY 9dggJcGng4+YSHdcKZ1rsHXVcun0Rext0qQDQ0CC6Ndxp4tAzU/h8LGLA39WTyW8HKbGlXxxXYBM UXA8vcNQUIBOFdDEW45Ye8HKgDjNovVyw2d4y75QlTTVOH1gwXfqUJ50kr+mPiUi9V/+QM95slXB G8CAen/HHMf6xzf+pHSnm0mfdqOe7lXivBqv8n818CinnJ/qAL/T/14ODmHNNLfw1zJ13H3qUwmu WAxe98UUAMKf4C6YZG8saai7XAthjMQd7/PGtLJtzloFrO93ZKvf96f0LJAG4XshtsvKEearQzMb qSBY14cvRvPt6AUIqH9f8xOiC3/1wZSrHl9biH1bmsNxAL62xTjHIo4jSgii8JB+opIjFYYlE7CU tI3qgklU3qHpUu56+iE2Us8ywiPYIRn87gcLue1BQ+ovQRZwIwvCOYeLAKHlpjdijt/jUKo+QTXy MA/NIKqHYxUBii9NofLC4xJ4vyuLSuSYU8wWOpD6mApPJuseUYATuAGzO4Off7F/l2iZ6BuprCwS sJKMajmGxzQlzRUgbGnaFCItDYauAb7K2ScEd70hsMLU04L2RNXhchvhy4kpiKQVwz9A6NiVJEFT A3cASV2Dd4HqfVD5/ttPwPhWjS4qUtXsdIQiV9tRCeBLvKzqVbq1+CJBwla6SW736q8nzHL9A4Gl wirSRx4rItk9fH+z6jsrvDHn4IW2A/HH3+wEd/OmTMmU/TGREattyXB80aZxGrnFMBlJqLvyhN3+ ImvjiXlhVKHv2cgdv13mHYXW7+lA0x1ME5sUv9/FiBYWsniXY66rDIZmbj3xQFOZuVF1PMoMNRQh gUQNxeCQK58FRlASqvwXv9YJuQLncg3TYjEyem0BgtlFygCyKzWo7o4lrfQwfefmYA32zJOuKBmC hUH1oNgEkX6zfICzRbMYREiJtG6Ia+JySMqL2vzag3+b4VTEyuZBzK0oJ+obSFSOhF4OFVGsrkY6 phuveB3P2jijHzTwm4LktQ6O7kQmbgkhtfb8Q8BqOwiUFYzRYLcyzWIXef0ivYmnrcLd5hkBHOPw xBpb5ys98Kmzy5ruL4DN8tlVuTPkpcbckHaNnkwezGKOkssmfrVshZqJCSlpLdpSju/Q4jD2xD71 OKgXBvr9iZyKLIbEuDymjKcRlccAX/UGOdj1Jeo4zshU9OW/dpTpuDEiG/Ip0lfv7T8Wmx5oi7r1 0Tnghm4MmOdWVCJRZYHqLHvGoK4KkfFWMLEMO3cLGB6zM3OTSqsTqTlrznc2Ni6u9I5DDiiaxunn OiCm3mM1E18/UW/qgIJf/XtqRvNDVho6mlBJZUafE9YP33mDgkwQ/UUdknnJAvjWqeMiAmwtUNIj BPFfBvrFk87HoDDQQOw+WPr8UHOzLMlZCH8P5+gNUxtiKcSlvTGz636vz4Tfk/s5Xcaz+l0eMTXP gVmFLcPNYSy/HENY2hlDyXn0oHPcGqQB2Mvd20xTpsZM7z+vTp+SDkj3lHcpPYG+JjXARFyP0tkG bvUH3+3YCv5+CnbrW1g8kieDV24quLTIGAtJbVoPVqCsTwNj2yi2fbo12noPqLdr58G4DNu3C2jn hA6TZgmJBqWznyJx0BwNMGqtocpxWXnxFl5yBWbLyGHzc1MToEqxcSYBbtoF/wBY+LD6Fzb2fsoB oA+ZJQsZYy/+WCHE7/tb06d2TO56bEuQUBiohKy+A/zVhlTjlS2y0SQ2frOF4xAyiQyvPgCnF08d cb7WN3Ccxsa/DeesA8Ebbu+Xz6XPmbo7JVpRm/m40FXTtb3oxk3z7DkS9zctyVECvwadkhC/Nicd n5XH2iyfK/vJ6cq7ECdjCEtRYybylihyrqjVGU1POhu5E4hfV4L9LxoQAWb/fQb/U+Voe7D0gr9E bxBNOqdoSGs1M//haiNonlBhvOxn3uxL5yXb7n+vybiD7UMZuNmWAqx4BkYMyrBGM3qYxSLwUc2J +ftR4XRXhT3WFgaPEvTg+QhpWf9xewSkaafVZ60SGQg2meYqCj7JGrbhZ9EiRAGdUrwIHR9gBOxv yFdUSbDVWlYTxMQ03h7hve4Gh+a2cELt+ccb0jG2aGGOjuQ2p1Xzo0kLIy28uj6lImoehlshgyDL P9Ot2Qnw0qKPScTEsMyLs2bbGRYFLr+UYgqiRhLvZeV2E/1QC2LfhC1ppqrLR56tPIoWYnCDP0dA TIS9Zghsacji7swf2I6BdpJXl2qjsyInWITXU59d1Te17fPEbChu4d3mUHTYytpWFrArNXmYkGBs +/jmgeglhqQDSNRkV37wXD/E24vdMKjymIajRaO5h/yrb6WANwZ+21GJPZ8pNaNbcYeURHGoslYz 2TUlyzDST0qjXpj5mdVpFn1o7iuzb/1V+7jq3hJfCVYgo2s/Ap9M2XmdFVP0LmgrMK/JTr7BDGmv 6gU/MleFjdp+LeZjzKD0jkdSlda/wefcyhQjhjraQXVwZAzN1iTWxuHfV1BXbqEA8xh/BG6PQfZL YGnoV2/1iJCcUvsEoX6VLhvoqacD1lqmrtSmanDZvOu+hO9gg1F/UTS2L+bHOrzakupaRpm0AHdn kkXBuczhWn3uNmFAkQZwT2I97lJ4nn9sPaO5KxzHPGbyWPQaSHzsTQba20id1gUCCWAqMopHnhaa /EMVBarc8Mmm7XjPVvmQfW6K6FhDsYjRVztZJsjPOPw1NWBYvGL0LDfvoxAC+NvQ2jbddCzBc3l7 wWlIyx8PUG8CN//wXetPT+FZPBjMCvEKIhA/NDtZjf+fZOJS4qloxmjC48A4ztBh4mj48XW/FPHE fc4iF09rKxAtiTAO+pGjm3CXkOSpK0tifB1HtIZILxT6IOujkE/MgvkBWoCQVQrGZUs80SvtnIhh 3tqy5h/lWvYbbHXvQ6FBzu0ziGa9CeMq0LutemAvwrbsAtkrMS3za3O8HE1ukQ66hFIsXoGHcWPJ hZE6mBuw5UUyKF9NMYw2vfvB/spPQ5tDKd/+8rrvo0G+Nk7brGXurR3O0JTQRlnRN6Lo16qU26qs obeymB3SqBhfDMbG4k4usfHDbeBXNzp18oYxf5eQsmlE6YVYl6sC7P/4PonnVlG3L8M0e8otQ/cu SqFkxlnX/O67D3xMaP5Q494Nx9MEsD7UOVepjoGlScOjmxn67ny7FbP7Apa1iRkJPlYX6oFAZArl h2UZIGzT0qmjJIRwxxLGiQ4XQ+cGJbSZ97Ji7prqLrqJAKLYXAuu8DhUUy70QbQfbU+BcQbbfWo6 gqQdtXoEAR09jSAyry+14oaDcFGs467005TsjQc6mp63xFqyv45zHauqQR6RCFZcjp7AZT+Zh8yI LiQrZsLktaN1QqfAxNvX64UsGRCXUTkW0AxhIlffTCCpqL9H90Bgv+e483LTt2bcFUFpVgaWIrDu euoHeNuTiQkM8sjqFqMpeCCuXQXKxput0TkjVNd8egCkaTyhurV/oWrl2TYyoCXOg6fQl4NNRXOf IeuNgem9Y6fqzEMtfxhoCnwG4kzEWw2gkgUyeYPFqhgWR4XmqyxXIp4fkQEjutEu0nPbhQ3z5SKz iZZaqDPju1UPozUGNJJnICyjLqUNoWjncw4on4fxat+Lo0AAv/Hz3xEK9/rx/WDHeHh1raa6tLb/ 2eO6kc2xbcu2WX9NhlMCTcxlD8SJOFgq+3ocB0FLW+wFNci56+QcwlX/3UMGZjQ2Bx2Rlzum1ehE sgwyz4w6HLgMuCOnV75ibSGh6CSWhNVcwT4fz+sRxoMJSWXU/bBKcLWzixNyn2sxM5/sJuabtl78 28irVFO5HnUuOYm1rcj+c5VuJDOl2DIDhpLKxYH+x0ogHGvRsidrCdega/NVe3qsrNS5OAFvC7t9 xurt/HYKSurGWRtu921WwKix9P0wWi2P999l0QeAic64nEOvsuaz1QxQYLxo8f1su3Q5qrkOFseH gNZn966Mw4AyFxViHzDzpqA4O6uZI64Ris+TS2FJBTvnsIPcnqlrBC6Tc9P0UJkhKwZHpaugfXQ6 VsO68PpSkeEE8Ru82Nst3MkQp7uh+F/dje5TRsRfEyewNWeQv+fbIWyx3Tu0UsTf9u3TUvOPxwII bmTWsx4AffcrHVQGQdr1XgHeMNfxH3oZIpzCY5gUculGw0+OctilVvyAuu2cjoplAGztK45qnroa UGIGBtUcLJoDLbKnLcCi8Kefybj16moSP0iiZWtX6n9G6EqQ5OPcYWXkk5daZE7Cxu5UH7aQEI0r eijKol+9+QafEQi+7yrcYpQWldV9DkjF+vwZa692rP6AMyXXZo+RM+t8YMLUmlkqUfZYQzux/kl0 /czVRnBr7uqx1m0Mn88OQLELynr2H5xve3iH2Ekm0krcLyYOeA+4y5lpO8VxHlpxaSDGkhHYrYOi f0y7gx8k3XqoC4FwB2AI3V5l+qMgDqFQsHxlhoffSmPdkLJIGq/4/j8UpEemRZR71jDwcgaRkgDp loy10azH24juxFi0LwgVYY1k0sV5ZMaQLxuFJ1z5X3XrRogLHyCGR+gznmFRYZQ/y+TSNK6DbACR iBrjcXDD0ax3Mhg+yHseV+ouFhEI00EdaScRZ8oHW9XP8p5Nvcd5wE20hJSd7MJT1Yj7PF1vBFAz J4wq281iLHiG0oBQM3Vk8UWeEN0ObsNDRCKWgLHcDVpWq2i/f2f5IvdG99g7GzfRY8nzZqhSdL+Z yfMwcfR3V8CkOH7u5t8nZJS2Pm9a+FcWFuqL0eSD3zGrjg8ESyOzDCI3633kqzOoQwb6cDmP0NAL CCiZp/C/B6nm3SP2CNYW8ASqyUveNpC2zrdJn7T70fwuwjvcC2u+Ko6vK3RfynYIZ+1h9xQFU8C1 5oF+DDEqY9ef1PKeE4bUfBP/B6cjNqz0vDXYYzuIImLWKGN7b9eXuK9mQNdX2396Fc9p6JW/L5jS o1xF021rT5GXyYzN3VZJgcj/OU70lochn9mwC4UA48XH3DbW8M3w6xVKWqRXWrsg7fvYWJsjMKtR LWapBgFJUNnMBktLWB+gwZZxSmWmIrkewsmfQHr5UE+eEqn2SzMxR1rQsUYGxpUqc9Yux5LKAHGU Q26ddTQ1nuWAUb4+POK/pL57grjF65wBojrLsW1Su/fELIJMBMnJ65YIJsKE48RdTEKYFlHpWy1Y x1lLvVeRKj0Q9eB+h+2EOZXla96zeRNzHmhkAfHmJ98Hqfg04yYSD29P1g4FerO068SGWby9pO0n f6JtZXB8toPyCiCSGSdUpolvJmN7X0tlwk73XA7sRhriHwPNN2ApA7wRWw8J8mkqUtQUg0SFsHKI 8i8wrJ0MbePyksjzzOjkisZQUPkTJdMbh8bobj56wyNzS3CYDiwvcr8lY/LKjK+Ra0RGJOYDzd/v CiXZXgmjy4QlGIt1Kf2my5CJfZ58h+6U/YDFCxcNWNrphNsItkiq/X5l0RbG/cuQThEJHY8dptev 73xDqCzyUEAhjcuM2v5OxAK7SAhxWNgCF5kIFVYHlv3QHda+OwdroXtZhK7UPd0P4SJr9zLJicVz 4iaYy9KlHvOlAyYufHR0wT4MYSBiiXxbpt3dnpg7/ZaLU6yKeaDmuXHGYBPoTHfSbLxmK50u6X7h 5YecyoLIKdwB4hor/lUUMQoVMf4NMmRsa8fLR3BcA1j8wMC1e0kbJP0cOjmE0m6rNQ5WayxElhcr 0Czw8lLX+DfGAtkHtKgOgAroZy69wFxsxSSJzbwjpkzb/6hz7lf5+jVlELu2ixg53/+QUx1+5AoF vh5dKJcjhucm4zQ9EG3uS5vBZEU+TGzJj4j3SbQP01GzMj5LeAzOyrBP5u1arSOfsApzyTR+3tLB TvWpc2caGjyNlBj9I2IY1P3U4d0cGs72lDAqnx3Ea+FnC35kXIVq6dms+3gyeaYd2LWhi+nOm1n6 R4M+jLDbP/JA8V2PZg29i6kos5ggDDAt0vV9z/NcTef62uI2DC3ajxXp1TcadIrQzDQbFpkGxZsx KFgDNQEcaA8NjiNHQVFswoCy4jFF9ZYnq8A5XrBl/IlK/WpjJ897H2Vker5qpLZJKIzOqz55vC9Z 7A4zC564uenVFtKo1RAPKtI93qRgh/Nnc/US6Yvk127jmCEyiRaknTIZjnPOBALj2qOU5YpDk+Zl 2qxrPiZ+dm+7q7p9t6L5btX/4t0HWyg8m3RtmDBsD/gP7v1FOLeHUko0FVHskt5IVMNYW/Ncaa/y dUEWTgIRk4VGPf6QD2vW15nmIveWbbKTGqc4Y0Kq5gfhtVbducRoq7R9Agxx8Kz0Gn98p3Fpdt3S FI1tYzZVJjudLpS9GDFsdJEIlcI/ztt6WfPfqvuGUcWTm4UGIjGDcnBLg0IMJV2lbqsYvIMkDAeB XY7ZYlv0wLFJ0HCVVfwPGiQHGNL5PdYyu4KvF9ujUDixeNqUtfNxNAKaV2hJt1nGKezryru/L8NB 9yD3Y4PtwI+fmFfcpIRW0/TDMSRv7URfN75eY0K3+G3fuIa73tU24PE2tKRPThGdwBTOn9vtnTvo BykJWPPc15wz+QSCf43UNADnPHz6pcaERayWA4fLP63H0Ft105NRvtBVZPQ1U4w7teYWsUN+tPVA CXvfIH5XlTw8UisfcJ+tHJqvM4oF9Mvb4p7TDO1dbcw4RfM2xe2vY7pGKOBfrpmikKzLEmzCz10u mobd7omhy6R6eMbkGI2YCFzmILkrm+bdcuVHMkQ+jBDk3KexHhtPV+DsZjee5YyucKqYO9tQLv3h vryz+kFw25AejYPEePA4GxdlYA27ymiKt9nsQ+UKg+hIvpoF7SeLYZIJtQJzQdYFnUziarZYdBuK 0UFh/6+ENk7AsW4sjQ0X2Epia/SIb7FVjfzOw7y8jLkqhhJTZWvi0ddMJVWjjT1/luaL2pHfYoUP I7dFgE5KbffrRXLt9SQsIadTM5gE8AshsociMcoojA8NWmsSU40MG9bv4axzwwtRpSDp9TQ5BPro suyUhtUGJCxqyD+APg53hH0FzCkrd1pPSQ+33xxprktVuv1gFFZAReUwzqINIa1AoKjQgaadY9iU iJA15KdlqrhU+JorGSqaEKBRCHvcXi9cBJTQyM951U4c/C1QO+24BmVbZltBVqQx1EiDNhayg02f BITNeJXudJIjwYUyhpNyTl9M1zln9We5BQGoucu3J8TizqF0NBlrl08GXunb5ceeifo/3YVdsmhW 7KZPzVwSdtgxdxRLuhULTWFQjQRe2lWyhQgez4qdveo8Got3f5W1vWarw361znzOjMI3uANRCekh J8Ak2TcOsf9DPZl9GU2T4W/QdKhj+fYFxJXduK+OBLzbZC8gRUi/lmiYUOu6uRMJ4qsbpmsMCxmJ SCvGJAL0XQ4i1WWMczKYH/WT7lyAtgl0aqh2CFzYJOHtAToX6NvWL/6n5/Pd/cBGGqR8osPcbTFz dkiMkNbBYB6pXNY1/Orb2aPbF52s9/0G7G1z+q88tq7SjCr1KGkEdSursYl9jTteQMiSjVAMi8j9 jez0YsveOqwbQoeZ+MHEDH4qHaY6xXmO8dmNoL3r7uvwjcEd3p9C5btF9yhTBLP7MzgxZfsIaHzU 6jTYAEMsglZPKeOtLaPpyzYPQ03jmsYdGvmYGZmBXWSBMHk2RK3ihNHy9DhQdVYcizpIYH6lu1hq WyRLoOmK09NSPgFODOe9J+sTRX8BgqPYCd0Vdzmves1MuXMMkT2/BtuAuHPfj2Lw9HRoUvdpGYvs ZUC/ivu3Zxw2p1pyVkOmx1cKiy7sCedCQu6EoYnrv6QzZ65d0aybcR6mltNHBkMgpuixSaaNrJ1s GLTK+DsK1pcj6jcd6XXPXwqqZAg5O8K6tJSRlqK7C9ClQa/64zIx0KaMYy+1Oq9S1nkyfZ6mTs7c e7FEUI4VQzGshkxGjK3+XFScuLrItbmPYl1WiyidEzd057zvQo3dwgnas//DQPCKOV6Y2FWraQSJ HEHipH+ZCxdebnOUeXg279f233fZeWYpJ1kH+neZHBhfGFbck2MDnhscPDRgZiBKj59Mj8EaJAe4 9TwKj5Nsew4IIQ9qS0XcxwuXB7YAQunw/W8lXw/5R8kUaD5PJDZTe0P/DCQZHPIBLIjs2QZKav3C sF9HuyMI1uqRmVlt4R9bRrmLOSncJUtnjPX84nMox7BvIat/9BsUlX2/VbyxFGKKFQRC5TbII9cv zYsQlx/FvhFL7/ln2TRryC9vMkzjJ7k7oM6qjE50qnulbmhy0/W0zGdxQ2sOxmbMaG6VT7gHMrzg S0nDK9qhQUtKW0/fSzsSb3PqMuJUmDWXjruO0jhoQO9qQNC6BjCb8UFE6dzX8zRHrqvZErQLGKh/ /1KIG9bJWek7ymGp5WSa+GWz5LAILHZo2dYqVJ2hqGOLGKbwdewGkSUaDGrBf56l+v0utdfFtIVF znZB9OaEwjW10QJQ01vSOlU0pnU70bF3zSh+OIx6EAzo6NdnH7m5xQELY6qbvRd4nv3k2mWll9+t KIPaoZg2QExAEjIQKLv7nOgUSmD+eKj/CPlS2SWa/cifQ1sw+/MNbNaIAKeiyysSv38eICmIoINt WI7pX2TtFfXYW7horJyIgMrm7iVQYn4XhPfZWUIEuVu30Odoa9NWWnA7cNbdwYOYa7LQsRD+sXJJ UaCz+riJ338JNDLO6QizJXjwDyAobF5itBc/JzDm7aDnNNOWpqofBciLdl7enfEBMYfUYCpbV9sw jyj+E9ZXvZQTDWdGIlQ839MH8yOfamxOuDlHYhW3zgSX5eN0ccjavfs+9YQK0JIwW8k6g/k7Z+5B BF6hwqhDAMcQ6MEyM/QLoeL9KTiL+ycBlms1tk1om48R3LRrQkkSblRAZT8/vOBWefq7no+kBjE4 XW/ThfH1w+mPXXPgz3fX4Nqde8hUN9GieRKrJoTLhJOeyVyYuNJ/8QDnn7eZexa1VOgZUkrwDqVQ jY/CxGiwkIIyhUHdbWFZdPmSvaYeaB+MXv745K1+X41c52JakI32EBuS5f4Kmxn2YDDNQ47+uDqj 1t5KFBdTcl5lNjjw++MIIo14hsfGNCH1V3+HGAWLlK9GdR36gNEiMIRsWw0C12jx4AqDO++zfWvX ++lK9a+TzB3vnQX7qifDKoIHkSEq0NrGFxkq9t1yBN8yqK/bXqgcFLMOcLsJePJ/Q6bOuXY3+fKh IshRCwdGsCTJhCYKmMsNR9k5nVE0OCGFZ57VvCYZzLp58HKajLWfRowon4WG2TF1DZKLlPQ+vPl0 5Ebql4aDGDGfOfMkb5l46p4V9X4tVB3+2CjFIl+N8cCVwT4cn/NjfKQb48tYUUwmDErZSFMb9GIA yHPSa1QvUnwQvnxJ4Qf6+wLA6bMKy0yR0LRpXJM1plVArGORXuznxjtSyb4tX8oQh3yGPqAXQACe Q1BtZO2nkaMFipwEmTKN6whaRMAZFifd09D+h8vGbQlvrYCKx+/ZQxSQddULkuNYmXpNUxEDVGMm KU6ZseMlOIFpy7bjNI3DERipNqBfqjyvtM+w4NU9pCM3btMS/22zNU1M3Z4xsSWU8uwhqpgONA8L FNq4FgFZriTW+EHq2km6S3gXzQEagBvK/F5j3D3Ipnc3aFa+2DSLLD3JfiQ3mCaMV2CsrBdzp/ii GqVOljn9frdLWcBx8Z0n1pPUglqQUFXzX7Z+10n2LmhPIIaXfioaUr8RNXNjV1/pwSddS65mEuiC u+4KAHvMNYo9KpK61hTw3qXvDFlJ4nRbn9txfn0/qg/mmyrJR0fhI/C7+lg2hhfu1/2fzrHAJIeP iWn6Es2deB+aOrEgZO0shkqOXDfpXf/R1iyqGjm4Ey2pid1vLBnyNVV0Lc0rmVXeLmZahQyM5+Qr ACMdqOAdXwS46RNKVz8LBoX6Br2S22wBaBFKZ30JbCbSlBJ2uy0jJ2K4OU7A6eYOCTXYYgjR5P3s vXTKN2KKfVRhJe8tz8qDxHJHYOYiQ11IAe66PE8T1VClUtSLHXqCmVcl9ib7vQZFNE0dhg5VbjDc fkIeC+JjNUyjvMG0XilQI+FpSv6YRUSxHEhFKSoris8CIb1sg8g24Ox1XTZBRyNU2khLhF4dOD/X /1wZD0+JWxYg1fGS31EP26QSWFthzqPGH1ZKwSNpcFGDKRG0cLk++nvv/NrMLajzTu8Fj9mG4e/o NHJarUJhL8F40TvDa7Oor5IV+LGnoVM2uY399JsHPFo+ogQpQAAzfxJ1fGyimB5sSTdRYF5E2Yxm 5/qJIeOUxVZaXWowSi5fv2pDMWEwEi2FuDs2DDd65ek/pIenZOz7vHffXxDw39dLOcblnFf2sc27 355/TY7wMSC43vEhuEvxjz1M92niW8hNVATMAMn12gJczPhxjCr5HDXrD8FsdczwS3irR2LK84+N iKxF9OsgaNs3teei8ZH4DbWJez6f7pXv3hEXhcx49cQoEQq3ENUDyXwfnhBqm9Ro0aYSZlDaFRYc mnSeHUgN8FcXsXT1gGVpElCCkbOafa79jkHeAzpVXQoRsFv+XhUNLFVA1sOtR0SuNmpLqhuT3IQW 6uYAcb5kDNs9Ytkxh7PDiRwLYORD9G12OWPCN8pEPOzSMjhGoB7o6P7PVqsBs+IXyIj+RUInR+6u VweCwkdH8sHM8aZ7O08UHZ0FuadvtxxHngsRdN9euZ7W0c35sGm2NV9YAzFFusRjWFv/XYchbx0Z Wjimq0JCHiFfV5QDpIs9RBNcqhy5mzWeoebJn3sdm2l2r8khQHq91yfOm8sIjwizF5K1YGrFxnpz F8UvVunjfrYuN7pTycqO+irvPj221Tlork9BPLNheGaGpR2wd7uZAQpR8PC486FRQ9cGomgRJOtj to7OVIZCL+V1pIJ1W5YSke7oeighaFY8JZLtULl27qcT99dg59GVoi/MVHWteMG60xDYjPMo0a+L cmW0+s7+DpQFDYSzEFc4dABG7cGbOM1idAetlHVGtIlZCkDWAaYvPj3hIMe0OS8sdv6a2cr29XKi gIOZAexRnMKfWbl36/HVgj/zQ4WZz3g9TRuYAFfZs0Xe8HXUtoBcMMu/wfucjW7/QLkius/btqOs KDhxeFyu2JbH45c3nIHdHCCtw7sSPGgbo4wdBZZhWsAnP1s2nJg8qmnKJl+dcVIvH8CJxcpau3TD PIwnZ+nlQLWzFPaKUtxPYMzeJpygHrYRdVDMySxsimm6MxJEBLKFbU5KOXIO1HPKRyfAcASssNzD hYZzpz2rfZ3Nzz4LanimbKIBMkVBlResPYu2Xdii/H1mlz+6Xw9/RjuvKdBIWYSWC8GLyugQzSV/ 1NWIPoo8Rb4OANJuzOlebtSnqncvyP1NEGWvf+KdHZOR7GtznCnbCgMkguBeBrQHm8NsB1kx9hWK 4M19uyd867fzUzIKiEX/qe9P5bnrPSls0jmkBpYPEAbbqnNI3oVMrYyog7d8Oc7TH6R8FtFw8uR5 zJou6wTaSiHynyXdU9xJKoRN5FYyzYRfqrQd2reFx6T8s25BQ3UxZUCJtzUmKwVqNlTch/XGEiIz KB2YNmBDwV1/UEkCuy/viz8QT4pyJqVJX6Oswxl/D19psErChV2psuXEz56zSld2vkf38A/XgM1W kK4C0pcJwApJ1uABv4A+CwQyq48VkxF/RBkeeFcffHNTZ5SHsPSEQiBmGoeOKX9TvnRAG6MuRn1o 7D4sMd7h5VkGjCmGp+PKb3zvFBAMYjyJqTxSE+aePmQbG3XWSDNH/yIb2pAnFdb0LJeqiPWqspJv /7w74UkaAH0+qACJjwtSa/6RGrfi0Oe96vWQyL1LZcs6xpYGyY99VCyJfTJYS+7x1bjYoeUKq6Cr 2Gvd+fYa4xSw8HLjrUt+1XLfJCUyHc6GcKFdNTDQNL83QSJB2+9aU0X+zVTLtvF0pijtrJbYPbwS z7//G+wmS0A87pjMpkPaRSnl9jiud12ww4dFKnc7HG3hScN2durRvC14lRaUcPwFeOk6FCnG7mfX yve4OGj+4JInzxNDnej+SpkkhLJx2UcAo49KQ6T3SwwPQkVvh2+niGYcJIF6wdMDrx5btWo0amEf koNFjrTBafShDfRMl+nQAcQGp4fUdoAX2VVRN+84d7FhtqokFVIQaN4lx/bYc06IE4Vh/D91MPHK 0SmGp+NuWZ/zvHHowyD86/6Y2OFHo3oVDF89eH92R7NwaJf0PO/KQnFM2uMeN03gYSVwdiGP9IGk PFrO3W1SiJgNBjMpESUfi44COGLzS9xt7HgmtgQgBpdU7+eVaHxw4sUu0qj79xzfH0PawXWVkxM7 yTL0bICNvDp5wbUJy/6rThWblXy1O/+nHWpSCmMglmJT0yIdgk9rdsk9QTHPyOFejnaBSsqGKICE aah8JdGc9nZukStouz74REMUYnWutUkpkZNOVLO13+iXo8Z6IJM827I/3JUby34GH/TkU/iqs2up yz+YlOREt+yr97hT8PnUJ+TmU/5VOK7jfQAMLimpy01upAGE3c5fkzpMtJZvpxUkDqnk82fwXEyq FlcM7VWi88QAG5JiWZ1pJC5HcZOgXPRAn9hCZUl1Iby/aYQuN4+lRE80EMTPZ3TypWMH7oHsr4iq Q76voSOuwfJckBBT0+Ao3v3dS54K/03Rlqwj3Ryb49B+yc5FrGu+5KL3mMAHICLo7YVkKhjNQxr8 aD+xXhvLIDfU4cvj8ZzW2GZf+0pUao7CPRziSd7g+g3IaKHO7q4ZF8o1m+d1cAySzabQV26n9P9P EWFWSyygpye2uX4mkEEPSxY86bJen7BYFX4h/DC63q77HddpLRBpsTRL+0ik9in7fsMsVTo2sE8i rPWHJCSCSSRolcsKGXQxL8Ea0xn2CTWvzHK/819OaimpN+Oz8eocp4edzrDKFWg20THqvYr6QGKN FutZyFXk4LuzYl0mGer+RCInLSjRb00pXNzRaK7GqkwWyRZp6IM14wqQSKH7/48RCsiN1xF4NxFn IlsSP+k/KFA2npocEcw+hAoMwjE8O0NIX7tbqkx55UEqhnRRB8gACGWJN4M/poC0VuSAtgEdJdb+ +mCnVP24mVc988bVM4GA//bcKmoKTOiwqcdMMEHxQZVnStMfVuykLuFeoGkXKVQpxGcqLgiHReGZ m6pS+/LfOS50sgW/fcIPvTqc+kb7nHBpPs/ZJ6grE+bkyW6BYpgRIZNVy96I9esqM5UkKgcALfVc eelBHrdeiYYF0RaFQ6xhfA8RpalX9jnfxmM6gMSugHnPq8RHPqyqKvTVtPADZ2+GRqgxnYTfeDMb +il8yfms5zTCPhXUKQowS7hzj8eHin7MzU+66b3ZcGIQ/Rsaiecin5/qMftU35bA6O4EQJSZmlXc dqzGd4XcxQqpMB4mlHkYx5emjX1OP7KxjxXIYZDaxN49adpNOGI6bxKBCID8K0Wc7efCeT3F4T5D VevLINx9pGU/hQvskMc9yh9nwl1goUyNcO8Eo5wFfDSQrKB1i+mqNGSHjR0Qgjx/RU3+anKCHsZG EOPL/PU7wGyGVXOIFs9F/YRuOzFa59gAdJSFK2h2KgX7Hwh9A52t2M252Q8V0Ak35rcd12vZI1j/ yC8GGtiL1Q76sHl4rwyXZrFBkQoLpRsCNJ/Us+q8epFk1lr8NqfAOfQskfLWVlOQnBRg17P/X6Le F3fUoW3SzoCXZv3mEeNm9SRULk8YRbmfnCVKWwlIhABspNfqDunSlLFcUfqW5ata4thWTbFZL/I3 r1uHUG8MRtNYiIrcInDRoavzToA0zCb5+8ozRuzDt7J9XW4p1Gef5zhFiUvr/PTmp57tOYaedscY tZTrnup1Vi5Cs+CwVFuAKICvmKkA0p3Zewr5uqLTX4TGkYWR0k/4derxDDHJVCtQ+h6Ff5Oky4gR gogZNhiEhUfFcnfkFkE9NknE9QYOQt2lpiojik4CUSJ2N52yxurHQxdn/FyPo/5pGUhG0/EFtp1E VlJ0DmWVlL/flMX/omyldwminmnHQsAmQKOuHp1w6ZLStXis1JLgJcNHfzTZEfrr9/82WXpYg3g5 Z5k9Kkr7p8ZXS0TXb/kwJQP5L/W2zKBaXTXIpR4mfqKJmhyJZwkdKlSYV9SO24VuVK21cwVpAyFQ +0Bi0Lu8w153c1IW5BgjppxyCgmsVdFEm9fT4zLs+n1N18ev8zMNBgI6YFlxbmnSiXyXM4yQzgIr KjuLcVvCk6OIAZgRQWfxsVwufozDwR3HJpPoXV6CHGLx+U2RoXsiaFT/FVl60CiLAMdNcCm69bqW flil/8X5BxCc2vwqPqiH00eHRCC4JSwqt559TdarEbXM+2kxebm+6rlK/OG07NBLBd9XPCBlsBVj mGeps/HHaE8iXOL9qioqXi58DeOhc5e97Kydj6MjvvOmDCSzpf03EeXPUoCRs9SEP3T2c1VLwgP+ HKsFEvoF3KLleuiab+mAuScE0Tc8npirWXmHVHucJVAzZoR9+ZMmFgw4gNwZnVp00nZnjCcsaHmB UexQADlUeH2QUJ4NXtYXmOtsCHSxS7imt5Syqd51fL15nCbczsKjpfIqZBLORvzSm9C9uywoxURV QRa+nrh/OIiGGlFh9SpHpKPFuo6eL2hL+cOmK5lkQJ4CVpjHBL5peRiVSDNYgb8fuqGSD51sVPwR 7k0iFH86eFPKQHCrgj7sWLWKeJurvaOQcwJfi/E4UFJZD+nDLXrat3cgbWff1jcU4/YqMo5yPGna 4J+QQx6mwW02S6y75uBqiRkqm6QbEpjeA4gGl0TZmox0nmVaqC72P6Rq10fj6vef9WqQUp5QgoYp J5ZqO/3Io43ric0rZVsSjcnWb5VZHXoM6AeP/+ZH87Mpw+nJuK0veGov8gWDWJiPcXuPyASdp2C3 UDS2cXo9Dmi1tBXtY0Qgiku/JbLFXVUjLGZk/Wv0CIWKXrX36Q0Z/knjSU07uTdrhwg3VmyCpdQn 3t9JGN+sVzPD/cL6Xk0e9e8HLsbsFlGieWUV1pwq7mB54GQYiL8mE7til2g29szrIdpb4hHvW41g K4EDZCOul8i5hH7M1YEiUWYJ6oRIdjDOrp2T9CL9Cx6iUcchU9o7ko+CDShVbBUOyHp/IDAtl+1D zyKNupHKdQzXHwjTWI2pp8S2OjA5tlyfFFfk+/GtPadPI7mM5AKebvrui68JjpG5BQqAi2IfELwW /IAph6O+eb6t/3hPJvvSGBplb7mW7sCNKCKH6RgKfmp/3DWj54uvqVmc2in7DyR8sYLU3tDr/ZWc oUuyF9ntpmtK57qv0mZNN0EtfQCtgJVtmWnmT1QQM/3osq8dnaTbDnYSmDEyiCP0z7PYs35I5xnh VlL5KqIrVpAdMA0xSm+2VU7FIbn1M9oe1y+iOOXI3bW86JxkAueTIW3tdBmBhiNaAzp1RsiSPBMQ AKIjnWAoXlQ8suWmGPxLc3dEPleJOS9JIWqFsRvun80hD6vwBvG9v+XLL/ET4Xim6Rn2sZ+8vSEf SZuoOhrZCok8teztAEEDvYouXdWJxYghPkGLgNdBatabR2Oc5zGSnLJbgxv8v/FicW9yGUytl2Xj IOm2pDl00iaJbTL9QO1zX1NJa6tHF5t7xrAtv37Nm1Ib4A+plwJ5UoQigk+DglYrp2S9q7QZfkhJ hHJ8jtC6O81Q5HeoHnCI+B0jB3FDzR6GmJtICkNfUfVSVViEq7+1SfquLiV/LyIi97KYtNVr5ziO FKkmtwsoLXnrUfkK5Q6uONEZcBnjZCXWhlYkO8K6c1kiYsRda0FKgVnZdnrnz+19LYnEnkig+nMK EyksweaRRH15flqE7FlJCKd4Y8pSeYapNpq+cgBrQJaFP7jrC1Um1HmOK0+1FaF5V1Q+BXR0XIbk 8MPwCCEGhIF6ee/49ncib1iu7j55VOxTM3ntZ2NtqJ6GDFAPZy6hIddN71ut/ZaTZbu3YISffgoV ZPIS2dhVaVSv97QNlSZ70cp6iQe7Fph2OcPrHbAt0u1lPeZQeogfZ58t9N5ljFs++nGJ4U2g2GXC D8XpomhePP7cXL5ViTqY8gW1cERWiRFXBv7MNBM0IGwVALmHkl3dHICeT21S7YXjvFkZOmYi5X0o c01M2SQZVWR4ayyh78jDQ9zqr1m634UnOWchaRHkwzmRAES1l8H63IRKo+Di3XVkZiXpIGmWseCP 4fT9OuXj1uma+62vwusgoi+9Fg15pQIcPxBWZ1wxAXNVzKTSbrOUbVwMtZRFQmvVe1TD+TUlZ/90 xi4Z+0y60EARd3YiZa8cfnVhA4rW+RDIuDFSYmw/2E+uzxVsjzk/uCaZRFxkOMHKaZuRzl4EZrXk jTHNXMHwM3HBaCX2E78pDtMMBMddZFGyNrQ2iuSUNEO/T/vO1c6viDMzsurHDdr6RFQA2Q8mbO64 mmCxiExDzOil9uvE6eW27KBbcnPLli/vaOlu7fmt9wFlN6MFvi5asTJOjOrGRYyo6RkOQ8Fw/qH0 j7Ox9RNDkS0GMLQq1Mjs4acscU/1pPtkyQHtB/QK3vKm98VcEZIDLGVOPeV11no3uN29eXn5g1k7 UqenKOYNhl4N7XiK1ri8eVmwpHMOffhNtoZgjpRcYJcecWSyNtx6XSjRPcDr6ZzSo3kx+udAhdEe AtePLQMpIon11+79NcSiAVtpG9m5lLTLFZ8dwEln9kmDG5sb+Vb9DqMGNeSKnM3yHA4z4bWOCN65 IOZPF1dXelB1ObXK+7RbpAQ00lMY2Nw52uKXsD1VB1eUQBq0nwL5Cp24x9PXB6XdJUlUKYPoD6uo QNsDTMr39pW0aODRbraDbZltpo7lUdsKMTVQMf7Kz5Q7cnooKH+2zNkdGw/f0nDcvu6qIJHUevn0 gdVrU7STNSH0i59rDzW+CKzinkE7dbI3yqdlkjbGJr0b4tUAUWqntkeATnKMTRKm8fV6kaYHZLkV YRdyFjqUC9Walo0SdDaD9avM8GOgAM8lY5IR1+9NI+/Hp4hs1y4EIuJa5avo1Wl6s9d9HKCuSpn+ Nz8e3asP9GP5lcpmELPwic5brgpFfiWyPJmjXQCWb8u3GkuxTTx9BlAOCQfcFvJyCt+B6YoYxBTY 0IHhAUem8cj5mioYU6iA7g2aIDSlXoNmYBXGILfpi/nX4SpURJPGmLPoj8CRo0/BzDzcgLYoJ0X1 Q6Gk7+PESPBrqbkTtIKMKiS49hicOh8jHzEVM8yjcqWs820XGION2CqE/qQ+UxclPOcjE0l4gD3E Fka6sm1wluQghRAVJ4jjbCX973Weo3L8xsHT36k7wMGkLdxMP15ZA1CTo2iXh2BwB51XEojR7Quh 6XBPC3Q1kV5NDoHYjJ4LcQp7CFi6u1J6adH0/ZXEMNXCHqDXzN+r6JxkoTVVlbhGgXoVb4FQWwL6 BoL3C0KxjXCGpSpK76LH5LeBvrb7G85uEk9AND225o7ZaNqODlwTJw/KjqAGkqLLNC9+9TJcf+DN NeXcQy62bzFLNfesS7bcZGL0sZshssVeD3MUrgao8FMpENq9zSPOYUJ4MWxsGjq7uGt6MtDkLZ+p KDnszI6lPLzsMu35+/BrpmrLlg7nXqZje9YF+MHN7NEkTCYWr4GKfl0baVQXDvX9ZmP4MsagJUtD 6Z7k8C54wNofBmBpOf4JR7KwCK3KIvMajYogL9fmYQObzbUf+mksVUbX3x74V4VR65IWTG9HI3OM 3VUBLU2BWLwEsOOr53SlfBiMv7IK+wCV1/DngQtHkdhv1sfVJde2ShXb4w22DUOLOBCerEZ8ugHh UlCoAj6U9WdQyuE7vMdcBlROaFCvEEjo7JWc7Zmw6mJAcZJe1w0LJVJNROpK/DJzja0Pa6KlbWH8 sE6Y63lAC5zPOcPO01TIbUiq6Nrp5isbE9O/evILzDfmsCYl2zuuqbyBuOZIxtiuriU3hG5o/LN4 dYZNt4qYgZrGeGDqIq9sFlvMnVG2N/Li1QWdSNIiUIKdYKr7yGwhrwMMgApubn7d76kzFjkX8pCa WUyPwCyYTknU+nog9Nc3cfrLgosd0jEcNVmnK0u79P0ZJzHaR0HOk+F3o5zV46WkkFSxAFWjaPXy BId5PcXdOOrAk9GyOnjFcplRDkeZM/rtxUrygIOmqD7o/q1un8pWEvXrWmywVSf6QXswTdjgs4+Q axKz2eui/Q+Tj3D3atu4GM6Cu84RXkPCNcr3aYjjPT7/VhW9NfdZchMFRGsUuqjpp5+u9nky8ghA tP8yh7JcpwrW5sDUnmGNQFVu1LqoHDe3HTY71b2vlD9rjAGcntmpIOf1uNTB9VMOUbtBpSAo1M+p EYGjJV30bdIhRmWUEPanqMraUEUAwOmbtCY12/96cOLesBRBERQBWLgJD+glqXRN6sFw95QAST/t Lhp4lj8scuEJ/LUge8Y9i9DupLhaE2+vW9e5xuXFhPVAS19r0f+uMY44i9Mk1Xofnn/VM8wCG9A5 HKGDJ9rlH5DMPQtZ3+oOE0zEzWO+CgS5nBubTVCugDA/G9e4grY4oTs5QPBTqFoUM83BngE1z6wQ hqW9G5syOsytlaOUn8ZuUTbOoPPMQrI68SU+jh0LT/hQTzdR5O47SNwVhHHfAtI3R/4ElhQ2V9D2 0arC4LIhqJR+CG+TI9lJ63+CqT9DxqGjA/qHGcyfWhdZ7vzulZm6bvl4gxsK8z0P374SMhAtiJDY IqK3RQ9/9rTEMCjxaDQgtTqp4R7uADJG7Fn4JmcTCPZB9LuLe2DdExVdUNG8RmYwqeo6ESy6a7lf ykxNkLdRjlbkRkSqKhAW8iVr1hB8fXyI8ibLw+wN0h1na9K5a7b3pEJMB3RG5E++bbaHdfHPpumW 73dv85z+2rza3SdPEXu04Xz1diPT4SMSQue7WB08IvrU6SlglM1Hc+Zs6XHtEncMxU5fLLs8j7Lk B1zXAhOv0w23aztC7Vzexg9Uy8Rlg/4F5n0jQQ7tT+7iIUDqOmhM9RllnfOOeMdcN4jNvSTDoBty 0LChEgHS7J+aQeZp5nIis+8Q36TAq0wM2A9GEnwKghPeIFqxM7fMEHUG2poMRAR+w3k2WTv3iJbU VHW8M0Ine8VV8hmL2xDBh+WLOAZtpiBluvSWs6NZ4Volh6s5CPaRX4+0RmnJE7jKdqVOgXRfd2M9 I2JyuiuYZwCdMQqtx6uEglTx/Hl4eIBRrWgjhe92FswZFKYiVtA2nc8bYMrZMbIevA8rM5U8qtEm 2/3+ihJbZYdj4f43hFgjy5/xrrEDZNSqpARacbDYEehbhWkMzU98SlJxDuWPG2XQySjaq36HB4iO Wm2hed58FDYFIXnQi5nandEMqionuSpcOCL7i+B7wjrprgnmP3n+zI8hF+3edjcVCYyP3i7NUuFw oi/baOrtML+xqIypw2zB0SEwu2wCjU9aYRRgIF/y+dYf1zoe4ed41KG1GyOvQMkVfiu0dIABMpS+ /6mCl1hdnpquMjpBHTP7MTYBs4jAc35AoCOBhrIZqNviPT5PBpErJLv1RlrlWeTzKItWaCorm7Jd 1lA0pCuWkU1HhcetFVmWlkv5QKOCS7z0UKZ3ka7aEVLJ6YYjUkYr9qtHE6JQEPOloBiIJc/MNg3l cLPHGvVrboo4pjW+VLpG/1ZztocsDju+gUIAm4kDed0eR6tNdnjvrBDSRX1MXJFdMfD1tZfZHj8w D3WObRwht/gViQwmWKyQ+3Q/XEZZmzAvEoTu7TYSnKuM1lG+sCN+9wPw9BLFwDtvICFgvVyfZwsA SzhltliIckuLY2CQd8rfZT9+wgskjAmW0VwBrERetpvdKsL073Zbm03XassWWgYVAQGt/Ywb1xcV 4p9jGCUgMM6ZICMdEIAEMZSC+00k+QI9T8OA6GXRWppAyRYXXJyBCGbcpCdhPF1cmVXy66wQbB74 TKHo5IOuVFV7j563STL5QPs3cQLa5kasSH77wiqJmNJVxwoyn/tvtD7m3MKxItLpve+Xa9sJWJOd SOksM1PNt52Zzp5bTTAKIYaV22Yu1OWo4FzVjzDXh+ZTyh+TeiDqP5XnbfFmZgn8cDJcgYBrXMlK krXhnCSwTtgKUeYbt58asB1zZdtu8uKBzcPeOu3CYGyRXeZEqIxQg5j1XXEpeEq71bxcpfS5rHr9 OG7G/cuivftx8PWuKX8LeQNmrbQCTarNVeYKokSeBiles+99T2AIw+vb9YokwvBkSO9sz+taFxLS AqT4+c0Dh65DY/uzzNDvWQMDzTSwPLvHobvSQMvEsf65UT/ATZYyK5TMAqeHOyAhvbNpfh3/pzm8 fg+I/w88ekGoFWEjU21v+D6rniuT9f7BpAKe5Cb/zKwO/o7zk3r/l0QOHV2yHx3+eZ/fNHVI1si7 nWCtCIaZENwdjbkVTPmQ+9Hc23duN01bceUTqC5bndB7FW1D0dgcopSPpBHp4CCi3NLyD51YoUxG PgSYvTvZ6xV8KccbTa1NzI49CpohYxG9Rq51+sWsWorphL7WWaME9YKCjwajAXE291TlugTtY29I DzfapMxriM4PVAZC1uXeBmzFeul29TzyXUl5H+FMW/giotagaljRvc/47SFk/VpeCQvJMDSpwLi0 /Zwzco6J2YxlL6n0CszQRubeBpi6WrJe7K+Zs2HOLhUHQaaH7Xiu/iueNnYoXj9HptNfUcyPwuCs DFt8FfnECiROtOMyvboIIX6xg8ou9ybkfgVA87MrvXLF/qzSLbVZh0z2cLRuvRiV1YHeoErGlaNB wCnDa4dnfwWncInpzoSasfZjMjV5i/8u9tysiSqfBLVq+k5BctalwVxhuzEVkGAdFSkoSxXuGqRx vmtgpwNJtiTsfFBAfHMWAzV7J8pjoUvpkwyJOf9QaGjZ7SWmdLwnnULyW8CXFi3WoiCWm0Av1g/6 8t2ac08Z4HF0JwtipIzjqyJOZehqiYmcIRVrFTjh+b4DihBJXH/u8AZ73ZIC7n6ttESST1th95Ru qMXMwaD6Vd0H0UnT0WyWQomd8sMWNrZm9Y31StVB4RtGcKW4mcc3hAmf4h7JxOhvrJV9DmStk1yh /Vy4Z4StsfJX/8EB2GhMM+FTShgR4id1jCpnQa7cF1Q3IRceKhnvE5knGFnyFUMvoSm5COCClg7s sj0szvD/jVmmLJY5xDROWufRLcG12TirQKTRbEwFZrbglY9jik1v+DMu1gAAgVFTlikg+FrhbNbv ahyKBTD8rrQQZcanxUuayNUgExY1jI0Zha3o+aZ9tXVnp9wn58l1P4HMeZkDsZ2BSoUD83f+DN7T CX0h8G0ed6v3UIq0MmEm91G4CA/O96sf89l6nzfdZj5yfdiPa1FTWuHm+2Aie96gXGkN2j9gHFvZ GVBF0Zo5dXx5heKLHJxheUga8k2Xl07hSCKqlsDGNpWezrZTPi9ZPSJcoer5C7FVqOd90g3GbVED FD5GqI5nd98xN3Fat9sJk4anVywObgqHCNsyuu0zdGVF7Cj2rDF1+k1MIBeY2PpjdUB1APJRnr0Z Rcevp75HRj+qEdwfVg65hodrXqlqLJyymZ5jdz55ugTThrfk4k/LcYonTTqu1F+ISysvgwwbBbyC 8WsLUzb4BDKvRejDOEnM/xE3tkXWVhcKeNfAsfb1vbClZEm7D8q4/uyOGBTnno2cn1a812P2tOn5 8E5DcQQdHbqteJjAw2tOswmp8WZBPpJZD3hkS3jJ4IarxXBemKCpBZ9YqZFBQrXI8sCWyLaGE/Vz ECyhX5O3VGu+/R4tI3UzQX8MwyrRjG9idIIV7NrjQQZosYV1mErbq4/ijYGNqj/tYxvoErd2Peqy mLC64C5HRcoboSmtlh8g+H1w+M6Og6XGXP1r6FhAOkYZoGQ6SKrmbLve3JhfMCNWQ74rpyGeON51 F0rBmhagSTsRvEtMo1TCyH/NhDM7ykFH9u+VRfbfHYcvzXqoh9oHjcZorOmUl3DHeTiFbwb4vBZf Nx0LdEAed/YuYhKjl5HJXWG649mJ61RhPD5nQLrRL8k534f0/BBlaZjJSwL1V1crLoNtd1QQdTAB pqphJysCcvUd12SlpbeOq5ly7uRgWzMUthPJzygx+6PghB8VFTLeUCVr9MywSqG3WIqP/bXgp8Cg m3ozMZqRI+D8cetbXOLPQoswSzl0jrt/5X+1EROEnED4t6umO1FYYr4igYHU7vOC2wrBPnRbsSOP 7luPRisMAG/aYvNVqvIhYwvkypuXDC2SE02s39H7mfxRClHwQoxwTbL4eF1nRYAHAumA1S4WJ52y C1ARX/zOoPvguR6vA7EqobOzjQZ/14McHSvo0H9urAR6z3hrFiQ34hSWeb5zZwgTST3moPnpQtH4 A3WVsy9Q2p8vIb6CG3OVxV8O9qZkk5f2zUDcVgogPnj/OiOP8zOYWVYU5RIGSQeDPjHv/DdU9XTP jKoTg/w1mkm/azmqjZO4M8dgmdbyfLyc+yYm3eE9sSwCF49xSFLptjA7DC0S5VP5up/57f+7cLI0 flw28jU2QJ0s6jJOjcSnqfVezMxoHqgz3fwhFrcWBUsqxTrWZI/mxER/l8kA1WxwyIweuBCLCr+q BSvwyJ7oTExUyb6rVvA+rSamUyQRkWnx+p/YFYjP2iYVMs7P4fzvWAS91X1eFnVpU3UsufMflVd3 ZwxM5/qUnktABQjajw2hYybNRNBVzIlet4u1zW+4pyiaW+oOd+/oaH2dJzpaRODsAYpRZlnVr0Uf JuI6s3K1LYi+3dGCYOn9DAVlys1zNMcuYw/il+9sS1eNpOrN9e+GeaWnNbxcvY5tHy9M5IhQzxWs IQNxUPGkZzqbLrRz5AYGgWiNsDI26KJMXFhIrKTO2nKg9dB9JgckXZSxiFrqmNElMxWOISZ6miOS SHTjKJFKWz9ONXm1qhl1YwCfJWi0Iu4fH32/JIzX+IdufkUiRaffeKYzd4+KG6p8wzByHASNWx32 Ei2kSPh3wAlYBwRsE3wgLEQ87+CQvThiBeNV+4NXX5stoBjrLVvqehkZkr3uH8BsXkwBAWBhsuk4 R/LcxFES31vM7K5+13fwp9WSj+yQw9c727P1Rq8A1OXoI0Yld2rojHCo5tE0AFyM/aL238wH+WyU /wbXjRb/qLM5TV3vLAMSmPtpna22nrgYmmUG4ZFl42V0V5GBOfi2Uw6jy2k2QYWACBKZZ4GsT62M HYXz7NvmFOnorTMhGvUOI+fixrF+r2/Ij69ko+86y/mlqtcd0RW70zTXJ1/OxEwdNRomJ9TRql2e o8cbfgWn3+vzfekdMlsOkv0GpTyy1mrToERQ4ApVsQFTONZUBOkMrsVANLZPsdqaB7sTt3hY/kTb ELTWL9uylH03DjkOG1w7fLSL9HNWRwBCV4xiQrf2vhD65x7JaHDdiV3FPnwjW3KaimKkFNCvinYt 7wKWrrdtcZpJOPYqCwgpmNbmrXBAvpm4giIr8cuAoMoJiekGkadJ6Y2z9e/0un6Vt+9v3RtnoFwW U2T8SwS7Yt8sQK+r/l7mu2dLKSprxaoG4qDIY4CetmrLpgWdl8KHi+cbR/yEYa5pALq0k426ugvM zIiuNhK0fWIjaQPl5CCgMBG+nVgwZYuiknaMgqzyIswiwmkPf0i+LKlHkVFVCRWOQiW5wu1Q+PAf Qhr1Uwb3SU/WCZn7L7tcj7ATj/sTOLzaGbYcG0EhZnIhwQ5IFSD5p5lSjQ2JoPoof8KwtHhNnWQB oEpkRsrSzETdrO0PhTzY0Ec428unJYOEqKDJJ70I0h3gCuaOMkUSedY5UgJWnEEHq0ZKR5kCxVr2 m6Z8Igobk5M1b47eD2D1e63388qbKQkNP2/XMcAVVmeJHSUeccPQsnK9OmBbaNPRES16pcjv5VaD JN7wyWDJ8eONubWsqCSrdpZAB+B2LYupmcXBHEdCQ/d1EVBN+sXiy2ws/13kWqci5Kq4Q1pjtitV 8VOqWy7HYjVX0i3yodFwyd28LihfkRaJ29RRbrAtqna9ktp8arzLvAQZ6PZwWa6vUYO7JZFgedGw ols/aHgRywmDtcGdcXwk7bcp6DFYm53WDSG9edAxUfBAvGCj99gF7nuk2+EFXbSSuUccTxLR7hox 41npC4h3qfEFVviqmsFB6KHPgIy2vjgcfyHu6x2ofexr+abtOVMHg/6VoEI26qI1PPabxWCfC1nG gDAdHWz/EKBIVqrLWinWH8LZPUvb3ldloxyFC9wjQ8nvqkhlPC65iILw4hGKu/GBNyJrx1zER4Ye dXQNdCVk6hc5+BCk3P4tYdXsuaJzhq5MEprTF12evR4+EP8GRkL4PAnXuhjQzFOKs33ghhEjPps9 y0q4Lnazm5um3EOUXIXBTScJvm1YEfYPN20o0fmI0FjK5TzUS116VHkSoa5IdIPQxfyOh7nVU5RL hfy5lVtdi0HSNiKyN39Q4b1QgR34PC/PfA0ASzjERao4fsvjjqrbWp0UQlOyy1pJrYTEEymxI21J hFYdUNn2OQ/G9eMoGAVz4PntmA30yvJg+769Evts8Y7ZqCcabSMhU5b2G9zKm0NLMCmRzgCoIkHk UzkSJXrssUYrdLbtRTYdSrDi1Up39vOruvgffeRJkfmZUrwBVOpVAbnLLgd/jgdGrODeWg1C4BQa LRcqfXw4F/J6E05WEWY0R+Tf8+dgqrcvisgTxZ/PnI1LXxXr0RYMLM2bzlthTZQ3uMWoSYPYL0fn lTHXWpnHz1Vhhke5t7tSj7TWdwUjLysbWqFFK2hvsksBcDV7H0VJbOhDF5tgENIdparYCMyxdydV 4c7mTGe7guKI8fp8ZS66TMGRhciq+Xka9iifZJRBosGMeFkKHUqZCkoUnMjLBCIsuUPN8tNdZsGG v+0Ry+lG2PdSqzyXb8/rttXrGbO3YHMqXeu+NbM8NIasTniWO2tAQsVshSqCgc0JxadvYelJm7mf +JKKzkqO9H/XV/HWN9RqJV6CLh/UVKmJBZFPQ3xSr2RxwMFQXHRcufAw8MyFfoY7V1f8OOEiTo8P XTLL+1myH4SLNeXDDosZqNcRIHMnCrUlxr+85DEYaFGfWIcvHckzxMIubS2WVJ5InzRL/mpLE/8v TqHzXolFin6ImPvh1lqpyQqCZBQYVr+0wgNMvSyVvmIJSyJ9L6CFvEquP0g3Da3Xz6C6sMhTA1c0 beAyOqY5OirygQ42/+VtEFH+NHKcJTdHEyfAF74P+FONVvdUh4DQARyuQBBqC0/qkNVEB4UeGBl2 /IM9TwYTifhZJhKMfcXv1Woq//R/khhHn64KiwHYR2Q8lIxSqxBGxNl9LMdkf1aC9abpDEIyJp0K wiOTvVkXFBYFQ+ZZyNYHuVZx41c36K3UwDBT0ragkChMXRur2CUDr5WKLwtJ9VI4fItDukpfd6Kj Bmo3NAtIhuF2NCpfqYfDYL7j/6QTqCMx2ou76VysgVLPee5ODOOiPpNc8tOWwyY4PjjwYw7llGe6 fAR/61/r4ODd4QDbB9UPTTixEMg6wnT22KrRhWZS0luPKR3hi+8R+8igjBxU1l3p/ITdJYBTAlVt e9u1TvdTqlFz0xtqChrBP6YO+OAN1GdwXqnpr1DfQszUSWZglU8f7Qt6m9zDHfypQD7ZDhnScmt9 dQiUabJPbDnrqr+GrHbM7XzC6dTT/YdamySGpNFoRKPwEYkTnL3tkB38e92MlpOcZb45vdT0BgVY o+ViiBwVqfFVCR+CAEVRNe1vpxM9u2IlYx8DomYcmmPAggDBJ7MGYdtvaBCLiJVnpEn9M42kJvy3 OI2wRGMOaaVeZ8DyXCO3HsI3Gj0SAVaajcNsWtmaicXXecPK0JBIso1go67wgPh6GE2+ssKhqfGB +t54kR2/ya/G3V4b9flyQlbY8buIzRhzJRJzIvA+MfKXOgbugDa1NJz/kr+blyolMfvVyUIjAOmm 3hlqsNPhdAOgYG5N9pl430kXGoNHjkcY6dcSZLjSWo/N1FoWPVP8xaH8GNOL8lE/WZxO2rtJO130 c71xD69SclyXD3G01sgIjwDj/vzhRdckQHQSfTXQd73StM5ft0UAfBfLT88Abeth4rA5k3ZHuMeI YTdAzKBXNh3op7Brh3vre4i8e2gcKAwIOjBI1ngtL3PBSweZywwgInNvqouW0GoKpW71n6f+yrqI RRGC0imrrKyipl5hDh/oyhoHHjM8Kn7PTAD4+ss6Su+A5BdP/DVyVFIVkf0awQFNTUL7vLV2rB/l RNR3r/YIHABznK7tPvsPo5yoi1i2BSoBRpTftd6Q7Dr2qBCCW0g47abtz2oK7/ca3BgYYyKfCRi3 CiFn7of48xvJLaXqD+4CC0FamWE9VxLMY9OJW4XLEOhJpIPzQFKUuBHwRBtplA+8cK2x41WwU55c EMq6bZ5i5cf9y0qm2KAn3UfsZt51THGuYOzRgL/wqhPcQzQJXHDlram44oalTkg5GTDV05JEbJox JhYxWiYFVEhDNu6riE+A6TLRXkBSsNLzNLz0faeepVIJOyn1v//8lnqJQOqmGMGvzlazotaevkAy QmSG1jY1MpmXgk59VNzqy3U5Dse9SkLv45ifceGp+49Yc0zjll3+5LhH6gqFZVjJ0uOIUAH2TKec f4CG57XJCjTDX4dLAg8UisFlBZetmSACxz/soR9eSoatp06COxfvp2gJ+5jjDcu7fc9kU+9lUx+3 QitVaiOH9T2bsJEp3U4uCAvuJ3LNgIF496WfvuMVP9O7aUstUaBJZMsyPWStz5wriv7ZWmhzgxGY 10RYnmGPpw/gXRQelYXeJmhIzpWMOmZrsKEkdzoESGExQSgK/WNWIf7+tmd5dIUd1GAz3Il5d4iK 8ejsvfVw8l1hxsbCPT8KB8VOgExPDP8g9g0iwTlwmJT8XrObPoHS1FEPzasYqTqUKe7aoFhQQtg6 sZYeusc+UzGyoiVmYEx6dv12WkyQ6ZzCwGneEGiP04sipHdNBlx3ecCAKTQl84ECo4zoSASjxqbK CqNnaih4IsyfLSAC55wBs67cglk3PlupHAHjJt82ibIXnDugbsBu1P4TESwDiVau4RKLOwyMWm6n CMbdb1fqObGfEmVQE9YVduWUzXD1Fabi+MVi9ObKsQA/JGdfsbUASH0CBHtoXYMnrxZOc0AbktNX XdujWfqGQynOCb0bKCFEJHhrtw1GbmD2xmGxhZ1EPuALSJKXf3lPIqC7xNhlls7VJhDkGFPVJzFP 5OqHB/vkbp1XVcUexu7G5uLv6P51n8IUYiAutgcXf4RbUznh5T4a3nEY5zkPoO6/dMOVGpwMyEsv ZzGpfMyG7uFb08JzZirwdmlpOfVYZ4OjmnOxgF5Hpa84Mol4e4fGaRR3K/5kg1dfA3CXcPbn6CQM sHtaGn7lqHhCClwvXZilMrrhua5njUDTFnscuPcIj9hEjqjebQ+d8wrwbL6+Oi1K9EHQ0QfMo4QR ME3XS0IxjUxDvi3UPT6LVYOR2nWAQYLrqGqJIvYbyyvGxcSupzi5DqIbks1Tso1j6KK/aviSFAQh HQuSujN9BPrgvUmrw9dLIZ/z5UuRcHiZ9fKA3k5ArsaQfpOrWk5cTL6u1nfzY7R2uEMJUcxn+RpK b7cd030llzdiKK97IFUny3Ry0P3Rq8mKyAmj0nKiilCSIb/+OH9i1hEeD8X5F4GzAQqMUZ4XxcEE aElI6bC6P8Gp7XZyMGL0uKJvuCJbUm0vSILI+GeMcTEOgXpDRvTDUhA/VH8Snh2emNGLT0nxTNH4 pOSCsj03iYKwpU4DUhO4xX1cQ/8OP8Q+bkE57OjSOd8FiiBqvQGNH5H6kLccfytRhF44pk9zAUOP +YceliiiXEmJrifP3XZ+yiRk0AtpHEUAlnCRK2o7FCobhvrpM7lpCN2PlrH/IXVx6kJ3YyMVTMty wXXfIFNi3Rog5axgDFOJwWYB7wE/AyjDF4VyImDgCZJ97qN6Iu0Qb0VAVPZD74d3Nfzk/ob/TxUS auTGDXMDtYSkjvvmxdh+NfQ1NdV6HymgJteLZNCTz258i3dsodMOqyqG2g42P/dQtSw9wec2HN5d q8pkZCeJ194FhzHVoOwqk69O/38WqVXz3tjFeTVnRP+vtvZsXxr61EyUq3End45ISgu9OzJ/1RTx pZ0kMgECb7iuUZFO1X4HCPYnEYusCAybU2CS1hBir2t/gQo7SgbdvfOIUJbsdjF0vWcmcWL1c/uo C2fL//dq59x+j3SaqZxd9nl5SVf10A/8zr3S9YnZRtLZ8EdCnsM/jLcfUmKGIPmLyNBgD3fq/EuC vVqzpwUPtMCO7R/gsmJ+8LNYP5ikX4Ue7LY+StaQ2IWljFVdtBvjgan56a3fMTiX2tf34aK3fdVZ SYQJ2OPpfKiH8BUQ6GnZY6rWGrz3fTlc7cPZ5QjrspJSx/gfAhY5zmbnsClCBnIyxZsDtLpkgtNb liPBryVbjn1NZyIeMOd3JiSyNgKMCiN2QY15v0YVUCbmHqD7qhAZPEDN6VWwJ+Ing+4O1p8rY3C0 AUAMAuRH4uKdMpp+yNpxRVnhuaj21VoKmGvRAGkmhLAJrVllB+/Po9REuQgNVTW8x9spefxxQKb8 ZAjocEpLYutnahQ/yRXRGv4e8e9y2xjFSb3WBQoG4YYZTaTc4douCp38wIPFHUknQe9QBs0Q8Enr 0K/Ftb5k7s9S365i4BVM8C+x+q+NllPWTPWCEwXFzGrog0+TjbZVrfwqoPIB9HvDy+CDYGsAkbIq Jg4iw0I4YeQOm2q8Ua3kg04UJw4sD0z8RMZHH//dC/N8X/9geGLp2GRd+ZVHxMOkDtL7eiSp2sGL wD6/Sc8MfgRq0OL7/+FAZ6Ky+BIeJIPIcfQSsctDh0hRFzG7dZlXBc0bOyO+eexkz1oeBxSX48To Lyw4mJ4hwgJ5U7SQMoUrcjOntj+t0IXLu+4t6PaYxyYmuHBr5y7NR9Iw26pXi2yDa0whUUg7YuYg QgXQWtbyFh5BMeWovXqthTBeKLXPM+PjZmqSpSd4KJ1S5N0CElf6CZ+TGRHDmkjN/aZOVx+5FN8X es5XobZdNcDno/On4rkatazseknQX56LMMuClaTGo/Sln4v7V7GB4cJo1B+wqIF58DAKLXLMyPyt QRfCgz3nFamnf8AKxyPAtIGUALmCNz+yLvCofLoruAf58Qa8oZfmiInKiJpYFceIoGVfU8YVifAY zYXXIWy2NVBvbotFNBIyQ3+Sc/yStpG4C+HfR3I1C2lBPzXMp0+Bpf5m4v8bxOXsv83iRhpeVnQS 3gdwAEufs1E8HXfIc4khRZYW1eEa0j0hOqa0lhsiQt/Nhl1ca43MK0JWIYcG3SMkhd4vtIVa6MMr MX3ZszdG/32Zh9lONFQq+m1lcriZfjjhNUnl798hryPV0QcSaj5l0Xjgf+0IvCK3jlAibenU2bzS Jg0ZVBz0XYeiqdjfshuVRXordfKj3ADI/T6b4MGDZrqV5RxCSL+k9afBxT3Kl9uRbr11aXCKNZ1E OdsdF8cTtdNUpwY6UqLY/JLhAMk8kMH8lhcjsdpRvIG2NNN8/eX5fxm9R9QP4CBQBtENylkOWpmx MhntQnlRBcNiyJ+iq53tShNMmgGP4JYPohJUk7JX8bwwZMLa4O+EdSZe1At5JLdWK8SN6vUv1o5X 1IuDcj/WFINDq0Zs2rVWIkXLBgwwA0M/3iAlWTGMh5XlJQ0vcQGSLApwgJPoPRlp2N7C0Nb0CNlR 95e4IY22QnSR4SnHHrm4XlWddSidmuv0+7sHk8tOvamssnkVM/eUDCqN1IG8E/ME6/72F7Fu+KQW bLlP54nIw64bKB20/weItVOjy7eQmqIK5h1uhpfWn4pZQ/QCuROF6lBkBMbgNQTcX2kF3RqGFUnr TAAjn3GmyC0TQACZJ78s2evvjW/7sUAm/JzwRAsl+igcq/6l6nACFQnBu40tlxcCQ3Z+ZHHfWYUM ChJl5ehI5R2/0KnwNfuPcevF1YWbRpRyOuxtChpOu3g0kROQl+r9FXCyLbbl7Kxf0GkUh8tUSb8s Mx6gqk1Kc7661DjbqQ0ExnqRE+lHvZ/LsN/O6HjrGG/kbq7jtEjhv/qfr1z8Cg6HuMbbnnorP5Br ZbKWi5IK8cXjo3EEWSeDcOkMabz93i/LxKTRrrvM6RJAB1k84c5PX7Yjjd1YgT5Y2tNBx6FucDVf KrqwSPNNDqGvRgXhmjWOTDwXqY9twkBuqWaqhsWaHMcYUEE5V53plOUxABnBlNk0Rs6TaQx/8oFc IyvT+JC0ogA4cslMeZN/V07WRhHI81L7/npogkQIC/4NKUMb/dGxB/mHOA5TEmgfmmamiDW+IyW0 L1tueldcjaZ52ev/S4TutIgdnAGPNmTGxv9UAutGvF1UspQ0L5Vzomd1yOnIDjd2Y9ptAWqGfW42 t7jRrT2YW/vFGewM6k5lzfAAMEZEesPwnwWVsnXzCxuyhFcEGVCwSxR1Fu7rDhZt0ZQj3Ycz6b/p R+danWS7WtookKfDTm8I9p1j/Md1Ovcz1v47dz8vlw9IyZDXmyCiKwKcOmSDToVOiC+LCxCFBOWn tn0in1MBvtxyM+z/hXduYTQtqHpVQQGyCwqYlheX0+QysOTSMBx5S27KmK6JT+tLawv0mAj7QHnZ JHr7/vD1AKq9mX0wrNpKIU7s5Ft4X8Oa9nzKiPF4fGDfcyoKwp6vjuGBK6PG5KRwvSqrv94cmXPf J1hMwma+3xD47g1sv2L7omHkNo0MeR/e7Ncv0TAlPL24MS5Gf7sjXUs5GxA/sAGe/K/V+6WszJcI 0uOby8OjgaPlODAKtLIdN0/2pmufj3zoNZ21Q7zPkzBzsPst7NQ5YF3nN5JwIithDAGRrzUm/9jI Iap51ntJWbK5v5RWfqYs40vXNKYi/5mFJcIsIqwwY4wv2mtoSTufg0k/G7cXbRdv3PtJoxSKVjxp 6WiwH1jlScBKshjjdnxOSA0fRcyKY5u5zDBct2l3zlWhbKm116ljGD9XLjRXesvcuqibbA2ZStXU VlC8z1jXovTvUaUPpHjhcaYsupjGjgl7NwqR//QnU7GSn/H8EWKLRuTMLVcC+p/z+QLJ9vT7PLv9 G38qj/aoy7n/u+H1WoCeuxu4Lhj/nWIC8XQLoYiIfU/GO4GJeBxqTDd/kqJBVlbo7+MTt0NkdmuU UIF1ky/RLMS2YFAy7qli8bwmQSVFIZCh25lwrulXPCO/cqSHmW+lbRIyDpm7crxpX5f2bllPZRBp +VIaDFP2yAPNOWVNpr+QUcbO201q5SMVN3om36ycehwDPBjVLg9YPQKknrzTU4RaxZ0I81q7qkei 55CsVSRK+ulJKsSvMUMISB08/k/o+mQWXQS7YpWB664+z8rwtsPEhpF1VMa71+HWG9nocVnZdA2U QgAemd8xsSeSBVMP5UJG3SBdJLiCpt4HWnSCraYrkDLypzD8QxhRs1DKIEDRQZXMlhj0LcDTxo9N D1R+pPmamL+NOa74KrjXJKf/4BXYGFNboiF2qWR9zF163Pw/DG/RsIABMaieLK18YkIO+akKswEc sBbCcG2fGWt/hdB0dWYXb5wkzguiKUgF2+cps+cXMtuywcCj6FSPp0n4dxqPnqE5rk9ULByj1gyl /wQvk2UOYoDr5ana0qkLQh2dQAMCix+BvxYt7oWK5uH78yibFX7enZGQNXzn7jlsdzjrz996JOtJ 6Wp1rAlEPvMKxAfNXf6ZevH1kA4Hgg0Yt3ibP36mq05Xvaa5qvjhAUz4Eg9zhaJgUFTjRHrsmXcM t3hrJiy5rIsN2MegkSpIu5j96oYJijxgrKk1ZUl0nvRJoQCObNbeGcyZh+e0e7zW+KmsnSDOX0MS 1gFew/6DGy+S/c86JGjbiN+GfDy42DxOw2CbgUMd5J9VipmpMchR4WSmeYrZ+FPtNUlRlFnthmrF ZotoDnDoyMBpiwyPbZNfH6edmV4oo4f7iNykIlphB+2LSZDgfe4ryrHi8ekFRUcB/n+xJG0Y6cKq LsRebWKSFXAvwdIuIsjVPeEG/2s+rPoy6JbXg5TJi7BLapHqw43PJ50D4ilRfKryTsztYCTlN9YM 92K2wMXAxNl3KmoGHW7tHM4tk6h7LcWs9thl/y5NnL6NWYzRxmQ6/TXiceDiRQxYoTsvOQT1da7K uTAGWNFeW6UAqOWtlfDkhm+E82gIy0lvg0kR5izSzcVC6NmElRV+AcM6vfa2Tb2Q1AEVNurq3l0H ctcJMPYjCsJu1BsggBdZ1tomEJyWTdXkeESEKBripbDjuIZh/AyA9d0WRnMr1WdEnhv4sUhXq6C1 JGk7CpJffLF4hb1gtpQhuUnyUtSj3yuT0MjAO328oMppeW0/vsUMEibPJzdeT3aL4qOzRiNr+2rg yFcp3tnEFDJ5PY3MyUVT8Nb4+p8b5z9y2c/IDxi4gw3qrsQ28JJCu0d233VfiC7EKQeobzAvAiMM +hAnytbyPTcadyW43/DA+L5KSlj3qukQ0qsLHQv3MlXRf+KWpigtgyecubo9vDnZDzy2MUOLtCOR h+3Q8dZdt9aguu4Hm+gsESkFQEoCTJSzrNRusHP+gZMIA3HrVdacw5Yt7rUTfvWausi0nFZqx1wC qS/2jPGJIQaadRnwvpvGGASNPcxCm54X2ijhgWMe6tiVQMkqBqoRow6lCxhrbMYdwUCSVlMnS7fV 6PBnr7liDMy7tBmxQ+w3ytmHNaRQS5d5beAOGfKE6MS4tANPh+QM8g1B9UycxEI/U7BeoBvcXY3d BrcvlbT1RCxKaT5e7tMsIFFZ5GbrVRVkqIAtZqail+1yzu+0RQQh0p37y8THUl2AuIJBCoaM4xuv XrkYz0KSs4Mk9ZSOkuRsU9f9HNUUKgQgyzKr/m9DDOn06QeYdy+XTqJYhU3V06wWngP1MW1r1hx2 LHzYMPg6Hi3mHAbzBA+Fa1BxX/a1KjE2UqlRACpn76BfCvfrlYsoqjQvOnbd3TVFj4vG0sty/QfD ubmqNTzPxXpmDPqVY+9bn4lSUtNYUeJpkFi/OL5GALQYhfrZkcRCzVlWZHZ8wRwGXoSFGDIHiGHM TkoyeZaNOZ8oI5oYXqNEqrgviGEsd8for+xyKZxWnkHnBK65L82KeYE6kAocTrjMgzu1tgjQ5lYO ZhoKJXpM7anDdGcie5FWx+2zkoPBFPewdXJbDIhI2H9DROFDmgtoYzBp1oKeXK5n7sdkPtACMpDC 7pOsV2eMx0Qm1kd9xPTONkJ+qi96bjT2R8ifP5ziN3UIqoGvKVguf4fDu9F5/t6j1fcY2gF5b+ck iy9V+a6dUV7LpUSh3MzfAlG8lvQPDMUuG+V/RgJOyrKv8nWZdLtzTKjXAM2+QX+fC++1GDYET2QG k+jPCVSLauPlHNdjxuylNsxrTsQvFw+isXWHhRz9iejxCjDt5jlI+RyQAfrpvMeKsa2L72lTkyOv 3e2WgrcUf1aQHMVBs02Jkvi+/fCy4NEq1VL48mJm4A75xV0rqrppAEAQAyuKxfeQTxFRI+YOOlRa xHLosnnGZvntOF9RmB/NlfAfywKr7vVvRtCnoK/eW8C8ECO6FWQXWqdHRE0GCbdJEwGHt/3LkNFb wbVwJfPGxLcO9pOPILJWUpYOKXInzJS2ZCR79qsGNL7n2c7EOgL+bd2goyjMHgs45Oz3VdoYcgvI XI7Iafa4CUZL40VdhY1iOim+RxMNnu7zOfcVKx98Le28oxIroTpNGU0FOBNIZCn5QiQedz/HdFma ND8lmZOMzlxtCRabdKZm5zlsLYd+iFm53HK6ZgjYzx2zDYBhMOX1fcFmBApih0qCB6dr403mh1sH I6fbxnGZTZPx7iNWDfVzo7GLZNb74hSi9tadK8XQBaGz1ojHPzOhVHYjioAqdEfP4yC+88gxn9/f Jvwkv2JQ6ZKFRjfYfVCY8H26g+DHRpH+mPf/z3dcpE+qUu6acL+Ztw6t9HNd2idxA1fY0ey18rbA FOSeRMSbTl45MRVtU3RhuZIlfoq3MQliXNdBgGjDV2V0C3jR1IXP7goLKgtFNM9p7i1slpnUGgdX Bza1JrsOiCgiGA4SsismeDn6K/gxUzZPCkTki1trHoAFmnndgHMlLElfhCTbLsk5OT6NeIwbB0Dw B0X1BmnFewhgj81hh8HaE2S/HQ1zpd3s4Yp0D/wVoBTDRffTEOOvc6Vzj/A/4MEcnP/rXkyo8KT7 PD8BNdEwaFDt76LdTCM60BGOF3ReVItDKVj0fngIsmf3VcU5GyJE10mARJR+aezFcOdKt7/M4cU8 04rThmN3Fj05Eg4k2D8k0Ew5kg9LjGlU2AClRjYve68EfLtwxXN+QBasucOGf4h7EwFn7ifKRc+4 LRSyxwhsQ5XtH1u1XVxrEwuE+Q9f+H43on4N8skgXyOOZf6tvePbDr/lJr7uRpC/5gzRUtQfOAiK jWLHBD2c4kJ0Fl0rETVdBwuijQi0QFBDvapmztn5tulq8BhAo8CTxrKYukw7jtkTt8FnLMWaJrLi 8frJTPjZe7AmZQeYcqIXLGe4EWQbsDxdc+BWfUwEWfz4OZWaopH/GkfL/8Q46P8GUl7C4CBF8bXW cECWYB4M1lKh8xl06NNgTdApo60Ai2qKgVw94+D9vCjT4ZBcrMf/z6eLqkYfBa4/KiHMtZ3CX2Mw c4NsW9EgEynWgtTwChvzW+40V0b/R7aOI26vUbvi8jRIcVWmad6eflf6xMkiwiJyhs5i2vX0oaoD tc3URI06zXA6YmTvRMzogN/eAgNZdq0RyxOuCX22hywyT3BbJxZ6CQwSC/rg+BqHLb/p/gRaknon 8sskaYuuWCMAskJuxbX7tuj2HnSTyx8uXV+yzJP4fb0S4hTmk+p658NwN/UqeJNHMUKxsYFZzS74 CGK8JShzWkRmdogtsSfoSFk6XyenuJpHBd4xtf+nUngUXI/XjSsSwNCeSJcjcpeFZbJdLxb1dKpg vQOhTZGp89HHQNxkO66EEMVRB4gFvc6h/mB3FP7LWiDg6QcBR68Bsez7A5FA755AnOwodmBWhV5P FozEg6hXCVweWkzq05QMNtHObZ/noDpwVUZWJsl/wWNqeeacvqthewOjhrZRDc+e1F0p3i8M4S5a mQ58sgO/4pUK/zWs64f8Yu/I8Re+WE23w3HHxmduemaVr0/5Pk8/i4vcqhP55cplouq0UEmTe7h/ A5Lh6x2+mYOiSboBDmTESs5ulTYJWePyDNtxPJubqGwB3Qmh6ja5M5swNmIjPCnr5jTl5R10QKTj 71iE0cZ0blV8ni4zVgDtdR/tknHJ+6Vv5c2aSYQ+O/fMa0YzNyYXH9Czfsn6zJVdXtPoMUZnMQNh SwjHn83jDcHFkiy2iohu1CcHM7d2TVZCuKDKCsxrzinmhVqsWhcIA0PjdQB/DgkEjyjazeWTfw4m 5EQ2FYC1kCSu4GmFL3KJpXacDyumIUgIOIkV6ChYqnOmjabmQ8edkUw2brB2D3q4yT2tI5FuVUVk WFemwNd6XjhZM0LEqtny8HxtVhmiT90/M56edSGLBYXgX7ixV8qQOIA02nXnHQsa2unFQjDphD3U YgQd+JMnvBAYmsYLj6v2p9Q3I5QMlzkTKdbupiUXhOP6suCyVpzOw50K4IF3DeYfILXO+q51Xnvf yn2flantI3zapK1ohEP01n0w7bS0ElYmIL93xKRp4Ya9hewHNGTQCr6cn8fAHZPtIvItBOaFWfWg x8ImpkA4fDfHSeG3hFCFokrUDNosJezDFtzS64yxcDySaDcU7AQNelA2g1Nm9Te87t0nJpWsUvCe mvj3GR8h3oHJldmLRm/XBiUlqlVWMH5U52SO7PSMsUgIHRv7VBpXOOyUtpBBs79db+eyJhnHt/8p CGHXR5Fx1FjQXbbRezYPGqPP05RhatrNzRKEumh49C0ndx62TwbhXZwfdSpFGAqjTmmbYQR6F8dP QF+21k6cKOVddM4p9CxSQU7Sh4fKP6rGjUUO15qHNXRaYnIaG5YivA7tzv80292tuBLAUgCrLH1e MlmreSn5Zz7/ZWm23b/lGoBAFkU5FKPQKLaDLPXtLb9RZ9yd1iV/wlw0tu0KB0XSe1YC6tWoVZCG j4qy05PilbzCWBsYyWZ4n/ZHBQfT7O6LSoHfdbq4MdmWdeHQJVSve44RrujB6u5EXdS0jji+FBzM zwTElaEQGr3iRqimuAOc/MqvG5H7TnCT78w0HN+0tJh0VjnttupImgy1cQ6k5x0q3403vxohn2dM GtN5l0GxDv5DBksh/HnOpVHMycO/ExKDl5URfS0ufWhj0sBJiou5e/Bvwf2UpL5k95zPsW6VwtWt bRvXPHRW2o9I/xfWl/v44oOBadyzMXSx16KkTVKf7F9AW5FUChoUHpKSHMBuKUzQ+PNCNo7Fc0KE iRaddDIvmz6PbAqA7XDowEuaG/8yeUtmDPYQpSdXEOvBs0w/Viu4yF+rPKl/bk8CrsLwJSI4oKuo Bpu/NdAssJSCusUOvu/nu0JW6+c34Sfqw9xoaksW3gQhhuLaIq1J7uSdLFZKkP3AL1mySzsVHbfw mR7NhkNGSK6DuvOmcBlwaGYTBV0UJl8CmZQatp8iNuR4OHwxqg8kAFdgemv5MrJGiUHfqAILPMoV Uq3KjvHRjfabPQQrhILfoVTV0WK/V8O8LWM8qZsYXyRNFXLsGiHnwhsebTk02N95h/3ZHmYgLEIH IPEq1WGHmAbNDLvh/tLFUfDNzrkkWB4WWGI79VRDYkUJdEvdxV3oB94iBlAJIxl3Tk2G2UrsuoUf HWniyv5ysTOUtEk7SHiZbD/RwWqo9dEA1c9zsEv6uJIZmssLKD1nroAjtn6ZFyA9xTJLPmCgB3ve ifpxuOvefrDaSJ0/nBYgrVEB4jsWeOhfGqpe/AkB1Nyk/T/SBBhd1KUZDWGVMJoVkzUuxvUc3JRs JY8F4yImFwqO2kWERCNeqGUMIyEuja/IE3f45oIUKFhkOGmc4ViN2vGBCvAFnlq73NJjbE0YYHAs xFyOwTFj/xIht0zFEq7JNwiehQ+mRXF1U75sSHxsJRkYjCYEPsIkn9RbNZFwBoQtiYfDVpp3AJUo v200cehlvS/CKAOfcHJBg7Cr2ZAa9V8Xg3n4ArRt3zIgKQO7yiQxE5Exg9iu+GssmjJgyXSIS/Hc FagR9y+yIJ9Kjb9lf0i41vuzaQv3T4mmKRwYzUo0eeKpEMqTTkhTey//45SZzwVL2qmxZ5vPYjZB RvqndkFhVVXB5+PXXEoCwc59vR/r0TMkY9qUZMUWxk7a3nqQd391rfqEaJwd2MfEd7HM4aYnSjhL lTlJAU99N6S1+GpFiOXFA3KYn1J50g+nKccLiq/XfNDL0qTfbicp+CYtc2Od8cY9zHMwTtC00W2v Qu+dS9/ypu2brOukx+TQxNS/GK3OOQ3m6ys4Ych1gjABVSxX00zj6gvs9ABK37lbXJCxWGrhiLPv D0CpYthhh0Ul6Eqj3yhYxMhJTbs0CxBSTkXs+blwV/1qY0vgHJpOdoVpi5FgPEqotSTiw+xpy5FA B6E4JgKbTI6Qls8Wny1PDONl+6dTTOx+ItHhfmUXhqv2Iu/5Frh6dQHk7HdvFteoW2AQA4mDWv/6 WAEsRbEGGQ/onNHxkh5JPhwH/lN/AZwx/fdbWBBmF0orUBUsN8HCk5tcszKmxSPS/g6Z3SJ5ady3 6JrSJ+OLLiduHNHchMruwFTF8vdnQlqwhsBm1Oc+nPZfjjR/B+j25Zze24FtpZpCrYeg+54bVK4W MTm2JDbyIrcYRoAwwTQFoM5kgvv/bXAc+7Ung2Qfa5MLBDSoA/CMWK9TvpecNHOaTvWHR6jw4k2o do40hlZkiaYiMfBTM89WTa7OViGD0geMHTKGEGZdPCxUg2phkuY3bxqOiENgYRp72R6U2xP08gyq CJfK+if5NDYwPn7DRon0/ijADLdAfNL+palS0heWnJ6tFgUqHDN1jHCm/d6K2qwTeYQLeTqthm0v gICbkf1N3aHHRW1N40HO6/zXLObMKAuHK6CGE1aYV9nLN7fZV2Mr5iDcGqVpFnauVaMdmKNJc38J S/vJ4KId7DZ7Y/sQ/gmaNvL6OTXkOxiNinAI7j0vI4Kp7iDZ9jGpK7lk0v7y08JF4SwgMI0ygV2i HFby828wBKTGXpYaszwwCUsDSG+ezoTL3kqnt58rMydApan/2W7O0am3UhwTyL1wCeXzsuY8NEbz epJNZ48yTpnjIxzfp12evcm/yRcgyzPcyIhZm2FbaC9R/whXjavPUN6XpN4KrTanmG4MdcTU9PHt G9384a+nOkj6YVbyqm1GxD6voMKYTBRxc2ClivvAzR2h4eJof3LP94NFxsmamvW1fkGE9GqIBwqM +UoaNqOAg7flI6DeEiiPP0meUtuHrcGlZkTj6bmpBOM7UVZbETINElt6oxzjA3d6BDEpM6oVTG7g LdOJIa/mVw3yK4NHhT1P1MWL0CCQNuRbSPDc548WYBTcC4Dl01Qnq/UJCE2yuWkaUPVQzgRlX7s1 OHsYBFqX5pwCzoCvR84qjvDFgoZw3DXjt8/9LnDbdJvKXatyfgmQiL+PWuzMbiE1OFV4n4cWxJeW vfzzJi5Vo7DR5UFkoyfUu4D59bQKeWq5K/tw8EskaH0hnjVlWLlQCPkytuvPIB3F+t6I5ATaUNos aPXFPv5WoYkqmyhgbHM3mt2z61RjFNWt7Y9Czut4DWaFGWZqKndPX2Z232KuXJNR/CAjz6z+49db i4hLogfdRIgInjxv1K+fhA8J+V0u0aKq0Xlo+D1XqzGWP7fqWcuMiTvpEkBMQ+b76SvUVDVkCRzb US6Tupv654akMY565Lwtwmrq844+M1765tNGCzaKaaj0m2eCMfxDxHJkZ+yZs39zfvjkSZYF2VTD m3KTzY0+Ktk/TKPV73i5B1MKQPSWBLXh5lJ2V92VutY/l/5lupLSVePq0IoUB2Xdn6MhRNE2XFlM dkAhGNvD8OBGMIdTbz9uO7d5Esl0aXNiQY3/uYrbUqrYNJBad4xZuYIJknUynUyTsSRThL16Bn6B DVPd21uLSKEmdBvAk2lwyQ7pCrzm+VCLynvV58aVpVq6brPK/Lw72d4pWBZIiyA/b6wJMm1zfih+ 302Qy4Sr/TAKlzqzsyKR1M8/FrbIx1EExlei4x63tLkwgElqx7DkX6aOqex8USaBK7soysSOcZR5 xlxagXwM3SKAave/2cLlcsrar9ZRhXjgTSkHnwSHz5uen+iMkQBwOyEnWZ24nZVfreBbxuFPfACP jBhgaTYt6KNRdtc/1azoBgVXsVJ68XX4j6Gw00UVMM/Durp2h/1QwVDV4v2dEY3VSh6kqNTW+05n 4HFRD3mgGVJX52Tr1nTBmmwlRexvhDK6RCND5aEKf6GKol0gbQHKgur9ClpBGOBAA+RcBlREio1s ohUJ/z4301qvgJlewv0kf5FyWyDQrDKBIS4d8nr09xaS2SNicoFE39TTtb9uj22XqIXupBjjxaXh lc2K9AmPoJzzUxKhD9Rt/lxGPlZ/tS5/CcJVILuZ1jmDorqWTNVOdoSeJYKrCo8fQKQmytW5eIQN uv4nAkmVEev51TP1XhdhRKl/kmHYQQUJJ79A8okuE0VC5lrzTeKLKh80/eisgPCTqGQHv8/N1mjz 42s2UJh2rWSdu3VgrtIeA/vApxrTdn+IUYt82j7NYM8A2uYGSsqd+TcfYsHLPLDX0hI5j5J4olR9 FQWgmfYpFXAjFBUaxSyrSGEMZWPbVyntu4ebXacZLKafSG5Gq01m5zSMvX3dL2fTDQb+9kL5ZKqz AqTClM4a0G8Npns7vrcrjzW6Vv36gHHQVh9MI1AFbAqmWFfola0eH2K6mkTWffRR1FoiSz/ZFmqw AkjqiHIwq3l7BUI4ffgLh8MarhyVc7SE5mqzJyazpVrZXa210GC/Xvs134ReUdcyrhrOsZqCvPIH PDqE/swH+ZP4zP/QGzRYtFr1BvlREeYryPHHkuKPjAeI/Flc3PQ6oFfbA0hYR3/yrRbn9oDPnbgC 3H2JZNnh1AzB9FuGrdgH5wLPnsOWC5TnXnaZE+E4k62wRW7MdK6J6flgkY2gvw02Ewv/exPrwNOQ 9Su1cc4/Ic4f8lqRyng0gKvdriKfvpaxby13XLVNK9MeZveXIDoOCEYILc8RkWwJGfIWcTrGP4lk HEbPYXZbBcUxq6Mov5k/mTttyzc+z5POKzDAPuFNt7niJQK0TsfkKAqgwPdUafkAk5wq3fXMrcSw nJ3t+/uTXLX2zVqFVlWeG94z34mh801UVJRoHWmbhMjfeYx2RwwbJOnW/edlNpAaMJddkzmbPXKJ QxP2o6gP/THLmassy47qjJIguPMCZ6/irHM9ZjNiuIC5QAydPu1pEannRn+TBmMn3aWYzmz62CGW jhIKNANngb4ahf3l/R9BJUNJh3LIKEp9JoM8fe5VTInCnxqz3xvZZ9eygAJl7tun0t87QtlISajb NNaYji87xXYQ57GQGV421hGl74PtG7vb8oRpqHU3S+HvN/0lyjLiy2+Yj7i3QKw05ZyhK/3PmnDy EcMZzZ+gbEnkKJ0uI1ZGXjcOc1/MRb0+mf/eCGDgh2Ng8mhGYzEdBu+2oOcD5oUISj3YVZuHoCO3 tnqSIALv05pgVbJHNO+VtN5ly0aP+34WpY2lxrOxkyIUMjlr4QnH4YC33eGTaUKmTqSAfwfn1ARr 2goXLe9xVe9JDqEJ6o5eiiA3jF+WB5OuUAVdtYPZIbUorOkNQZ/H/mfOzBm7NcB1OH8T1Y0y7J7X iC3fyA5lZcSkYaozM5qsPtdpz/oF/v79yrxkZ6KGiC/Q5px1vBpURJsGR0IAjKaP7UH1Pl6mvD6N A7eyxVfhxSIjJaIkD6uhtNGc1Wc4xz+QYicvOPMP8/uQ450iHGnrcpQIO+KM0Esj9YiMgFuE5qr7 4RQTMLbv/9fwodPZRFu6jUC+2s1cL7ClnSZmAlnsC9hNGmHO/05IIH1UZuyMs4kMz6dzeWZn+AOZ 6xFyrcc37oLPBrRa1b+vciFr+ZUJiiUxcqTWNyYpDK5RKqaCZFXhj8N0nzlFkSdKNFI90KuH3AV9 CVwBS++0GFtxk0Sl48WSj5LfoMOF+/j43WZ0azHaTihWrfCOh0AumnyOyWbVTTYP4qD6NRot1ylZ 1xG/o3evixhb6n/A2PGdzviGN+yoNX0bhBLT5ekRrLdgbBqYa9i/YARmuNPyigOI3/H2k/fTRSCf Dnz+8NEvoHclSPXiy0F2810g92edOmoUHZGkIx2RlsEewWpipGXVDYxP1Ps2ik3FuLCvJoNFyKSE GMQP/OLnNL/GImMBrtP8z39bvuy7FqhBxIeLJfPvQ+9wYaywNmsvxH2/3Z2mYdZfb1l8tPT+bvnR SufrkG4kK8K7XqdktnXJWMYsrzv/7827o66CP9g+QZpP07LhmYIln3lV6sabGgtxlDMppmFsSLGz l0OFV4qK2+uExwDMdPtUcLxs9pzm0qjmTxkInipcRAEFbDd68P2w0ljzG8r09P4kvu1PgTKMw8rc xyUmRc/owKO5WGAz4zzmUdl6rliLxLtaJoHCHXygnR5QsaJVh6zLAZXKujh5ZpbcyAcXaCx3dNed 6fySy+f4WBdTSNhTrIBWljFwE3UKE6berXv7q0jkCyiixoBYOeE1TLxQr+VgZmsDi89CEQrYwbTg menWD6NQtT+kIJPRGrDnU+QZZAXdZtYRopyDWxb2YqFpeYGO33BI94utKLN34neQsNwJcuWdRJIp AsQTrrCr3SLNludI+o2hVlQIbgINhKNtMZ/0nl7bd+I1nBcg6sedGWEyb5aiGJxna8dzddlbv3Zv KEIWxQuclSR30ByGtq/NePnx+ZIFDGLDIH/kxFbVjHifChQI1bSi5NLUWYxMNEW1qgrKqFzJu2p/ k5qEWfX9VSkrksXUukg4jgcbQTRJKEgFmgt4lkcSFbR2vT2+cCHBGTe9/OtDSwGhqggTX2jIQhrB y76BkkY4JMvqPdWmPzoZK72ETcTLesrI0X28vzXEwE3cUT9xVT6bgkV69yDsmO7IX9xDRZzltmUz SNgD2ORpVZYGVR8aLNAh4sTeLjZdrUostJSxCVI3GmyBCPtI6XqzlKkxRoYX0U0j/41G14A39N68 46gZJcM05VIxkQwsK+kLmjlS06f4326QrtzbwBdjjN3DXWxe6krZCh4Saqfg1yz2CjenOP/m0Mgq 8Yim9R0lrkJcEzL5dRwDlWfonne6MG6NNxu9NbaW/69bOSFVf+9QGb1ELzUiYELHkZ1/O42XjxRG Yl6HRDoPBoI7QEzn5gsaQt1kLcg8A0OR2JiXdQgB0O6OyWgMdTj/nUJf7mZCDPhIiI/iX6NvqfGr FHIKbLU91GodzgzDSKpEV/H3IsfvHpxCPefT4Ri4g0Re73QDheYsxqyIKwk54nT1ZZhpLc/FS+BI bRBxg3nPtLf6x9witK0ubE851Mt+0O6e8fiZ9qhiVua+vV4bJI9pFpE5iTgWnKW4Jdf7A7lMnmQC fcbB0/Oh2h6xN0j+cPIif1G/MsrA1Anp2S5WvpIg46aOCE9AO0gZlFiMD7r2AOyhtq9Ta+3ioVDU Occ5IIJifxumtGgCgAB1au2P07kIlgmKRa8vvWG6SgYhpbxRHnTF2vNvbskBsI0iDut7oXN9VAUN 7z+Ca2C4S1NEqUpAG8jwkqcPExi/zKN/e11nltOhO8jmiDm+k7RbHGLjhMh+h2IUEFeSM0sGcheN jgSxb1QUkAW0EzhAy5hfkqfYVeA9ed0vkn5e55ZMCK9ExpF7ImYIvSziGFeXbYSzWO2slknxPIL4 9m1emAQSzZZHPu92FbYC1OXNxPqQJAU6GscBrW8X9Fkvi9AuJ5cUzWoY3jGQhngPq+85cHbreGpn N25+/fWitvTLgbbI6rumEkVXeTqsyclz+nrdV42ebYtD2tRznZjTiGloYdfBwzt4ppz8oijY621c uc2kxkom+ad8mghdsHRbO2NYFEWcbTeqPUuTFLfhaTmNVHZorvmuQYt0h8movlGkmkJ0uMW3R/kn 3k4lAREI1zPBz1h/Ud4Ee6YOUaNqggjp5TfYa9dA87dJmmzFvot6vU3tP8XOdgkmoiCcrrsP+CAH PhGWHzCe8kYBvRDO4u++lZXQ/L1Ur2Dt1gt63OjtvCAikwATl+IMoNrZQDvVqdU9OsKqYbQy70gJ 3mMd0JJxwGU8fFLeFj5Zy9xCCYre8p6JYs+4czQcghvSnnHu1+oftUE3G0wiXn/RhKe+T61Onr6t GpRuwzfPHOEelgVpwmlPcgP9kSzn/RZiG1ntejcKfg/nA3Z8HoOfBX6Ss+BjZHK+KSZvLgXYN3zT zEXFbZWG5xUQ7Hbqztq5UKx049O1GsZcfqDcEvg6UNiQ0hw74Jx4cBk2QDmfYAd4V/O857IWEjvk HDD2P4NDASjC85b4YOj5POslKUvPG5gf7Rdd60NSeuEfVByzsSBbkbH//blGuy5b7shR0kRTCcLH yYm7EWElkNhsoAvgZTtMoh0npYvJ+TaXdAjNyEDcL/yOhu0lF0w66eGW3ryBUcWSGtQZu8pqz5zy 5IgT+KIut9nezSVlAdGeW6C6VrCmAd05w7RxFivEA1uUP22prE02Dp6+tlIKUKDkYP4CE7fQnJgF ig3kOZ+1Bj6TaHBTR+XpLTMpxSZvnTJqMt0MrFzbsGg30v+1C3jOZjVm3dzW1fNDNZwXu2hjwaqg j5uNdUyIc0yZ4hzBvtExAa62DadslR2Ri07kl1M8Uxzjk9VCav0ROX6QcrM5LVG6h9FKOYMplYTh q/Mxv9pKdd5dQ99i9CzGNdbaRhMGqJCQhRyzJLZUIbienentd/hRQowvIblXyIaKchYlAFbQksEh kJIQmmy7hDJ1pAvgQi4aoqGqJ26CpJZdWpHA2GUFkVaXsmbgOjmb2TJPDKQtJCYLVU7N+SdP8Gk6 m3jGidAePRiph8pPhcRvPYSqAk8Hw1s1RTMtfXeidM19/Pu70HxzMBK20lcewxIH6dxDTmAohX4+ l/NzQYQsjVi1etROTK2MhHkLne1LP/bsKQytZyoUTgVd8W9Ae/q2SiAxtOuKEAnILcnOi080PUlq tqWnXNLYJa6D5p9SSw1RdFk4aGurpHRXuSBHLWDt6+WYSN+Nv4pfEJVhsyRbRYpNg44o6QsSxm/N guNMwyviXgKjGnBiH9waalDG5/zzLKcqW2a+pxvZOzIl7YJSWR8+EoZt9GAaT0AIq/16RHsNc9iJ S+ijQA9OrY8Hmyta1KVHSQ33OSRTltbh1yLR4rU/Q9/ds5WU91wGEWDfszEJ9AhjWTloV35W7zXt KBKEvrTPM7lPu8vIKwq9xqdMxfl3nzWur1tnV98uf0qRX/jkZoh/vfV1Y9yd0tvx8CiQEWQQAFlM +Asl5GoS3w17rJlhdR9YaQ4KdMakKZsREiec2DihbkXv4Gezv1xrCidC0ZU81b0xnFLHAz2c4SpS 9IU4MhXBdQliyMFTClmCkLeGgp1wNNGIsZr+V0TnQUzN4Oox4tj4jlmwRk2x5ElEBNy/47GKXfP7 CoLVYDmB8WqEuuDRi9ByQjK3qr6E9qJ7uP9zpJUrFBS5MTVnG0QaQneuGUQa+Mob6/6AF+O6cZEK aTHG9CRztQHyLHW+Y30jib7SVbFOgcQanxFwFLO+8h4H/ecG9xER7PuXN0HsHvPCpLlvbpZ/DQoL AclVI/Pj45C7FfF7SvlY2MauQMH5+hV24ehrId6fv5h75VcJAPPLloyAL+ZhOP7Du+cv8Pxu2MtE ACaR6erGT9F+S9I8fVe+1L/ONzaXFgN4PknUL/ZgZHv0K9A7cH/NxTYBEpeCnbgGl4rdv0FQAsQI ICQdh4K+mGj741w4zqmZtpkBLalZA980FLYqTUkSxk04H3SvdyEadH+IuYs5T0wQLr7tHLape947 1oGe2sHvpB5WeetGNOwGSUf350T6rJyh/xm39K7H2lOgEJeqqQ+Z1bnUJiA19gyfKOfmMDoV8XOv LNPGMydV/Z2s1wEatNMpLlPXUZVgig5cuJyqr1V7e4s54KgKPPDJGqlg+2l+SNEtK/msk5FwJ+sm BdmPxtvP7ydPdZvflkOGrqLxUENvzSOiZZlnYUnuGZiDroHUqlOJaXaWzGv9rnwXZGLyHfNUWvHK gvsN3nqrMKkkYakT+PChr4OGc+442GdcSlRUxgOdHetVDw6SEzExFK+SA4CiTduEDd8gbZiSpCxs LvrAPE9CMsKLG335mAHeoAqBiHQXUpWELZTS7XBNu43Rf9SIN3KD5lt7lwvrCOf36W4ktmjIK/k0 WU4hkZHsu/NiGd0sJiL6Xuqxhgb1pmyoztjVbbSY2QXBqrqBgUtDbtI8MuCux8SqviMo6kqFp8Ak iTxZN5lKlUdT3n1m88jJLem3ruGId2iLwcipFS+S9AdxhOjmIuSynwtyKerHJwGxwBdKBQPl2wyA Z1OBkEnYf5IAoY2+UGoFi58lfvea70MBvcz6bt9FNTs8QK5eRm7/u9jQMaGbUKtxXuXI9TfbrjFq UvG0Of+bY0g5zl8X5HUmMdGItQCkuEYiNogcRwfrsv71H7NTjCHb2hqwdMI+FiGR1PdHOdyKWJge 1NtLfnOE4Z7VUMSiF7FmmEQw5SfppNEgmT/MBgK5Mz3gKY6QOIHWP5/Jw5P+VTULvvh34l6XQvwa GETsv3x+3zbA5tSxVj3Qtf35nC8pyxELXVbOYqlZTZzMemWQDPA/81QDfV4rQbIsFDjOVjJgxx5y 7LlSBGbKZB8nOhZd6GTeS2CdLKJVOLqF0wvDlmlzdLSjb2YoSX4ul97ME+YwNCt9KAXAihY1s/Hr uWAzi5YvN/S+ChNYrXJNEliV/A1ha7poCr5emmibqSxxboRMWukZUI9nP6zgCTK8m46HrRTKZSZr C5W4DazjF8vxx5TTDXWIIz8IrdHtyDeE9WTJ9L4xp30hfNCPv95Nt1cndbgmog+e/NYDcRs3YprU CyomeuDw3AwXEHR9t9IbMooxUsxLhTNRMAW38YuBc7x8MTLVXdKw/cwB9oengq8aURHoxb2k2STD G25zZl1GS8Sntl13hcDWmTGNotiXTxs4qS1nruuOhPZ/dVtUDGw7eeV8DBlU+gndWL3IBkJcrD6b oh0zWJeMkLvd/Tc3qD3q20JDZ3H+Dgcnoyfv9jbAk1VUcY3gszn7YR0uWEsOoVtDP91FMvWxeofh SS+P95cWD3f4i18Sav+wx96wr4toggIHIHjemLQs1Ab2t+/1rGB8yPyP4r9AXUsqaKxMCIikejzw Nc3Omrw2y2+lUZzaugKOtQGpa5JUkeXBYzALmQhai/O7KOX6NB2nbPi+9zRVkJEA8vcwoRBqPp5+ c6mJQ7gyyyzHuP06bZaBo2VmV5HiVDUgTBq8mBMMhyfN4epjeiKRkvgt2o+en97032HTHgFcMDuu jzhmH2kiJjV5usgLvbRDSaZ40OoCOPVcIsrS67rzhctbbWTc1g91wOgPJNp+FSkEVGneINnfGA4G uctkw/GtRZJbT3zyuj17HifGUUgcf4KUQz1N81BOq0s5f/QWfHjtO/mOOpDEnFWuFnxLWZqNYSjH zPDHcM1XRE+tjNaZVkc2HX6xY5BM6iudGv59uT5bO9q28ojyKqrZIxtepRFhJkn15oy6krtGODo9 /xP0COGwFVR3TWlUE94trYr+z/hQsWmEhMRQe9rC2Jg86LwbFtXFypt9VKPWkGlK5byeJWvJIONP ozyddkplAvA86yS7EccuaTbpPc8DYCSietXbwRNOJ+3gi0O6RK+rMbNEqFMPD4jq/0XQko/lCyFL iadbPoVn7dUYYGkV3Y7T7gXogLh7U6L0kjY7HR/KhJr3rzvdHtVTIRMovPIgzcgksSGM04ckQU/1 0klChAN54QN8zJlP/A/zUxFDXS0zFjgQBs02CkCEy+4ELiFSMXwxaR1pR7xTc+yox0uOmJ+E+kmo 7RcmqDa0874NnXhAcS0YSPbNEGSZ8Fqbv7PEvbHNGPbIJUwhk2PU+9HGZmCBD50Bj4HZx71czN2k iTtCdDa2/GJwKrqpiKEhm9VGvb1xOmPFFLBTez4/H32vSM3KmuTr5ypzS8IOa+nI0z1IY2y/9gJ/ 4viTS2J8EesVg2EIyvxB6QAjP5MoWZ7ahPp/QH4Rv4PV3QnrYjAEZr1RT2xoOUvDAm5hRZ4P4F4F 2/2iMSZ0g2g7fDbP28V7N0Wl6hOMWjuYVDwdvpHXGAnNFYDw0z1eh9W+4PcczyrsO4d2GzndXaoY 9hYKop2gpCwPUT1uuBujbrtY9Ia1CZ/d9lmSGWOgAuBM+JfswnIh9FRK2ZPcFdoKE54DxnC7ygs8 JrsmAfsBh6ilqlge/VFaVcnCaJplMrzpBCMQMQFRZequegkvYhy6omLlxziIViltRikOrEFpT7MC c53l7t4I93XeOH+xXgjtKwN6GIH6eHUPM/xKS8WyFe8RSPMkkTq0Me8mZeeNTKlVakl5u+nc8kv2 cBpx894Vsc4ubh71L5038zsQMaCSRQ+uAVxAoF0A+J4sMHaJll9mWKwoQBRWtcadB5wyYp1iWda1 0WK2szUYwfFbFJVMRcBnGCaYH3YWliK2U3CYUesQrpm3AdMQ5jNXJoP97wbtRGztNoHzJMV/1amX PEF/EDJb0Dxbl4z32scwM/Nl6r7RSlFfpJALI+crcBF84qhiuZv7dogYpuCcUGaLUQH+cjLWml4y wCTvv4ZVa+LU3wAru5Ebg9tEkxEk8rGaR9ctdqiuYtmiMcJ8i+EkZtc+4ilfqDo+40WztGhN/Yxv OZyswN8oLy7VadcT048qnaw7Vn6aTL/fSRUfp3UCVEg5ChGCKsKtUpV0iZtnDR5vGUuIO84BN+ko NGY79PuqfhjIZU5NDK6EQw5YBDQ1z2Q3/3fkPbRZt91i9TKOxErTUru49QMcAWrAtEKvx2PhQc/v THFnAVFJmhqsAvmUz3a3CnbynHOUk3egiu+Ss3J4F/AdVe1eUVq59Vxz4zF6BEzzm8hdthwcOeez q8Onmd15vZTUsGgmXbbXV/kcKu0rpykH944hR2R8q6fAYhWnonvnW3XV3ePU6dyarPX0ZtzDywoE 9d8YmXF5+p+O69V5Haew4Ix444PMVMClV7gFtL3BR7E8kPK6seBtYW955nzOWpueDLeJord3xi7y o5i1FfqQHe/WGteptxAYj0e9vSHhxpLgpV6DcfUAgjlS0fjJ0JPA192sN861jAgjGBkt7yUutFD2 5YxcZbmLjbqNKPu/tQZNUTZ8MBHFbEw58DEasWZewdTClh/gNOFjc5sWxkqAV3LVy52ke9zyQw3c 1dWV2qZYRlF8ujeawwbUmastOh5Z5j7m1BaoMKuvAfQgEypqtYuoMlskEguwy8LO3pE47BHQEiQI 8YHkg3LE5vHKls/YLwrlIupnYysekE2dtAfujtPhTKlir5HM4hj9301Mdg3BalddJQ8026KDSEO4 mFe8rlsjYPRMj27y1sS7ElMz/5XtlX4SkSw0NGr/umtpbq2k2dOQi47MNXuty+7ggO3NGpRiW+3t s0yUlUx/mwEIYYiv2/vow3dbSZe0E1yG8DPEH8yWRJsgJ8Nq23CT4W3/z08AqSH03QUKJ7toCm/5 YUhN1+mFBgUn5vEXCO36xhxZqkv4e8TZsH5JsOMi5HRoGHS9+A7VdCsu1MldHYWcAjyYqe2puY8t AjI2thVVpo+/+Iy/LNEkkFZnfd66HPsgKbAtik7zznzdhrkkUqB/34jYANDQ+R7nwUnR8dkicak1 10D0a446HSszTBwxaQxWI6bU4s5/DJlMsyDLF3T4aTAh/0D0mTbElp4V+4gaJxz/whZd8vzmZ09e lDZ6vyilPvNNonEUJWLZW74WQB869wlXobUhmnKq/d6jBKGupF0Ot9WjZBTAEiw3PloV/yKBiFrD l0S0K0qN6PcqEE4Ba1qWS8XiqgCsy4MeGDLPD06xiBQlMXLEvxFUAyg0D80ceEnyqoL9p5zcqvmX WRURCMkxe3QLoiJP9YKVxp1sLuKRn/MfGOH99XXbJnXgEUFZSXtF6JCECQz4u7snLemDSay1IDB3 fYkEgH3wj4pcaZLpS5eoboSLSkXk/4Q1EzMTpHnzIXZIP7MX37VL7DTTn2XTUGBSeaJb/IK34tue ZmVkQa8H89v6hCtdMf1FWcpfItDzTh6azt7L9qNnonbBhiYmMTl7Qv0Ex+rK+8GEQhYK+FGsHC3c 8hHCA/OY3GSUGH6VbLztqNWYzDNbAk1umUMyqzUHCOGSjp+R7C0dRRyYVoiKySeR6unoXQQz7FAq iH/r5XvKHAI1zF4CCBPi1hX/Ad/67vImTodvbaSCVZ5uNxZbKxzNWlf8+e5Lp8yRofr/WeJud6CG zDR8sdVk4Zpi6H4rtCeURaEQu9EFTNSdxLHzUA716GS1eYiMTia/WhcNxhyiJ3levG2xRwnwaLPu o8zR++7UdpTh0WED/9CVOY+lsPJ8Svs3h/++6ree4HYzIMltDdLWjS+LI15psMSjOy46uA0tkqN/ hnhHqqsVZJryah3pjRf9JvJqh4R9es5o94uGrRcJTs/4dKwrIWctM5mVpZ5dKgr8x6zitGYoxt03 fekP5sDKy24xdgzPfZxTLkCAZ8Sd7ZzIt4+/ihZy2VYGHjbhL4NTedqKix/erh9zf7Bc0xrNX0rG LLYrJx/9bB53e27kNFzujtq/bP0VhwFz4d9h+c6eCIPphPZ5RnPRIa6s3hfKLmc6gwHwWykbUAgY XO29KvZgXb6poyENlq3WHR7vI1pLW+iagYb74Y25va1rbS7yyBmq00cPPMAIwM/yE1XDpSmiNCbV WY/p3NGD/uFivdYmGoY4XeayFsYk2y8uPKUtXG8hyP/jI3MYn1C1srcmwCr95qFJpMjj5ZD+U2uG qHUiDZFr/4EJTJQ6vILwgPFu1H1UDnttnj5+otwWX2KP+7QKZTv8X2ncOX9eAjx78vNOJPuVDSOK Germ4QTNq8YKL5GpmL/jFJapL8ee/MV3OM96ZvpxF6EdRI6uH0r+zhusq6rJr8s98zU4MWhFn3Nn zcSbTrrNt8AeJk7Fu0nLIOFoJIaBxkWpVukm/u7mzUSNF4ulnc55v7vrgvEbqrg4lBiIuNznBtO/ bthoQUJHlF/KrXX6EKge7k/TieA7sVfChZNwd6na3cb5z8TxEDP3ZNjQWokIQVrJFaa+XIQlQCV8 aht/Y2B6Xht0gbceuzDQMyjTpyjyGAuTficYeO8R1FNIBGkpRFKag1uD6Z+4vSJWMKrx7MBqgZ0r J29zcnbspgI80H+UVu4JF4tdwuopzhU4xPTyRUl1p6HLaI0uK553mDLoCMezJOyLAihydudAH5Vg Gb/0gBSnhxvGZOIUQx+wLtrMeu3FsI7k8z4FEKUjgiZzSCubMvd9z/lY2otDHsW0AIaUfBh1viL5 gCVITwlk4ADyxi5r3HnJ+4krKofM0xCJEIXCIzF49baVsbf/WqU8lojGB5UqeIPWcAm2TWx0dVUl SkKL9aqMjj8+VX3fyz+8+dq2fRYb+j6VDSiZG93UoZPkgcXa2XrS4l2Mkq9SzP/DdtliOB1QkRAg m/y9K7Yu6Ah8QOtEPPYJ68rvle0tF7HphFIS2w2wbOaYPU97OD6U0kDVfilzVJgYV26bWe07jAAr 2T971KlTnWShUtappKBebU4DE79XlcZIfr4Q3gNKZx+mgDewJZEbGy43ewswZTm8hueBSHNqs1/B q29ZZmSFTy9wf/Xc0EC0ktAPJLADs5OETSu31ivekDCnRjjKRWOLSJaTiaRM80N813WvknaoE7/O ly6i1v8UCTpVzDGlicYJxNMqlZ2bLS5/ogVaaGFTeRocDgc5JXdOJlS9kuWT8RS69hB412ibqHII /33LAkGP3z6GeQNmcyHjcBqVTeLGy0po0aXG5uK9OHFtrK3j9sIDOr30CgZMJK8f26tLwEDbN2kz 6UkYSNO8G2NSLps4Dp5DIQklUhSHkoYLiVEVwa4Sg3kiv0QTExuFFHXTO0ka2LBwUd9Ljr5McEQA kuBR8Yqw9OS7E4VpwmzeLuSUCN0GpnlyMhFROBCHODx5PqWVzw3UqPiBbjTOFR8NmfGrG1NIwZbb fUO9Kqfua3f7HzuG0UyJhAZZVYl5eQqB9Nrshtwq0HdjJX8HJj+SVhNhy/kzjINjLaVxgyFaF5VR br0aZlsuddZMeETgPSdWdBPUm4gm2j825FiK3byfOqmXs/zTkctOa4L1IG8iSj7Vu9rqAVHeT2Wg 46JVhPR30ysxSYeMnFPayItZ54sO+YybcoCOA30kjwai2y+X2E52OZjqlRmYJh44mcKsKiH0mWLL 4htrZRHeU+JWngB6wVAStCxMjW/sdKtxJs+wR5B7sUzeV7D9tGSLNaC0tdvlhVmZX0UuJZdu0fZM 9zYvj710JnjRWqOTUVfOBcrkbOa5KtJvwzQ4e+o/7cZkjiFJv0Fkhsfj4nFbRHhAeIxvH7oiuTfx KbUYgAS1BrEto0eKYQKV1zItKApOPhOMoE8hbkUmQSx7qdfPID2XeHGQJE9aXUIYokqeRK/TrCEs J3T8jWLLTftvmdJUQL3EOmFlzLN/D01cX8xBKjMAyX0oOoXR7srQ39ZCEJRUu+xbqNvJI6aQ/L74 2rYtVlv3tB2RpIsgNug0EnZbcXuCgTmdsY1H4VKe39SdelIxdWSoewPFqEp5BkVMKqd2S7UTMlOO ubKUfI6uzW+qiKU1ht7srKtxc2/OByKyyc/yQyro8GRM9I0EAX7Qqg1v8TvjdOTUq9H22MTA2MLG NTqGs91vcj2DVuc2eK4n7EsmyZO+N9xbZW8aQXXsreMrEJ+UcYMgj4Z/77F7BsgcmHLfMQDIP/Zj cPAAQCocfySKxLTP8H3cjdwbX7QfVXKItJarX0m5u3idEgrroq2NGJrugpMsn/EsUdvq50T6iLF5 dwC6su1FJ2+cK6Evfixjg94bw507HE5G1WtMvmmXcDpfZIEbopjavMVsfZS67rg3QGE3qFXtgXIB 9j2cKrxdbZbST4Gj50A4oUS1S4mBaa5hObZkJ+6vq///RakOBksbZEopW405l1GEgacglrfOML3o dKEZ9kwMK3sQmqUNfmcCQqztuPL6yvWDH6nTjnbbNYoJOWsDLtDmEtLtv6mPjGZuwWVTkSJJVyKJ xPhJFonnIv4xsna/zUHxWWC3XzsSONNNInS1CiIc9KUFZ8MXUFFq2goAXVSx9iQ16xrzyDYZ4Gw4 UnC2nwimOuMkzSNLcXqcXqdmMP3hXxnG2ub/Ji2LwmU/Ehqpyjkd1mzGmFjFR6gPlsEME3Z0Qv1D nQHYV8QvvWvT2oN5t9LzcAAQPS6Eh4TXOTEdE7mQop8cde5S7o8uxFYQPv669ZnLP4xPdKiFCJ0Q dEAxqmSqhnfQiUp8tKXWxB6zmgXck/kGkDMxkR/FqLxKzmq15QRhprid2AdogiJzPoJYbLSZ5VrU Z7tXvnwpytrGzvmCV5PeygW4xMd6Db5ezm3tD7x/fDOGWQ4En0OKmx3FWfdPukq1jwkJXN04L1lM LuVcx5M1ki2sfS8D21rqhpE3kHUkQ6yUiDNHNt/AT+StLAdeLnI7DbDdh4+nLzGzkyKGXbTLNTvX SQ0mpGJIiUVSSLyayVjxb0vvYuua6rO9NHmJn1fa3Oo28wxqdgjJYcPihlSVxWn0+3309MOHmWFZ nYedHopdimcP6VDSHHp4dv+2N4QUssyDfRnazfqysPi76zkH0ZOryrfLVC1oUdcnjoikmWKHcDBW QnJF//vN7YY9JPEG3SawBcm8pWkmLlFloVFFwqpNVvy1v4Lk932rmvkFrwY5sM0rD2PLzZ+VKPED rSlJxs8mJsgzRV7eLMNJHf3psLApoTSn3ZDq1AWuEjNyTAaTaIskpvcMCbQXXByg2ObmhiRfk+y4 lj460seX4nRdV1czo5rYn69FgK/XmX6KDJsOCK3mHT11Kdkno4zeCx2bRpCtxYIsZfOhvUDtJCic suCSv20JAQeLO9LacH6v3wh4SJY7HhmBflXe7jLx5WA1XsamH5AfQQgqaSlU686SzbXUCtJeebEX vJNODux0uyLJ/MQj1+JtuV3kwEYYFQ3H6qnBzG76wQDzETxqooARqmu7dqb01+m0bUACRIQBkCkz cUZy555saqZZGpOpXC+HotWyVPqfwiy9wgL7NjnrRQydlWPSjShUvu+ndcem4PiGhWOWqRA2L1Pi Ce0leBjsoYSR8T/rFawxBYXl9stS3SVzk8WPVujzS+JFOKsVB0WuMjDaU9ehIkHwE4WF/PKu3Cb8 PMMgmlSBJslaCXrw3lZnZi7zRK4N+Jafr2GSURpEMqfdSlWMaQ4Fdw0kuUbe6SCa2040K93qhgE7 KKdZt5LAAuFE1jA6L3q4SP7G/A1MhPkdND9ePxP4MLbbz6fQsrqY3bqLVzbnCMiJ9PQvP4B9inuZ TVkKCDDLfNdp1pPSsjV3UgNu6em6n3+1RIppETXrZzK38J3qkQ+jKPZ/HVu8YLoW6pVlKYjP3vxf v6D0oHWTduVCXw1UNsqR6/grX26KHP6zVlr6d7hQ1Jx7JdeFE0wzf6ixdUp/TXuONYWjyKaDLUQN tbQhTZ3yMbddUgl3f9qd+ONh+DiNYg0ZzsILwnT1if06qOI68buwip9+lm+0FYK032VhW7/EHO1I f2k9qOMlDPAZoIrDKymiywcROIFtNdvYSYReISLbDO2icyjP6Is/oZ5kFPztobBm8arBM4ID97fs laPZLPlbjD5nqMXCM1rOs/O+SEXcHBPUJDiShQIN7QEExTPMtD5Npn2HWR70GgootD0JJDhgegWW KWbJajSJMkIrwzV0BNxDYUECseOvlaXWqBggtN3SU1CUbmJyMXht+BHPe3h0swoiX/bOKolvsHBZ 0sYcgY0nBq5zupyuzE0IUaNtLSRLU968YfuZinNz4XC27qoUZdq+hbpSvOjqD61xVJqvccHLeIId G0CXueqviio0bOirQ3iVCc16W+E0u99PMNgOQ37kVdZw8p0RCelzhxVSqNUbqmeOh/rAlMFsyTXD 2ETCv9GOXeOLBDkLUa3YVMAQj7wQdQq06+2dMZ4TJ/WuCDzmlCJNkNlqFaQqwUzrO2pPskrkzhAf 1zBBa+Plb5mjjPTJyW9lRpIqEqsRxp7H0zqHhciuusAoMZUO2kh5XPqegTKDxOED9BuBFjS5Hyln HgXiXUvQb90kmX+93orWwelk5SBDGRDDnRbuHkSZwrYg7CrnGeZRm3WMWGi3k0wUsTgFVj/JpYeM TiqxsPkE6cI/ALO/4AFpfu7crbFpDmI6LsnpXwwSSe3vW5cxYMtM/r6z8LEeUTbK5GddiKBXe95M aigVmoiL36HAjdHBfQ9wTnQRA3fWGxn+fBM4DFqG8cwBJoNVauuYnDBIHylbz14FL+LSZC8BNXas 9MRkDMMxZlBUUrN3BLRo3W4Ae6Cau+hQItMrSC25kpEx664XIA0iEWr/ps1jhAHWVF+TtUj0USS7 gAy905KEESwa/sy7+8pKQSoxxKrhYNLgXfgb3vnm9b2GWgwVH3Ls/65mbxQmVqrUvUA1c2FKKbR8 pPWBcQB7QrgY4iXGRQWK2OIK7W4g7HiisdDajpnGIjtaRKUQES/gGp9tpR4JOzitOHLDYsFi+4Gd dqzCYQywuoj7KsWyoIBHbZYg7yLIwg5rhniK2Oa0eNRLWgjL7kA8pJHbLcXIlJQPf+qlquE0nMjD Q6Uy2U8WXErCc27HPk5QbXlMjLZ4lO0Ck9zF4TFo2xpznCxJsI82XWAQJbmG43H1gSC52yOeqLYd x5oYQ8j6J6uCVy604JRb6uAkknT4UNAgx524rvVCnyAmettSLzEmlwFuYUIIqlnOPf/DrIxZ/PHO ifi1jyhA2x5TsmigzGB70ItYcr/Tj2/Td/is1P7C7Kl4vm4yp9C1YLpa2c7xnayH9HGkgl7pxg1Y 3x4/kFBTxhUt9AOm9cvFkzY3JK3XrMHGD/SavZTNG9bN/qC0u0jIrsPtZflAEazcGVBtXXEQZCa2 bBa3hSaGriAbq7uGpnuysxgf2kS0ZPwA27SyQZB2QkJhocnBnYgYoIEuTBUyB9vaxzcyfFeHdWL4 pVbiOMVvDn00FnTbIfgOBQfy2rZVajtgKxeVps3jqkpxZYqeA+uTkuuXY+TnEpZIB0FusSuUmory XZx7IPKPZNHgC8Z3X2tER7eb0YAYJ0cZcrHB3oAq5GCUH/ChowPMMqy/Ll/eZYqiD8kk7VmiobO5 1jm2ww8176ynn1zG5QzGMeZObzlY6+vsCjBrzCCRKyxxcqWtb8xWD+tWri6r7R7d4R45k/OxvG67 9134g15tAQmbGXClGYpdZd07nNqekgjGTo+zuQX80tFxGyJocGZtYYTDAO89R0oAoUnzeOpLGKZd 4kMDxKXGm0tF4D5gkfx1GurzogKfzeEVWcOBaZi4O8N95zHGo1DFAkOT2QwOYz+gqu4UXMZUKbzX +gZIELywqaM3tGFX+E5D1N/Si49GjMQotVw5kuT0d/GOUaR7swCaglUsZ+NAEOsYL8UOnJdJcxLn fq5KzGY2IoeW2Za67D2BfT+aU/YKniTGcqhYfWVUuOuCLDtZEtHYNRhtsyx1qTZC96gRScAKG6xU aen+NkciW0SkR0KNeqSQ7btLxBYwsw7tfm4wSfFuVLyHUDpeNPnHr7r9FvhKiHzdrStX3cPrsdTn ZtEi4D6XFISDips9EoboEidTvYX4k9VYSZnbVNSY0cLszkGvl45fVW3UKsq1kN/MBpVOL80+RhQ9 DlMerznLbnNJ5MT9+do5jG4cH4p+3k1+PVb/LnZDuXvLM6XMbX83v5fm9H3h6mgrVKrj7b/Psi6p jihPolk0SAa9gl2okT70/xifoDmZIVJ/xFnrVkd42Xsp3pD2Z0zHeSHro3fOIyFP9IKvi8MJ/0cj fzE86sWA2E/MZ0nB5ZHRcuDfWCAHOLrDsywz0DqaxqCh5FjcNF14wi+xpJeJvxhtQQ44z1P7QYSs fUn9Dbl4gk2y2VF0mTNn3jLA25pv8kE/aG0rFozou3KDubrsAYWo+reuOHNGZ+MJ63W3pCZ+XVin ET0pk8gGzEyLzm98TSX5jLV7afAQW21mJXN/LwAJ/Dwi1FsNcDvMe1ExCKdX4OgVMm8N05se2IWI W7QdYP68loYd28/9gsiccwo47ecibnIIxd8r0gHjHGLt6/f8YLIQgOZDbuwY4G0VJId008/a5IGv uNx338vWZMDLqxQ6hKhxdxMqbFf3xQPPG+XiF+DX0x6Y6wArmflddMJgUEo6cGaAbaqKxtbcbCx4 loC7LQTeONTX33sXKQR7O2O4RUhvYwCoYauIqS/+bBuRZfdbPvA/qU+LEtB2NIb3H0Kno8DQ8cUU yozigKEFgCeSZV64cTpCsmjsELKZg9JLhr28+5esNddTnn2cdm4jyyk1E2bHGGRsWmIqZNf18tmL 5XOLe0OyDVYRJC+Bz1tyCdILdyY7T5BsvHm4Ys+mVeyYR+51u/DpxjhlEkro3zKE5c5tFZvRO8jG 6t+Jib26IygCPEKCPFPHMmYdrnoQqWxm5B8XHX+p/Er5b+22WsM7ohn54kcMNzKs3JIpNSRUL6GU UkgnMXRTzCEJgBDvzPvJIOA18GQ4Niz89x8gPPEZJ9TFvRFRRtYnF+IpKZDE/7K6H8qTTr6t+iwX 7tX2KD0ui13ENYjF4XIj1Jw6lpRtMr8JLAf4hyl+N1mHMsFk/wb5V8bCgvP1+EAU6rzUykpHSxrT bWB2rA2Y4iqLAV0gLO5av+skGEG94zo3vvWdfPC3Wdtgs8XRj4BfL3CGPLPBWMOOHHav3/4yZkOe tWANYiAhaZABwqoaMrpuFi+3O5WfYGXpFWTpcGD5GWFipFDvyAOrRs6RQB3VPO3uL8yx7zCFsrPU UbsY3TnXa59T2O6befIlXp3lqdEq34UGaAIDYIpdOeE+AIuNQeT2YTTX91CHH52+wns8BpMjSJk4 900//lYpO7gOjzCBZB5oFKdXvsLzDg3e5By5CoNX9WI7tXWCC6H37oD0tMzwMXvc79p/oYBmwz2C RbL86dZrDaDgv5eSNesxP6Vs4RTL+Ipc25B9FgrBTmzLFEoMhuOqzp2tHs4JEZptzm/IRh00c+IV /7UNiyOpEWF8mtcs18bkANJVvnKpU28a8CVYPFtqJuOglhB1gkQ04MwPufOKFid7MJXuXkNO7AoX g6XxNSXaq0DI8lfYmjbJ31Ib2+S3rwcmr4A4qsOzMOBZ1+9/cPp3emU/d2PxXlcklTAt843SNSsM BKhsGdCSioOZd2YWmLLHQcCSHkLfqH313FCA+hlxTDG8iG5pJVolcrjqOFG7Tt6/HVEiFMjeIJFR URgCMjmtKJUnWsDX85xj1O7jMUlgl5rx00Ulya8JzfadjiwxF7P29FIgEwyslL3HA48mzoxkalhC rlAONmgtYJCLfcI214a3uJxFU7uiBlIY/nvYkxIzyJBe6SroK8Z823hCHWcOT/OnfEpbxZCbophY 1nrJ/lr+uH74pYUP81tljQBsRnZDLNFxQo2BH+WeXKtXN+MlTVhd9zlyCW1yZFqfP+WH4Bt9hgGh Vu+sv725KqM4kWaWSta1tFY8phZJQ1K5moxKH6KSseoaekIfkM9MfC3Odj1HFJpf08dmBzXZMq6Q Ag/ybcm2PdAEsuj8/CM+CXskLSMsUSBAvRA2SBjttuv4mQZJEq8lP4+BlMrm63L2FrobBYXVi8kZ zOmAkrYk8rzxhM1tZBIckV5DzH7dmRPDv8Qi6A7OSl6VZdQgG8n+uwJN8FDH3k9WCX6hdWRq4aCf NKXgV5d26DOOrvsl7haMzRlYhTGAU9Z51InJW2KuBCDI1xOfNvi62Db5HvpwdcUMmKM6hdq73tLX zjQq7boo9Qx6TrNBuF69x7bW9VETWkHMyGcgWQdHk9bpssMeAjT6t9pTF1FxYFtCBoYc3J0mqCLO DaZGWYASVuowbCQJJEF0VO6TfIHvLp4LsDYL2xSKNty648UdT1htckIGEDheopSPc0XYAD6gtpy3 7HG69I5eeJ4n35Cls0F0qud/kHUtR9fSdorB9FWD2psoGyzEASlMjeBSVH66naKNsHmfj0zr7UKX vCpoXFAx/Ivn3maCBEOmp+CHmWHTGMIgrzLYG5eXvEY1yeylW0K7UCiCCmvpSMJcXoc81Km6WQQF 6Sv+IR8miW88jwHBWXo/qFy2Xxf5ibD70isDwKVWJUts6Vc1RAj02oyJX9Xz5+y/I/E2yUESW6jh zogfiKIFfl3XdZX5l/jQ0/TytY+/umF9pSFqUtHlhTgiKFFsrICAKIb3mNEIAJ1znTpdUQOvjeML 7aTd0bD2XDwhfP3i9h13FUxzq1gyV3HT3rL4Tii/PYBx7oSRdWZ68lOt8tZYYmt/2VS8sNzHnFP9 tAHlI5adQWAB18uQ6Wv3RCV+oqLPZG5Gh4FkbemoQRrfj66Q866YtgIhv7NSxw/x8D48WVQOWjJ5 +yBfg0TkwF4LvaCq/yFR+wdOXVpk7Suuq1vu4AfWvVPCytcLP5F+rqs272ljoYIgvUtm0gGqJ8h9 sEceZvuxmnFSd/CczLXhKgh7C+IAC8o8QvhlqAZbkfKJcyBsgCbVCewFv+B1m9AsdlOey9ia70qC /WSoM7xyordahPgimSYjYRqUNCFhKCKpw+r1QNVf3OQTKeImZ+7qhKw/8uUH4gDTSXTt6N//32hQ IqeTNwV1AFMnyHX1SonxgU06to4OvDMuqOlv0yR2TQjgytTqMzDn+PwjNOv+FVRiBc1p+n+u0Lfq 5nljov+iZXnkmWVPkc1ScwlfCetvnCpG4SMWO2YJVbn8tcj4pgF9bvidahaHjoNIAipGUxp9AxE2 qRYlCTEBRdV+O9aQI/J2M0Kle+comdWqHSEtFjC4JXJ7mH9VESNOVqOXgGItSwiPoBnYdG/w+2o4 LHkZ4+vF72I+uDEApYmkRQSC3LcKhNaGkOaR6ofZCp3dAZ0wgCBuDXTPvkUoifg0CTCcgS7yEbX5 BWk5hneKi2YaiZUl9hIDuiQjjAu+oqb/OkJ70Z0KQh8gzD4Avw1WlzlIuJ+pDCBDNvUDDR00BCHz BCYgdcMyPrXkAfnUT+S/ZmsxVLxA4tTA81+xuvKdvJttyNf3KCPPyctFjStVfeGy7BxRTvVuA3Qi qMCY+H7/Mldfu2OAb/k24xMtXJMDQVGi7ylJDzS4vZfRsymELub1VyZQMa9a6rTDAmkwbjQ4gHsL NbSUJD+tcpSZI2pBF8JVQn7xraI5VGwPDzr/Z9153lQtEoOhSXV7FHm+qOrUR+uap8JbjHVy9FJi EzZQNB6dNPri1Yk/3Y/180Lu8dxzhRLCn8knDg+JOQPSO/eUeAz5ihodTXpZMuFsTQJFjKXag7hd rsMS9H4fr/B+iBaLgjia4xYNYGMOPm0h/xdcsVesq+vET/wVYGs/ckPd+0u7VIw8ohJmgGWzXRfJ pH0XXZ13Hfm78+pnLXW4xBaTVqJekqa45yrW5KhstFX9oSkk3lareCGZtlh7Hsvi0xfwu9k6rzE6 pcFNuYKjTUa+DSdI1eT6KnqO7mIICBJf8/ERBznBoFa/PFB9DjYKGU2RqeVVFWbX/pA4UXOhybJo kL8/qx8yQ6O4d3cmO5GrapCSL4LeFHoqistD20zlESX2bZsyZf1/Oar4j+7W7v0vsizsZjFdbVFf 9Ns6PLh/aHAPvgKAkGkYr5HgpVb7t0LWZX2dGstLOgZie3y5UPc6vex1Z+U02PSzmy29pTmKyknV C7oGrtGyU5rihRADAAGYxOFTzEbNfzDO5Nk0djK36EflofB9mX8LylKjAL+oImI2lgy5rZPk8pig jt1XiQM0KTxaYVxlbt7FsnaW9GZcAhknTX01jh3RX8mx75pKvyqv5DeJS1NfFAlV42GezioWQXnD ZbQlY7wTAzBc8b8Optd0zhDgplFXxkFlkA4Khdvk0zFE/fzAbQM2NNgDF+o3/Lzt/E1AXngLfsga sQMWZDlG0gcAk4m8MoJFGqdfIq9U9i5PuZRIQvroHtvcshe1F2UtDOyu2h+FQpiqMX6LjRBQWZll lJ54mZINBOIphv52tslDkWcgnrTPDIS3gyzlsSObpzkYZ9MLC8T4BLAVT+eiDXp2UV3sC+C8mqil mYdrVDhIBoFeimp9WkPbkYEI4xfFRznYWWFKwfyqf+7WkoqWWxK38mWGepJceoPPADlPRIWC/CE5 ABYAovyFyjaFRzZ27HIjh2hM0pMkkakUw4hXwdtmjltK22mdfI6Q2MrQlUeJ0aANzjxntnupnD83 zGOpD019T9jvtEqG3fSVyIKZ/8rulSV5ah3RvrXzYLT6oFlYY4Macgm3sM6RbqGoFGAUi8o7PSk8 P7bF6TVXM4xBjQdpZ6Gnz1l0YHlqC4CK+pKB4jBm96oi2OSEhoCyrdV0SIGgUyeG6zrjAQfVNvnk nl14IMjA/O5KJWZBAq9Zascve7iOtz8SFUZ1KT6eBNFdhq4k9z3ieuYK1mbMYu2C9KD89v0LUPYX u1kTii1yYf0K+zTCtExRW4kzJyv17oDanRpyiKYzQXZf07iRuVMcChR5J6JXM8mCo8dN8TQ/v23o nTOEicWarj886iZvT/GCg0WfoB22l+0vvZZhwcBbsMGifzMwkttUtXGhHhKyOXdMcAc/5tmcYt6w rb2jbTpP8mmQ573AIJhk8FuV9shls95SsJs9OYCYyW/2Uftdo6aGkAzNMC9w6HqWSOQ4ADHNvccY e6pthiokU8Q+awCAxtfOCVNeBaKoih42ekv5anh7L8aovMv80rWTHyCgO+1pyt9ctoSAtgfeNbSO YWfC3SV8zcy1CAOUkdUmnnwyt+3GGS0mJJoSfvenD+qWT25rjfL2a5ltlVi2oDCyDzrKlCQLW7fn 6CsaATPjLf0UBcqcsbgmP9LN7NKAzsHnXpodEdJd3NlR0bYiETccc8Rt+QK8S7QoEgcCHmLa3Lke 7tuEI7ikaeEPTDU3zKAWjLiRgaFDkyXqWmWuSHwmmZEuPNJDIjtXt4PK/eJb2M7ntAcIiHtkpabw eQ2j+tpGwDSxqMxPqqryktn4PiBp/YsVrD2qctHbf+hEsgAIvMckbSF3gDS4j8kTc+xKd2r1hV17 46d4zutuqVCQUBYdcV3xwLSbUSQA1m/Yz+cVTHso9XUfhhOKy/BEf3O1DmcBVBgA3W20kpVFYb3K eyAK4lacbkU+Xb40e58CcrFfvwhCIDzsjDSEKreMVW9f/wrTnyJABMPwIrucNYbGdsKo9461krnd BMLEaxisqRLHjyEc/LOiLJ1DoH6aeghGRyAZC4h0GFdOgg+gJuYArmK7q1vmJCyzPLUNbXhl6GPe 23rTqYkItK5SkpxUsWOuElLCFBW1UG176BQGO0VKszzS7l6R7Q1WZbc0lXL0AdtL7R1FH0w+vj/M Toa8y7BHjU/D26fDtuqZX99XmIB/CR5nxxg28kqLt1VmOnoL4WQxeGnrk4iKuB/NNDHnv2VpR32c wWDIh6uqFT4knwyzAMDXqnHHI+BnAYeL8L0jAkPerlyMeZjaKhG8yP48bHyUbLYNbf3lCVLaYXRp 1is0S/WGXjLFQBsR+Nbq4KBf2Ev8sjB4PC7e6UGG+jIkVmYX/DX2EVIATs2M+lyPSxx/y7IGQVc7 p6IuaKdyxbDZM0y0Xs6fmbP5r4SGV+i/zUFj6GkykURGP5hF7RUKuyTZMLiNty100yO67thyhunS u0MKcpWEfYFky6xUg6iKvTwkhTJwviQeEEyZ2WouF23YkfDgsmg67ZPuD+EssWrv2zIODLcwR0Kv Dr1fQ8l3JNHQ+x2kK0XalVZYTQbz0QvNBkBfdUJwxLwejC/Q2ILHYUvnUlkVXmP2WrHulxtnumAo jIZ1kJ9gZhGgKu4raIgIqdDiocXpryqU4uQlfipO57wTOTAbFXp9erVRwokQOcwyM5CpLahNQqzJ rEMIdCVeWO3iHFXOVf0MzJG3wnUfRiFhUULdRSH6i2SHVdpsryK4Yutmf7yFVFZKF4gss+eNHviM beIxaGiLIynwcVG+KAfm1Pgp083kL2J86ifS9w4uXZbHsGUT376jCQrUexrBFbno/YvIOC7D7XTH ng9mwypp4t4IbqWxy6AJxQbdZqb1xDcDrsgonst3+9UZ/jBgXoalAErQZx01fWgIp80+c/4olY3j YzQCeSsN7GRHWFutvBeqmaQtRaowcu4SwciktH8rgx4Iijf5RL2eDwfHbxheq34oRfqAt3V5n8xb VDcylQgE5eqrqPCOn3LbUQVDHmKL1pCibuN0vHzzeroh4ljveBOoB4Y22jsQo/TtKLbzxukUnX8u nig2SM3eN/KcU5SbCryRxwCHtmBlLYL7EhORqgOTgdluE9CI9qYuCp4jgd5tcHaB+zEhKAbdXzJO a3e29MOXGhHymIAM0/ktHU2am0G0GlgvvnXByG0an899x8xbi/AI4u/pIR+4wCElwCtTOimRo6ZP 9OCAwVHS4iLCq57o0oviD3JGkZgJsFuxnmaOdUNZ5hLUNaVIKq9KS1olXvJYXOs/gWi2ZXuqDflH bu4JdOZET44d6wAFG+SjjUxrroz2Jig0lO3bEIHTjLcsEpeujB6KcbFS84wlwCIVgmyoSEU2Bdxz /lMwXMK6JOWUPqjQalrvRpWxQyEBowPbA9VpmS5CdUjCyemxkA2TLllwtOzKZKy9TFZhC8XpUqzO z5Or7waDEHucWnBavYbFmXmXGuavdDKWA3W4M4Hlj2u7qFrVDuia4fseGgmFldJBQl4dXO2xb3uD +MCYtUbemqH9txkb316aNN3yi8i4F8h9RLJFK3fGAH1OodD7H7+18mF0iwTTSTpP81jXOXGweCUC o8tPtskQBnTal68wEEbJ2dLUX7S+w2R+p9VoTZnNhTAdyBjLRtPBFASWB62bq34RreCMGAH3Wbv4 DuvRfy89nJhFH2RjwR8pX+dfaOZI9//eO3WzKzSmhLlfWVWBHkzAuHQoHleMo7iC81PKc6Zec3UI Le0sN2daWPJcY081oU5c8EwAtILJBG52bh8kUJFw5jMQdbHcVQKXhlaNuy6B936fSXpISHTk10z0 XtZqG0J9wNzJQU0ci+xHuNvLkpeYy2mst1gahvf4SBrvxAWO/Vahf42Xpk2MUqCfCutpuI2ygkRm iQDFWjyd+PU0TGAy6REB8tpo+aEdRKWQ2IDugh9KisjyeqBbzvnZ6t9Agx447ZgPH3e4NzI/oo23 3QTmQsJAheuIPn6Te60UkjBivSao1zbdbUeLowK4G/9RrFnzn731xwN7XiNb5kxi/5Tz7NZX67f8 yGDdLBmRMGvPcnXFCk/RhIepOiYpNAQYT2wQob+1mObj+QIpO7zjMw+5YpHjTvVnuXXCeZnCd6RN 0HsukSBShZsmnbeQVwlWxDvMXO/cBzVk1saoTMWo89RIEnj0TmEL9x/yV6VIjxG4Q27ecqoUMrKy cfFnsYBsILLQElWP7J979fXEb1hqFOUEGDKSojoIRcFBq/UgXMqeXHFcZXqzTE7dyKnFuV7jS7Ei BmgKUS27D8FNtmqVOPfqV3Y1mC4GjcdUZSzICB7nSTA9KRtTsWcFqt2tB57JQfwhVwcL8w3wngXW uI6brWE0iJ2+gQMQ8M+LuUTDFzmbHMV7FqDLROQ8qcrJP6KfWaKr+VhWBI/VvVduIvg53VJlmK+k vsCCvvTN3aMCWS0QHmlcSxC7A5mqO7zzAqxnVMQM6yF2ob4Fxl2AluWp+OS8WWYPEpY9MC8Aj8aP cfnS5QX4MdUZvqjAj1XiK0TP2G/UTq+TkFHJ9djCQYxCwsPs8iO25nqHdkJLXipBQiuTZdjeWyx5 w266jWorllB/rVQvB7PdYknIT7JqrxYobsaqW254yIafrMFf2CbFuYlXDApkOGqFo68nBmpHSvGT melLmNUqrUQtCf2USGi1zEg1n/z76KYzmvBo9r30m/Y/fFgr3UNiPTnHSO80bb1NEy3JtGstzklW BPtl6Of6ekY3U310WmllAZ0vjrFgDlarazZCSttUTbZtN1nFDgONZh4Smc4CqMXVvSjvByRhxLlB iNXrtSZO/knuCJsnZWgYLnKbBpuGVup/AHsi9xnqT0BsMruCO7EorPC7n9CAlLgJdTXX37mjPYQG 6Bjn9g9m9CgG+UV5MfJkN43ieQ/3JPGkNlFElotyRDnt9gK/URRbE4VMH/bF0g+BAu5DMbR/bdwG bK99eGHD7yXHfhVcxg6MXb2/uRzxVbTjWaMz8G+aMlTTDhoiLlI+w8nEr7M4vLwr0KPsrOn8++jB 1CNTy+XchOFjOVfqGS2xhZmLIeVBN1HgzyF9BUMZadfiTTm4DWg/3AXa1EE1YsibIPVSnvSoGXOG iCO2ELJ5jNNQT+gDTD5Q6Hyrp1hD8f0qFg9ep1KUMFG0MtvpB9pcFtgktZYHif8U5r3MJNiO3jfB 0KxtPXLkz7qHcdU6DoXIkda5o8UByQ7KimR8lTJV+QkmK2obi51IQo4cbo6PXgCCQEjhDjWaDTfa biN/QB2d6Ksn+zSx0DMVAUzdS4qHwfhHTzMwynQrMSLwtLnl+gQDkELWQa6lzrAAR0NerMEmsaau eXr+wqWmEmSjbWbpXWpRW5imrontn9USR+8Qk3eu3du04T8PmbPfOKswE9JV6EycQfgji6hVkCla XN8L3tpEHfvcm8uhO5BDaZEl2EPxo4sf+9UrwoFvvZY7RDBGUAMZTokkBRZ+HYFRLbRZk9xOHYtO fCb/P2Cru5g791gWsxen5/VZ7EZVKvNZJ0oiU2veBlXDGhltIaJ03pCg3Y4hMUg0xPfV8as1Qlns ygqPXFbgRzs+zvXUB2i0vQnjKkKeJqYdsxqaI9GsH2nFAgTKaJYzkFMrHdCxBsjAveG8+mygmn0l rK3+4YWt+SqfwGWkBUNCNtRi6/jHM0g5scAsdC/JJDeFXXxgf9r/S9ziiOkACV6yk6CN/xZA4Ucb 7cwLCBlnff/20el1igAa5dzqmtAzTGPqb873WtQAFNRPcZ+MXRbLivDlyb8gwARoGLIMUqbmGrMG wO+zcG60WcyCyQXWFvOrbpx3jUgTyvo7Ck5HcvbOwCdPD7dGeZwMOZYYbaoOamszm/oIrGkWiC1z l3ngg1Ud5UVvcoHvpp4P/uf8zN9m4x9A3MGrZhKuE+4cOefIKuOpkvVRJcS1ZL5yadPWGTqKKixN QMFPl+O4pwQwPfOx7ppon9NJr0RZUKdiK2OA/kefYu4i9XkVFGM7fUu3JMJ+POMeZ3+93Rojc0SN 0UfUvDxmzgrLMzxrdOHk6FbqC/UC6UmZJSeO/2wXoqEi5XKBUlZ2ExoS383uLPpikAnzCVlZ6CTX Fn94exFHDsySljuBucu/JJyDdhWx2ocetOZSd3K4sbTT3maysxNMD0vo3O41gzOZ1zPJcK2xb9Dg fgfTzj8gDLDCJs8oAZIzRvN6JAiqKb4jggopP0jyWSxYIpQG1M22GPGiYRjaIK96pV0Z6vQhAUHo xKvU63O/V1kS5oaVdejhYqqwQMOZNJbKDO54nYqjHpZEaoUjk/8YszCPRTkM7reEWW6M4MMu1cEx AALod1Z3TfIfCJd1EdAMMdXxsiou50Cp8Hl69WZ8KCcmrV5aFUpim0BtNfeOe1iMbQNoDNyN8QmE +MiPpkjukTaOTWFxp4FX6lvn6kPwPxTNhcDItmrqScsosm4o1YmcokZRgFyqFyPx6778Mpfrh7Xu jsUhVLiNfl9OvW/CZm3BXR/8PZdMdCHhZsHMClLjApZxghxuE+4pXUzvvaCvexfjD0Cu6BQU1mgC pW5HFkpUFvY3WXOuuqRE4OJOwFk1ZCFYb05vQ4K4drW3he+Kvf8MS75ZcjOxqjMdjZTS7pb4v8fv tjnvDTx2BzuC2fdyNyVlb1S2h0FFuWaPgOmQJkYEiOrOIInvMiQwtyPhKk163OEtfibrsXGctKtW 8K8G2GpgUEYMqXRGDNUZDL2/HnJxd5LZx2vmPh9RseHaMPRGKiUaaDdWwByS0wyjoJuQ29RekdXV sNTtQUuIWwdx+mqXc3Asd87/BaO+tqMWXNF+yp2FyjXdUTFPWQXurdowbR37HkdC5EJKpziCf+00 tVV/QO4zZh7oSlxwG5D+tLtnY8D8y2ZXTnjOHnJ5P2PABDrQCpHPWrXCeMj5mlQ8ICVsu2vGhXiU NSkVVzVni3nvMjw5YgEGwL+NQSO5K6YeZlVhU2k9+q3nnkUI3mV/7Hk/e8DmH3j+v0QkuuxiYLIs RhuwlEKBqPpBzQe57jV+X7jaeWTodmeFFJ5DHJtpsQb+0RAqIPlZpEawVZYszC+8W7JSptSnT9AA ZQ05IZy7EyQUN9f3a6rVfc1XbCMTvk9NvJh4kiew94rXC+4g6b13bj2t/xvGsZsKjjFfk+VaWDq/ 4l3N4gmMLvOZRJ8sEa7TkyyDHXAIt2mevJKdbdLAlZJN1+2lS56/ndviK7oqN5tk2JrKs7Unileg q7Dz+5AeEO0jdzOik6tV44qTDz8u0O/+Geio8Pi1k3VmvRKUw2GhsVO2Bbnx2izAKqubVFel6c5g BK+pZEO/AV3L934T6PbUKe5GniShVa0GIOObvak4tttXK3fqiQOSiFCmCAwn1gez1CYgJVxqDpvb qHlq4MpZAhRzDHfxCAIRSi+39CPahB/mKJZ8gqbbDTxN2hYLnbYyLMMeUZDXT9KslstN8VDghX7Y 31MQEEaucfcJtGMZ05CyS4jThbma+XU/K3tkD7E47ESkhW211U4sAFbimewprGsNvJVUe8LekPya U0nATz085ub43TO89kDEDMv0XxaeOXTtEV2F166MzJ6gpS8+w+T6wAdDbc3PmTmufYqAIW4R5wOH uI9VMuEb4p0WxE9OBq7xvxT9zlBzF76B2iZaBCjsSCC3s+oKJgLpQObIwvQWHE+85YP5iS9e2Hhm GjeSStcvPwlQiXvwy2wWVzGI6g+lxpyfMv4PJoDovlcbhJHYjjWdwkmyBQ0MfIU/NFhyquI4+M31 eqQYRK0J9zPc5ZqZHlDlJOR+Grjf5mDeznlNZH88uvfB/b/XHF/uqqTMB9ObkdmR/nCc1k8YFNQ/ PNrd0VGVzYuEDZpx6msrc33WGQRcvPnfOerMhV3iOYOhf5k3UIi9PPG9x7U7w5X44UEGcq/Sx//W LKrGFJWRZqXCeCQZBAk+/8ExSK2GHYFf5LxkbB4WAgE+FbfS3Nq0RpRGPc+/9clHAu0sZCRyRtrm c14rVVTp/eSes0JYaBIOjFep72qNUKgoezIOTwB0evG3mmdGI6EM6dk6/kWItLYJ5Witq75HuM4L KPFHia4c4NL4iCQ/55jhJnzp5h21+yP+Y/q5/yncSiSYLLKiT2TjLs2hakozz8b3QSvV8/EMCjyx LLhI/tzP7uiU+zz5VmSs69JIGTIRLQNWar2sxLlM6EqAaqJ18hvatJsCQUi2oNCdl1w5ui20/5Aj rNgt/g3mmSOGAC/PQ4DbAfQVy0Yj6a/aTBAGqD0UigGYBRCTzoBycQpbhehT/3an4cESz9Kmw/Yl VbkVATURMvtpxHQenHOsHJoW0c5Kz1OcGpK3nUrtUj5bUuk1ucrym7Dx4FAt7KIw5cjRD/+xYe3L Y/CzRLMv/cUZmYjAL/+LIYkBlvHJ4Bq5WsADBM38a1R3tKYY7H74zC3sxCHMuh6SVQRDZC/9hBQi 1CAYMYwPhBcEmXPND3698p3gB1qpKZDpqwbPYQMVnHNlxtO4bLUGEFicEoEd4Ztapryo3OO1Efoe b9YD0bR99Ar4ZngQK2TmEvspTA0Hli7gtmb8h4zdFqdtJhj2RdpkGUhfeX32WK1RGtHVsm+MJL7T dx1HxzAVPhHhmKR9yYZpS82B24BX9R81Hig65wKr3oLDlWA+Q8YFP8A4ZAhqLeE6wIstHvgd5NDs yMiRorAgoTuTUbSUSmSAwdRgtt2TEUKfvGSUzrBgNDA8jG/W1VhvMjDziUbPbUdc60jbpqxPpptZ 9LKU02wkClP+Z24ARB3DuvDLtn2PIx4IbyC7tP/EaSLH9z8hSfPCZo/AcZe0Ce2KDNmkD63U7Yuk J5I3hVYOrBhKT/nzOFAwh3a17KvjiMbGESOsL9MOraUyIoeFkpwbxUHpB1j5xwGnP8gT7YOgN4zM LVWRF5ExIfGZ7u+s7MM/WYwV5p0p3cpuLfqoYDeEnHGuzaUPTG37r5Hhic42V7y4EmIhsxTm751z THpKYiSpo+Ry8CRjDFZmqXBYOYmeeU+H6wUFoBp7vvNvixUNokrRNcRtsS3DwrLS6LFyQrNG+iRy V0OxwiBp5guWeoJb6u2xWJo8SQ2ygYAJt+u/N+dl1jLILcPaCQ5E21/gbaSXu3TV08eOhiUF9Rwa EKMBwwMlsN6w2BgEgzndIzjvx8D1v1d09vY2yP87oeslRX63Gf8QWmLE5AeRdmug/WH+zXrlo5ga QpHTqPvppan2YGAID38QArnX6UjpLK7KqsP3cfiqbcrczfMeu30C1Q46HUiet0m6qp5Xmws3H8Dv qbHfmeb0JvGuLtpEWO3OUmF4hggk0o4OR7qBoqQC1dtPta4h4CCLOFHcJs3o8lBUE8yBGydC4xB9 2pyD9jZWHXz4YgFE4QgMQZmbyrwPCrxksh2o3WRDrcY5HS+igWlNxC7cTQd8sOmUbxlWV1vhLmEU lnE8HIKCGs9ct2xmUCCaKTFw7L3MzztVajWjUuWhIFlmXmAXYDNQOiJlgtH6GFgYaavjR9Whzfmo crp9yAGibmhlKVTNj2b3sFNuLv/ZC281NrtzzQqhBxrAXRQ1CpzR+07rVEIbkhl08Ae3gZcXjqY8 gSMuljb2PHxpj7+9dL0RsPqheBdamGzBLm5KrupQ3DvYmBIByE1Zaf/9zYmwt/uqQtuGQeoBoFT+ Jy1y9i4d/AWfIsoSy0w9hBxgVLYQYoRI/uzVQYugvnXHYsXUiCbBBdEe65wabJHkA9Ykpo6qUtf3 /w3CSCCEkqau2QFMXK6HtPPePaKmXnxuPn2ofAIErUYg3E3TasemRw20pX7shJUMuLjE0dSs6DLk GkRjZS9jXEGSlpAzqnN/9JajnLxQaAhx7ZZD/i+uikdPDmXpa6q9S1Kaph6gVSvpuLNxBxVOzoSG rQIxt0NCwPeS9Beant76rsHFk6xeZ5g7MGLm14rVdZsi/KjPArezZRMT7KK/PiQ9sJEipXRB3net ztGAE3S0wMEXdf99ybJqp44H+I7gylB53y53kWWOyhBZEK2KmBemzF1fCvbNVt3uGZkIZtE06bqg XlXRm2sOC1onvpEheOrC1svFz8yIR3GdWKPb6jyhOe3s8/kyAg2wEsc88t6kTmduTIJO4LCjaC+T Nj6gAxDH/SGA/1+6J2RaaSu4cPOkYI/kOBSyraNPnzhocrryVkP47T/0yXvg94rK7saz+VA01/dT cCIXbglJgJvi9BVDAxAQZ7NqjHftiEx5OnE9CQGPD1ZASUvquqOc7ZB8MVFdx/3Ye9Z8IYRjaQEs jLQXuoFZ7obKLqDoI3dV7NU+0V1+DaBm8F18a2NK1DxHmkNZeO6GAi8bfKuoaYausCzGKDnMvYm2 SywR9x0Sxm0P4qcd1Baqh/O0Ogz29rdon8piTVkyis0KLAqAGdCQyu1RlCObtFEf/6MTSd0ZaHGZ kf9L4xNOzJMrilZxo4nCX2dzS7w9cYqwe2G358cXTPN3aHVDbpuDnjcFt7Dknaj7vy1tPurlRhIN CwDnZBjWfpRupQ8+umIszYpmBmevYixT6UgjxHRpYo74eXhcSZNJmYDezmyT5yoWGw/6bT6+aDRi cXq6MnfkvEZlt7zzpQU84zLQ6xKDRR3j0+OaW1J7gvCRE5BCc+vc1p8J9M/Uoh6R6yeiuFZwK3DM TFK2w2sSkCSYvs2ahc06hGEbBcmgVUBs/jnpUBxqyzAT+AaZjvI9j57CQaB6tk/HJM/hDdqIUbmM peBvKmZiOzy5mM9Dn6LAj6CSr7/F8QKITqu7Cw0PU2Z6NS2kz3wDnW1Eij7SZf7vTMP7MiZaXcUw DRXTV36hzYNWX2eV6F9FiVXUojKm1axLOXxdLLgCKZ/t6RnR4wvKJ+rGHMgwJ90gfmvyVwQ6Qv2A 7fWRlmMotyc2wMn560Ws3+fOBnILdwGaZbzTY75SMhc+frfMvh/Z7ueo/eX9e3x4QQ9TjCJcDyBR hk1MtdRl+bjWSV87kD6kV0Vi0nXb119dKJ+uCOBD8n4IIiE+5mgF2CAZ25Mp+3s/Pu48XeHOv9WS eQc8hacAcXyLCcMDdD2d9OrkUDiHbBkya1ijWR89iKD4dbCcrnxqI/HluMpk7L/uNOG9uw1Bn5Wm zJJr5o9hjOFtE9ZpL8084vIIi5y1sxFDENwAh7nL1XW1P4VBL3nUdTSC1yP9KBb1UfFBvyVQL9U7 gJCukKg+bE0M53TMYdDz0zC9xSZe1vZglsiBZ94P6Wc7B9Tdso1fF1FL6Azk6sEhPS23+DshdHif uBwJ3DR9Jxtwzuj4bxsKlqUWh+YZzimgBm8sJoWXZhi/uMCDuBBrpJmg33M0+KZaaNaIwRp6/RBU SCCuEZDPNx2Tfor/vpBQYrbuJ3EYfXBl6g5DXpMs3OIxDzpcx85jY7VTisMmoOGUjNUUAyiE+5/d lhxKM5PdHVKl6u6ZPC0RkEbDOwkTZWfDPNiige0zlu8k90l3IUSq/oY2UMo20zZtOrN9mO7OngAp R/QgRXeeVcpB4jbSoj2Mgj/LVMbFJYpJLlzIU/lkWNGMZkdhuFcI8ALH94/VJ1GF4yE+P4gu5BJM WM3fcfPCDPsZ8+mXt2F1ElgisrgrnreM/oTm6huNnxwlSCPRzuwiNempkO8uQTbNKu9bAcwifSfK nqH7VPJig9J8wj99w/2DeCw2lJYMphMDIIRb59GjR+NtOC9MRIuvubK2cbRphsK8loMJrAm3k/Yr vwr97c+KPMVg6dgkEIRZvY1R4OY3qwXWCoVqzO4UCweUjkAljxJOtTJ9BgpYDO+Fm3xgdMGrU8Q2 kBdeZ1ifmC2+vMCOY0rTnfaQTNPHWwRjyNZd+J/FRQkZrla7KjfRC6fgYp5DwgBF2bvNdltmPQCb eEzgOZbQLLL8xJp1/IKFcHRCFfOj6CIrqy1KD3jG5S0MB+1GbHlny2sTZwJHw+Ltm+tn3Z/d8nPp DyLS9VwhZUlDuEIKi9HnGM4DTtWUBkfnFKi1OJirWGazld5bZInKoBf26GZgp6OpR436xjvwxdNw AFb5ac+P5u8qdUsNXJL+UTBO7GUTjFX8t/OlvLlMYbT/izY49Zxq8TD9b/x2+63nPAsYgPcqKgZy CQYIO6gkxKFp40N/fjfENFGOJpBIVcpQHi5agm/qxqowxY+/BlWuwjbkV+r90Qp4h4YFEd6S4wh3 rOFX1BGsyh0JdsiQ8O2395LUqbMyR6rLXWLw2U7xjVaW9l7FeILWxC0/Da3QbzBFjR+bOsnbsjDM ULX4y62B06CfmRmEOeCCIOc4qzuH5wibOXTZlRG7UPtIb7x0PP5XI/G3KhKAXChOl8d+1Zh4ZTNm FBAMHPTw80T15d7VM4O9MafdZ2DWREKs9QEwpeCafZ69Z6qJlx3EBJO5EI0/+JqWIaAxPOiGwgIY kj2ROJm1SNQWHMQuOPYSG9Y8A6xEpOMhXXtIfEK0AnLUfBuYgi45SfzXZUK4F9U+NJ5m8xAsVZ+m 934lthUh0WxYxRi8zmqElUsFb4GFMtSV6F0R8QAXagBYTq9jToiDKy5a2KzAGvgTrKUO6MI8/yev ySVc811q+iq9jjWcgcC95PYI4U2k7+jK6hTa0dMXJGYymkwMdQxoBD4HImM09xpCXAPSXphenf97 Yv6n281eTslUmyYyEVa70uxWK8MaFjPtxTKXdWrYiV5BKk7VcsnFk2JJtzFKGrEAUaOchSnGA62I 6RXEP/VRyucva0Nq8OPVFTE9MdE8LWV5kCjEykdahjIJH3TS7zmedkHzmtabLJtJLms84jNvbGsV FJ2R+LPVq8j9R2OYY1BAZwmMRX0cWslhzp5qa2SrFmJPE3ZidOKHdu/gOyUsostrHbGjTrsvak7/ p7U0ZclA6jE1zbEBRUQFtPHFgHWx4jllB6C/QOuuvOuViHsyvao1Y4vEQHH7ccDnM/DRUc4n/jFy 7IqCVFetqFQZU0aiq11rXrMSB3jxBaF0e33rz8byXd0Ae6ALfhFEQxmj++ZW1SIDfWrzcrgopG0Z 8FY50g/5o7PG6gGqjoudDrzHLmmmizun6hTTcCho5IfjQaAhiEPSFSZ9ifUuJnqnZzaltXkOViaK PgxZADFDSh8SCrEQkr2HK58zS3+dGh/DX/Sctvfs9N7h/3k2SFQkrWj8T1MQpqbGWY12NpOsRqJo OM7pt8tlTnAyiB5T7coTqerr50v3iZu7ToL14vUrOUgwPyRK+OQFfiWc2n98yPkOUQGHy91UiUEn XTGcsLqNDyLhKnLZam12Zm9EVuL8zTtGVVYXpqgfX0uBpoJfQ/CcTuxXb5qBQg3gwFKogzYswC1y ON7MOfNXTcnuFfDEQuQ45pfS7AYNInuESwZFGK3IbCQ4+n8cMZ7uB+MlZSmDKQCIktnTFLXsBDQW GWxB412Zqs1tJDfOZvSjewgZx1FfzlQjgAyZB6HUUwezv8wSH8SaZ1IH2Emm9dE4VrN1UkfBTjDd ORxvwuockeLtbdcf7qdPrtNcWr7cLgY/h7MaPW9Lq3UQvNDh34Jlm4WE8oefCgDsY1Fe4m1crk1f H9IsMydO2VWQcbP3LHQpvOcGdir6TrElc/rkTJFi85DxsVRfaHYX9VtF48Ud+guKsZrQc5u3VMdI EJH0Bm2iNuRDZaj+OYUb1k3zWGP9XZjTWyVGYvVPT0JjWst2yfQ/uea9/qfQIRq8efL6HDseubbr di+3T+cnWJQ8QZS/aILGUXd7Pa9kD9cZFurWuNhjPycpZK0oPLindmgs7+39EBHUpyUBU3pq6PQK hJwTPzc1ZohnAMmAMXEr4B+M3M3YniYbe5Zl77/hhybBsv4nGQ69nndoB01V77NMn3g5dW6mDCxr HrpNl5CCnSBc+O/+tzqPaSBHuez3gBIwfRJr3pfki6i5iR7WeZnDfMjHDqDmSce6+WfMkSPpnLIH 9cd4jzKIY5spTjOXMvRhwYeBFfkRR/bHaQ1K+Uum/eydQRR5piWMbbZx2/88EOuKOXjAXlUyByWW F3FtlQtPDXA9NC18QDywvUOzkAtyDH5g2/0EqqXcCX+GJ5bOu2J1NzCVytJbuFptvHPO5lBYT+Pg ux4auH44RWSRU45Bmihc3FCWR89uRdZvd04MRfFm8QY2+Y/HdxUUbYpTw73eizrkqoLR4Osqlfun YP5OosxwHH4+HgfF7WRJILGliA2eGIW/j2THhLnFtpVK8j4ZD2EsymENq8LbaMk+OwGoKnoqaAbH IE4blYfX2Z3h+wz9zI5KLbySeu75mwmr7S+T7Bh61znoiEHary8HNwC5viVvWBmA+vZYGKqA+fhq PAppRv4ayovaiPi7CUERXLmW83k6EvtN6syIkN3uNKt8EF1Z4/rKwxknkkr+3EB9IW7bLzGYrHSr 7X7xbD7W5IFroFEkGpWUsNSuRG4NoOTFO45UkDKo6cc1SplhwB2puC95DgL1vNKSKRFEy6vemsGo gS+qvBNove6OgWr2qEakMr8SvUb0jXvxbp3h1KayBwBEuWOBAknbJ82A1BOpCZzomNTjfafhYOHs PtXayvL6z+4ep7uce64k39lgH2cZdQ5KsXLPfZ6Rx1PR+llbd8cCYb63KcKIVX6dom4Nb4XM2Qqc xXuDPyryJ3xRTRJd9jcjN6cPv7/bFZmqVOy0ZEX6v7Py2fvDl5i2Dn+KVORFh5lNGvi7rSAuJgzD gqdBpID6yC1+I9FDqDaoJ+liaSeOmwpyPhQFjW/JudUoOJ8XsHL4yI1iX1b+06YGvHCX5huecMbm OkOp6E4j9mniAENPyOpdnH5KxNzE+3cGPM8GAD8ZCCnB0bZgigDw6gmMwBHWFPIEaZWLayArCp/2 i8rMhkY+TxigVI2cFcpceAyClCTTCUA5pPOKdTflbVp3/CE/0EgJB524iDNPbmEi002PZ65ltDIL KxN8paEProIm8fPgGaEopjHLlpy2D2lHzDVSp/oA0ZMS/bNBlLRtotV9vvd+m/XmftWKmww7xy8R i8YgkugJ0eBfVcpGMOpmwD6wUBuKbNKo7Jdeca3G20LFRfkopKo3XX3avqUK4r1Izgng02m2ZSwI XKLBEXlAH0mo3GJWtM3rRjDtW/W8eEMy4eb+iA/luYw8jCUN9Le3vTmZdYkAoFcpntLqoQsdNh5a 7SFqszbfdLqquWJi/SDNhATkHaBEZrVQAhHu1mbQ7sK7r5d/5EE2i8YWTWdhLeGt80qcKuTQaBUq sled0zWJNpD8n0CkzeWq7SzOC2V2aInMr1OKxYrsc9qWeqqP7/WUN605ZxgkkeXQaUePwGSkr9eJ v4tBj+ZUaIPvdTanFTlDFlxmR/AmyY6OBochQTp76JAn322VwoVAupNNF16tEe6dCSq8eQrB8TOQ Wq8ZCelCSf0PUUGMf77FL0D/eouTNAGRv0cj/wSQBftq6WqZySpTm/zcaesuXWOHN7W2RctwTdGp djUMyOKfn1jwKSHwIYmIklqzXphIyhW0M6eVmUbqTVox9zGOsEu6q5SdytNIZuDZVH+jHw16iEN4 MLBRfopmlY9UI0UVTdBlcftFlVuNGeDTjFy/Es4dHaUGJvjfKmr0GrX0qBHw84d5/nTEBIKUfCc8 wdEy8fAP+5SPdUQrdnvpSgutff3+eLvKu8+cN2i4sydyd+pnOEDN8z9HL0PgXEGy9pR6VQucxraK 9XTR3DgGuwDHYBRGX7bEs36OHrRHn/k+rJbG4X71SHnrrY3MgYSAbnfXR+XsjH+v1gk1QOXbRLRH F6jzwmsN44Vj2osjUEMvACt1zwXqtANwv0WdO9FfMqfoW+20IRGmP44RyAsDuqH5E0p0dnk/4QZ0 nHfJtvJ22ZY0DGPv3/hXE5AKbQfXr7plKz4zXRmjncNgzIf+UX30p8MZ4v3Wh60WSYD6cjG/ixXx QM7Y2scaScGp9LPewdqKPqed19iOWK2JiXdSwKok2lbzOWgF7PHsta/x9O/V1QghsV8g22eRA+CO DOG5LVk0BZC8pwUWItgy9lh1+fKpzfR6LbNN7NFUUSD/T0e7KvCRParTdTIgHTvpZmVFBsxQIjbx RuFeEv8AgX/qQ8C7mqcQNcJMrJnTRJ2wW3ZBmnWoA5tBUrEaCGvrS7AxCupZwQtcVEM+zQGvsqGQ nWYJdgixNps22xQnVaO31Cd8Pv+3GQN1eZaBWohgkCZWSwlPPFuuPwEJljURcosrNgTteJon6MAw OeAGgu3aQ7FgUjQ7rJtTne5QjHBsUOgu/uVIYutUWHBofk2zSLrj23m3rJ75OHtdH+SamCTN+06l vF/O2bf0V9t3vav5/2ZG39MSZ3mVpSeIVYI8cA6UJLFtfqlM6zPGGz/PaTGXE3KelsHD9+qanRe8 uHf4XVRF5d7iAQ/Vl+wAaf4boLK5LTO4IU+PzVpAil2KA8yBKfaRtS7BjsqMk2fGS+2ziKkjU555 YMSntPZWsYdjUvH9KWpOrrwcGrwkKIQTugwgXy6upPjmFERbLfKG33AOvzuGAvHB3vYFb4J4430Z G6OXbGDcj9pqPVAZA9m7thXnwRMqLpbuEwUxezApGdqKvcnfWsONMr7mf5W3SF/8CnQ95OFQWGOF EUTgl9SCU2Co9WkScb1Xv/gUagx+PNDWlltLZqv8QAnibTuACcdozJrp0AIh2YC2gjbNb3AvZF+I xMjHA0WrTH5rwvkFVvuFe11OxbL2fJvQndn1tsH+eyi4YycpfzzQg7W8isrT6moKpZHopu9aHlZm A1UI8hz1Be23drm2t8YViQyorgf7PDf8DHcSfVwq18PPuZyQ0wpmSi2hLfhdrs/98Ftvak1Rgqkc NeQYONR3vrRxa8JxnRlMznnjVMG38JFz5Wqzi4XEqPmTnX/1BUDMyRI5IRkzX1OjanBy6qwXBd/Z haBzRVD6IasM1kgmY6bfJuzVGvSEstbSByLshdCh5gfjUK6MWOg66/g0O+PpufwUDYa/3AeH9UUF ZT876D1WxQ/oxxsy5DT0t5dw6ey0jbH4DDYT5BjpYGXO+I3wFgLwSHL1AUPpNZVaJbyAsGC7zEzd zN0JAqRzmf2clFObKS15D6EHbOYicq0URWk8+fQvmFmXNCqXO+KJVmgOrYelL/yUfkCAbT5FPDxk IQijQN0Z3FGGQ9M4STZ6FsQTPewkDnm8FH77Oso8ybmVA64xDC9S3Jrw1n5gfVQyJK9Kz6wqSWgd 8BxwgM/CwzW95vr/fgyNdd4NXXLTSzjRQ2YdvyIIC0XShwlBd/Jl5hXuOg3C02aiAzwIbk9NPfmn M7xtXoEpzJaj3ZyHjwl4Ao7MY4YVzm2MvfEQdHUubrJI+w8wFACmeJJ3RP/idgM2WAXrMuN2V1r8 jSoOFmO3ki40nDx2SH5G9F8xn3pKRUxaCqDdczZo0n4t5oS07ZmvE4x+5YROtqPwDSO8qSLXDQNq aStjX0MEmRWL10cT0oZBNg6j4TIr373vWUfdBoF0qprFfwMbcIKEugrLt0RaRxMli0QNkfrZY8uk r26+pBs3o4KYC7Kz+lLOltJ1lzC8r77iqMvf1xsz9/5RD5JEFjRcIn2oqEg00eanZF1WUwzWB+5H dRNf5wzd4OVFm16nCx6M9UcNy98qEzEOxQ786a1cVIZ28AMOw4CUCx+zLZ4r+M8ReTTupZKbytPX ZVPJjzF6/a4ccJyJQ+0Q8SM1Npl0FlS/acxzTP27wjR1sVtzOUkhpmXRQ2G3pDnBuuDk3Q2AfeJ2 JGixsgo2g0BB6x92PgDdEGBqWvTXHYeN7XJgosQUZKpPjyHDW0xraEun+aa8TmbK5KuS1bh9cORl 4LozGRRzFrHadu+TdSrYxqdDUfvH8Ha0mgo+9ot4h9AJxXfGOI8ur+WdSPHrVNJllXwSgHNplvoW 0byX5F/84O/apiTcPdFeDZx4ibVyDHWHW0xABYMukEb+nhVZQJD0dHSDy2jGZqLtF+i3hS12Fhcr hRH2RQivRlsr2232ls+0AOHNLOq8caw+lVhwFXVJvSSjZHkM43Ymrn9WjuYBj7Y9Aq371cQ9UsDi 6aDXCoz9M4L6kBFrZJXppOrZ+FQQTZhecgcBBEZLXhrPrPsYNrXfV+ui3KjVLTczEZbsOoagSDYp TD+8V1HQ9kv5dE6kI1213A2E+buBeildkLIBY6hu/rt0lGEUtrI38e+8XGaG8aBQKSD39CrRJqpN 0N8cUFFxZK7dUSPNRE9DZCeHED5lqTufJVpvJyFPIvBR+JRwyhQhOS9pHVIKRRZT7yY+nPophPhm s415mPCkEKakzh4gDwzPgjz7rQoqdrYuTudIxMfkAr5TcRbWt6LQsBqNlvXIkHvjT0iA0PsaGAlL WXRiNANgBgfAZcK5xygCtHtQ/wTTgu/9iMBxLmGSPQ0+JcgktB/nI8erQPUmgyj6zYHVVX1SwvJe c8MA5qMAWJZ/WOTq69lZ5ow8+7yw3+Z95l+QbHJhShapqNMZne7Fpj/InYU35dOR+7d7sXZxyXQ9 tCBXQSdPV+M8aufnDgOxzMaCLb8eodxauK2emODATRiNRKSEqYmoAlNBAZ8DYR96oBh6AZb9/pgU pQMHu3ZoNjBfTSJT/PiISl1uYkSrOAr8jZ+X4uuGuU6fW3lzsDhTwU10a6a8B0vakIGtW/g66wK1 gQdgBZhTEfnc/QI8xZS5qM+wo+5H1aju4KRu71CBA/VIKXkCUqxxH9vkmkmIX1i97JaWO42jh5UZ W8IquZYXopGmGEXB9tQAMug91/QPeKjhLGjMp8wjCuOtBt/qtZErAaJen+NlJVcTGOpF6ZUw41zv oNFJrVKZyvQwoLBrWyx6EPz75MWP4DeN4gTPi/fbgV8JVsAvSOadFGPrZ9+kyUCdSl6MlwMEa/Sp xvDu09PvJmr7hzGgPss4MbT+kd5ISKGgN5y8Kx2XyXRFFGYkpIBwjYyc4kKLhPogsvpTjhodKqew oXS4QNUDuv02InToU3z51qmw/s4Symd40gdqiiKCCk6vpTgMCviYORCt+Iqt6KXQofg6jMF/v7eN 2maSXZLXTqrhTeOI9XPNTcW9fUk4ASqcITrZRHtbru5Wb/TGlAz/f62OCqLrZ3OQMsEG4aEWo3at rg/0Nz913n6vI6C394XQk3X+McTCcfteroJ2ZTBbczkh44NcoP38VlS7TKSLVo8RLH7P6lG9aZmE XzAHeSkuRKfgpBvpIkX8rpnZAJgxspbWqecX0T+EcLpHGxE7ZuRGf/7H5rrvHmlX/tvxVcB1V+VX 8/xaPCQu4aPwXmnWAn9gekHeVbMCsd95fahmaZVJ/xOqcudI5HDsr737c7eUC6ohL4dS9/Yj6m5n UizW03Eif3fC1x00KMf/aTOY4aE+U2GwfgU4avQ5KYuYeeOf3WG80VzMjsf0UXinxwLZIg/MFHS5 nVwB2B+DVaAi4oqv8dXTcDHJtKBHUfJ29Uj4GxWZOOFc2+m+BbpPLVZJuErSAn/CXi0qnKrJQEO9 AcF+hc/0u/gvrcD2zXtn1SjTwmvW2DWqBAB0GNYfyieNaFdyzSj17ajZQtyx3Qv8dOG9CJXPfJg+ Lej+rtW16E+OO/Z8flgtgoLX4EW4fq+jWjv34xScTwiqN6kW1+/qZq35T3Uro+9zba8R+O9CDwAG nBjV1iLKpLptxprjIoSCG6EvIDHdZkVTi4bVvOEKJ5CcaHLMrnsQBUK9dkukYYWzXHW+/OM3Ua5b vCJgyB5vZkAbZS2rve6SpNAzhyVXc+myUJBRxGwUTprGG0h9aNsKFs3wN3pxRJ1FYO0huWnYrQQB CO4ZNeT0vy2RglYe7hlS+A8/2KyoZNVDoUwjfnec+xceA+T+6Ipyn3FKPsbZRGQ711WrJJNQBnZW 7cg+UJeO0RQwzKJ+1p6oQ/wHhEfUR8aNIJJ3YSS4/Y9DvnjoneGS+SCeL8YzjVxL1I6diV/USxj3 B3dyYC+bjHi/1sEfCctjwLWdFbig1utpBOQHOQncjvgMFGvIgwtWTXbawz7wNqgyQ+VxuqNqdzjA dKEh4E3tjGvP5UrkoHvsZqKbbHKlyWLnJs0KdU99dM+a+BzzIlIetSX2Z+6DHoGLmG/ndeRSw8xy GhkodxS8xQ8rli1qkGlIjeV52bPtMExzMKXfhfDKf3hiK+YTB1/ilao06rIYuOdKpM9a097kJ17U dACIHPWPODVzqBMumg49Ggw1qjsFbGRyxJu8ihBaPJmFfjgv3XogkgktqCtmHKCO/lcz3uUAwY+r obUzdn571AHdURflQwXXBW2aqeOy+iMx7uo+5kRjEya+5vFNibRVdQDc+sIGvFOTVGJFy4/bpdgr y2SlM5lgJFvxgdcUOfjPTNNAuDcFSMGFO/kC6zPUIkI3ddeWwhusIRFgKZr9oy9NBmziVx2N+0kZ L6Z2tNnAzTUwQh199UbqS8tESlJPhf3oLqkwuz2dtyX+V+M+q4UoIF2e7PnbKaOqCJogSbCWm8pX sgsar9/kG+/zchKoUjBxM47XmX/66Viocp8SasxXh3hjP5IPaRnHIp0yP+gbIn1Nqr5KOPjd0aBY qOV6atX26gBZ9KPZYKm9mnjSZbJ/5AK+ynA/PnMKRbCm89DsckkbXrBbQMAACPjGGjL6mIqC8IZt +iQLX0w/o9FMUyNajizxqaUL//L0a6AwZ4pFFK+aQcp0q6pqQpMjZZBMsd3GhIdx+JD1UDIt99qG YVWEdI5fvIggDPNXk3GaqqL+aVGOD7/nyRJ1wyXvyqJd9U3o9NRxxzeQAeU71LzdE9hO/+L+FXBE RmU6jWSCXkDKbaNBiMVFkUVhGTbMoui0dgRrn0VXH2GWm5xN+mYfwr54l4GUFpgtner+lyRPMWoR 5iPZBvDuBpYqUXi2sfpX9QZIbNTenbsJciYvZO7HPLvUI4ray4eaP1ryUiV+I4OSrPHFm3nvbQkW 3pJZlmdLBrGMzm85sYvP575V9zdIWfbFf5ipHTUmZfWGjXTOR/ZO+pjAGLzbp9z22oocGWhhwg4G +NWMHeS8A5KCBI1TpjChoMYvBaD+d6CbmPUce4kgf8gycG0KgkGk6ajl8fPo1kBxTyx2Qe8PASNJ eSKzfTRz+kLUB163wE2OBjJKlPr1Th91DJI9nI6/IurMX+ec5pBhzUoe7PHKpGUv1J0MA10faBOh Kpu41sVFn81OGdRBGsaoFi0F3epehJ9DoReZZDkzpDRViSSK1vL8FceRGMTYax6n142l598atNoR NNWENCl7f8n73C/6N79RQRV3vKsleleVg5gmqBMsiEyhU3k+4UqRI3gA0/lu47wa6BjrutiRPjoJ 3PgX22ZnbrpPG0xObxy5yQ4D9P2e4fShnc5Zf9HQ032jw3pWIWEQfxnOqUDl5/W9gGHFX4yOqPfA +ML+FTjhNhxhRcAu4P+ax9n8ietRs4R4/9u0HVvromEJ3X/dNJsiYQWMI3u9PcLpi/bGhG1aeIvC 6qSWXOE6KUDddCVZHMLEOB3OknFPZRXj0dU0ojf5CIyf9bq3o3iIyoCbqcP0T+VSjBdgVTTtD7rY gCSvfFqfLBrXgqPcMheROuz8ckgG8Y/45JgmX4eAMEiZ06Ljoakd3ZeqSnYnyzdrNLChIRavXwGU SLGQReRq7aAdNYn8NryqfgyHL/TH7cmt2jnv9U0FGDKRm7Rf1+RVvbjZxNn4p9lwo1MvEyZT+ZNf pYCAn5FIda1BOsQUsvz7ysjwCTWyESA56mLpwLOaGapiHyFM2679F5b4JfN2P1VkwI2Y+CLo5zU+ QYtu/zI/3UWhiTas7dH55idl0O/+NFRETh34ThmtDeJLTwqOA6x2XpcLXVlYoMbIR+n3ZpnjEHV7 Au4PvjaE07CPf3+OH79LNwlT5iZnH3Xb78t9nE4Xa0GBJpNJ730w+jpVczLVBDgSXY/cdUoJINkL +cBRRl/Y8j0ag7gmUtHEe11oUQ6iOOfyoRD2JrxCQ/emJhFsOxkbXS+2i77mGGSeZcpKOBCIFs34 Rz3NgbHsfLlLRvJg4denR7ADjCHwuZ6AoZyfgF3octqOZt4mzL1j3wXUyaDuxzVbLFA0k1PBH8Hh nZ/3dzmOdqshmVoJTkpKGR8hw/D2YJCgQV8grrGWW71Ek4RSTQsHBB8BgMxkGpsysRG402vhq+Ff GQ+TKnYojROB5Y/IlBCrRzQda433jCmsmTdK6x8lwGJDWV9DiIxrjWl1fahnPcLt+DBjt4OYRD9A dq+2UbcB9Orp2kkJmO95j0xrIMTyYTr7iwrMHnTqrD/fTk9Vf+DcjvJ0MhKksRDirau5Dy5SEUvQ oRWjVfoKdgh9M1Apj67S2iYreocq65QIp/zV9ZPCmOnolZkFi9D+BApn/3+84gZFHNhBdZLUgigj O71ajBXs/w8OAiNCh5YhrMvN0NXTp1a0XtcHMgwXGTZKjp+sV4t162659IXpRWCy5f+1LE9bbgwm UcQTaHcCz+cVG/L4q0pspq84WRhCCf96MN6jwM6P6mkz9ZEUmWgzCyLAPhwYyEy78Us0plgmm0zn MXTSgoyugjsNbUAOvgQxLOe3N87dPrQfuPFNFKdZtMltrrkCB3fgHiyOcG8pyKBfc1yBJZHvQeuE QcLfDo3wPhPP4sg2JI8YxWFLvH3/lBr7n7mMpwfqiiEDt9SE2WQ//j3Ak8A1mRdlUZ6FRcJ9pbVZ js+6rY0V+jic1/A6KAt0cpPRA4NMMszS5I6nBdJSPZda8ETxL/KUbevNY4RoirV/Hyo9jhhiDGW/ x199s8t+47u+dXWkN+IfWyhQRHt/4dl5UionmZ3deQfXqghH/JdLzJ6fuAQW5hanDtH2n6uYIe1q ZgswMtugb3ORJ64/U9quQX41q976y2HP4hb5fsZ76Jy17LSB+H124sGWLx1MmZ0Lssn4lbkj6J+B 7sb50TOF/8QVevna7Wvn7aUY7sDVM19Pkm3xQUSDjpCARnkV6hHTdnPN0D5Pl8gwOtCgY3n2DsKP Dkj3QNNkJJ1uxrVNgQ0iobv95Y5nYplQX7J2Ce5YL/r6fC9CXGjmHWLzrQ6WUMaNFeoyK2Z4trxm WI2QDHNve+hmCSqMizDRuDvhCdnpPFg1IcqeGe5Q5llW8Got9dPsKVhgBY2dDPscZuPWMk7xvhRF ob/5bSGtkcImhe5atRxd4hKihr2JbnKDFxr8RMhIgrPMtd9v7iNeo6GKNGg66zQS3PJIBsEeq/pO GCzMEgzk/ktHZEfJLKTMADepKUVIbvKH0UOlxw3qqb+I7CQf3fdrU+ZHRA77qakh3PXO1UXesbo8 SvcY+wpGrNKi4NlNi7/yZezR9dNetDiMxgploGnZx+spjKCls0kHeBcLqY0z+OLvmFyEfnSSvbyi p/L7TUK4MzT+rxMz+6BlpSDbSJqcRKrfcLF61HvPmdxJhYRuB9dtZwg8aTPV5zce7eQmfX0caqxa lfuY6tAcIoRSkcRIFEyyOSb6LX+iub51dKeaQ5M18KfwCd8jCjJcOWhmqvF310EB9hf90rFEz1GJ mbvk2L7tBsqXPbBjF/ed/b54uZlOR3WnyVGvbtU1mdhf/mw7knmRe7xew+hWyLMWzclhK24PqPEL nCOvVjNq9a3lIIgKXN0kl7dPFACtihfej6ByE+H+mLNpBc6/NLQlCMS2TdTGH8BN4jZnY/TgYBZk J454EzwEq/vcbWf9UhPIL2Z5Am9XeyhQNCmqOBqPhLyNAvvSvC1LwfLz0g6A+cuQxYDfNsIzdr1T 5BOcwzaYQ7Tqy28uPTmBo7YlCds3OoI9JYxe6Sn/iOhGsUAnTlazpNRTowC38qPMd8Xo1Mw4LZgz JZTyBOY0dc2G1kRCYVSX5QnLhGNSoA6IZeZUJmdLkhW47Ip4JfK83w3vOTx+8f1DJsi91VM7WMfM nN+iyX4PxXra+9vCXicbDth58c/UXyKTRlLINA9jNWces32PklU6n3yumvwtq630PYfm6JMbanO3 haYUEqnZfq/bcOa9kwnytYh6wz00liBMa2erjEaxS/dEJMRKstNOvDZO7OB2d15iJ3cuzL5GLFji 3nlkbVsiQOEy+gcNpZCJkceNKnKbDkbV2QTdsTaQWNHbfeZo+jnJPS3tYKfHDWqd3Ia7mX9f88Nr sYkNmiFoF3ZzKzoYDqDkDoJSP1l5+dBQJx5KUkCWbjkaGhfhi3dPqzH3k01YbYOUkHAJEjjppbFv D78GVhSYkXwjXaWxwDFPDOGHCwa0c9ommCf2oB9qbReBhy0/qOXBk+3ZfRlLQKbUj47r3syZcDDE A7pWxMTQf7v7xx58Tt39xtRwkA+FA3hMjaFtn6NUBLKhA8hPf1163sUbhAAW4+g7n85TQvlXReVI rwM3v9OoD/69mqdC74r6yKpV/qIWg37ldTOgT4LwVtVTG1FEIndvhpggcJtWU+lJFABXn+vyYz+T 1IxIST71BuOBIM8NuF0/xZxE4knleB0O6KTWB9ufb3UpcBitD9db+XAVGHe/gZH5MFndb5FglJrI wYJGxWReGIzZVlO9KZOM8Mfhigt0rbkyU1Q3wXLzu98NAIpiLugFL8oFm2lWWFcXV46Prxn8OopD n1rQ/+AN8zFVky+JeMElElESSXY8PiRawXMGQuGi5KYn6FgslBqYsvgfLaJZ0x0c5k8tHauryyam bVE+DCsejKjxWXhGK7l1e2bNpcb3M/Mb6aCBnRu5CjDB3+/4R+dIMaem8NbWtBwfAZIL7R21uHXF PRTEvSF2GYkG64zJpQpKv2nrgAEcI5U/2PG/BC68t4dmw+Psw9bn8FHkzMJQ76hO+OUCf6aTwCP/ kIzDF79TTon8WpnXzZMAQ/TCeNEFNbZP/xjCe79wNHZfwPGujPyl+00DI9K1wvB5aU7hLTUuDTym BKqDSPYsJedB3vhYZWJG0aC2GXQCoFWnpUJECn2QqPIwRz6226dQ4V8tVC4qdBoAwf0EUMaVQlEW bZW8PJ/Jshqs2JyVDyRmXC8/mPf2orhyXhBW2zvrji/HSRlynqVlvurNdz0jNNbrxirG/iuMIEXj y25Gj8yxObUDbT4hwqwHYE+yQ0jM42+wybEKxYZdk/s3WZemt/gXRdtmDDTZeIcfuO3wTg1sFowd FxFtO8T1i+HECDGsQkjtUrAHzDJ2JYWCBvatzGM6OarDKewZlqrnZhyyskL2yKCVg9Sb5VaGzPLw DvSBY/a9WXn1G8IFxQYW2wosN2Ua7tfeyJ7RNzXDfISbOc/GaZeaYYOySCVinMUdGbx13hov3qP0 0k2bqrmDe/UVwUa5T2R7txntSjJKeDam7wX9eT81RCdpG0IRVg5tJ0qdEVr+LdRrbphY4eJ9lrSF ZkPmrWkp+hx92bxaL7POwaAh1pl10BTQFJ19bov2QDsyNSeEGLfXCo7oAE/fnRJV5NZsAsdj+Kru gK5org8jNo6i0J/hRV9EnCURH3AeLJjEUCkPhSdmJEYjAjxHTMEc+qZlvqN/4z0p2sZDpA5c2spr EVWufi8LrPN5FnZwbZQHzS+nhzkbeAdS/nttLQsBZqb8Kc6YdSuGbTOf6iEOZgKe3nm6PqpIdwms 2kVtaGRjao0tSUoAabl1Scr8nGFP/EAQ/Iwng7dBR5lq3BcjQfZAV+Ny1h3Q0S0APYG9MkpL1ujY beMuEx0vLVNsgs4m8+yxrcg5hsp93PeS1deuDEZXkwIkWK95QNOOGoQT+WQm3DhAPyA8TtUJ/tAU aQFmA3FVWpfFMwLmMtcQzlqiYnV0Rm3zGnWh8KYaLtHgJYEQHaRlgG41R5QMEe8nfuhQuuAwHmSv NAJ4Bpl11zHzEd7/v6GaMQHpxrbxwrgKyE7xt/uvyXQ+SuVnd4Neb+GdsXEV9Y2YzkBAKoO+ihpk NRzcOKlihQBVpvOkmHNKMNuOENjSe3NHwuMVj9Hn6Z3oeyojFWuLKEmcKRkiRoJqahaA3C4SFeDZ IQgGBK3pBuNddMPMjlJp2IV8FxHcvK5Z8EU/VsD2Sf6g2DymOmx1rqvL5oqD43ZI6uv6eT36PCpw SM07LnqTh/fkStCYxuB4iKulD9YDt3TLIjlv1rzu32cw/vfkFP2SNNM73bamTz9gK6pr3YCHQEgz sZ9KgBD5yEo1ta7eSTiC0Fr9DW49em4e9JZCcuxUkuoDwqWGGT6nlvs28zZKxhnmmtgOEPYBY12G aoFtSoaMXKL4J+tDn8Y4BdlDDjBW+47vBRVBV9lF7dAVMpIDfCtkP4yql3tOpGQwJXmGzWpx0fXz xRcQCJzREcM2zjy/Ajfw2qADVvCMmh9nBkggtary50mOg6o2lkWGShEagXMQrLsc9SHD3BWsRkWa R3voIXI4GJ6sBn4lLEFYadCHD+8mcpGuApmYWCvmJ/QnUjAczxDG21TIHmdJC/fMpwSpWrT4nSqb 9YDaCyf5Gmj3NXnzLJFHNlS+9N4Phcbwc+VqgQRrG2vo1WaS8qowF1J5OrwcXkrYO2DHTXj4Ndbj JKcssKViwBxp9tJ70mzicEP0zKXSqbcEavgdxM6B/UWBRopMTjNWXJaeG8XPEbW547Vt3hdTPjza lnr+hxjh+AVdd+2BmJexpGjm2b16d8N9KSm6dNOKBXs0Iq4rztcmhkAdth8tVhHys8pmepZ+OMoV 1AOmiUKKJQqgXUHz30MPpL1hbSRljFpo13Vb42XKalKY/hI7LMakayE3OkLqGXyOdKmvwwwaABvJ 7E9pMkSoc7V9zaUi2TorGTrsZQLii882OUyCX+w9OYy+pHMOIEZ5EZA4bH3HJDos5r09R/MzWkux DKwGHDr/9DiaNAmrmZCFZ5vJZRwgYVFeDoXC1V4y08RuoBgErFN4CTJw3wrqYGjNbGGUdmj5MOMC rbjWSx3w0RdW2bQy7yskP1wYO0jjZGuG5TBozHJtyzNewCFAIOLejuL4k4QLdbwnrUoVVAmOnQQP iS2cxIzum4rnoHk4lJyc6VsRd0z21ynatkK88pOHd506bYGBEaOUmH4jQmFCQPbjvw2QRNHwyDq1 DwqSf2WT4Xk4kWylcFFjAf9kM4NL2Abw/aqUp1Hldfq+iQl6O/grAI6OAYswxUwbSOZo+lT4TpYx j9NSrSHCXED+f0aq3uFzm7swviaEy/8uGwwsEd48B6GvuHKIK/6FCSgv5lOIH731Noc+6SCCxYUF 5wr7AWfEUjMCS/L7GzTe7x46bL5DirUbvHvtwffO4UWNHekIQxBMjyjXBHS/5MpHlfcj3MKKVInX sSgvUm8qFX0MyahSUo7YWchz9QaHW43o92Cc4+fFGY+De860BYEJHSjv/pYovJ9YsTYyNJUn/mpB jDnzVt6qqxVz1JXbT8yfQiEXf3BZVLtVOtMOULK4Tedmj/XhQc42+uyccpw1WH9fIMGHMgmUE2Od AxA6VikEHL5AmUhXXGbAlxNF/9PJqXe3nObwCAWhjIiU4g6Xnggihof67rWHYYRVY5rw0CyhY4AO 3vIGylz94H305EX0ju12buPNo9VSZilc/+4RZeNW7iGdwBYMcaAkR+PX5TEo9vkfY3RdhD/aGX7y x0iHsCB07N+4JmjZOSiBoBQzRHHAa5TKyBRyeED3V1BVTQWsgStaZyvthvXqWj7ASDh+2983PCI/ 8FGcbGKWZDL0FiBFoyciFc7sTwx1M5NqdP8mN18w+gNSOicSxUgbM7XU8LHMQVK1h/c2Sj5XjMi3 jjbkUuRVdZPG7FVb+X5jwjraqnnkJTdFPMZ2XKPNSqfmthqNHGEnCDq9sB6AtyM3lInycq5scS/A RvjQvlhTXsJh6WftX30Jv4x1jxoR8vo3XGm6Q+BVrv0Gq50Qr/SY8rpKP2rfbot7cC4mNr8Z65ih i6NFFLBfFHfJSzNGmzXB3bM6J0nT7ncG/3euuR+kYNPeHecXaes2gejshYK9yxhl+IKGYdPAw0w7 n8yH/PxR9HBlK4ZV3f7caTuusXZvtsdCvKiI9x1I7LL5Quvewq7F1P04JF/u0n5WXwZide9MRsKt ELOCUKrRJEcO0eetEMeUJxYqAHmJdTFwMkOOy4TA70rPFCXUAN6/fkrT/QVT5BfZQxVo7vM8UUGe pkVc2UFXs5rI6eSowzlLv/5q09zWKpy0uaBltTJeDLrXR2iVepeAd49X2V4+wlPOIKPM30qdc/JN raVe501VJA8lXCmsWmpe87MRL5yih0eadf9he4VKxcYiIp7PdXOY/lPp82EEmntQ1341rF3roXYU RWuzBOAUMhn9OJMHagUkka0BHznBqecVGj7Z5INiFq37CTP+TvCja2+H/sZVPpBWAOSAAWkGpROp rip5E9HHFk86uRTtn9RrCG4IqpPEyZOb8YFXrvw5qBc+08Uf9RShYAM718a5ha/bflWMI3gbKHYC Q3b60yVtQYY9olS6Bk+pQqCQlWUbrY4lkIruBYz9KYi3Kcw6YyzSAPBltIBSexuz29R9wGnlY3Gk Ws64XC5HBvZLQUiX2973pBEfSv36NOEqbmPT2Kj22enf6+6BwGjLvJ4NG6U3w4NiTOdWkUGkH7T9 1jpcFx4RydE7ZuEImuTowurZoBYHxqdEZ4MtAUPhX5JIxeU4mQAFv2yBBiBz/V6wHuEGrhR0EBjr 2LaRhsO+h2oIwGGh9T8vAXoxmhDtWplcRQCgCUlGwx00e3aMLTMR1VnNQt9tnl7zhxXskN1yfq5m ETkdhQJ5n5iczsscVTCMGD+QVmOqR7ikPE28WKOUmiQCKA6N44L53W5rWbzNpZyEkZoHEJiD+tVT hZwCRC72MrRRXjnZ9n1qp2gIWLeocD+3wSqGriqVemBiOLHV31yPiA53WQtp67cdCjn4H5bTVMPg kdlbVabC5QXjH6zxVlY3HV2c+ShuHtX3duKXZKVJHgguDCOgS5o3RRxA8r50rlbb+JiMgOO9sKIp pN4Gd7F4lNMvMiK5DmZ5aeKOnrPdf8M4CDptcO8/UL7y/8+ZCrbMUNK2k9Rau4tgQSUpUXJUvGcp IxId21ppQKKoJRWLyX2AxbAzCQI1QZzAL3AHIRuLEM8by2Fu0Pq6NovskiG4uq9c9bZAWGQiGW5f Tl+o3TUqBTBZ27WUAVdX8IzxLV1DduY3EMZYiZHLHC74X26WxYmip3REGfz4ljeIi9EtjqWp0utL m96GHiLucgxB1pgnPQNWIG++pSZJZf/v8MnmQ5292OyXneUHjErnFV5heQG8hnADTvrkD+bGNrYH T/J6oV/IeTNYhs13m9mrDgBz7jBLfYSpvTIwqQ8DqfIYbQFMdoa65z9W1xDElXRgTWjv4dnLTVLV c6dzUe2nD4rR9dYFYU90E/csF8u2aYIXjUNEn9e5ysKPvFxRYi1TCh8/YqZLQ/+JNaHH6uR30MnA hDnVFzznEESYscBJam7GseDYBuJcm1nsKe0QGpwdQKcbPjNDzwO7Oy7OJfc3SrxPG0h6w+ZErJ/E cNZEzKHzDESJKgM32SrLPdwaUD7jgR4TDMhreH6DgZuePBGgiWcEbpCifjiyNn2ZLnw+NMu4GhIe wrHL2SDY76kRObXWKtrEfnHecuQXQryPtrLzeWiA6nTgMBH9qiDEAG/X9JeOexp1TYmMUR5lkYGR 6aLf7Jr8jtoIVm5gP+EtzVZWeQCep3A1BEwf4a7bsMj0DgxHP4KL89SlVgx8vgRbgyfJUAXWwl8q nn6Ln0xtbeS0ccasiIGMzrl/U76MZ6yCRakdSOj1SGaeA7PNkC+BDiEMGJvCazOqyA8vblVfmCtw 9akp/5jXeNRTuBaUdMzmQG9ywsUyl9/gHiEYxddq2Pbvr0t/IlhBhJKciC2azKkgUQlRxPBBMQlx U5wBQUiH1uFDave79kAKH1kwK1vm27mhSd2g80I6bCnSoVo2Hbc76pLBNhdKYIq4Ju0KY24peFO1 D+5aLPoHUzJDNFm2Kf0HLaghWbSHvj8OUIxIVaNeYwOwPoTJCrpCLFBDubGzaFnhZudFGxSs/+xe 7w3ytpvcqBMH//1qKf33+h/+I3Z3JhZ+ktQ1mc5Nje6Ggo4O3b3Itdwf3BoTwz2g7JGiaPtlTQF2 9O/0MNeLPxx+e8wAHSZsHZGKBV1B0YGYGywdrMZ7AgG7jzT8MfdppIiGcDYJBCJaMC6JIpx6X1TU PGNjGyiT+8i4xQJuZv5mYJVhFnDcLWu4MiytNH5tXlmSWmd0U40cW5IUYI0Am18zILAePDAwI00h NBWnXRkAzcIu6eyLc9TpqS7fKE93Zl/LWWpoQH2S7DBieLCv0glPlKY9K7RBkeBe3PGuqM9V1hD+ 5CGUQVUgFJrg7AuHyGnH1CQZ6f/od22rLA1nvrenvA+dmPRCQ0J6Wc9VIkDQi6eWZX7ryJp25oHT WYceM3U+/Da7AVxU5hHc17ESzZmSx+hTAzgB6zevw9BRf0KcNZHMu00RSj/5/4cnN0qWIx0qLwpe FLPn1s4ZhH7eLKyDP8A0qPOtBnQtNEE8CQ2kDXCIfHSZ9bd5ait7IUmJWCiGPCX89RUydw5uH1v8 F+hPt525hDpdWmOHjld1gVB6mAkPlNkOdVXJ6ByMD9+Tw4upsRbKZkCWo0TlPe1OZ4amvfyvulFG nmu8FsNigTRJJYVdM4/mNIb5REpbmGEkWJ2dQJMN5MkM7f3Rdd/oHt/+lG/ToNVaMygDtYNPTf/Z 8XOglZufI9NwAs3fem+bV7ul3L0tgHQagx08F4rwIgJcOPuwO1uhfIDftxUptdvB3DA9OyWAz2jf 06iXRr3CRO/lJtE34jYKg3UpRJhIMTFO6TfbnlYRcOxtl01LxcVkfgzegN/SGk2snUSoSZ+t0gGv tblNYfWY6c8pvx0PDFlX5Ut7zB7gY3YAEoij3NwZhZDOjAqYZ4teNi7rh9qL7MNMHGDdGhAMLrGN JapNxcpDbX8zCshC4Wu9Av8X0qdaF5LyjuR31iE3Jf6x4TlTJ0azpDTmut01KbL8/t6iuePxhYDf qczTOvXkPyacWfkzIokaVH09cZ5FnlCoDH/mGR+p258iX5+SFDkh1timvdwzmGG9WFo8v5G5h7Yl EUvt8gR1HjU5mVAqBcKNZ5d6PejMVHtlavDtnawJYWVeG9GDN68kaw5IMlqSPG1OmQiVzJa8ymbH CrhUBv+LLw2cbf6Wb4spgdgBBUqOpfYu4DtOcPrvxC7FSW9rNZSjSWJxyp68sBr6mG/KG7VMs9iL B4nTddpKXHSshCTUUeQJfpj93CJRsGLrD2YenGjS0zPzK1gmEMZwjVZz6XCF4HXAfOis7A8TFCoH 0UhTJ06Fz6NEEryR7bV6Tf03DaC2yOcD4SVqQwxnDNccijrHomwuTisOl+QUR0gBBNWcVlIr+cSs /eNhG+0a4k/cn7FK+/ExXxg48HcFujPn6XuSAArjnOSyCp02w7BuWJvW6wK3MXUwsH3w+kiXHmHO aANaCWPeQ8Zf4RSrW9PuPe8FVWT4lYDAsT1rcOH/SiJoukW0wlCn7gA8xLlC8na+n3gaLts58yCy u62utRV5T10C5bPi3SFaxo58j2dMnd13ql8+UwmcXoFL0UWtHLSOzGrEsVBA8Yn3sKBWI5b8Lzkx 0s35o164wqhe/ooQ5fVza01dztGM5H8EJ4++GpenEzkXTI2L+gSpA9x4p8B6+qiHnGuWezFSUUm2 0n8J+RhEOIrHRjrrkbKlHxNAXKUHcxB6CTNojXGcRb7bYQ2v5H6OdZQYOu2vuon3I0wNNSMbwpHd PXFzGHyxY+411gDFtBxNHElab/42OTrCWlU+g6orHl0jbjo/bYUZc5HUa8luJtwVwbCl8lnBtWNk JpL/4vV+me9DXakRNYXmAWBMKX1ePAnc/czgB10Uzfx0aAnLhTRSWRkedK8hsDa1ZhHbMsdKAjZl 4zkKim2VqWYEkOujtBpkqGGDj/a7RofNgT6Y/jurYgDXoU4GKAyN4ayt3Q/RKh7EOa4QkceyQiAE PwS6UxTc3rEYYjc683Wawz0T1L3ibjINuUJNQk//snA30J1TEkJdldUNPYUci8IOQOEEA7ANhp6r bWvheSDmEGujXgKZh6evLr+mUpYnTALsQ2XqzBZxtXEIGAtfuo7fuP0dCHDS3P7yPiTmXrdvOXZv UbenLgQIpEHYmnuce+ll0pAduy0MVeqHwfoGMVSKdOWAAml5TnKOTWRNP/d6w27HTRSgt9Uh7V7P DrpoknfJGpcy3npT6+o/G4dAX5xScFnNjzROCIcLNhgQkUcApOff0sNu3MhjrKZLmvnVpQRj3uPX yrzyhtYx7VeBp9fRH/gqTvup9A30l9RO5IxAVJOQzCXg0eyBzY57G8aVq0ED4Sid2ctjIm+wd2Eb F1Si1tQKh1JpXe1Nom8AgeAnLfTLh7BKlLBiFcUugLcIzvD1goYxNESt5gSDUO7jgHMQfqGAFc2E BhC0ICSzOnZ9bjlBsX8/krHPxY42QIPtpZLducbcf8J/A5w6ka+lkoF344Z6Xcp0sKifrQPONTta ZQmrNjynKf2rxbnQ1D+nU2z8FV5QHyRpxqmk2g5Z15eLfZTcmAdo4CnpK2rf5yzoNeMfOA++TTQ8 5y3wKPNi7HN9wRK/zGeHYSZSdC4Vmfgw73sv9+uEmm+Z/vKu+Xyg9gpSOQMLrfh9+n0wd2zpjb52 cOJOLzUcnc2Mp9RUPrpj9FKEO+kEEqs43VXhe9GuhyA2YDcr3iykc5PgDB25iImR0a+fqY5nRfC1 iGsvQeelEeaJxScmFqQ8bLd5m6VIoAZzUYO2kUFLXb4DYxA+ZdD/D7uYPBpgujQAGrUYSGGSCPnG 8iOFAH/jeCZ5mmjjFW2E43mhRBvn9TpGrBT0ph2EAGOTgfcYBBEmZyJIRFT0aGfhNu6DHzZWc2bG X1GbsWx5C8q9CE4FS6Ue9q+9B9+hkH1LIoy6jVi0Hm9uRdw3siPWOV2taDBXi5QFVFOcukxMaN9z 5NzfXuyS4pStDqSR7ZL+OaYsd5BUz9ptyv4OvFsU/Z42EoPfLGQ4xz+zXvnqwf4lTQLXXIsuAi2l XwnzX9YW2dXyrVKGUquiJ8P0giVTCp0whlEuAh+Iuf3h4LcayUEGuSssuOdS6eCUlfBaLDpZIWzv K6hvVvg63ha2/f4KaXZH3zwmzFBzpCAyhBgLPLfJUjpQ7/ajnP3ukYI5GEC54O2EaiGcOVK/3dT/ xUQVrRLCMm6wcLFIuHp6m7QF1cb9A6yrPISZXK8X+RXTSdGkM4codIFeR/D5bCVTJYU3BnCBQ0xQ yFzWUlnUf67NiVBWgP3gYejlDkmfLOWvdSeRQsy1h7ZxynD4LKlm1ZTnd74DkuaQM1LuBdCL5LLj jvMoU/301Bm7Gs25/Il2R0li0eaeexIP7E0oS7lK2uC7jEBTc4srLU9loTqLyuvpNyyUDNPe2Ai0 4Rpoc2cZMzJmb+03boJ8aueQq5XsykQCQEOHTnyTnglwItMDotc9iGCnaUfecI3LuZxq9/KrqcVE Vbj3SxxX/2/vTaDN5qCrZ6SaJeulssjUYrH3vN75DNZ0jUU0Mf5h55mHC6V08Qi17ZnNZgHIwvCG 0tSe9bGnFcyQqikn1+MLe53ot52l5Bg2waX0HOCXdgYIZpl4cb4wt3emoZeSbXGzv7NgxTJmGBbr 682S9os4ciHL8ki1KzXDTxlc7QaBg+hl+h7Zl/ZzldlFuznQrwYcGk5lvF9tDt5DMkxYxug1fnI+ ClsSr0edsAhyZKgAcwJbYDmXKrSBTFaHuCiFA6TgNHMOzGnr34KKUVSLC9ghzT3BTnmtg2evJQPW TfAz6G1FOAuvIclcp8Bdg/5d0XJ0U5U7t5YOdZ9rzb6YH59awLzZyKvHrR+fqeiDd/mZlYnRRPdZ 1RrHty6VEHpBpMgCXtU/puX3N0yu30wIeh/7b9IC8RqELoeoXdDbalU6ZiiWgS7RjQsP2Pdltetk xx3oiepQi7NlHqammSGELVzFWINvJnNezPt7JIKs1OGMrDinCMp9oDeSKhs1lS5sVbhg41l+MmFP iferyTtSq9/WuGWf6PQAUY13K0ZBHWvI8Z9Lg23fLS61P9wZw34sYUDTW8iCgMHG9jdHmm+jHtom 64q75Y/FVBExNyolT7RsWNGgDme/sxEiohzdkfmkeOJDmVi4fMPaJQOLTtsYZt1uM3+JUxX3lI+2 sZeu4c00Ls/ScvR96QO3miq3C4FzUiShLeyg9nhiE4D75CXRLcwYOoDoT8LPRBYUI4uHjm/2IxmM zJR/7faR8XGpBY4kK+MP7AYeh7mZSclqJ/VVamJEuWaYiTXshLJaP92YWDSrTWqo9MrBtJbYZ+WR 86PvYUyf62I9qkICKFHBtaNb8ft8/nM60DOWeHm02A7bAtdo28eU5G/566/gH6D7p0fciTRljHWX jZUiicR3MmwhFbGjt76Mn4Jbkxg9boGVUGgkYkfqua1qN4yZ0/xSM4ea9OM6HbcX4O9u46xNPqDD PS2TDgwCN5Z4W1OwFjpkoFC7PkUJhg0X8R1jUcKAcs3HFS+/r5Q3k1fGFvClIDbA//WCIpYFpzIN aYEObTvQp1KeXpLJ3fwGsG+06BLI64JbN4Dg0hzEpxzUrDSz/a48XWLtK0M0l6zRYa1Pp/L5lyGX muUuEsBtOjVZ36xiQcfWI5nKPXRXDgZt22dMBPBwL+ZGDVApbeTowcb4JSG3u4vc+mGYO/QePOPL yovPbZNT8679du5apeW7/GH7pYAkUVoH+t6YUWIiK7rNlt8QvhPwSA3p85+2JlIx/mUvU0EeJwPv fd+QcS7db875wObmSCOnIENvzvstRCnbX4zbERRwcwyMJkXxwUlfjJHn7KPBcfpAZVelIYsKKphB F5h52xX/UgXL4h3tZBtcFFecM0Td/wnz+gPGjxZjlXdZIZBQZpx175SMIvSMbSqIZ12z0t2USY8L g4zaIvV6lDa/dTQ6goeFYdD3oa1rdy4xgGWas8J9GWtkgyvq9stCGhlAEBiXUBIxikXO9IhwqGsj pgk9Vahf2r5c9P8+mQ4+QZco27RrvqV3N0QtRiVIC0Pa9KOlJaCkRniBqaqMD1F+ZsgRgcb9y8XA 77YASxi/vlRCOgQzfJvLaYNCgfKuT9+0pLx+ZNXel8ncVwhPxlHxXJV2fHybb34Z0Tz523uBreH4 qjYaPfifg0i6ooPh4iAXXRJgIw4NzL6vDYAlO/SV2UwBvfFpC2uZeB1qJRmmlsfyXXpkBLCNPeM2 BbiJjmV8dWjWdl94l1eCjrNLto04pOLNd7fExjbS2HZ9eQqSFKtoleRbl2NwnT1PaY9C1aU9ezzR vc6Y5DTQSk/uwbNlKIc/Imr6hcetF3q0DRdV9b5+wyHSF1FKzP+bRyar81tO8fITj/m/d0ByjUDp DDT1eNIhKImbdFSXtKjSqYHTjuuM3oCUCJDAmLivUvPv7A4ZOJ3KzY7hv5fXPWANBxIfh0s45vbl +tMszJ6JyNlclqjj4q4rR8W71O0AOcanMWu0AuvaL+JVr520PLoL8laAlGynIRphl5PTNRl55w54 /QLGWywTBUaAM8AqZwEX1Zv7uaHQRs4MRkZlM/bDiaEY41+zntto40FG+lHIKbVxVcWDKW94eWN1 dxOmGUJqQTWb6bFM5B573wR06rFPYShUnmNIyYSoHPLWFYn3rTL7Y7UPuN9Reg81INZAAfHhVTSw uIcLw3p6WX4nFwr2geSHSJbgrLhBpIJZBZC5sZKXfS8Y4KmWt0h8MJesB5r5Rn4WI5qMS8kTFm1h 5XfIb8c4rH1w1Pc+C1zza5tKDyEy8Lprgql5bHiGuClAdWbDP/XmWmA7GQYP79hMfGTuTpr/6/ve 9wIzY7uncN7D04SmiYi5LAb1N1BA8/XllrVHdUHLUqz/T++qex1oDGeyV581JqyHvOSFPZlvrml6 xaubVmzAHq/joZPM3y5vNLHF6/fPOKy3TJuQAsLQbAEnPG8gttx4JXG9chgwjmCg5d5aodoerRx9 +s/pCb/docEsKtQq/sCRNPG22p6snQRSx9aMm5BuBbMLGu5SvW2qCZKJs1n7GsxLTa2FFDQL8rxe mrTIKVVeYZDUdBj3GFGM42LC3/Ea38U+yJ/OFTQ+JwrHYl4PY2USFrPr+dTZEr897dH05RX3iKJj qYLAXZzMvOSHZvYyO9yLhFD10sI5YXGK5PDtW+CEZl1ofu5sie2KzLxifQZK+n4n87BBYWinFQFl lreLvFyrjijOu9wnsbe+7XKPJAMJHJ//PnUW3a8+SkFiB9AsaAYrXehtNNjVBe+1TxaQ3MfTeBRc qVqHA85vZGd+Tgu+Xr+KP5MyG6MQntOJEfeTd51osGxD45Sm7vzd5HFKIk6XY3jlQBQyJWlmbyw6 IF4qBmGG9jCK3g1SwKz8A/ijktyTK0ciiy+Vi/rPFv+2kV9kRimgaHFbI3EpT6T2OBjRxULX2ob0 Ah7QWyjzYmMLc9q3dy9LHNMi1z5b3KMuzcqMwogD1xcEwPZDn8dNs6Hle5pgxr4hfdItDgrpZopC pw/wXpBgJel24910QcPag444x6Z0fva34Fc5dsB5vl/j2o7tt34RJt4CThEngpv+SwwuHpKyB3mW 6dJqM/fN9HSxndXgNi1rYzt2k6uKW9zDGBNVKtv6YubnYR1unfidm7t1cUVHoq7VedDnfXsDJRqp ygFtoxsx3tzz/7nbj+rB3hoDuyvffV7d6VUqIaulMYSXF4WOdHsqkreMcpCBLJ6TwKxAGl7A29sl 1WqkjrGI5OrTyaa4b5HOR77/K6Vb1aIgSUVRcDK6zvD1yn3sOjdLcODuGGyqYtvIXSxtvmcQOP2+ EQelppW8a/bR8FAOnyDgv7KRnwx/K7o/BMozwflH1MronVg1wwIGUq6Nl71iYS1/bOFeLWYIeig3 WVTn6lfDHowiunrtvvm4dYz1AsKcGGVu0SReCt1LFAsbyiPh6oWtelvgFf5bYgZQCdpFf1HCak2O 2Hpz7rOrs2KpDGI+RutZzBvRq67CESawgGUTP95XWB8hby4vVCOVRHEJvfc94NtMLO2LID7bKpAD bxifB5N7KXq9Byah2llef8OTpaRJpwHmfe3eai2TsRy14WbrZ2wXP+keFGY1HTEYS6dBT56zFK5y H5w1Aw3s/+iBzmnQo98oYwQiO4AfE1rY9HDMFPnyekkn2c7INatSVVF4aQB9xrZsMaLZnSoZX6y/ WeXN5ALIKP4/Izpx0/tvz10us/1dtSCZspM03PYyk9cguMk5/UQcZm61txRqH16xeyDVVDehvhGo a6FrLw5AW2nNuyi+uswRXZXgABzzVQOuDpDk51LtwximTlfyc/bTMG9JkKVcz41Bxv2nW/W2jUNO WxDzMELst7ad9XLxadBv9MpFCpixuW60B1rSykC8KXM7yOGQoyBZcjWM9+VwPZl2h1ZJCq4OmIgb tQMQG/xXkXcHL2j08dk4o/9mJxH8PBJpXtvgajQ7gJCSqrM5Aje38K+FOLwz3lTWmpBRuV9ybcSV cUxYxo3i43s51l8Wnw+qvS2iSgBuxxZMZV5apbnrgDZ/S4Aad6I0ozxGiO55DMC7YLTgbUSfr25G G37ZCG/H3AcrSTeUleb0Ob3YAxoHWqjmkhYoFnMb+3ukmBQ3Y82N/JIc8FAzEEdggr4tNzbrngPg rfYXtsxlfsH9eXLmeadfsvDY0Jncm3YE2UWrg6u0QA7tuc3ZFrSroyWwmp3WjXSvWT01cNC/CgCE psFs22EdmEBus7gu8RV/lBCi3FOIHVjH6sapGtwlgU37BOaNkP9xpGE9gd84ni33/sdXSLTNbh9R qQZ/4qclpRZ6G6Fu4kQm1+cwutLoljAeTdlMXMZqsPgItDXba1YRtbtSbr1w0kJ0IuJJfgHq1NFL 0prvc2zX3RiFwkZDeTmYAS/AS+ezSzvafX2A1hEE+EdPZkHdCqDoKY4zQtgGQKhTXriAuQcwc4ot 0yi1guzD8SY1tDPdnKv8vNHkoYEOKDtAmDpeXbFg/1pCH5bEBxXKBIbuj7Cz3Hel8k8ZTpMR6hr/ CuKZUJp1IR447VEY0UiBoiCMBeMFNYGWPiGrTnZn7lBSqXWFfWbpiAf9lqruU9T54+xJpCCvnfCO kdOsjWZgW++RFnTbpxJbSXW9pvGd8Z3Qny2UJVCPeJYPRzwNXv4M9GivKQeL8kTXG3zzjT9EkF+D l8siTmeNzNTtnPTDRS+c5v8Bm60Oo2jRtFFxY807R7f7okAaNOlIKq5Vjmw4+K4UC+OMWnYfchKN gv5wrZrvD42VbB5HfO2MVQ/LEj3om6RE2VFYFw75Lo6CZrSgCkdWCzWkkEg7XxT3+uQNWwYqGMFL FXqQRGt7aizb627YaQdsg1mH6BbKlDVaGMAPHVbAzZ4e3CD/M/A+adYYF6h2vYPsoi4XY+jsOfli RISIJC+QM8XwlhTC+N9tvQZJ3RWzNQkM8tCGZA8V02wtQ1wOFEhqFBXVa75TDSiHEHdC+vN9PoQF QExn5rAWv0ag02Dq0iEJWiZPFCUGbatr2Rd2LvH11VBATzC5okRvniIuJd5SLVqxAVykt8yZ0FfJ E/yovFqWuzNvq41igyhxfGgRAF4m8QI58V+rcMIITxkLNK0tKnuoZ01tfIwISQG9XKLXncP7b4LA fnnlFbbiRCDL0aZemFvox6LYIputc/upR2NwQxZ8sLtCJQF9XsYjzzeeqtDsqZYTFmpEYTeSmW8S PJK1jYz1k1JatTV+6msD3ie9LZlm45BgbaFiB8NIwGC8HnAhPC3cMFYDqF4vQqaV+5wvlbS/NpR2 Si5j5RsVnL0et22ihluTjBgMUduvaJbD0Sgzeimj6MDVZNu2P9Vle2KjQrL6DagK69Ddq4Ain96L XTsVcB8VQAg/vkucBRh02kGYFCJ10Jmofx5Rdbg8e/E0/gqaS0Jx+MisheAhSYfQcRvJoSsAReoO j6QjnYrXVD2kuf+dtnpj4/5EtqFPwYEybxQOpQq5Ev3w5QX7i7X/SA10yloZSnEI8JxaFEQim0lP o3ByAsG7j+R1gaTnR/826FpPF7iqzzfQ0V0U+RHYZ2/ysMaDEd4aJU99LeiUSvkdnWfuv3U3ySLI eYVj8Xs8VonsTBCDBI1FlShjs+Nq2ggHKwMOXyyQZMW6s5z8p/+SD1u4uKMfpjlotIcotaWQVArl 26K8HAXsWXO26Vh4NbEvE+UW0qivwF1U9YkBkKSYKA7M+0tUVfXO9bRBjByAciaqfMiKrTRUZD2E OxzpKzTFuZ3X66QrGFI86SqCNfKllGO6vMDXJD7oYMLgVmUH/R+U+1fR9ro9elnzOb+aC8yU0Iwb 8aRApODeuN2JZqlGBMFN8RFhclMg5gjpOQzHnprC4ZjBRqlQ7wO2jdOLpIpqytZTKCNUKYCbM2IM O24kHrGFgXnUlOfU6FiR4cXNQ3kSWR6m4+dRNaVwWSUFS3JBCegRdC/QwvtJZPiW9PjWhgjvRV2P 6eLYtpnsXlvKZjn+vuwQBMOuLoL8D4TSL4/m7YFxyPDVZDsBInnp6Y7AvdGdIppUVPYp1YiRuwqG rgRLCw2EgyX7v+AqwBK74fOdQ1gbeES2NddLOFTzji9RTvV5rsWq37+oHygYvbeeWfL6mG2EekSX XzfpBdHuvg1I1RvXSUS3KviXefzVDM0CSpDYkEkjrMhhl0p+f6DsWjvov9ZZ4gHV8oLZYcjXTwEH RY7rAmMaNSye3Vr3PQfFZgwSIAqv94CLarpWQ6uaIS9eJWBufThhsO3HfRpHZowI3YQdKlbbI1IO Tw2WCA3zQKTJtJKDunE6N6IjvvR0SF39tsiP6XxIST8VFxtXWqr8wYOVkhEtPxD9/uYagBTsMwrf K4eYMuDCEZiIz8FSZkj3knbkTU0ntsDpRimKUyL/gG/G0OfMPBdmiUAICRgi3+JLIDZIxBpIXy+O jvUSv094DuVHV2fWOKw1L/Ik+0T2l9GT1DbBmjidxQsJVHE/uWRNSdjT7khLt5VEqQeOtGjHH/nM NUmQhBtMMMyko90QDgpNRQ3wCKeawrBW+JhDLu1t9uDagUA48rZV6VGZNPp7XIbXp9gEtRgv5Yti eo3VMLqoxa1AOj1hm+ipuBWOFZJ8gX6cmOWu3/6KNmeEbvpdJaIN3uI4VFsX/ekMeTcYpvFJpufB VnnShMqV3G5aI9EEkwza3lCP/8I9R85OrSppEHYgUJu79eT4Of7HTXcy7SDYHETL6i9rqOxFWqY+ Ft6jRe+e3oSUR8552XGyODX/8eDw9ep11PqfxUMPXk+vnofXGWqNqzuSXPDIO6wSEcldqnS+ct2r JXHxhW4RK9zelaYEiZh/XvSdl1+dHFvX3feVPgB/99KJE5LdTp4/78ZzLt353en4Nz6I45VIcrfU UoXpbIgQpsVFwKjj7mQmROi/5ssPdr9NSuUPjlIlPj+nF40E1XVbtsvXhVLCZS9WoIOnlNmBdwvf vgmoyWrfhohNQ6SH4xydMEgBpWqksb7a02XJPJVXxBC5M6+tQ3zzwCEswtM8i5HpghsSzFt18NE4 5Er5L0irxLOmAmfsxFX2KiPQ5dveNlnVoUymPz1tXb7NHSBAAjLL3IODrk1zrYURbXeGbD0UbtuY HSbWX+mZHepWMHpQr/r0KB8dvLeLHC91KyhW0sPh7ZF3W0GJI0dbztQskshMuGCJpBisj675MUfx VAgnbwrFkhrOFrj7v7GKN44cu9IdFGMiGHgcZNM26GFfPdYXI1LjpPFSJ6h2tVwsWsAdlPfe6wf0 zfpZxDA+1m9dvoegd5QPujnPiOHfbN76cil3PRKhm5CvKK1nLC3kDQ182RO6vjgpiKe7oKiHmoeS spGQ/fRseFlp+5C7B4TY/oXdl9IWd82lR3+mNpiM9AEPCUez3K44AcNGNKF7PYzmVf9tobn6TKCc gbmeks8obV8WcVzv4bd24ffVioQOBfMK+ort57qon5kNu53uuXktcftyAxOzptwy6Whg6jreaJSX Z2GCu/RrGKchjQUdLKjTUNhs+MiTm+2B6f7UuTm1FULSqaQOxFxLpmIQ774VfoPSw4k72+ba8hgs 2Llhk+b0Nl6GL56R+Z6C2jsqiZWkxDc8jQTfquzph+DCPBSuJ/hLu6v+FkDSieQ8Pwt6jn2XdXHp OOr6lULjVkMtfWBo3NpgGGKCygqLjAf4svS1CU0zX1KvYEG+9CRQhkeymGmeV+G8XooEv9jRurhO O3pjhzjBsll1UQKyF/nIxO7sKHD/HdIkqeRt9uy7FbEOQy9c4qf28uhxYBdaK4XEZg+rlgra7aS0 yjjA9aq3M38RixFpH2Eu6vK8WNqigU7Bcb2dAlXSgoncFa2wniVjhizh5jKGR3xDACnu/Kx839s8 Qfq/7O8BV7GpbpZE+aWIvFFmzUnbrqlqTdSjblZax6UX+v0Jlrq5753hJoO+SKNNctrJvhFAzj1E +o0x18t44HbB+tWXNrSIv0WZDKVl3R8E22G1tlolhqH9EMzZlFYb8epHA4uYPIh8W9YDDU0r7nTe Z72QCu0nnFAH29AlkUE8ogEDYGwAcl+Mvn3FOATJhCwSNCsP13TrH1/A6eQ2LnM5oR3D6+LZGDF+ 20tmlPA6Te3J8NDvZtWHvwHYgQjOSWTKVE/E6CvPpPt8IjH9BHkx5cWWhvl8ztR/X2617WQSOcZb uP3jYbYdSgOS7SWv0edhX/2HdqUR/6g1GWS8N3DCA2aRbmSsjRO6TlZ3NdWZA0895oeiABFTKz1L 9PAx24HRzMgouHoaiqP1CVzzUjUkrdJRnE5JPOh2wW3pAHbxMK+3IAV88pSTcqoSOme0zoiqgvEt lprwe3Mrcaq4ATuhalsrLbhZEhgf3BP8ZIEYA5bpzs0FtRCY5XchGSwuT0fCfb/KZSZ2nDf3IAxc 8JnubCtDhi9OcXnkJccvrigEvdg4vhJSxhgtEHk47w1ACekJ4x83s/Uzx4MfsuUlw7ou9zngiO1W g5/dE5zvhsgdhTaE0SY/ey0bS+OrNKuLsj6xCOwTSlAgPI9qS0w3rmqnPhVcGasqK7qDJNIlwXXV /1VwTaU8STgvw8nMahYOLlah3NBGIAiGm3s6SX2KYq07o/z1z9JuKqoFPjIQcirb9xOwTAhsxGh6 XXjKEOWuMFmU5DEe1mCmMxAIRAp7EUFFZZT5A1e7WUk3Qxs7d/sqQaFEyAfFYNuY4GXKhcWP/XYd mBH/Y0a3rv609YxJ4Xelc4weGX1WSOBMtHgKi5XCJSIHcZIJFccJVMwNER4Ik+fg/WsFPOYsoGxa H8fY5nrQNg+ojbVgRZwhoh6OQcvlCoZYqwms4LquCpEZaLwyqt4ZjPp++D8M2+Ryd8x0g8fH6dp5 s6BY13YuAi4xJBfEpTtP3lMotygukVTlAhxtMvm3F0BOi6VAxTmFsyWhOtXZHRqVZp95cAlQm+rh xdWaPBIIrVB6s/30wCx0lVHheCj/E0Fsmj5iTpzDMNlrvrhu1Gi9oJBmNgwh2WiwSboitIw12+6/ UlnC3BHhPE9Md83Htf9PnJiIjFpRwLsVV0qX7kTmiaylKSbt+G0y391+9tSAfbxPqaXppuw12JD4 q1/kMidYKA5W40rClPYUglESD/x6CA2bWnfR1suASqPDs/GoFgBiQVjSjk8atsvuCScG5bNPBlLg kxA3kIh0d6mtSiEUQav9Mp9ePDg/JZFSE8nYG0ErX094a1+ipe1cwAkaR/VNb0pAra3MIQrJjpo2 HmNmRiRl+/lt0s697Enj+lfbOa+jXX9Z8FRm7FWuPlA4/WZwlN93n7LHhEagf5m6Vn1O2Z/z+IoO bopOjOE+DYCHOTQSKj5ugAWgZh0VFhq+zphQGM8016QYcMxlzywUuT98oq77SPTiKxPo1wIB6a8v Reb42sxs/iL3mnzOiRGlG1to7wfFx1/dwL2oBxUBkm/bAjBjgnX4Tl4Td68v3dEIEtNb1krU68IO 7WPgatojc7Gcexw6+2jRJb22EGDgQj6Z0+g+SG8MZFsm127lSg6sKhZoLsmhDtVqXw66vMPWXXWW TopOs3m0ds4K1GAIA7kr4GM2q++dDuTXjXr3ulPrRb6d8Pmvk5rnldo3WSXzx6lUOa0WQOwxYPxJ BqwnHQYCvoR1rRhoE0spZi9QWagAf9KWlf7w8rUewM9lWO1ztx2HZeVqTH+EdLLEuJOXEusgrE38 lvHd0kbPjc84ZcOtCmDo/LqcD04ua4hKyEpCVBPBBB8IhNTW3BaAZbPfgXWclJ6fJEieZrpfcmK/ hUBNKwBQRFKjaJV2ANGcNHaBs9NuEzG5E/RiNOsxqQPwrMaUTInc53zR9AbeBSDIS6CNMBBEHcmN qOp0qRCFm3CsfY7XMA6sPJKbwABSOE16PpmvTeBxlzjQBNa7vvd8Sb3H0ZxyTeK5Yu5+Q7b6YCRw RA/Y+H3KOVg04RZlOCD2BFVQZ2dsoP0hMVPSMh1DLEs6DVNpWSoKA+fYhKNcfXcfjltch1SCu9yh xfum5VIK/sIPG6hSDpmV1ANgWcjjiKqhqcEF/t85U8xZFogczy67d4U8LWzweYt22828Yg29RU2f AUtQHKzk5NO2iIPW2BdwNn1NSF0JDRFLksGwoB2Pe5dT4CjwBYqAPWihGkw5534HdPUGXUydDwrG NO1AD9y9Zo4bwyStWVNPu6hB9QXHIckzY084O1SSM/gdTeNZ8SUbmtuI5u4GB55EcQRmCFdpDFTT yEzXPCEP8h6f68FiCMSkbCoR8mi40SL39gC66l9f8ozEGVlRkXAkV6yy6SHt4bCUeY1bKEFM/V2S TzrotFxSygloYAuohgifbnrF+sHO/Uv8v6oeNgQrD9Iyvjun2RdcIp6P8zKT0f06auxjdw36PAvT MIEcMIb3zC+EH37I9qkg8J79VwY74cQmhd02VQZ/YD7kYt5OWq9/zEuYRtVpWJGulHd/mC5ibEDX 0YiPvK8tfzvE4bQPJjruVGj0Gng883l7RbsEGJHmPCrTqu1Rp9f+0KnkWUVrGeb3AHlNoJJkgBIb S+HbtQU8kZ6jDi1DLbtV4NR6yIvqlEXpUs4pjAVUJUqZ8rspRU46E/Z+aY3Soe2sRzLEAGHSTpTl CYh2yaJXrnH6wDyXxIpvpYR9FhHca8KD9/4hTUxetqz2CyqjGHLWy8HcPulClMpdMsBuECuXJctm dMkdfbJXCPBCYyyWlDOsYvCpqEyhbo/IkTKjhXqAbA0tcFlGAl1PZTQZhr+iCD8tFhluIH78EUvm 5LhFMupfOu/LhQT5L2DSoJcbNK049sguIgmELJdQWOA9lIkgqQVS/f/a+CSsGCXL1Alql5zgMTNz 6y7XYKKaWyuuI2b7uCGHBOyKo0JKy0Dhm4iwh7r4a5I3INQGDUlIVVyiRIGH1/mrwsKHXXEw9fGa uKEUjWSVexKtnrqqpoSdkp5rHmkPNd2l++9hfs0+FVr4YC4EzCkLwTSPYV6frEZvHMecgYzZvZ9w DKgdZDl3xGgmJtEiGXg0igFIeOUcXpOkvRoe2alzSwVawHjuIhfHn3VbUKMRrEIhi3yoENeNuETb mHdn7z+30jH57fraMfuOS/DjXX5dcmJhlIC9/6H6XliaRjMogFdH6Lohrw0Klj4z3Wc9ZYPL69o9 5js+mBRbRe93me9fYfhfO1/EPfQj/bylFDCHCpLKM0uv/Pe8jgCi55DcJEcsxTD36LWAqKrBCjQp MpXliAWsspIYDcR5cw0enL/hwJ5TdFIjjcMUeM3twiumwGPdZQpEn8Bu3EOY/spJhY4AM3pqNWBO 991sYbIbSZls8uP/CpI7OtBPFUMELcqAvepKSwTSjDDwYEgwqyN/B/ztrsw4+kM4+q8+aTGbH3Zu a+gfnuVlM3L0N2VWnXgTVeiXLof/hwIpScV8oH/J0uG3QN5mUnDoct/sXiL+1avEIgrTLxxIsBia op+XxeuTWBoAyZfpfUWu9WIAxJCd6HuWtf4HEv6ZMs8sACNS/d3lmteFqFDG+wkY81PZpvujvKLT n1c3xoh3rzAQz2VbwqdnGcks+W9bVNrkuCJddVZFKHaL057DO6xgMDOHwvCO1tqxF4IsqodwLEnI AnLwAq1VWRBXyWXDO556i38/vDynRHEH4CaG/vYiFuAaWX45dHonvRbyTdEY0Vd1ZOCHsKuKJtXO gZiw7y/cECBcaUwjaqjvf+jnUmiySzFu51w1G1m9kzknEM018gSuKkXRsONUcykeVavr+3ZEHWIR 8g32Tr0C0MvOqTnLPWCIcVMFF9N8JuZ0k8OZgZwEBLVTjJgFiHi23sqv8qEeCD5hVd/G5qnQdnE/ rjSdGaC3WW513H5UkWvTRjto/5dkZ3+GxhQWLR8BN0s5oQ6f0UX/fvXb7aAgC8tIuil8SR8tsaO/ +h3MMphS/Wkz2l/L9R+CColodMzsbzMeYbQsINoSMjqokhCekqwUBupaA1b0FKLZVgGhdhUg5EHD ++V+qqitwluglfdLbyA3h9j7YLpT1A4AxgaOceBFUXgObVkrS6l/BEuXaKOCPgayg6rFnthdp9ek 0U8s/2Q1w8qDw6TkK6Mq4YL9q70iWyoDTSIkZTU/DX6Ut/NNcYPS93jYDf/io0Gf6+i9R+rEFwPR SJfE6YvyLA2KLP781WaAIR/GETxGyquxX/vTBWFR55mxQoJYqL6tI02SfBLfCq10fdyfX4lTfrGH UZeeu86gZhktLircumWWUFiq+W3BIajbNxvCppppGFvPv7cBSbl7vyS5D/0WuXA271mfZyrnLaoO JDn15GEymXEktkQnZpNG5PIkZt4RTlw9IIIcnP4wR+/OnCr/SXjpAi8wqMWLlZLWesXGdLt+/XoU d+j7AGfvHKmV86q1gS7/dN+XBugoC9LquipLQi1CTxbX06aeaM4dBVLuuM5vRn+knlZ0NkcNpnvO EzYXHKJXoChE6xF2/ogtogTDFCkPAXnt/1cnWixmDuSCEE9ACXwEg0KLES4yON1kYr5ZCLPoMVlv yBxtnV9WroNtAmfsWLISNA7DhlvrR9+hCFRv162XsHjbBuZkdMFa1sWzfim3J5JbhCW/Gk2isMNS dm2hn+dMD1vUxIhXPlEms8XYftkoRPO9qWtGIdLfPqDYaBmwA49/y4oRPD/8fU7vK6D57YUcNICz eERUqROlZ5cThnOs5DXzRx4/vuGn7E7Q/sbkueeA1w5wsX+VzJrGZ1titxkL4kXBetFuefP5Fpc+ 8efYNkf9gzu4qbT1Q1PJWXHYJ/ViDhl7qTiubcFZRtTVG9DAs7c2jhKUXUd4aHF4Oe5iY1TT9hkz pYM7PqZinDbo+AZj84q3c8EhwaPzlW5YlR56AdjWu8sjBT3Q+jPGecYg4BYvSRlU935ITRVPGWMn EF2oBZOqvl83MDLhpZV2cFyXEIaHWamvEBRIaDf8tqszm4E36wFUnVznCXL75mQAZ2OWtoMlCGYB cPoZ/xMmG0R9eIo0PaC7Actr6uELqv3+l6PVhlRX5KuwhZi2BJqhmJa6m21DHUW/iqEBLi9fUWhg zdCe6ejzhqnIctnEc0CheG/N0a7IGdQ6FqDte8vPS9o827IqCkonBoJMaf5Zf0UrBOqfuuw3E3EM eKB6KjkPPXzKbOWtFPxhcEop590hbRjiZbGkJSSIH+Zbt7kSxWfndD9umxBpThlAL8nfp+6lfaMf 2VJoeidVKxd0/CJfWwkjC026fqXPjanhAW7aRUOxylMm+EWBWwBxirXMd0dKfYydmY5NaWYcGF54 cot+ogyhQRznmZVD/X473SqpO3BZSDl+9ch+E4S6W0gSJoMsTWepDORsK2PPjl0UrnPB3+n+OzYa lBxBW5/04/QysGQdYTVcDEWclJd/tW9z6AJeoTuQQyri/nrLIs7SWVJiQExZvoJnVPPRg4dbqwUI 3LnXlbLL5lgrkwSVK50z1oLmLeEleT8GfkI8atECtBIbbG2k473uLDH9rOZPe+YhH6CZa0mlnV6M 33YywAfzU4zTHzmuZRwPBbqOHT4v/aSHwlHuodRhAk0K7K/fzKkPTqDq0xARqhyDib7a6fei+4Jx jATRKCUyM5IgHrTE992vhldrht71OcFZIxG4DtcTR3e7zIJ6nYFWSJxAUY9OCcrnQRVHd1GHGQwM XeocwY1A1bZ3lzDwb2zz0phjrAar9qyIUFUCipFAjUVecawW+AS/0HaNVpwTH+O6tTrd/statukk 0sqLEcvF2+CGglX4K8x+haYyvYMyDhseZKE3Xz2aLNg0MNNTdYSR6UT2jUry7yiX5d+Ouk0+0p91 FNTUi2js5xorNc2BbQpVowu4q52uqewSft83Fsu6u3lVoJHUlia0S1tVFikMK4D+zNDR3bSCZYLA +R9Pt6C+qn/FtQPIHuhychwRDNuKNbChMD/MzWeeZ6BmYYCad06VDIyf0boWf5Nxw4m/zF9mOKM+ 7HX1FYcRymr61ArjN73VloKgK6OzvJfW5wLQvH8p/1gjYH9TAz9UYbRSzjPeRrVv/cMLDtgb0N+A bAfqPHhvuG79x2safZx1ifMbV97czPI4oRZwDSL1u13YYAfKF1CjYtquVYkHfsly7H2CvrK7VQyB cpytBzN4dBUDPGL6VtsV50cuxxqfYjmDpa/TXlJTwjpoc9vtYWbA0K3ZneAZGX8flrFe82F2Eo4A r+BSLhdKPAZ7ANs0mG9M4WxUe0kg7Onau/rRCamabZwmszSoPNbIUWKms+Kr1C+9QZBwh+TSJM63 PDPE5zVAUTHmVDarRFKASfODrOc+LaA7ftWbVx2EScxiYUihWKnoOfEfJYggrBqCmn7MUH6OqxTP S5W89vYWqc9NI+BD2eUtsCPKHXoegFrgw6MUJFogt5eonwtg6jY7gs1WsYoBVAU8OnwxJme87AlQ M5xyfxMlrzU6pdLrLFTPF5VbiuRqEyLdlUFoKBai2++MKRBstTjGQ7pnsztqN++WK8LBm5pWKOBD ca49VthtPf0tuBrq6E3JXtq4lYtieog3XhMXJk5koILhEPTzi7gVs6u/UdNgy6njTvejkuwisOXE uX+G/8EgkB/Ccf1OvKXXj/1lxuRLMtZSMr+lahc5NBDHPd/A4WBaqnCias2xQZxE7DqkMt72873P vmyBb6ZNKR/MpojbD6SOX2gHZAsrKdFlPidZ6YV20Uuss91UieeH/TKUGdKhCHLk9RlbfjAifEW9 qeHmIdcalaAww50fwe0wcIG74wWNXMmHb24EaZG+lBGCMI9V0r31p3zwLWg7zcOSxqMR+Ex0fEYa 25yUPjH6MCQSNikcQBSHnV7FCyABAl4ZTH27c3hnoU7qP7u1UL0dWsPnbygZhgMWrqKYuHhUd/FS kc6URCeRk3Cyf/68LEDcen6nh0x9JlfWAps9psugggg7IcQ4Qs3Bg+vAtIUzr222w1qMrgENs6wz LuTJektaCk6yvfbtsABFo334dpoCGE4CKWT0Ai8w7oiChwf7NBQX1txRQAY9lDC2nW5jLQprgw9r uAM+PvgKLgnPQs/jb9IxM3SBKbhqHPJEUI3cCnpr3WJOQBkwHhXXNLzFoT/9zUGD5DwmzSAOAPBP pCI0HrWFh768z2eo81fLbi8imfwJrEKq0Ls75gyUnyhho3NtUq6uLBrgMSiNvP7VgE3a0P/WJyne HGHkOOBsiAhfs1l4rVAnHBsz72UlcA7zZGEllFoFicroBXsfymItG1lmOLw6B10mSR0CuvlJqR58 W3PRLl+K3xjolZe3+8psN/ZLuW5Q6hEVcvSTtsmjF6ROG9LtgSDs1EkmyRJ4n40YOt6xoe9InUo3 L7o8ju1t5w5VUFnJnp7pXrEw2ozgAsBa2lBw5YfeK+O2E2yhu8v3P3BG2lvtg6J7U4gKdh5iPMPU QVfOE8QU7OPIO8Twnfa5nyiAL/6pbIAFr88oFD1klRIgwgGpwW1u8qRI6RxhwZbSNPrWwymG9rQ8 ymJ5hszKB5Oy4z8/OlTTWq2my3mu739ht3mQ1yAA5M0syGG+mxUmbfbLqnqZo0yZHRtKsSmwy9Au Lg8xwgtQEQwBURlulcK2Alumjm/OEMzpNYX3AoPu6akSCksC3OLeHgsTvlgE8TADNAjt3i9rs6Ug JixRe+NEDuxVbHrt4yQ6iejuOFzS1Fl2vlolPxeC6E4tZWNpIX+6qdrBd2z2H/E05tTZq+OMZY1a W1yt/R3qm6llXgcfdEEkyl/MWzGuPgyg5ogB3qjfOPIJqQj6YzDv96e9x7g7MVzRLeRIvC5uQxjL BpuE7F4Lz5jhjTPJkYMyDTti65PWbtnRYsO+ZxEq1cKR1jATkDVhP2aM2chIpxAKzYzFETwPW1gl EtoHCPoYv66K746r4HfAL5e1j6OFfW/GC9rBXFjeLYUdM+v6GQCZ0Qp8Z0wLaGpGXwulhtA4WgIG Wp5cVQceS6ZfTu5rdROBzi3U29aLjVXZrSzIn/rQzpioTPSZfj5Ko6re8fm5PP/bd6R0WezSEHU7 HsJNfisDHoZUx//iLjt91nDXpPn25HJk35Xkaaknw9attGhfb644BdfawYRECfd0Zm77jLklewLg DnBlbFSDLtZ5HLsVMRYuSeNo3+Wz+W5+bgvCdnOeHfk1rvohYmdDOaR6kHrk1fBq33w1U7IPlsJE 9CDn/D97KqnAwCWmVQUcMR3H/DMQtAsQhH83i1172rpwWf91mcQVm/DxwuTKcHZ3gYYxxXPkYHgz qXG1M6aAW6rYs/Nno/PWDPqWjIHAaajWaFVUqmBSjLoxLYiYMKwD5RxFFJit6xFDVtGBWLNqQ1/n qUfOWPjzeHaqLqCzQqfz8SZjRNT2UomqnbzLRdVEK+HG5FLGAm9kE/s8jr92aNkUVYxfV6kDdVkN YwgpcPPPB3pGDSDUIKhgOaOFwiJ9pvGaZTYZ9f5MZPGtw1IFj54QoUqleli3RFv1oKBKXDVeI7Oy 3TMJcWzcziPj79AsLhHw9vrmB37GVOlNmHY5cNwTWYXDjQcghJzh6VH9E2Pro3M+we7TPaRCfKq6 j8fKAK5Tfw0FbWAKw8YOFQJ9DSuAjLaHIQD/ByPOfhLPGWuuPi/XXZSbCCdyQEhLRUPJTJjyigyJ o9AwsQeYe4TZM+6BK3RWFYIoN660Os+RRAQsQC2RxXRMupu+EzK2TxCji+OqD35LJEHzkNoSt/LI T+Wu62mbxj5rd78cqsXSxFR/l+cSJ3qrqYsxu4R2plmaDMYR+ViXXT+Rhq0rrS9+NKEUu714Qw+y OcQpk70N2R3YNsTKaW9rwliBkJg9h6EEueXs7KMu91p6vgrjUqjeOFqR2rVvL8YVvXmZ0Oa2/gAr MLmJZfVq/GZNdb74NR8KFSZ1Q9zln0UBvWizEfryMi1Vi2SdX3AhJNyGUb0tvrSC/AxRgjXB+qek 36U2hNnaeyJKb6fwCCN/qMwiXcY6l1wYANbmkKEICLXUeM0UrehOXljmi8jpL0MO560quxqXCDIk 5raimrJTggPHJTat1pomYN+xE9bQcSKT4OVGZLOotCUp4mscLrc1K+iRD1BscUqF96FRVclBNyHH E9mngevEY0ty8/blARiDr8DZrHFIqO3r1dMkqFh1pqHd6lZo7TnORqXPNS+5KvLsfwSvsE7KkUvH zIF17S3pZ0DmzkGi+87iZdfMTFKZeaq0Dfda2dtcjgHMtCQQZLrqr5fIpKYwSR2TBPN/yRnLIsZ4 0C9WGfW7Msak60+nqlr4NtWcMEsE78Y2Fv0HvTNjmoxU4re6YWMphrUm5NHSzJ8GhkVDVylksMNC H9otBDa2pgC3vtq05RyLt3u6yRD3IJOPgqVSyPfDjufBulWoLVtqAGx1kSxAIclkapyw8bfgpP74 Z6ueLEQXN9sUfKlwGXzWEBDAIUR9+7d8WwJo1hs1RN6DMYYPEWeKRxf1+kdTywlHof7kWnsl9oOX r5RDx9VrSi0pyLze4kSA5mwOyuYuBEN4QL60xOE6dq67mWMWlA3REIod8LS1rByyL/eeyczTM5Wd j7kWy63xj5ReSVLzvW1rjapvgroOyePedXyfbOMArw4WAb84KDTwc/YAXtt87KJA2V49elrAbouG Vh7zX4xYIOrKzGDoc2FY89f2yubMkK6uPVgYU8wFb9LNOvkYePToKKSsUtKwuyGGDc8V/YL2LwBr D9JbEgFQUhEMDUMM9DraaRS7WNmpJOq2d1esoZaGVWqIbAhluCMjEH8tFkkl1W65MqrPqG8g0u4B h8TYC4ZsmmMpInLEFuAGpHg/lqHysV+FTPyXA4mSG07WJOnlHH8TNzppWPORWjVFAVT4ejXIks0X UdhALFAdBilqQUXvsuae93/VMH1U16e/5Sc3Tftiqg+qzv9wbSZ1qAhceooKWp5koGaxwQR1HgbI ycVEB9YZ0gpGzMHhAxF6UzIDHT6YYOPvviPUdGWNhJk9o15BtKS9pyuw3JpLCqDFVLwcaYxpvB6R P9M9mqe9m3eyjABODDsQuZckO02/gZflbsmpOn1cDyEdHNZe/S9wzP8uzot+93rTiIQmCNO7bBkv k8x1qyzZv023FD2GOhfUbL7wM2eNpNpnM4Bo7lX20/tQ6Q+l/ll4UcF7P1ZGOYxY/V8QUssOd8uf FqJpHesCWRDUiqsoHl1bdDUHtkL3KDZjscyd6RG57tssN2u2M5plQt3tzdV2JDYVBqTD/vEN2z39 HTOqn0SCT0/BTpzObH3cWe2CMgMoTZ0179fb7srAXrZZX/WQ/3HM3yZMoOzWClVeTFBbcwHlDlS5 l3K2Rsp0hR8odCN+al+87djetv2dpHcOdNFfv8Qrt95G52JvMwQ7VzdKlEcticzVgimX7Le4/UAI PGyfwhXE4dgeq3vNt/hqy1LPUu7chFsyiep0VnU5DydWXxJiyUrAlc0AGlUsrjv46ZHmWsl5w3z6 tur0ItSe+flVxTVCGCbxtdadlvrKVW0nxJ86QF+jq8QhJ+vTi2EEL3s7ngNJ0Mm1lgVKgDUIUMS4 B53ZTSpSFZr8RIOqmlntuKwY7XAMziGhuklpk0tiPFaPKaXO1NjIPyArhtdBVm5GhzHyxKM3/oiZ JE5jHYrUablQbFdmw6auzY4ytBSRcew1dcHNV0+qZdzVYe7iT0cx4DSsZX+YZr73HddVP4TjXgvy xNyHiV+ZRYjFCaUPNcgY3IBnYqnlivCvn0LgDUwDO7PqID8arGIgM/sxcmujJLGQrclrrtKYdhE7 RR3/7J2xdSnaJOrkLy/HxKMhpTl1nrDZSd6sH4Au5TJ24bO7jTTTeXPxqeAymJvNIV+CF9GC9MDq qkHJLtw5RbbZ2VINEysT92TZMvGwJbyoRbaneae0h9wnLb6Cm77pFLXxSrwXB+rzSu1ZXBGKy9AT ozP776CfR5r10TzX87X0cK5uOl5td6j/5dcboe6cLGy/jWdog7q7lJe1Wn0HZwUzcj9YKzNMhiDo LTGdkKfjjHJFP7jItZJx1omFktpOtNA8rj04RcE5QddSeRpST17kH9jI1CRMGbF224wh6JdUtc7l zwRTfQf9AEmqH9r+zfuFDwCHh+vW2IcU3NNlbvPwd+0xJE83LQrO0s5PxtAg53Jv8tw0+u0pTZOP FxuDS6t5i6qzL+abquCojRBeF8kDQ2bA/KploZEA6V9JVtrtYjx6272C6Yo6LJ6ZoKB3YxJiaGFh dLC3ycGHi9sNITzJnoGJ6jZ1dL5NjcXbVfYpki0p58/4vrXjd/zV/6tUuiVXeeX6niobPCjlksiQ N7pXUftd6JIK17me1NzGs9R7YqG6jcXV88r8eV8JFkTmahTIuVWm98alXejgX8cfvybXnNG02guu VT0KJoGSxIhsVojy+HOtM6KfYdT1yuQhZ6UgdXgK0SU+TRZnpOmjPmSrBviRPk8rQRKgOAYatCTm 7V46ElnPjsI5EdmA4DRLWX6dgDkS2lAOJmQjqCdtk+Ry+siT327An5E2iUa/4MDCxq3olZoIBAQp 1AbAbyXO1MQtUm66XG2RLk1KdaoHgIY2kPQnUt996Y8Qgkc9W/KiOIEfAIyLC1lsEWEerR94pYpu +SaoTx+LBKaq+rac1W0iOZzaKXUiJ4f83cn3dEgD9hDim6y+Wxem3RVqV0QwrmVeCzRT7cpsiexx ntxOG41rmiFdUwR3QxC6YPeRUa82RHfzODCZdAwEINnsHTBVxTbt3hI5Vtj+dcrYoaTxirsOfWqP ktsRxvNDtxSOZBRigTDIUr6WLDt/kWi11U/5FiNixf4H/2IIWdDNMw81xB3t48g43JEO8mgupL+B J//v2cLQiOXNYkrKoOUSHdPwTTI/vqzxxuNvtY82kTvhDufu5HTX534pu+ginxaWjKL+9zIeRLa3 Cgz18pb/e7rECNCU4U7ItHdJ2tA+hmuEMLzBw3xNC8OvT9FF22cera7oHCMJgdAv7myDL1MxEVr7 HfdrJiVxdjpTIECQf9v+y3bTqsDb9cVS6VXZ5LKSF97NB32CbNTvqHDwG98rjCU4699vIt/Kbiyi BlKSuoZ1xFXSkTNUdpUBqK9rLbeH8hydIvq4cb9K4cjuvlKSwAcrXasLpMkjiuNql62Pq/X2X0tu 19ZTnzjRaOShMy9sPlBxVj9SKpbPAKDw/l42N6ewiPzoNbPGBZ/ZxoxYAF9/UA6U0EotwC//qZTY 68iMOwpyIxHdWMo5kbl1LZII+54VM4m6FMkozO4MGfSRaryED1s6zev95qcmCo9Dmq0LbJlm76PX nEnhPZPWZW4LBLXCjqVFW6kdr4OHLSCus+wKR2gjjLWjc7ALQKGIm7aMEC2OEj22FzEN2Xx2nosy 5FGF0215w/P1x1tWB4AjP4zKw2TaWUkAJo+r9ABReLY4W+Kc9WSFqCv2EDTlzWjCHkhZ0imMhTn3 pZwLk9JyKCP8wq5iyQx1WQrVCtPAKwfwVR6y6AJ7jqAIq02Y7qwgc5XP7lATHLhv0Gp/juuRP9JE dNmO2YNdcRKWHDtZL4f5Uwfo3T334bBgWl0ddcsDCt30W8yXlQRmfYKAIjGE1u3KbAcGP9MUi8Al TxwtZa6dykfiNZDVbldm5ZqVqo4XduUcbZDch+8j5BRWzd1/eoBIY3oKMBmJ8cIsiZFuWWJbjAKw IQ3J+Dujvxa/TyO/6mrnAod6/KIvNS3RfePrtTbRBlc1wPe+cgIIbGPLH5SPRpICDTzYiG+DetlG e5BReC9xpVzx33GhTN8T39wpkK0z80bE8te0InxYURz4iSYYQbO/fHb2Dsm/kyy4/foe7FNe8IGT 1HiyhiMUEw7SENeRbWb/SFvSkmrXEnkk47HwSIKL2BNdcUxmxe93btmUCqgpVizvmwmeoZ9qFXUn S5RFT3DJdfvEv3zplu49kPWaVDr0Vo7QSoLZXH70L/wq3HkKjKFeMCCs9lOdc4+KUVE5hiC7h74f 8m1vwlIpjfZHKp6JGGSn+87FTG1qE/vuumcwdZ82XSvfZVptjOrsRU0DV3ua6pHS+9h5ZkKkp6Rl dSJ2hGhbIrMirWX5smrxFtm3Z2+EW8GUe3SXYRICSE/TeKVWfkLCv3jAjX/XFfzYCpwU0cgiV/hT rgr2E7rI2sM8MQ1dvQ+Ci3wiYJlNqSuwt2N6Rnpuc8XlaU7FUbc52iuxVOAJeD/eGbQeXHINoTbL CQ0Dwrb9PCoNbjeacmpdr6aVFPgBiIS/ybsXSUJWz54SKemNkzRjyLpccWSAbTeL4sZ4UTaIqCcT arJYV1qs2aXjNNqxgnM19OYzT4V3Cwxk5TG4/+KHMSw14pSMaKR1+s8/usLAcr19MM0HcWHD7v6H HJ4uhU6Ailf2oZx97oPawVLx/F5nv8uodMVTXJGwe628Gy6MD0NqUnEr3pGYmGTxU0nifHemsto7 qnDK+5WdL4MP4sLcLCOX5v09j3LOCQaHZIax6ldl/eHmcaLWx08TY6qD4lXcXlthQJ6o2owvdGWZ OQBGo9ii7bNP1eWKaLhs+8XRvMaglSPrCw70cYQyPL2Q8FPA30sHaz2IxJxYIZt9e4+t7pNcco/Y M++fEHa1zRmUARyUZRaYxLgv9kBrnC1wmdRFGRzpIqeZE+RxFN3oVKBozz4f8NZRa339mxJYN+yb 2/nXgrI0Q781rUIwu6bCJwAaD5OUe2k6FennmfHSsMjDzs+nlHYcUqvsrwK11fhzJ3hVWtoK9CUU oiSyEBA+m2rVOScmtBwSWhB/a5AXqDD2xLUw8TvcGytsY7Uf9u6Vi55dOtRR5hEmwNJFSQXmMuzH 5NRbanhdOoSaNJqvMSb6E1tHVbKXMG2uVcMrPyqbcXiD+Egc/tVtfu77KlZo+18+QmkAPR1jEclZ rMdNDTNRqWgNyjFPjPllT+ZAnk9RMYI0w3lgbRnqBNSF4Tl/WimXDpS9VtXxZDXjntUU+BIjPfm7 1Y/wzi87qQQ8t2tjk02aI7J8KrPnMBcVQJgD6RqWI2B9M+xDODhnAtayWQgTXJNqe5i+CbLmKA2Q a28NrXRFOUMOLVaETK1qTJyDdzyR21GREetJpCGXYrZrChCIh424wp/wKNy/pDzhr1ZpLnrqo2rv 4Y+xSejmJmKbhyj4vwYDOAWWNvR3FygKx013LjH4PkWj6gE+QtAZeECDfBy0NI4zdJjsqJb1nFDJ naBJnnXyJNsW3vM9JZZchBGgzcyAk0oVjUDkaB9pzDfX3kOpLRtJKwVhO6UnySK2WGVWt5wJ5IiT /hFStyoyOzt1v1DOSyDOWYLUXNDpK6nrsp2cHjz3tTbWQ8vm1P3lXWbIp/z3hjH/EwfFY6w/hqEW wVgbpHPko4zAWJsI/5gVwrQGb4fi6dWNCA26hpdottCK+gWheoaCtzJuw1O8xmW2+qt4QKDKrCE0 4cF9U/+ZhS0ZqB5ouhEBsV+cQFCZqFmwmW9GY2zLabsm7xFR70ZG6Fql26auqttSmezCBTPDv+CI 5UxcgQmFPqLNsOurfl6NcZwXyv8eZFqp4IBaJzLYmqePhBS0ScAAIE3RHj4nf9SAmbv8MxALHA37 OfLTJe8pydsXGQDwfcWIpxlb2CgsNtluY/rbNf39zBd9Tu3YWr5F96TxzN4znEZSDCExbawfVWBm u1vj4UcDRBVRmOWs2eHDyqIg2OXOq8xPkpl7ktazE+Q25s1FleEBmlLR3eIdW0ebo9ErCiY31Xj0 wRPbx2tua/v+Etpq21N0bd3QSDBg/6ZwExjrDse5yEAkIph8+1MFo7q1Bmh4//ScPsHMEqy9CaxU Qz4o7a5ue1XDFVtLFHsiInZ69up4l2iKcDQjKi05F/iJ5y6Xwc/rlZd/tWIhnxq2YB/FE5j4b0qK LiPqGKX2V/WAkIv97J4/z1HuoWs9mQ/Bqo87s4P9qu9rIYqxm9C9G8ib4U9faktPDQNNQZVmzHzq Lt/hA++CdD57QaEDbMsgh92Ap5mrvRiWdzVuYTRSA6NhPtfmlWJPg+L4hzlyMd0M4z+vpGi6znBk wvgQiCi4wTvtqGcK2LLThQLWLwu426t7AraUBRpgOKaNOaVVctJlJtbmkDPTL8jUTfEZdlWIB76B 13f8sJEczOxDG0vxzKiuw/R6xfymzwyfCLpUi0ONtbXqHpJqzEsfCq6v19/6wmO6Y+XUdQ4ZlJ9Z 3H+/mt1+SwX3CWsHGvoBZ3P6aCOlUCkPwMsl2++7lhC/lGn7WZhc1XuPpfOyX7M18PKW6OHAoYXL HShgvFWjlcSibVWXqjEjAAg0eK1qpcRAldI7DyDcUAVH5tzwFELDeIFl4+VtOEPu6k88kgvQ++dI EQzZxbYVPfP/gJ1JLp/iYWBeHZvaWetkUF0vBkuYb8dU7tp/qjGYnq0G3kpf1YDJFvfF6VWiy5WD GJIGnHg6M23+beQRoERGfogB2UzLDzHqzGOzjVWAzWwIhF5GDfR31uQZ2oHaqLOUB3zS/K7/ng5S Dv4l5DcZiTsfrBpyoeozMjElA/2X6I9pHB0HfTNUCa4U0RfGSXf3dkVr49RQQF73IejWLM9wemVE Ae9gEngA/yK31xrkfzSWbCXAupeUvUNkj1OnkQ5kNcjM9JYhTHQbqUe7fSPMJYKW2spHJj3DaWvW tAk8fFPwbleIjjp+2Jbs5ormBDhokm4uNddjH5SpINyGeK4kF3yMKhg3SE/RUcXocevj6+i7xcZp ZWgICRY3fwwWaGUilXtp30CG3m/FsD+1qFJn+5QW1I1eDqsCW2iF0opKUZ+g9iwyTkLuw4+lzub3 PB/68zcKahs7HVkUhi6uu0VMNX1T7GhQoRjNxMr4eo8qOMWv/aQecFMEsSsGtgmLqhRG5pWPr2Qj TEvcUCIbjwBx19yE6CWwKlunCKvxTQminhMWDPXAEDXj/xPStIoiVRIWb1JZZNzHZHXAeBe2f2tc HOAjAJ9ehpSTx45hEePk3w5S2MMhH8A0nhncxqrEsVIq9Z1csNy/M9COHnAmJZAqqUrDAVSVbG5R 0CM16VN8p1VRjG0J7ilY++ikyMUn1a4sDrdifPc6SDY3nbV6W0Xo+9mzfRhDvVvbZOoYTC4QUxKF s/8eIVLLe/DnrLFH2d5I+SMVFbm4Ewv5W9NMst+NVvrqXxVHYX0ZHrEVGbQSWQn9PNCvI8K1wUHc Yvf/xgIte2blmBkqDJjMjGyYzvFZCea25JeJuq1/Xi+KiR3tVbiZ5hopE+2xOH58rmCDSxn6MxWG OCu7WPwsbtplWqMLuHJ21L78qO+uaGma44Cxpxc1hRbUTAO2jpRzS7kuI4z2thf1C6X+allvKKZV EzE0wUIT1gEn5S/vPc4SI5zLAj25vTnESTn84wOSmv9Ooo7GYXxdxF+1jkmvl1odRTpyVX+1yyTd NwmY1h5fVn2Aw2vb6Np3no24A0sv51oW1FASFJdSDRPhfhKk0wDgR0vxOS9R8c0DCV1h4e+oNkHW /K01eAyR5efHH6J9JDjnQZUoZK/1A5kIwp6z49pgfWhe7X5RYGUOGnlkA6rcGv2qLXruEzi2hkoV jQUdKrrBVyPNJkpsDFBLPltV9lh7oWv6+uxxhNKRfS9NsN+jyO+eE0I3WFoibjCB5SMYZG5bhQVn K6EfwAaE9oe3IY7bKNPv4ev2nrJsWnCKmnHAkLCrAWt6RSGTIkHIk8tm8OQduDzgz+KBQmRKq3Ng UY3oTQRxPYtMA363pZFCOMlSd7JfCz9iOD4lFLi6Ki4x/70O6YvP50/a0aqunCsJkOfbHlDvvZgt J5UKzS8nazzPCvTAERxWhiA+fn/Kb5v0yVtOKJA9Oy9Qy82yTvpYaosvlp4A0MR9lTDsLDGF1j0r BakfWhgGv0PC/uuK1vyvsTNA303AQFrGw2vQOIaBtYYDT5Ne3fi/8xw05GbFPTBCb3UKFIRVfrsa xlB67n4X47Lroh7xmeYA+nJHNUohSFw1Ppz1Nwq8aFbF9mMfNxQPF3+7k7Es0mpaWc1CWDbVCdY6 lxQZEhTSJWX0NcLXq/lfVum+AfVmasyPZMdgc5XsulI3L3/KZIc+nrnDnkIiknR8/eXlBRlRSlPF rASfV/brkqIJZz4rqUq7Y6y0Pc0ULIMay8Ccqym98IkdCC6kTMxIGargZrB4ZVYf+JSUpiYP3LjK 1IJ55zTJ3RaKOsGW4bScAYVNxNrwaOLN+Yfh9dB010JWYnEJt8SrSZi71rUo9XiRLLhxtE66R2gn egfS1uQQ3+e6N6f3BWdjHQTgrxH/TkIzXTdoVNJ1chv0LI4oAS+u+M7KtZxLY+aw8I4JhaUFhzN8 T8RBJotGfm9KtFvnGGsJA8BrOZ1YGgmVnjdkeDxR6Ib+XLfFRafczekRlLAYKFIv14d9L8LhBqSI 0LOZJ7xOoxNrGWfI+FOs7Aqx8bS75klTso1goYAvosmHCEJ4J7wpy+4R1uqe4hs46QAh7mJxdCkO VaH5uR3LHhru+ePpf4VJWdb0/0aYGUFDzLsGGQ2Ss9Uy3qEujlsw+BZGY+V7nSMHXUpJ7EVYrgmG kpUWj1t/ybusa6DEC55XYVgQ0owNeCTu36z532CUqsF0NuBbJ0fgYOzEVvxgBTh9HMRunrvq/3v+ 6hQWnhBjZVDsYgRheHfSuI2hxuMz0mpsrSyGlfJkVyM1oaUhGl0M4qk/ubjhn/cERlZ7ryrDDmcP QukvESlvQ4+1IZujBz5myxrCC+sROioy0sCcDFKRGydAOltj/WqRmcHE6ZjeyzaG8FVjRn+nO6vz Jbn4PD1lN7A+3G8ZmyZ1cEsaJnkm6NyB7wA3hkMvf5V8sp9HFPO8WoF9lACeRnIvu49KoQyTSUAp j3tt5TGwMq4X7yDB3ooahJBc1jpC6CcfCsDn2Ok3hPYSp0U7wm65aMT54WBQod5gMv7FD8Q9oiL3 4mIuoyzlK5PmOZVS5+Ca4x6hvA3fxFWqGVM4UBxkgtiB20M7ZUUtr0HqRGV9p+DaDERzXjXEiZzX RC3YNgtKq4NADczfOw/2qxKCRGyPMViQRvNsbyredHrCEg8/oKSKLjXO36q54irNeF8omN8fig6S 22vhWskqB5Nb71Qz6DHgbByOT2k/AW+slnT+j66qDl/edCcqmBkmILmEsniXzGveMchJKLQsp/ky mjzFSvar6b1E0x5nBOfJnMVAWP0dRbxFYbD8yLgW1zH/nFhI5ZbGGKirnoox6Kkzp8kx3XgknEMW r+S8fMi0GClXa2xZ3vLL3nQmI6LQv6OMN2A/2XcIT/YVa0QTh15ixwcETCf7Egeix5c+mTcln54o u8VVWWReEsXdfuV1x1JXOP2QiuIN5GZDj3OdQsRevIxarCxWWGfipC/nMwBPIuFkqlaOpOxM9kr5 JG2AfzpbsVFmXpIb3IXrHXekdbUWQdnnanBM5Tm5oHeLH6Qv5Nzaz3WU38573jzx0ROXzjCf8Lvb oZ7cUDUNOIWotB2XKWvxSTrA2PzyUUwWi//1YOKbxAexa04ThKgGwftSyv42qWXUOQk8OYgfSHji DeU+/80ViArLsixwENysWnEKeW3YsaJEGYxkz8mQ7XVBaVTDw2sNMWWPakEVFw5OPuTVaejVCsdO V7iMxaDUkgC3S9AwN/MwjZsDrXMstDA1/3SbNOkuaJ3eOKt4ex78KYT+oOj1IGNIjtCA0kPyYemG nffXfud0gnkcrBhAu0RU+2YNQxrMU6UgushWGYtzsAYyukc/wt6v3BLz934WA0nTczPZDutMQdWy +ivG4Fg76kfZ/jtLyQcMS26g95eq+1coPjcXeIqs/xcia9aqrYZJJ0WGlVyEVyZ1XWVp91UWQ9IE 9TuwNm65PTv3fFErshZaq9RhCkkfIvOax+psZbUzW+eX5f2LLKqgC3nfCF2ITJI3M8A6zF5LcjWp ZlQOKSRzYvxfymEgg7Sy+FGZ/+Z6XvK83Nernqulk1gUmzun/dq88aIyo2S9rh/svBUdJSeJ1RAP 2avOXI7XEGPP6XU86WMD9JN1eMXh3bayGI3Gr007+cQwPO/+BnUMriHcwbF4W3PkeYrZp3CzST+D gzHibzwKIgK0QlZ7g0G8+q+wTc4f8kOht4yKAq4lRBUvX6SXGn60v1J3m8rt+4mZg8q9/BXOfEYk VBiI/CBm1ynHayZyJjmNTxr5/SguO502SiYYsHN3Qd0hwQh/JAyDoSKoiFkMS89CXeLiQV+0U5V9 qcw+OKkuoTQ/L5yjgRJYaG61/y3O7JXHbEaRPXIKDxgKuYjXtffkaKJKHsSdf4GN3JdN1uB3U9zt AUas5WBMqcFgFM8Yek9n79+4vzZcziOLfQhfUN6y2lPGNS66j3HvkaMiMo4uUrEWOSRf53fcK7vX uZiVJ7P4u+DyuqWvh5MADC4vb400xLboj73i17JoQDOTlFCXq6MhY6Q6UnZwucwAEO1TDjKz7Ga9 ekTSjZFnDG1nMWMURtiLt8q1GnW4HokrJHQj7hr6BHg9dtc0Ej0iME/sYEShFPF5XVj2xh5UrAJ9 qZMV7mUI0V8fAceTO/znzr4udROlasAz94HQgC0tLS7FJKuPaMDEoDDYXEC/6xbHvLlv8UT3PCSq IhkGsjchbwfjoHlin16kdI7tV/vt1QwOHn1pHYlSWAfJnW0WNc+Nf7VbCQ5rthaj7j5bqMEXWWcN OeqY36aZdUhjNvDSX8sBrf0f7ykvLhyrjbC2XEQJrofqGwTWNSKWTGDt7RsEsWq9ortnUaQy77lL CM1AKovJx7xjYxl3aG7PpZZyoLL3uh4uJXK/MkcYTXBusD9Bvsmj1g68wbct9/d0tIOcE7WxU4t3 NraJDu7nBosYT+UBxR/mCspyB7GWrU9BKMIK9UUXVs4p5DBBqdG5UgXtVwUVkWHCGhATjmS4DF2f kpEpADibmPNV2BT6joea/uM9IoWN5DxOFKI7bheq+iEJrF1EJsFTwyu0YZUMs0ajmwJwgOf8mAlQ psBHZhwSrKUdhEyC/PR8Y9n1Ye6229uAjoJS74cFVrfuvwmKBiaZgYRIMcp8Cs5+cQ9HhaJj47ZE TSd2gvMyZ2p7mjbn91i7yySXckZzyuyKs+t6wLGQwyyDWKFoJhDFZgODINyDuAc3JqvoWJ21WNWJ 21AbwMYmtjfKhAHiMqTcPbUsW2TXLLVzMuLfx8ZbJSANRo9TUky8d8i4sAVGDCAHgZLNntM4W1HW SPpce/tfzUfA1dSQc6LtLNFz1kilKnlyybEthAs+/52cbzx/UqfWNt5kLvBnyuPHr05XuFkx3ymc Gxhc9cErx0Z7IMalni4wnsCJy5X0uGNhoxHtY24+0VLqH7SzsyOffiU4XbpMx/U9SuLriQnguk+y EewCQz5EbfWLeEozyvkZGTVg1i2aiesa3QutBWNspv5ESx+5ceg5YD1CpC31+00swvkAg2KXZ0fn 5K13yzg8M9Z7zq9fyb5vgzBEwufS2STmKO+nHqk5PNfjiK45PTeBgH/7M5hOuVF6txBoFExBjlwn YOgysYenw2IvAk2QpaUV1LLmDXjKMIt/B+6yNMjB2g/RiMzoQUGwj+5JntvQTpGcFipWP67o+OzR odUhPHIo6rNfaWQ3ECT8MZ7D9ELWlDQSmf8p1Pus1o9y1GrA5NfHQKIe/O/s4MYPPiLV+rfT9Edh YYjIYDHZ6Rvw0UA89lNTxGK6JZyS/055iDGGabBJUqqI6ppYFJ3HAhThw/RT6NqQoP7fKKmd9gxJ FxW8SPOlsoUePHr0ek7z4vXh6sDMnbmaPd4csQ7BlOAPg/lWmdcIKr66/MBiS9U62ZOxFbTGVKJ7 7nVyrcobVSChTKCiG/yT62JBJa2SOyEftMhRCH6vYfKeHqGQIJTMPadPf2cPrk1wFe+/6GI8suOz AJxI8pNH3DM+hOccDQL2/Dg7VxHdONeV0Zwb2ymy7LdqnxsXJjNnmRtKGAEi8PBR9h1D26lhKCro t0zBo2FsOv04O8IRajWbxEFyevPiEhuDffn0NHmWgXov4vHV0Dlp6j9CmcK6Zpg/B005k0LYJEoF vn9+JGIiVIjLpdJYflxL+zNftT1tR7aXn155DEzmBhovoCp7UWav2JDZdikbl4STBvhNcWyPZHgL v9bWV0aTlRWP0PY2uQdK1RkaLg3pMZw/P/cNP0VInc2Co4hZcshkm+iUQ1r1M0WSi1cKNEqtRaTn dxC6vAfEXlLkheKCnwnGkaCkzF5rgClRVFZE/doZYGvGEpikO9jWkcZYa01e+NKBBsqV1wjaiCig v3/1BcRwW1K6HO+aSljENP46DuhBUeVrS0lb8PhP4w+ojIOmEog22OAvFvQAmFPkUI/pfSp2/6av W8QDE4++3ft2VDS87NDomN53Zo2NtQtoIJ+z0Uyba4YRpVfcwXYnz+eH9XyVzJZndsIMOUKXUOET +AKmM6dz/8iGKbsaGTN8nt9zqsP0H5WXv/djsa6w+5ML/PGgeQDfHtcnNZGpfuYiPOJSn/IYOx7Y ZsMLwdUdEq6+OFqDRfv/UIkX1Dc/4dE8Swhj2gq3FNG8iRrtZbEGn2Zsd/PaGtGa2uAItROktWXl 3vjs26enOiB9xKlEA8yMDMty+f47kH00rnKRDHxHmXfR5QUcO3RLm1nlalZdId0MIzIcEKMY1PQY Wwt/NBkd9nhjeRTw9CCFkV8AyoAeUGkCMGZus5NUE2R6+jYxXc/Bv+cSXSSQnL2C9AS3fRob76+j 0Krb/txZ1KX6yg9VuZhXPbK9RoH5yV4zy4hZ5J+3ladMsuLTX6WVjxoulawjc39HLFXF+CIcG33e ka0v+EtlCSO3nUgKFYs/+GkBlpyWovY8GcX50rxlBMMfwP4I8UZhGg+1Q1Fo3uITxMQ87DXOimZi 2xqtooLgiL2Qstf3ZOt4ZRq2aVqNPx4l4vmhQ4HCNlZuKHH87hG88H1zvAfVTJU3mwdMrbfc4PNB IxiF/eX//WkTHDBo6/gWvrkn7NPjKttAJSXPP9KEP1Y6JwlfGcLcdr/0OYLCWxDJL4CNPCFM8tJy B49m/gPNxtipxQmmfKdpe4AYe6VZlMQMefIQVXWmworV7KoWGluyTrIJUAp1oT5hqTZBWkYnjo46 gW5cf3/qQ5Afhv8SibTf4fVxHliC/j5gaZQMOxfbK/wL+HPywXdFIBE9KKvGGQJfv6VNCn/1az0f srjlAftH54FZXGw2+2G0qSuH48XkVBJM2ipBG4xlMbbXpCHAXFDxE5JBPwPF2HoEOp0kpGyk1CON xaU+QrHBCxgJVCzjwORI9duBsZtwtUzPvH9+C1YfR5OoelxD5h3ugT9ie2/wkz1SfORE7cN/3nHI UfGykCinMX1fiMXibTkSuNl0WMJa8m4wLQ8KY+/KwFRgRrgLAFtvlJKFAX7/L+8VLBgOrlkNFdNY 5RY/qDycXDnJ/0F1FPb3XYXCHMu28ASa1OFpYM/0SbGyJpp9Cno8ynFsAKNZrthomVMsNberT/w3 NZQTuULdrlUixD8rQZamn0pb7csSDg7XJJgASqrQRjf0UrBTi57BFtNooh6Ev8cnGwmoVQd1S9pw ZGGVNoVGz+1x+R2YzjiTRe8RF6j2Rzn/Asqbs/LGb91DmL8wbhr0FjtrrlFr/XIVzqkcHLMDSDw3 vZ/bb+9W/yt8QLdntx4Hs29x3EeXLReYlONz8H0mIX5n0tlWK5iLKKQ7uLkyyLaMvSenazhnwnm8 t9yHTy1Dmxq9bIR+GetOY5T4XLmpxxYUsUoRe6GI1rrfcSPt9bKXwWgYTkSyj2J4Cgt0jjORYXjs Jd/YvdjHT6xwneGUSj0omDNji9CicTgzaemf4efjy0oH/r1rZv3DjIXbHjhT91oCQX4FkyYd1O4V BLQux+3gjiBXlnE1cd9QZ9ZNLcnV6xwGJ1HaoRCyBmrG3k4pfr+fpMp3cADdOl7rghqa6g9+7k4Z l5JtRm8AvS0VCCzAR9So9gOVhE/ea7Os9H75MTjXfGxHwCZPf6C+lQJjip2pXElUsVfGwoT4KiFH 8TYFNO7q5+4MpfrPFbC4XwdrYMSE/5gcMQVJ0tSp5F02fXNeurlykYETIFQOjLMfZysI+kWWDWFB +9Y7aNxym1pFOWhutZ4RANcdr7071KVV39d/QWO+nIING3wCVy6o18rL3GqZQA6l9PBQTutwwXqe TZRK2c/eIIopt3ZXc/tawXW3bD+ZvfTR29JDq0mXuwIbR/bb1bZ5X8a93Y+v4dx1fXG1U9jaLVhV JMIlo7iFTuCMUYwVbJ8yBhZThX1TzceASaZdNmqX3cZe06JsOqJpJt45lA3WjQFvpVl04CIiEw7l noN8RGuexo97K5fErcTPkiqChvTLc28hglc3UtLapobGVz139XrQ24MzB36NAMNOKYoqTCKvRn2g vReKJh3IACLy4QYF+gY3z7ZSCiQimbYvgnk3S+PZ9IXzNW9/e1DjrlDyz3AFsCFB7AmNAOUk36jy U2nrlCdZaNWFKYD+3P3HlwGwUkQLSrAizGfhM2SrOgM5bZ7o1GYl7yFEOghqy7VV/UKx2/E/2ocp 8vQ7rIPdZ9DhlgKGLtzHC9/Bde7PfO2GZOEpeRknVa6HuYPRqUI1K/v7363PtlRY7E/aZEZW6CMk A1XZp7hvEVyuOvEpmAYcrgFUQAb0VEVXo6El8+JORv5ILrnDsRJEFfjiiD6Vrsk9ciAuI6a0hsa4 Xls+ZTVWWQVKw/bG5CmFstrVgOYslzAEUcFGNhfkl0pjDYHipdtAv6lxBnZDIEIm7ZkhY6x2lPBb SwA/oA4pgNl6MJxG0hk5U+n3pxikqs0/uYuTTw8jCnIZW6fCPP3GhEgWAZ1IonYGQg9W7MH7igGH 3/uvPzrd/fDEVw5sbnJADy6S9H/InTunqC/jGisi+WTuU06kuX1i3J24OflLN82HAayOAtQ8SHGH 3F3heY2qwzFUOE4ivCw6r8iG4B5dBl7SCTO5CND/mhONw1vLLdcxDnb56rMy+wwgwUGqIQaJsrkS K+dXvI6/OrqHkz7WMXlBHgLMRocvuEqf8+c4yqRgjQUqkDZJSnzI3Lyeq8AFE/MHsAdQDkiX/80L WDxRRfaHGX7qV3mG18boAvdE5zTADiwkQ2qnEg6FbVMPyUkjMxs5I5P2NB1t5l+DaU1fpsLnrgoU AQIQwNFR/pP/skiv0C3Kafr03i8LYiVMzdF8RkP/4bDkDMGkp59iHugaALRAkg9wrqvmHYxjer3U GvzR1T7bTD82mXb16drRngvOWMNnC3F9L7y2gWxCpFgEUOQSP6sf2SLpJsgdDOCOT6teP+CsWSs2 6Z1iC02bpjsttHmE9O5sE9xZn9CiK7r1svXHJaKw8HQPx0JJNUgR7UHAthoBcpfAogb9EENueyxd U2bGAyRh5/+m+x/wo9Re1Yk05jWA2RaMuEkfweKkHB8677DzQ8SkNC8YP9SVMvuUOHC6hR0Vlo1a 5gR0k3Hg92d33FbI9ums/ar1gky5h1TyfnLJaH0DzLHzbVzidnqoJiz+yTPI5W3vhHVdridnPfW3 3/B1BklqpfcfFXo6nFTMfAJ4DjGGH/WIAJtL1UmXFAHxUoSdLH1vWPWh0aG57BBoI+gBZrMX6EFT 5I8t15KSB2uBHSdShvR4uKyPZIaaYEc1fClXXwIlx9KMjP8BlCC3kvQrhVP8CqNC0534Avwtv9Ft EdQeR1cQ3pxAtazk+Czy9w97JodORPsjIbpft3M44JZ+dsHChoc3Me7U7SACQacuF4UY/9B5uRJK ksnQgcxm1VBUoqpy6GDIlqbm95SZwT0dEACcny/3InkFmIg69vHVKv8YJM8DIWeq3tZCbkC1jFtf 3MKAXT9BeYQ5/SaJcf8JHrihHcmy51ek+0SlBdgIw3/fNc11IsiDBnAhIZ04/s/0w0eW9y0NKJ3s mB7kzWFr6G9I9U29gYP8ve2iaBZ8hTNne7WuUQduLTleTNK/GL0nW4quAKJ5Dcpc4zXroRlgNgXU 8kNnjPFqixifLObxgzhUyw4g315Cd955zzqGpF9wF+DGUd5fl68ux1QnJS4lEx1GtbO1VdxGhUuq MsqOxfoX0gYth8nWupfSKUCfJQmu85hHhYM6UIZ/b3RVBTrJnKfor+C6ejhItKf7p5r3UUXvijBV q4iG2BT702TmusTjqdIXns1rXyaOcqZ+UQbyMXJPWCLd0/h8EiL/Q1A0396DiZoiawocqRIrlBlw HpUgSDwOY5+kjYixHak6pBFU6b2FIy/GvzrDyF5FMo3iQhVZiIZmZEFt/aqI+RAeC/seRGFFt2PV 8GS+RK2ssXs1OMYvOkryCRRXzIaFv3XHLUXUWAPZbkYYEonmpouR8ndnYKhF8+nNL6hf/O77WS/Y gtUre4U+S5bnhgdgHno3wqaN87jn9LZ57FfCUrVaASNtm/hHyKwr8vwGwgeWyOhqyMgB22KOsvqd Way6MlsvOP93UePdZRVfVUJrdUZJfFwZBR/65LFEfjMxo9ZfFrOrKEVV8kjddhKhfsfYJjIBt+I3 w16daU+0+trgT2khELnsS7wSdTcTge+4J4JML48etnjfE4400XcckC1/gQH2kqHWstRGDvDgxeGG vl6TpKcQi+4/iMep5w2V+ODTr/wK+lrgZ1600pCJJc70jbEl/rAe0WXCJ3b/ERORgabjfKM0//yY T2Jqt/66PnfvjdXM3jXMCQ8+QRXEn0IlYCJj3w0JqU+gdojMIG35+wX7KAz9+vSy3IF8bLyLaoVE Qoh9CPlQ5Lr+hxSjihpAdfvgw4KRiv2r4JOmTRllZ15/Cu8K1iKZgQxmn91YkRZitJ8T/bsCmkq4 hCADLj6AcvAR3EvNKOHfGWZnkpT/2qOO4oYaRJiE/nH2Vyf893dyC32Vdtd17+g5VaLu5J7UoUOK +o9JFWqMN+UR4TTmk1Sp1D6qiN1fqkgizAnQejKXGmzlqOiyM894bh9QoxeSnwGuS2QD93Z+ZlRW F8S35Do9/E4WOCdXfcTq7RQ1oI16dLSGanbGNq/bnmH0Bk0fCow5JHJZL28A00G6Nmv/ZnxOnON7 DUUjBJ8bb2xScjUXb498yidrWASpcB47pPKA/niEMRHCVDZAwixOfwx8hOFfZynmG8yI2ToaOfOz Pj2gX6PCix06MW2Z7Mt/MUVlhjj5kI7WKYOITmHNVshER61x0Sm+DNihBJHJxB0l8bEUkwl1ugSF hpQ15jR3CWJHgYgX9Yf1vHGhJM1lERihjg9h+W3krixoWiO4DLwAzuX6aQ4vFfdGh0vr8BanHt4k JMWxxOuE+V8761zmj3DXiyW4CS0q+dRNHyrfaATn6N6DkdqWiIVqkQQIjKR8zE5oVI8IxC80t50g +BlICQl5sCX0XGHAWZFlsRd5o1VFvD4B4/axbwiEHDYCH3OmxEEIOEq8BbAS5TOsqP1Xli9FHsLv xOkZ+EG5pxvD+eXTP0YMOEvP6oxzeJ0CO26eL4xNEI8S2GvjiroJaTFU09l6SMTHfAXi96u/+Rfr vwcugeSHBWMP2RtdXKmSng634eQOS5kkT+wyl+VtjeKEMfq+hK4IHeV++ESe6nixtdVUhipA7sVC lMv0+JxnXP7ISQdeMp3QjL4iFQvvQs6CoSZEk5C8wUundI+lHD1wuVfoRtSGM+kJbfavlkRLe/+W iXdXEZApUgKUyW8yDOazx/FRFK7VuaA/XOwGA9Klc4yVimzxolE0pFT5AGif5i50k8x+3SmV8Pfv BV2i6ySilxRXSyDYeLPnWE5yJ2PLRDcGNSBomZrS/DswYv/wE7oMoW5cOi0sCdiGMFhc4dNhMCVj QS+6KOeswH4dxw6B/cdCBiXx+k023d3HpShTTjI5W3vO9YXmqvVI/6X9FuZTCQuf5mya5l8T+2GY AAm2qKCVgjYxV4Bb6t2Cj2jnAt1GJYpC3tZ5C3PID1VsekV749m88sHvVmALGd/3EWrFYVShbJsj twXIJHIIBPi2582nQEgYPUo21LgmvS9ciS0Q1hUTkJ//G0X6oRY0GoQYaZhvCLh7wM/3PmxSqT2F gUN+rpSjRuuAu8Jl2pijXHR12y1FkkwuRw/CNXE/JDZyQlyAYMwH2Pi32GrNeEN4HyqzR+Yy6nQc 0AhodIqKAm2kUL3iQhXRkQEBRataLo0XH3USv/haz51xOpA8hzVW8RX8UNoGwnySW6G0B3j3hPBM jycwj8ilxlXYCaDaZE4ktmYld93KleK7nFljLGMbZlQsXN5VxdD7IOjUjFfAu4vYqJFJiPB9cJva L7GWRgR/O/oLyq8On2+4VM/jjfzA/w9Cp5FBx6BF/SpzYVNUnjgJTOWdoClyBpwQfFuEy0jX0ugC YZdKl0PlJieEVZ2a3bJ/FVD4+aLy18HFhZJKghZP2e1NZUfv6DPXbMYTMHaqc1ykBGDvm894xar3 55en9k7LRSgTAc717yKTMTE6Z81F7yEXsn+ZGsF656RmxlT6WrDrJjTY0etrA89i3nXLAMOJ5Adb lYMtGIUt8Bv+N0jIm8AjcLZUX13X9fUmlv/sixM5lYweEodw8Nv8ktC1kqB6M8TuIGGmQnVxrQrt QQ512suWxkEK1dtXRaKoXstNc/xFfPAeJyDpvqXEGIW1VhTiYtBs37nxq1CqHqNgpHPzfrAFA3mu IlEutCmItOakujn7H/z/E0LtPRa3guej/9yN4/SeqkdnwB2xSD4XMgfCCN433sfuzbDh3fvlBPBY u/QJ93/sIVhx3diTeI0B/T7N9vvcsMCwIehcNuz7RUCiEKJCe8Mbn6FW0bkbN1t+sADCW8iNBfLW bmT/AXgdIKKxx58tHg/+x13FIHRC+owoLHhtPgx+xCK7A2FYfDj0n+f4Z2ugdsZe2kgvte5OBi8b R3TSrkQ2h6Fx88dFVJb5Vr+BFZQA2P7XdZOeEtaGY/XZll9qI1KD2y2tHjM7Lw0d6Ytzn/5J+Imz FEClWzyiSkNAvesKkhuKZCg9FYi9s9aKQ/HlSGVHlPDdH/U/9Zi/MnLGkNB6Q+M5ufVjQYuBl0Yy 3MyHRIiNQ301rr7hD2C1TSbCeeQf2gtgPyluhNXUHWpdug3KmEqhMbhGuvdvpE8EklDbxKX9x7Uo Qdkt8c/xaXiI0fXg4y0CaYiOdeNhDdj8zT+59d1Z7uJ7VNF/PEsuMuJh0eq3fxeRKJnzovCr0m/2 QSt74ctTiw5mkmXkMXnpyTzjjppIc1466DS61hJN8UCkOOlhvp2sln2hFiG6Ki1ICchejSC9Eyhh DBD8ikZQfXsCL2Qaeri9m+OwCiDpttMeX7yYaiZ774jNP8L3xybZY5HpFzOkP/8Bj2nC90e4Hubv w4PbOR+18zRvonAQ52rEZ5OLEJAbEZ81S2jpgqA9hfM1VVdpt6KUXzgPGA9mHo+LDJajV+haWjkS M1BFzpadg03CSJhKf2I+cCVNtpyGEiK90KWVAi3L8vRho/3ND4BsjGoTK1sy98r1Xy34eIN8DK42 3FwpSRn7G7EbcVftM5HkY/pT7oKRidwFTjawqKgTyhNG6js3VSvwJOtpqUQpnrWiSbPPpvtn8dWC ghdc/ovHJe/6a6IFMTJBrxAIsEmh1PuU/3iAi3wngv06PmQzO+3YiQwwTyYHeZyy6tAN+B+nW3Rr wz75VtKV86zeFW2n6vYHVIEIFbIxd7NijMnLrtFMHkkmAag/uIeSboKBNFnrRxXiUHRyc2ksdpt3 iL2YJFvPB8kYsXxI/gg7uuE3tFgek773T0xE8TTmm27hiVEijxuDEwn2/IIxurlbDBESD7jUFIWH 8sOozomNTgDHoWaviuc8G23ydB0wxmEWYTRaqjGXZDAJ2Vg3QmDau/Dhi40jkSlVqwrZXBcYm4/5 uXfWUzEQzm8HDJNOgSoL77iMHOt3cHdE4IAd4ocLlZ4QiCEwuYptxRHY0h1f9hgXtmGpdNlcQLFw CeHSyBXHALiV4qk0rhivxlWlfEsQvi8Fsg5frsaoAGjMKiQnoOScCKPR546ry/P6bPzd5qcUXVXN ooNIz50eobkXAoHeTjZ4NffbTfdESZYhWJ05DHX+xWEA4PfeEbYZ2WbMDg54qJQLFAYjhcL/M7Zc DiYxOy+aihF5BDEE9fvuxnEx+XMqMexpsrKKHWTywVmamOABeptcr52DyIs9i5X+2H4doQMCXqJg Bvoo4+o7P6iLJ0sWvCNCjWoDTm+FJ5WCBz5tJ7LbJ0EE+cYg3D1m4JP/1xVtDWj9XG8K1c8LOrMM km5wdJuptSRjS+ed8SDe9jnfvd8lTRetTGK/aCTAUnvFALQgPEVkyrJhOA/tfGrrHygTn1LvQemQ SU9MZJ7gQun6JNggmcd0z4hg9RqihLx7xCJVUNGTiH4lEkqEMdc9T6lBQ0cOxc1ErJfGb3Aw1kea 0twNSzkfbjLVewnImgRcVzgvyWX9hzCByMP3XTsVBQPky7hVaA9o+D4sDh4Kvn5nROPuUMd5HChK Z5bI44VnxKBfqWdD9uv2RLk3+4nMgtmOr/nTqqx7vD+Vfw47N+b5jGfN4rxhNkyWrTJmRYcKBrGA tdLo85ArOeKvgMx1ZZKRA3EYkLeKhbjjW3nfY+jxb+zRlLtXbo6sMTNU759KNwA3QQXC4DfQ/z+E SivX40MSjdwvnRFqipiG2IYqhXfGb3VkXlPNKvdm7Dz1JiSqtOB0mLqMMSRAMWAY144rJGKzt3mm CrO2Rbu2T4nU2uhfto6KRVzb5eAcslvnEittscS6By02GfVJRonmPLRk7xs7+KjouiBVLV5wczE5 hvOb+PYgF051uvzhNVEq4rHT2y40Zm13zKIHsuMrMg39LeohbRnzbdCIVHylPxeNTeOLEWQr/ZL6 zhmFW2zS2cS7JocijZ17AKYwoZSI8R5vIminFau4VSOuIO1UHrk9lVDW5G+s9izbzYjjWzAcWGfT KNHedrninD//dBIXOWJY1kIgn2Y4X5ew7LYDHznVPPPbQk5Oz2vQ2orD173Q5TNqW3OH8jVc2lbO JxX76XOflUoZj3FQ7T5WPkaI4fil9/3V//ACuHpXGh38jLrXO9SI09S6vNsYTgaaKlswuXWqljP5 YkjNpQz0HFXY+0miAIoGFeedNluFeO4+bgGL2dv0qzn/bdR4S1wuT+GMIdMo6881Xhrc42COCccg m54DXkNqP5qCFB7G2VNKI8jrMyRZGswWVfzkMQlX+NcKAD4xk1wBS8pFGaSBqk5QnZPkxHZSBb+h ATZhqTLALkZdrC/wxCa9p4LWsLxXg+/DAKPhXwloZa3SKOn/aarsy1WxrXjJEnS44Edd2Ib+JqC6 pNPW9SzBu9XfDFL/+XFWpkH9ixG9kd9J6b9gy2Y3rADo+50eC5VUB9yU/CDBF1dvNPKkVJWH0f1Z VC8NaesJ7vBx+/1JLwMrf6Kdm0lPWTCcs82oOygG0Ydz0GjgDDUspgdMPlWwJCpbiDArTkZpvRu4 J/MlvE5MzsSQS0nuVf3Pd9OSaVDJa5e8YfnRWmS0TsPMEGvVM8Xo5zUPyvrCgRkMZkTYjW2T3yoI 6P7CXPeIjocXPymgPA2BvbSxLdplqU9PTGx0uJ85WXUfIYLEH5kwoT4p3kgHmbgHJ/NzvHEcs43l NqnEuQLg4VSFxFMKSMYuAVfppEqBe6vtQQfT/eVcXL34eRgmudietsA7wzx0/u366Pj94HSANBIN jdSSgfRVXHvqZAyGANKGLsD8TXk5nzsq8FMYwQzUdeFS68W2pEMCdjBF9EuZqHb6wKzTYG0e8Si1 XzOO5+PJnhmXvMJaxL2c0gOraU+pTmVbFq6ic0EXUp1UwFeNz/kQ0D9jHugbJGAUzzJUT+r6j67B joR0QdwaLYscP4A9hyXLdbWZeiKtiToLSoM+JqWm5Jp/M4f6rkirqiRSRuAp4hIm25sxSDntVU3T zbicOOp5hgVdoEs6gTj2ZYUGMjyFgAXfrbIRHayYNfBNM4oh+CCwsSLgt6e57ZDMPRQx3gm6/nB+ z53ICQz4iCWx8cIAB4rngRz6boI42o1C0Fq2dCT4sZREDK08WWbQncQiG/8qNOwectgJhFiZnFIE YWTYOVhBaylFShkOn6yQ+Pkr3DUISYOINul7XkFY4ZREg2fZ/qRA34R2mrHzCZypZw6dlcI1nDEu MhPCBkFt5hyLvMIGhA5FefvpeNjixjWV4ns7bIu5j/laa3ZHUDhK6cUar1O3BgaNRXw417j01NAH mQyH1ggfRf1QsmV1+/uoBzDhbKrHxjxDgbUgwkNl2dfswDdXotmnAamBHA6CIKgIPNVBZgkFOfVx okD434i9c/4elfM0Vo686WNW/fhYpEBIZT0LVoAi/YItqnKSHIL4GJlV4ppNGZuI2jKtqUZDT8cI pSFyV/iq4bjcwbxYvFGRjXMcLrY6+yA+vFWKzkOd0/q5iitozAD0Yzuei0mL3Y0TZiZ7yvx7iMPA oiKKYsB124jhdIOPFD9RHVLXQC63RSWlHEIgojojd8Nrti6nfaWNH3pHMNUJNbeYoOWeAyiMmVgr q9P/3XQ1/48TnXJ4O4eKMtffsVRB2KesSyMEEpjI4XQMmtqskpTCPRAKnPBG0XKl50lPdYkpY9Uj Va8+kFYAco5XJT61WQDkUbbqeSNM4rq8fXb9qZefXxcvlT7FBzrU0ZextGTUFbuWbhzwuwsFB+vL /AIdqVa5AxVKuO6zz0fENUCNsKymVQSreGd/VnxqVfvQOzyD1eBFVRyrsV1WpdZALcl5wPYmjG9m Ut2JZDxvlfxsycAk56nVdz2vO5QDgUJDb8FhjBHRB0SmHRMfYyOfK3cPzeSiK64s3Pmjwm/ZAFF4 lonJ41sHq0qTjPyTRgtO9t6gFeSRftkx15kEz8tt+Ak/DB90XPaLqliUTgP4FwqKquotFHyWuRWD TpCR+55VNd+m4y9yb2QUuypIfHJzEP4yIv1cELYQBmb9/V+VCatayuypxRqGRbLMr0u6y9Qdm4qU 4cedJbNkbbctYstO2+qepkWEKeyBb2FVBT2Stfop/J51yvgleErz1sBHTwyc+FEMrGu79oSlGEhA YO0I5iLGka/7snmVOU6NDy5hfr7Xuq6foaBmEnhYYwwl1G8z/KNTjVcXdBmEvNBwlgFC0fLGF9P6 VU6VxE38RMOpF4RC8gmM3Yrz4X9PmzaH6F5j+8CSlVNn6eBS/H/Ucj92FwDjPesHFwEjbtO4g9om 0NhnJ14ZbqeCRnkvtZwGWdtfdpX0qhVWzEXxqpLfdwJMQdET3DMsrmLlq1jn2UkHn1bnqY4HRzS/ eeWske3p+uXSpb77hUKkGKJCIrMRz+thTw0f3iPqTZQ4nlKt5tsqqtyFhWAkwLawk2fodG1vxaEl lL0wmCaDQ4plYNqTTlzvd18b11su0MPxYByGhlmqhv/ud6/93wVWOkEh2fMJH3azlGVb9ywQm1LE 7V9BQ9dcHZ0XDbjjfPQaeEa+ujroPnWg2Y1e0xiJeamwxywuEN+A3aP4TGqp/H+UznakJl8DjWbD 32Hb7PrzNcC7nPPKm1LeeUeVMeQIJZL9VwPyJQet7kI0q/MsOkfrR4G5W0DggLSX3xaHeZNE0dxc lx6s2+f9/W9Q0uGH0R/MTWZsgVzuvPdkCe4en6RZ+miNgRlYQpdjAtyCIUV8Wij7uhAxW1EOKaGx BwfKR8lnvqVz2uUI8oOZNibOuLf3zhjmrZqd3AqK9CYsNCqOokrs6hFaZWELuS5NyS2HeVN3mUOV guW/ZOTuJW04bHJxZebl2sglnTbqB0gP826Di9ASIsDTSksxbtT99++mHM6GJyUZ6vLOnwBHvGqu Krr+RZ29l3q5OaDadNgLiTeVPqyIK16EbVJ0vwRvJlL0exbThF1KfYqblCcRgYbP1ifSfTXhuQUI jKM4gl912yRDTQOJh71qH4ZdjaLRRNp+qkJ63yyLdxX/9D1ZCdKuhWziZtFTWqMZuupKqKlXQ6Ax NhTcZp0MvrFcP6p3O4/fr2x9VF6cVOrEJhaMgAcCyJ/p8uBItxhrBGP99L3VToqG0cVv0+OCWGMC Iy022VGkBovPaRz3CkiVklF/jmI4ktt9Lo9iUQpZX7TtCY/vDNVEFJB1K5AE8EwfHHOhCnM4dA9D Jtx56dwz2mABL9sVOGncRSuKbOt0Hh74I64eaboybrjrWL2+sB5tAG4Yicp3f8HC4azYqSUb8dLM gIcFzx+dyoWqSd3iZ6/nsiaWuS7InY/mddBiINi4Z/hk/E08IsvfkeTxNtAIz5F4s06gAz2zNPY0 /OeWHZH4KOiR1Qayhsc8AlYyWQZpEXI9v3NRm0/f1Y5DQ5ARiximByfDgj68uVIc1lOlCx9GRe10 HvkT8uHEkADGMpEgBFgOsVY8qiX/ZaYmVWudTRsAop611YeVUV7YDsJTBrRu6ZMaoQNAfLEGynhF JudWhNa1x78MaQLssfK3kikAYyxwnrAsP4mfJnJK4MhkgzpJEWLTsOsve9ajnoNR/+VXSs5b0ou9 RJ8r8prdwYcR3hnidK0yom7UEhwH33cqMHQPZVXdShxuZN7OhnkksNMRkIcJQWv8PjRxz3ALKcqA aJSQfcgg3K0vcNcvpw4DJnXGmBdNU3flvh1IKZhaA+WnnkIlptctSlvuT+WLE5aHu0eFxZrUcvct +utjDMYa+Rw3iG9pInOo73pp+Op4tvLoRT5lgwsjytoShyhysVc20va87Tw+5haaP1Bv396SLP30 lvlfGH9XkduTgSXBG7YoTaDrW2mNJglVyzZWNH/xOtVc1d0UVIprbDWKz3WRhFv2gAfe/rm9awDv Gn6DnRnywNkkrJFiJEjyBFBig1hpKIgKwwK/Vptm0HLmxvb7slngUjvQzxcag1iZEaODcaJY3L0P M4RzkncBz3rs/imbHOhNFJQAbSCxZFgSh6LsEjNN4P7HROQVlk6MhOY7AAGYkYpVRFHexCDCXEEs 0nAoakx6R1+Ng8nA/Vi6V43miKK5bzCvDa1KPGJRjx1sEiIGksx/8X5tM0D1IPoQSmDzhNWwi5kF Zc0IcErqZDi/9rxKVw18R1H5l8FSG+pH3+KODEkKE8NZ+grzFMefdKHnYI9rFC3hgxAVQZLzXhlI pDvuFUBb260Z+b/84YLW3eFL/QLRQwFfIP5QXz2C+4y2OQYyK2TrdMydVIKpTf/ZexlulEr+Sjdu Pu0WfiaaG31JrJ7Amz+f73N9VgyWYbtEkAFabcqTI3HIYyJ+IYAuu/cGGfLJFqtxFpCUfskic72R pHpZebkAogSIuanvxAzkk8OjetHMmVPNlSQSv5kTB3ebACGjqpLuTXQaMUP+ksyGB33vaCADazF9 tBsUTBXMA1UQ0s6wSW/wM208s7wbCX7qp0ZCDHTLXnJtyduaCf2xoefAlO992aJihnqruqkM8fTW +11y3zIKPQMC68PN0gGOs7J67MIGyZOStjv/nl7bNu2jV9TewaEi6b0ITaCnzrYudNNOK8d+XU9d B51mvV+8wH8fR7bmF17HA2YeiywUkd27r9qgG51GCvFvx3gkBTP2rMTi+jQnoXZ15nN2CADPEfHV IZrHA6d+4jp+ZnpXeeet+0nQ53yrXrhr5Q/m7YUZS1bh6E0KBJpvenCTwiv6b5FV9j1BfA38wWwx DhgLRIJDprrGQDV5Md/FrToTZb73d/nB30+dqJp4DEdJlJ3/hWUzb8cbPkagBQkfRsOwUidbXl8q PCqbTORq25RpYxujB8A7OxBh7EqkHSEkLOwb0yfurpGSsWI3633r6aUjAaN3CcLz5XjXGvRRW4fI AolZfzQLD5ZmQEYe0VpfjKa1euiFI1y8f+iMDUz2CqXGQXzjNs9EzV8JSKA2ToxOB+kqaI0kumwQ Ysdx0PMyYSMMBNCpET1tAbimsDJDk/0OEIslRb97IQBjgQZ4/pd6N83T6i4EkY3u/BmOlws5v4YD NctoavZvD1WeZ9RlsvbjEH4NmpZnXgWqcdEv/NZvKTeiqH18oPk2jeCqYkB7N4jQM9mFuDmxHfYo 800mHH5zSzJ3Q38+sdUNxMU5/7wuNjZtA0QEBl4/TWo5aD+85qSJd72XIG5wCj/crzARCs1TM77+ 0yPThOaaJftVYKDk1MWDm1p0zWJvvLpNAfteLk89jJ8wuDbdGmUphWup4BD08iJOoyWi+G3MVwXy QmzznOWq9AYfOI7HNxkDKu+fPz8AEtgTygzKwu5WFby8+dVwJCZNhV/HXqa/dHE2NEZnWt6ee+J7 VG1/+CWQPN8ZjCPa/7UQ0iSc78NxMArFHtpJwSITcU0BPMHTDyO8YPRWTnzd3ZhYenXeGXR1ZdDN HQuSQtFLDW4wvCs/GfP6vZ+nL1VOO1gxU70ObrrC8A0CVYJF0DAIWanPsbITnuwE6xMG3Mm+gXKi 8MvPLXpPTEAAW2dIfTOqGcicxo9UQA9tWSpx86UDDjHAS/wZfzpRAo+jJqJj9HF3UKUFP3Q8rg+n /F/1QMC8jVHCzBJBJO22n9FGNI/M2Bi9z2ONdYP/J2t97bZyAtNlxf9eEOy55jKcQAtIf/8079AD SLhJu5EQRcWbWB0Li3LelYKDwixkwa/aIhHxRV4n0vaPdw/SV//5ANIU0ekfN3ZOMrkxGtt5QzT1 L1ThJKhufsoiJDEWbIY4A0U5M4azwzsF8dPKqttOzuCpGQgOlUdwgXXugsL4wECf7kyNusOjM+5w AV+cwtSEmK8VKZmm3rYMrK+WCO7kxC4T2Xi88J3ReEuKEvCtk+sr1TFxDe1lvfvam+AbGx2PXNE5 FkwNu5Q+kJFw4vALVSNxVGrvnEk+5iA/5AOsEx3FG+Bdugu3k+rQIqauv9QOhsxm++Wc+6Yd1ymc umnM3GL1bGq3OrbZLQ1ZgKc3/jkNWtSiC2ikaw0fD0z/RuEf5MHJt5EHsXZlAjevkccZt4TtudaF O81OLJ1oE0gJiGu1LDoDenVn/Y4GxOLs+zPdfPM+ZKqZ3LX9HfG0ogBnrE+wgX0ShhmFcl2T7Z0P UW1LM+CtbzHI4NysYC10+WWR4MQU9v2Z69xTZqNuIQaPz7uLkhaPLULLPoyOm0s0N6it4HOqJ39c xt9DkBGHiHSQfu4hTUQRh9MoBdCZcHcZT1Tpo/4Kx6SF3BzwztmatNA76Yn7iPgb0kG6AdxLzQ0L NRFm+oGwvFNz1VSfsaNibw3/U5oZHugFTI+mgk5wT70PCFVYYuCn7oSn49gUBYTm5EoI+sCHchTD vgxXd1cZJA7r5XNoD4A2oPbzyLInOJF3/XEVA3aGiM4eNvvYxaF2c0TnU6y7iQ2r/zpF3OJrZS5g 3+N6EQdyuInZstYaTMaO4bORSfm0l/3UUNyozRTHQcy0Wlq5m9hhmqFxMObilplJYJnX7KEG/wfi /edSvU9cOwX/wiliw846WO6BpBhd+M5CFzK8UnCornvyv3GFsc5QrO9QvEpAkDAmA7fXyJOiklha 72LEMDfxcUZm2FSJ9olLT8ThYE8iwfPb60kFiMM2V1D/6Ck2Esh2EN4J8PAvhzOyYYTf1ydhsDy4 m0ndgxuLjp6eqnOnPXkVPmYBe9mw9WUhMr8SNEirT0LOo0METz5UsttdlohcZfDqH3Bg2lwyzo1g 8PZ/WN2cF+9PUOE45ALLQRzjLduZfYrcjr5wkq5eUxKu7DMkcP8F2nE0KYBvz5NLWrqb08gzQaro 38lSuTx87W542NwZ9LI+QBK9EQfdFLdhHVoxdJknvp+thXct01uENbKLY/7sd8vh3Dx3+ajMoYrP cRWF8dksQHJCYDp+NAb9UAk7Eazdb6j64Kp2xp6jIisAhVXfppMOj33S1D9JlWKjTzQfnak24QuZ /umNEWVByulUKb0vsK9qaI2Vt8jtoTCPViwfQR3c9vkuyb2mCWVww/MQydMrUXTmm97q+SaL6Rjg sWr+0XNgDwIjFKk8jy/VMgENTUXcuRsO6ASK4h0fEtkilwKpNdaH5MVQkYz0xQ/aY45GLeqW0802 i8u+JHk5NbNsYO84hAOvYiOWCnL9XRfpaHMvJirYlhY1G95P5j/tfZ4S2Gm19VK9PYanC6lSwYcu pahMlizhvCXaYdtvlbOjkzFOwalxoq2nWYG3zdi43GZd7jutRgxEBQGRIbjlIUL11G1g59xz9+50 eGQ34GCTdawnHfy/zry6agzAByGl0GqVp+tvtPzZ3+wJThjkWR3c1zUlqxjlbdc4dguYhcIZcWxW OBr6cU8C1p10WCGsz/ILZk+B3KBGs5PxhzhxgMpmwC05UpQeMiO3WhOiqr/4uLBKXvjB1JFo9PRM duASWbI4bBavQAqkARar8vWjQ3IQgoaxpvHwKvxUXF4b8NsmY+3EMAUNVs7xoVB39xTm12wAO+yo 0Rohz9gic3DSviw634qSEo2C+8nGPmAtqFztUh2D0lGXs7NYmkFd7lfuyWYaj8AyRVe3azDsYRDL LwQ8ATSy2OqygRYmVYkGUE0Syo5DTBnYtise3iJo1MG2Ax1zIW52ZDbPWmqUOv4JaSLp3arwfpx+ ntyvJiJaRq3yl082wyyFZw++sTjY/JbK9NHXDw0u8PS9QG5qw/ZDD3IYeMqNJ/TmTG3CheiyyFLM qWEN9zBQV+EFuAMMz1odcLzqzmrQx0yNrJTugDs2S/9HPuCWUo7g3kKL1z++9j5E0ORCi3ql1g4y dP0E86KgIA9I2eUhiZW2n/QbVfNOf7htgSVSFL3BeygQMonqMEhuQCtmH4PTunQafHlTFb4MMxAD NscJAPMjLMA7TmgcqDRv29/SS2oiO8m05gTfhm5NQGBXfHYQC++Jn1Qtxq73b3BD3co34OYw+Y8d HadbGvd6RMAslIorLiS0wYUxVbIVgtSdKzCZmGSEyO8POHmoUA3gaLzgK9mXhR4nzOonWpO06ueC xhR4yyGLA8A5qLIqx4m6Qv1gESeaopYC5IrefXxk8ekgwPLOb6GaTxk+UJoizTV/LKxXjG5Mefft aIGjJPOP71/rjevqM96IZYLPP+IkKxXQekdNZ4SvkoBeFo7m6fFML/UHWtK8CMZKoz+MZ7N+goXP Et/qH5+ALRnRmT4L1OvSTs9h+kN9kJIOclpTSfmNWGCH9pkVIDNFdS38uVL7SULB8J1fXh0EDWyb H3Z+j8zI2bKKQ+6wTovp8IE5dVT30i2QSUjA0cn12VbB0l8OmNQ4JAp8tlxHzk653hv9SALhRzHt KU4OFvUeFQMmCq4839bAlIKmek2iu4YrlVGCraAKVxN0TODtSK/5zKizlA+xHIlWJgtL3MsVc9dd Hd/IRO8yVr1EAJsUhX8oL6uWRDdU4MKXeLFaioKeMJTrjbHSledgXISq23iU0K7abVy59FqjR970 jQ2L8BJ/vHFQkKYVhKkQZ+i/kBhOJ942HIDYvpfm7LIctjVWo16l25PSJ0sFx6LYvoqcddxjXnAm VuL1gzgkK9OvL+ytWJqtbOohlDibNsuyiW8kY1MfqhIY/bko5dIjzx/odEd490fURAsFyEVZpycR weEVUY0q6QajN7huktUcCbhxGCgr+A7YmiUyNQEESPZzy06jrikj09bQ7Ge/0/D2v6xGwefBpC49 07wwVFaSKqtFwoh7r3C+abQwDij2ox6WIzPD5a+1oVSTACUXmWWCduTw/GcLJpiBfXRJS8LCjrYM Vu1RRCH7FdAaRjAt59pKyENF3uc3JU4+czACGe7h/x0HOp9rMQgGdQJebLARO5fRIcFQdBUG20Sd ZcSq25/nj6Rd/EzZF4p6uYfehGJc72y2ureqTJXo0F80BaakorWfCAK+uE5cQUnsHYS9L8BrPPIH 80lQWhWf894LF/u12BfpxhFk3P3z6D6ssh1b7QYUmOT3W1OEouMfWYWaUnZuACpyAI/ulJMG+R1x InJ4hlGMeaOI9nvETGXJaW01iwvwmUxdsvmScjWYVUXaOi0fPqvoHCQC3/E+xeSGUGYxnntlK/pj l460tWJM/D+wxb3YFYWPAGMe61ZcADXQvd5R4fSLpi7NR49INM8FEIV43PTxQ38eVCPdGN0FCM18 HaGIyxt1dmta2HRyoXecvWRtpIVQKa4PFoP7AUV4cbpT1OC9KrQSHitC2+D63s8xPtqXdLZcVnEI gBPO2KPdPEhrth4OcOqfmsq+oKuUQ7W+xwoSCRe/qknEoCFiH9wfdlqFz3P0eow1VJ1QSAHbiEk0 tEyQ6BPx11nvkPYc7hUBGMYOJLFeQ/R+r1y2aGyMW3cE9UT3wY5o8/ccKjxQU7egvdh7pLFNvTtb G5cMubgD/ff8a5cLp5fSx97U3zHQtj+PAbUUw7sMDgF5u3PUDkwqoP2XattapH9CVi4n5oJQkH4m saRZzp3gBOxdOANqsCuDJUARPTs6cMNcUEX34CMy+KNfgqT9ISiWhVOqNayPjaWpP/rb55wNRDW7 Its6QBeOU+OJrq/m/z/5irHzTkKnrZ1K8brPVcmleHHXgc2IeeMrnZhoou/CDBUDQbluxBA7Ru7p 4yKfmcvrDrKfqaLh8FYdA2Nfesh4lxWOzRIPGlEZcacvuqrR2gRanW9W/VaaNmolir1f/tWvOBV2 rHGRizolMUi5goIkEeZv8SJz2YHWeKqKVnnnG78ysA1DoT8H0YdIF4synEiUWs6b+A9rZuvO/+1F gHZJ8iQm4htepZqpmwBokdPAsqkLd5y/NLQcmRxM/gHTs89uybItpy3UCg46vzMycb+A53gWMWai 2Rv4v9VrY7jONqCB++8xPsjkm6mRtD+/MJk46JPD1SilKjmAl8pUJ6pKFMqa3JcW0M2TEMLSl/Gf rcTs2XQaf8CuhfSySRWBsjWsrHlCtAeXwEqU7PAC1KiCHaEgk8pzmRMbevw5/32rOpmknUpVqRYR v0cHIXcP/86raWiSdhQ90Sg3sY6467kHX+zaMO5LE3iWqfb0FS6Gh2JT2JY0E7Y+B44y4Mp3yQCl 9zqKD7BxR3n82qgEQOJV8V9D65ArrlNRCsyJpINx3vef/oZ/JBe+DnBj1H2h4ErfyZESVOUl/DBn 2WxydbUFJOrhsPKOlUHIZj16GK0GLjxNNd4D2IhPXcCuOps1nHTOUyTjdR3zW4cu4o46zbJlk4V8 l3bfLz7jnztw/dbkax/V53aWhTWYF7HOzZ8GOMEBJ0wxFllk6W4pJD0aTpjlDiLFQYPCD1fGeCL7 8CnOUHCCvEbCoxzCv+8OyHsr3zSNQQcfVBGJqKruTr8Pap05scaY+E83j8TuZxZ0iGQrjlHQQfdb Gc66vD/RalvNNy+4xEijDJ69XDprItpL2HVO0YxF/CI+clQ3zoSIBFnGvaKTCql2v8R7qlwBrhoj zoYAz5laN1hKEk7ZbNcZSZ3rCBVQSECNbNgKgy5cwHL+3+7okBbs4SX1G1/lYf54hEIqEu0LR/mE Nh3JaotlbDN6YmOsADf4lHm03zyuoe+iB0VnpSgwY1pVWyT9W0MS18uhTHwjQLXKHDyi1tOaS5tV KwEyLZEF+c9ABlHrBT3A8KmcrlY6fCiETdp91cqLkABhW0/Ra1S/9tkSTrmmuXWFZrLQtjdZfB49 69lbGkPAz8cEzjUqOsrisUd2Ho4/WAUc9DjjJmmvi4DVGLyrBWbZSpQh6cRm2j3FsUsDVfh393mo VLdndOsu+rWZinbEEWtn28m7ialkdCvCcD2b4am/U1mQtSAnHSjY22r/xjzo2pxPlpZPIeewgz2S GX3ImPlTXr68GzXgQPYVuUpMPWmUA2aHYyPir6PS7JAhnIDG1YmeUHeHKouldGPHdqnePoDhpfZe DSEreHAbyxZgLskhBQoYpz/usoCxLVWd6WwvSs6dMgsODvfNkxUgq8YGc6RxlGZBVeo020zdo9xp cbOthaYzLpvF8g2Y4PPSBO+5ucuDpZOkIyyrugxx/dMgDMo+250Kq5j5vzlhDkdcs3JaEP/R1k5Q 7vAH1BrN0Jw9ysLQ+lDIV4Q0uwEhfNLgIgjA+b6k/VSivlDsuYxKpzrjGpPG8TucXhuEawkX1yOb h4vp2r6AjlkH2a1/StI4ijGMXER+ePnXCQftfoHk4FSKgrMlyNAwxFFb2U/PtT8fVvTpWsHGYdXQ 5e7LG0TZB/1WOlHssJA7ZAEczZYelbhrLLUB0rwMWQKBzfZJSF9+EGJ0ZraFtlvLvlqa8vbA6/tL 22MBMx8RaPOP5hgTz5Rek7HmLUN6KMDiFDWWv1h4tXSao1nwjW/PVUqPRr8VBGH0WR1nZgMEZvAj es8M7OKs8GAHTOlgLB+pd8Nj+vJDABzh/gWzVSitNMg2curv4BkTbfKN1qpAtioiaRN9udebuC9V U2tj1q96AQ/UJJuLaW7tguTGvpAzTnFXjaR8sSLTApzox3Dzbway2J6r7y4K7MLLno5K1ai17IHA /+KfMu+4Y15hCPuubYLy9YdAnEV6NP/p6kDtn2QAC1cJdskKDCNAadrT3tYT4MbK8YSmhsA+X3Am guQl72yRf47ANxRW3WeOaVB1yhky01fIy2P/cOWzfEpfwxN7J0QqjpPBCRgAkO2vhnB3kycuv6eS 12z9mVwlyYwSa6CYz43hREqkWKwvqJBWJhdCVDPtgKKV1KDv1Mr7ZzWglKLmYcXOWuqy7XEC5gJW FYTfpTAj2Vzu15g8BbqrXhW/jkppPBDz+MpGd4hNDWK03dzYcsQHK7713B9Vt7EOT5WTfO00Wmr1 dxFtvFcsPRXfSw1ZOn0Khjr5o/GX3l/RsDed+Kryl76wry1fLaUOeucNh1VDAJlVSwuENgsrbcEk Y0LAYjvcU58KwnohqFffHgm8k4lhooaTj3O5qfk18wckXHxLDKYMA0KqZq0zbG2tYrQMfV5X+ruR OKC9WcTb0XMpN7v0I0AUoW86qSnzIzKFctEI58mqf5grucxuw1IGJ6tU9M6E03+ojxyPtj5c0rq4 Zv2vG2BdGG9kfmqHm61aoMNJsiSwFuhTu4rmg9RyHvJn0b01FSqZLlvp1YqJsibbsSiwveTrh/Bj lGdmCgOlpHZwX+F8QcvdJXuFjC1wy+8llBhh2yUsetHDQeVrY2wobFaNoibyD01bVkFo/2fr3WLk 6Ruymd9EML3fG17l05GVAT0mvDthBkmEYUb8BVBLztWSrA7/PEulHtwLMiPR6PeAeHLTm98/0Crl KzdIgQDDsLUMSmMH/YMU0p9g7LRjWgxG+iF84IFZ2HY/33epdY1qQpw/XI8iMnqeCReqfHjrYfQ7 ky1UiBfYQ7LmeHug4dCgFGabVlADgYpfRgZ4RCfoFrP8D/VbBHT3zb6j918hQd//C2cx+30L/Xtu jpBynRydArg5lNh+n2VIeFT8lPCSCDOPBAy2bR3ZdSLAKNEK8vNzUvF34WObTdtE17S+01ltIZ0V FmVAhXd4I297Tzh7eEnIpQbrQoiJWQN/N+Rc6Oc5Kiwu47Y409vmyxUsGFG83Niva1GdmkDdUTiO YigOtAL2JBNa46/3bto0iTximgOVVKiHEGGt1xl5zAjPVxOGZ0Lq6bVSOmqEUbXBHKLCYte3APpE YAgubJ6WBSUUqUEqunESXAHpuoKA0im8B3PEa68Sq/xxMxCKeRmKD+Tx0ErNlEQOPeDPLAofHKDd QbXQUId/eYzMIYCvpo6YmoOzv4NkCrPZs12fkbJ6yi1wnePQ0mpLSgf1H+gHZxf6Zy2x4zhl989J wVQIEeMF3pQBIeQ41z0GuxHLDyjL2bAA3duPpCQIHoiNHN36lfn/HKPn3nXAPDCFMrsD6xLHSBud ySFfgKtfvNB5X31C8yxzig8bqnTWRK1mA3SrmZIsEiIgzEs1q0u9KczmXmJSWKaOq7dth3U+TMwP xz3fKTBVXDASEgIs+eEjNtz6c60VO0oIwOHMJNFMYYk8+JItk1MCjKu4uhhEIhL25PUcWoQN064w wM8dqWMJnQorAFh9+q2FZDjM1vYkc0cJR8H1HgaE+4O96R1pnOSWSrX12SBohGOtjrVhxp4EMevY iq3bvPl9Ra0S4SQfudaVAHNJLfDDf7I0FHljcSDBOhO+mQEOVB9rv+XlOMJFAWq/7WdrVqFzELpR 5rqBynbLkLk60FjYH621GmuxMJfTg/3/ASAbYfLwjkzZ51xS7dWGb5ZuWQylbXDdvtKw9LnsrUB6 GvNvep1Pjab9teCvgF3DYIgT4+bPzWLESL+ccGIQKAc9X+lj/OAdenYrWb3cZzzF9yA7VZFp6te2 a1bS74xOkPonjkzZyAhnbrxhS44EzuzgxrHu3KgMQDbk7GqBddp2N+IS0UVKQTuy4cKTAOeSkNSu jD1oI9KCgxE0mV/1nqsgC/HnhKsuDfoAIotiM3YRS0YAHN6xS+c11gwV3/FaEtbEhID6i8sHDrFH keFnhjMM/km2LrKXFRTsZYdBXaYBu+g7HxVj3I9WW+B5BwW3jDFvZxH8xQiEJvY+86adlzIaqvaY EMzY9oeN9MS8ppWweKi9kgiXahrxKJf09FwkrOB2HNdr/yQVTW005uXdi5kFoepvluXM6yzvC8Bz +NU3w6t4j5KADBRs4cmijEHb91/32yBnriVzZMTE3KO/n9y2JoDlHhuUkvwlquBM+0fwzyrISoHf +n/hvlzTYaZ2AqwR6MjEcmFk7jCWLxjtkEQLVQr7KWJB64dyXH47yvug3F+2AecbsRgwUVpGY6m6 ZXbID5RBynuusCnl+3iFsF8HXE9d91cgM1rN0JFqtPKSUT9/PSu4puP2Kjo1dxQ/jl5xgZPVn+lW qNGD9cAO56qDxfj7oW3ML7kncK74aDpCL8wNey9GLyF//TlyP2d5SXqnWb8Hf3A2N6u2exg0OIjc T76jV+56SuorC7r0dUx+rLFIxto7saQKw8j8Vm3JobkKpBifEjeQXYynKFIU4NzTf7P9oAebUEeS JsvuItDj4KofYJQjhoa+HRwZdweTJBoGJVYxElqAhe0bazQc9epioS5PLGAb+DCVf/FJlUKkz2NX ix8LjFr5Ahk4/EROtMqTTPg8S3o92eDQB+o71ElsqYe5Kz09ewjsOEHUtCW1USb1jOr44PVq/zJu QvwGfBHaYHIQ+8ITKOMQ/trxrHQyYkGiAD1UrctzIBGrL818x0Mi2PR2Roi08c83M/c/Rm9bDOEg 1akvplns9UESoRYCVCd2xGivW+5mz2geqpKerk+4N9ytiE9zVc/L3zWdlbNx6Cz3gqhPMlNwS2hb tx4aqxaUpkdub0Hy2gjzF+hKaMGduIHnCy594t6re3dGIa/pWCV1yne7gRtOBa2vSrzkyxqopKmP gZr7S974TSW35T2KLFpMQzJMTeZ2FhxJCotOCm6jKpkQB3qLikdvToRmE7baMYR6qm0A0W0Iyryp RRECQLJ1sH11dlx9np8ZujdUOg0nC8525GBeVmFuC3ZygIquEe693vyfaAH2sLv9h0SJTXx9mADv uLjZLKJ5qhCmkWfhvJPl2KiEwUdiCJek0MBU5Ge9FBRpOJkoSh4wYIq/7auEOShcfxRztywi2ptT r24g+2+XvrgAqKjHJJTkOPlsV5ZwjC06HHV8spicPkw2mq7+zgIlFqxNFrXPCdPTCLDQhQwUSF2+ MxJMjvKMCVjD1JvM2ND72jLrqBvXsgqf9PSHi+DCdDqSfYmC8ME6CjvgGCDQ6/DAwIT/nFCB6m49 w3ksBioNN6QRiUxhPPK0S0rzFIbWOgAqVJAl42MpFikKEbUgQ1Gl566L4GbZo0mAI8CU3xpyRG5t QiivnVgWSWD2yZXADE8M5NUFj+m7ZLdakNabYrE/hRjLyPArmx17Q+1uLT/YKsWpQoFl61TvQDek Bp6hw7jI2zFX95GVzOi+6oSHgHjzs6VDRC91vAGpF9u2gFhestlQFCUdwlyt9cd5nKH+04mOdzgZ 3aRH7RBKug3ufiJnaqiMRqOoM5rjeWICAdlud2xWzeERD33LG9RUjcnYQUg27UDxiw79zOg0X0mZ 7Hs1zlXQCRgOUGL9SYovQjeBA5vL/aw12Drcm4fXufJFGhmaI+smuhXG8WTebKTDr7xCUzus8tOz dH8BaiGF8sAbHOBFqpWEir68LRIqphPdh719agaaiQGrIKcXegTiZkQEN6FBHVpgpFMk3vKNTLQx GzZRMiu9bt/C1kQW8+XHSU5pY540G+j5J3P2YacJZwL3aKM5C+MFn7OnIZzhZ/r0kYwueLfKFVzZ 5yycpCZESBGZ4vZWyZeqqGn2OFLN95rinmN1lLymLeS6icdpzO7NDKmeoQmcvp8Vw5krBzk2p01I Fxe0wM8KI4juieg2U+Mh4PXL37uqNw5X5PH3LKqBP+3D9qiAga5DGw4iFwncAbAsodfx33VXzms3 iE5pXiGQj3OSZt2QcD9XRCY+JVLlJcdDwYZP+rtNkcZPBHgy3JFyA5QXgJE0XTHVJOkSlfhPU2XA zBuO54VvtlBUKAXNsnGPVeaa3ag7UwsPA55z+oYRsEGQaHY3phzvfJmMXVzHYU0CYVbwW+jWLMY1 4T2Tk0jgUXloOgytfawnQaYoZWCA6t8fb9BgGZ8kIKjBFqwe32ZM0PJi1NELM9GiYDZy0oqTVZQT dUk58JWqmwolNEOK5aQWwRORlC+P6+msHvNFrkaXDr4S4FErZiy3VOt1RqxCVkFB7V99c/1YKm7c hwJWetizMRWx4chvBbo8NJfTlKtObasRyVo4IPJb5RhG86Qug9iYCc3Yxg+921JqY4M+471U/oLO RRLNNCOBUn5SqJxMXGk+fGlB2jeVTrc4zw5LWI6axTn2ZOj8N0sagDMiC2Ep+LriPREGJ8h9U0Bw RJXsDcHtHpMbK0vp2Mh5GAu4xuq1T19KXtLVs/OGLxOMwBywxmPXRjzxb36jM/h5j3o7qCXLIyTO 47fsqs12nKPvcRs24LmWat83TLMbX3LpBIr4WHPfKm1Ef+DrHb1RbzqSkjV6IFQ60x4ydkhc14Zb YYdqt1WYmxJVoMmH3+dEk6FSiP9Qr1K0tx9E2Ecpl7hYNPPHFhVkd8XQXmJCDWVyrpL37HQOleHO nerEOR6NRRqe37sgqC032zDdU7E1aZ8OOgZQvd8I0PI1wFnMzJ+VEmz1fgF1A7Rqs7hfrjRixhNk h4XUnOc812ceaS3FbPOPgFK5HH17f7euaMEbiUpihP8PAF3dbB0+kvTwmSK2vDpWgkhm/EGj+bjg 3GCIkyBl9N1424Iml9IbTPkOg14UMoS1rbIycgmTWQVQCLkABdNrhBBPalxFweg6hwe1pyrQBXMI MMHwmkFFDwl42g16CpLolNdMrk2xWc3JsCO4KOnJl9qTIa7kTgVBUxCckf0HkX3A80DF2wUqd+a8 P2ZbjKpHi5e5oo3TtIeKCgNR2aqAT12Gos7oPI8kew6U1nbItkjB0y211lQbmbLDWALv3QX0EBNJ 512k+poa3xpVLwR6mbnyDH23nJUe6cqQ/0AoiYxQ8qJ0Mjc0vxmbxZiV3+k72WvF/uzMH9Sn7H+D S4peNv87xdyCjU80VWstuK9vl3oY5TTZabyiPOLvbBv+KbzkmNI7Sr2wVTbezNvG6uzSTFwx52G4 OtHZlrg3qSHNSO6kv/+xZxQrU+USkC2HwpmPsOe7U0XZWIUhCdCmtXlEruT0I3LeXgaxhQp3lPZB Ce8Ldg1b0CminAbabWGNkyGsReJol+vIVzkXL2064RrKJi81OrD09rYteRXknTGzGwa4yc3MILKH pU6nCAGNxX77jskEPC/Yc3anFNDT4WYy9Vjjfh3HtYuKKs92lgeO1fI2H9JWdLKSznG7MW9OsVhq NuzknU2ITmMRus1H+JIQlNDkMG3hSq0PvK+0WOdMWrHChLah0cFun6nomKVfR1HHOTpcbfPtoZHU u6oN66ALHr22hysQO7w33KIFeWucjU0dKmBOaTS9ceOhlEbdYozD8E9fXfRiQuAx3QRf3ucj4HjY Mh0q0nYvpFz639J5tvu9hw8SQ44upL59TUXhgmS8Fpxgr7Fc77Z7s/giXw6XM0hJfPyEgmPA4iQi sUtDQZ7Z9OZuqK0sd/b/mvMx+XBuH9oT8Pe0KC7WzumCRBLqWZdVhbjvMk9pmMOdDxyyauIaIzyN WzaMHzw3yzmdBwvHUqtLYYuCtsUz3kmvJhf8dfP2ojShEimcgoaTnwqB7xjb7xIloXl6SI7+RL7C 2FXMcTHtX8GN8W2kuowhw1fH0Ori4q8y29OAHriSr+nwVcPqHy7NkEbsBPKSLdKkJm8dtvgqRg72 pI7Yqb+Qv7jyPazcAmK5agyDGEwDzva/Ip3oYcoiHeN99wr8iyO8ywA7XlphjPHmOdgWUa+3kTMX gjhLY9DKDFj/WxB80T6WGveFNwgKZv86N4issL18/GpgOPARPLfoeDVH+AeAX30tHadnVi964fmr OrN5pJwYGNi5OIzAKbvimy314Q2AuCQv7CVad5QDcim1QYCko2PVi7ZLD0bv1Kyoc8PAVPkIM4bo BviGr3vxF3YEYFOcV/mU3uAJ4OpyJ4h/mZWfsC0/XETr+jrBY5Z79KXu47tHyIO163Y1+h4fBPbT lryT/M0RJgiRPX29tAihu3/XC+IfdteeaZ//McqIXYhiVW1iPy7naWhCW8DEg9amtuxAtWNGcizD C9xliK+O1G4LEd9rQYyJ0puwzLmls+Lk+r2K/nH8GZnAIL2PiT5/7xLxW9FhWq/KjSZiERuaE282 aeN0dFUu4midcWWP4obcWXFggKYcJ+V6iWKyCt9bpQZxyzvaKcfdK/DyvRymsiQn9q2UUr/QgRFJ B13dia7A92NW63x81mwCI/FTWam3bm/faEbu39xeCU43dVEtiPSdVXoSifuLaVExETsSMtKNNKpE n2TYQiRT2Q8NXWiasGNu1c2iMYA0S+9TkQWQYGGWnf5qBjC1mToCC0JLZ42Zuqf4eSywYaQS/6iu yDf8JVer1kN0NyeJX2sZTrV/E8Rj8dRVY0IFih39C6PBYDrRhJsd5bJTNIrm6DYFXakyLBSgJsjJ aaNcBWCW+/CUoLcCnM6Hb4dsmVtq3jeQLGE5HfR8ss4cn1DFnSlArD62X5tUrNwB4aqr7mKJyo/X dtVdLqhYVZiHLgKIdLAcpVIBE+Gs7mbcAsE1cmhVbBdkK0LRtPM4XGvlQe6hKTUL2zWOTtjPdnIn nFk5VsXBo1EEDnZQHTbbHJmvdjMSfXMy/qM+U9mf7+AvaSXW67A2fW1UQhSStH16d87Lx3pE05jz 3Vch2T0NeJhymaxQ/2UVqkXBERS/qm2oT4WqGWlQ0RAud6SNJLWRtTxvQxsyAA3+QpL4MJ8reBMw IV2Gdl7MClTnTIiaHYHWnumbhsqKYN9Q5jkViJRTe8CRDQmZrPF/jI69Sxc5zgMNgL73nXsirE4T /j3Ul/Hm/EtaVh+NHpv4iLpGltVqCBGilEiweeI2aCx7ThOKtsVD1tyR0DM5P4wpQlD8pU5Fp55J BfPWiGXfujkQlSbEqQHqGQGBrEFFNHAmaRCQzfdvT5a7tWTQ4inwHpAVNmZnKG3IO4w/ni0mbZiR xkkFuqgpdXr4VXNmxE1Q8ESY485jV3JKyytr1ZmRi6+0V78/xMjk/nT7Th7DLEQix58hNksiofBX 0mKbz8J9zLckWXK0qm8hLYxRICt4GWXN/gWb2wXn8ah2deXreGIBUYxT8jR7DNwepCZy9DCJeWNe NkRM2J7IKnyv6Hpwq7i3IBt1Bc/FC3W4yCgOsHqgLtnuTP3sbv+DMIxhHy1y58jkWSs4C58Jq/Ws 6gUHQ6ZsD7m16ftomaKTw9kW114gJER+qseMWjciCuogXOiqRIp2SRylwwkMElKSZMxC59/nnwOu 2GSdnIJRgGwIzWZKcyehSAa0ADrm/LHlIgYUYjvlAno9DiCisbhrhumArwVtDpd3E6b914MxTcdH YWXXomVHFH+/5wBSMBh3CYGM6mlA9V/+t4vyE4zdPfIoLIq0t395WtnR/tnw3wAch3ZcXFxVxai2 sIWA3YksGYLShalgPgyzld3C/LTwpPNXuAXy8AwMumowyawL1i7sZmr0P1fk+lv+L/T9WNb9drV9 xEWzfz7hqnsSvNTIelXkpqyTeII6gWOqG06ztLDNvFdX+5lb2uDPa2kpw9UwFVqnIZ0qcpCYnFWP Cvl9tqPo/pmg/qovEpxaIxL1bln6L+Hq/sZR1gCq8WSN25AvaG9Ri9TGY6zHoLJer3Hjok+nBXQA +Kv3wYTHVMs80x0A6rZbWgUm1PkGY6J5I/77to1zQon7oXRivBIiWAi0YBgcA0XOjdzYHbZbrGSk tTISmKZh6BDPkM7U3NgBpmqJRbhnSl+qWsQQN9r9EZYor1hD+ZGaSgOVGJjxKKTmHCsVjO06LpSh F1PCdvBq5ku8NFv1Jse0EA5SqITZekrKPxibSwGfynW4e8dFrQmJ4bnkC2OhL0P2RxyhbCPj1pQG 3Suh722r6ACRZhYBBevTVjze1tkyqko72Lsw76g2TnqIUZKnjC9Fv0vNIx3JBBoUwdQYXlfKa7y+ wgKommyh8aDxnBUFEHR3Fa0M8hY3tzpi3pPD9EXIzjGkho3jxCOY92mdnUEsM2/WGVpHm5phvG4X tUR+d3Rl1C2Bj3W5GYZ3pDQdcblxmrfRSdzFlwFZ6BCgZqwmJ51cCWLnZhpIgMVmx+MZAg9FMhK/ i5M2T6GUpGeD6Y4+i6IbITtp18EPfhMyDPfSK1RujfRJfZ2YrBT/iSTz4Ja/lRFjDbahLVFERf4Z g42WwERTIy5RIdKEjuX06kp8QNcGlfv3Cl/qLVDhTFtOlLhevhRwdxt2LIoouJH4dICsmAYaFVHN is/83sXdBEzzja02l1PEgNsnFWflhYPbj0mgeJkWr0sYyFHxEIHxLsKCZZRkZn5zb2ztVJ8g41Eh BWHXbdSBgdq4jWVlNQwEWZTHPoZZdYn0y2cHTPeo+1oMJvX3VPtQR5ldSHQe/7/B5QaSjUDhb0ya lGeu/B8UqvzipOoUIMbD9s2Z689eeCz/Kmqjp9MvtJ6D0yrUqS4nAUz7lMNct9HQYwUd63YavdvK 0ICecYdHY/BHVvwCD/9Y9b3VQN38879hA18CNFJF6Yt/Xvrt3/yFBeX8/4/BRfP9mhsM2qJJRiGr OUhXn6YXYz1fQprpmxcHWlfgLI7reu6Vq4V/oDfZQBYqDhpzl/xJVUNYvb4fqblK7TqRyAFNfbj9 5yvt/1p2Yqc/HhTrYtX0BcgHD8rYYT+SsXX5l9zZB9/194DzDKtaLAT+w4wU90bFyzwr2e8Ud5lX 8+4Z8NnCTMRmQRNiqsBwmACftuxIYO0/KVP7XiDb7qk1VITBRiOT2mEa1LNNwgdABL1VR3OgjB5O jM1dkOZ4EAAqsFhJs7q/yS0GnR40nAI49VY8nkzSfmB2rZVimN4oZZupyynMAJg8WK0XG7X8Y65u 14ikEwXoRsuQWiWG66S97yGvx6zOvhzbW8X1QGa6EX+K0MuUHY07KT0teo6V4AbzGYp99eN81x8Z KgcxiZQBUkzydr2212q2Xm7RXzuj+IFKZ/y/GZTW+OAAajHRBcpO2Jb365cn3dKAcOtmfiVn8WtP w0EUpIY0H7YJfRS75lMSHxx1EcdakVgZ9cFqgHHp+vWuiypcJfvd1tCCP7XqWXykqnXvyXHkrAnb EBW8Vi3wf6sCuPyBltl3/8827YZmrOdLcnBpDl51g9H/BmTu8JB/2yuaiOIGsLCdOd3Nf8CB6wko G4955+YXzJVxwon8EbTjuXKUNagre7VmpQzkAUYUppYJA7c9922HcMCGt4fv9EAO+ZfCd51asQjH knGhyNbIa87gg4d3exgnCvJTmMAcLTgxBQcj4bb3VNhi3qZpgRXXN75iCnpkIPqQVX829CgJ8D5I ZxSVV2ti5qLxG5O1vDu/54RzQBrPREFoEqdzgCupoOPVM+Zxm7Qi5EnkSDKfXdIgxguDqZQIB8in Gy2J2ED9MaoSoYihqxOT1h7HsuqawJzHLpm6jePUyZu19UbpB1izY6u/Y08vL/Dx1QcsD4DFF9F6 Mp0OQGXha33vVjP8YvMg6Kmn2N2DVzXfrEypwqfoVZayInuTYAdrN2SAJqsS6HoA+HhH3psyFfOe VcVlc6AxoaMlF6SYxOHdD8aCgk9lgAWJ+lxPSN9CgaX8PD8xJfVW6MuyyAf+Jq9pM8BbfhLp2tzZ mXflHqMXJzPjvljvTCAWrAdE8xU6Xr3xwWUo2gLMqtSzgtz6yMGbb1V8JuVChU/njjS8qm19+xw1 FqAXCUoNJoIoSFyzjpsB+4sP+radBwPsnTAlT2lY+a1RfBl9kXwICp2eh1l7WRQ330iwXsRPA3UA JQ1dvJ38MUM2Z/B5PvccEhEWvFqkIxpso8q0uA8oKs5RpSLM29JswclJCd6mykgYNGeQl9YveKcF 7KMFZ9tER5TuIQy3hHFfA2AkuhnQNS9EopH9cX4t5n6zzPZfMaSSHFTX75xKb9ylZQtpxTToGmnl 91SP71an5m4IoOY7DdffjJCrCvySW/W2AZzQ5JhKfvyetYCvhdhdTFQ42l/d0p5LUKV6Z4D+0WD7 rXdviCdewNF3UyU43wMx63z/sNYWImxPqaZB76FUCVrA/HODjwKFw5rwLAXonJULreURSHJ3ZUVb V93gx+qm4fmHRwy2WQGyroDzZCJ8F48Hlh13aB3snmClfTpmDJsezIg7hrfgWIXkTzeAszwwy06h DeHGRUxtpq1uAT8UCX0wdtYbluDCKlucHHzxP5v3B8rq8x7E57Dq+SExdug6mhRpFytP66VxHVdU iR0Qt/2ylVo1vIz3UVnDYGch5MwNuPVc1g3x06aSU48rgECJjfK0zH0cEBIKbBP8CRgyQqmIUa1m lKruf6johScQCeBIVmzCj1bv+Fsiz6LCPmOqG+GWQ2z1u7WUUyViPZ55UlQIai1kA5G+9540bFDv sKSgtpvq6v1HqeNjN72+3vic8illBH7Dj6X58pgWYldDlKsOsjtXz/WfLI2d8S/ySC/aMaHkvbAO a1tynASGvScKcPjRYwHdigzEX0ZOQgu6N0ou1hkc2qDgpmA+EfQkz+gGOZ0Uy0sDFiOwvGe3UQs6 Afat9ZiZRbYW/KZpZgXFgg/HIHRKUhrMJUMDmlNoOFUNX6DNE4+vWPqOGwmQdtIVpHXX7QlAb/aa YoIMaHKWiHZrVXoweF7Z9/Wcu+80gGoLJu7YL2Lw0vmazMDkzS8QCdnYJeRo9s3mvXqpz66Z4VKJ 4u0rctqqYok4jR9PT8SGju7NUPx+b267xN6E2sCfv+CR/exulcjb6JDYA7Ik64c3yg8t4qv9Zpyf MVjyknxCQwWvQ3VkeAuWbNt049RyC423cm7XCM/vXhNpAKqfrMGfQKQGHzS55hsNsHIClOnbHTPn XoJuz9E8APaOGXVVn0i2k28EWPah4lRvQxop6Mj5i0SlWv98IGDc4W0EdYzYgmazSj8PYq4QwbxW rdPWzxqpgYJ8mWJwzpyVVIfrDnhcHEJRlBsM3Ra5ml+hofyBQelEIqIy8IlYapgkRgHRcjfGdkc/ nKxYpfOHjMZklnilQ31KLh7ciebTQ9eeKvS6D8IljPcALuRwUQv4QG4XIhwvqZwAQdYlTSX1iu87 XWSlrBL//18dw9gjf3Zmi6BwNpyN0PC5+ypG8ZGQXY74h5GqNtXN1YDeIUTnP25OQBFjqgrFKk70 bhd5qZuw1gWcDbXk11KEAY3OF3zH3e5wLll/H3uLyohLK4z3rkLfS9I6oaImbcMCb1hef0HZ/NMu LXlBxLV6I6e5GWRSLIgNZvLf92nhwHlID5s52qeh+1ci8DF2Uc40xYEsgijJ5mMAh6gskiX2FpGN qvz3fbeoK6wx/1F7Iwf2WeJYbTEeL9qb4IeWwndQjxma2w4LRKhNocOaL7lej64Mg4SLHsj+9L98 xZnlzM7/k48Ds1Hq46kdYR/aAOjVSR3FdC6RM6j7A644enm4xy+Or0Y1eraTRb2nDJcbOOgKIAza m2bir1VLDfsD6i4flaQiVo3EnPHo7uBBl6Ujb44gC5fIb/yrj044EaoJiqJ9hug4kJFy1eWs4YRw vEpIGytbV92RQyViGHCQiees61bLde9T3yohJZPr8mMPhEYT4GQ0qfXGJSYcnHe8HCmrFr1yq2BV N+/viCOULY9rmZxHLA92+I+B/YHmoO9e+Dv3WEplXW/RbQ5EIOINozR+MtpQpq6AjkV9EkrcSycy Jl0EeN7AQiOIZ8mMxPCLMuIRJtr93Zy/J2g0p9tc1iQEvoDeCUyqfupdDZszgF/BPOGKvnQVJV3+ ApbwWlc3kD3zWDr5wUuG0VgBQHCoZ3tyEdOXxICUTcVP5NVcYbu0J/f3Ji9eG8TGW19Yb2OvoDZc Mfyt/5CmSZAY6gMZpOwE5/DMLYQfDBvbEUpcdnZyIeulxeugnYnq6ETAWsQXrjjPQFPDybdhWGL5 hMst97Z2yaiHpNSbtCQjH85I1Ke8ROuitXtkZa1RTNHsCdx+x8jQIZITz0kQoa4iGLMvXymO5kjF gdg0oZJxbOeiGaGpz5/MNnOArZ8hBUbuu6gDxVGBbHc2F/vlylvgTkDq+XkhxMSOVHJ1HyxFBksk ZzqEu4EIBpc3QjsS+CHtmscfV+43VcqIam/JHlgXLMpJhPBKmR+SLqOTeLmEJFm+V/effnXwj+Gn HSbvfqnYkLn0Nldsrs9hVwFMRiGRdxBLuZofQDwqCyTfy6DpwBhQj8YIANeRZ5/SEs0ktF+ABZ4J EfN6djA7YXFtl8PnPzXJ83AlKKZ4f3S4xESfNx8F+7Zm+0sbLib5M+xw7js//LOeY6+5Skfx3oYS /uQKzvFA9cKy51V8sqLfgF+oc+Iz6b6wBpoONJiaZ4QmKK0vi4lIVqIy+2NZvL4IdoXPV7MyTvU1 XZ3Y7tamq4Gt1/Z/68wd2R0oeE3dH6vi6TXwYmMZ+SfTp+PLvf2EYPQ94jZ8g2kssljFDit/+0Kx mhkJJANMXjMZ+ZHFqJWKKcsu7CR3tdTqUviAO9iBEJkgeBRNCs8JHDYeQmtjgESJ/QZxeqzlFqc/ KbrI0sv05jK2UGcCQLXPiYnRqsa2QP+4O+HmqmMaqLlBbjd9NynjQXIYazSJ2a9zPGqQ/2Fw10zd Z/9gf2bGHzbvtW6L3IwiUW6/lKgH8x3fdZZDDevfPaoXmActxTdEffxWAOKCgfIQLwdZzq8XtUte QEBQGcAS/Uf8MRC8aQfo5sDJyPUZ9twW9psBC+SMvCLouDqAjfDRBrbGoFQeyXHdwgpU/PRfwPVZ oteeRfgOfmabmQ2YljtYzz1o7KIrOsw8ISLbkJP12PpsFJSZgEOPKRgA69e86/m05Elxt7fY2Reh TpGYg22I8jM3y4WpMMbQUDak3GqsAMPaisXAISGI9rNWZm/OHY/yPnxStG7HYM5GXIxNtFBgQXrm afg4f7U1qr6oPnlnHRpHaSlOjpK2y7zoJNDu3t6zbolm51U1jHX5fqgMCU9xt+igdFuL7+fPAj85 9n8OT3K5OG5Ek5ZT48/QKG1bb6yGtX4hecJ2bNmKaFj0i5bFgolJ6YWcbuxIG/efUik8UCmFrk2y Jk8iisG6+ZhHDs44cAo+lgZxoH3NgTDF7IfUQSkFJz8ksZK8O9U/dyxXgV3Ld35xgy+kffbsXE33 i7DWwsgFc9ylg8Uuk11aLHc/8iDYpcZa8xdfC5gBRgkjPPGCGd9zQ5jufk+8weKlvNOxJGu4K0r8 jCyfSDOHDLlXDzlXWSDvdnvTtE6fNzr8acceWfcA9XM2nMk65aYXky/Ra1DKrtn/sgdFqjQHu+pY PEMEWx2qemy5tCrXFXxz4VxcMRdLEPH2H5s5CQNBlUh+r5EUkg3RwklZQWMNmigN8m1hehBJ16SB 6fjADLILfjUAlkDytym6ce4EEa60n67k7EjNhsVFVB+nbpT9+ntm3oY8TPaMfpqBUdj/3GPg530c f8Y0DrF9PgpxBJujar+k/iG25XWR51wm1j0pDU8KZcjMtg80jCYONRPVCCLYW5A2vgkpDOx81VPF d4g9CPOetvanDCLd8OJeR1FDIhXpimVbiYlsYr84Srd5uSnX0syEYFVT7H2RZUOjcMNe4zawqDJm ZQL2k13s9IoHObJHMbhLE5HCgIyo1ylL5mXSBAh4i6cBuqvkjA9bI6Oo+aFD2HWmAgheBqHhe4HO w/q8ZMoYqq/7eIdV6NC+Vpwzi1kraTqh9m3kGsegnd2He2z9wn35LXvE2zVmAQV2DXZkfc1j2Qxc 89NNta3sbcfl6ZL65jWfO9FlJQgGvpCfVdunio/sBKtAg3Sa7/Fvf62pcpAq6coWO/IkAA4LqEDb dmDVGWGIetx76XizAiTR3hakFC6Khapm9Rhu4locqkMdabG95/0yUFPkQ+m30oxkwoMKmNZ31qyt BYj5mCdX4A38NkqbKc7BWyNSVACwoZzK/xijKrOuxgFU/g19jH7Mv7S440B5dXz8uzK3Zmlusf/p 4V/j21uCNaBuTar+h+47YH0vEZ8SvJxGpmhQHPXN+09502JhDF0y58tcTTaIscdGnBvHDawmLd7Q vycdkgrHG4QCTOGY/d5Ps3JAZ5mNRXMskCpfgJdVUYTuZ/sjtWFRLk0A1FJbn+pjMsaQ2wX/l5BQ 3d6NnddVh3Wij+pduEnzPlNj5tZEn6XX+fI8O945IwYW9ft4J957C2yes8YiR1iuaXHnoLzDriLo x6OhTsKUFfObqvzrPJWRD+atwEW1XukdL9+8NWE/XvxVNYb1UovoPQhKZ/CRLNJYH7QHN447sXix mKatdXwFggQlTlaTJsUxeL0tMw1k69GhZiEjNqPn+F8maKmx+8E5EhUtPelpIt/FhuxJt4tiGKRn dmyE5gnBeFBLImVxUAO4KD5H1M6i5QMkcXeNeU2McroD9vprF4TcedMDnQxhz3T3OQGrx7l6ittJ 4iS1Db9EIHbjCvQ4GUs4Wc4iUk+5DsQl5IG/6npvea1R2A1YHpM28AIj716RoQO+X5WCENpDgLpK DGZXwKglHn8yNJ0JKx1pIkgsxbKHmUhpse72bWPK4m5+exXjibjNpkWBbmLhJklsfB+GkGhuzh0B u+WoV3BoNMo1lJyNPEx+TiXZmnqoJRJ1KgaNsIzGnN3T/A5nKc5xyZEZdlC2ysq4N/INt/N/e/XE qNjg6F6mFjIFak/U4VrPOwjD3fWp8imE+oToIwPetsCzuNmyIgjXXnsxOg0t1IV/TA/dql6QTP7p X5hHeT8x0h1ft6c+oIXqnSVCZpItp53AAXohGR5ViCXVeJQT6B2IjT7G1U8SU2g2fJaPFBgRzSH/ sDWwrIgKNQaMi6ZTcyOSYNheSBGBlQZ3Zg/+FNQBaG5ATOFvv7vcqnkfEHdRVUedrPgnc73jRQ7j Ul3CbgpgvkLquaOFNm4xKvnp1JeqRBq8tMmccDYwRmZWsXjrws2erzCVf6CUfuyWnvaQdtZVnylF AW4gOR5W9i9+0iNo2AnJ0g5E0IiV0wqA+sijp+65VVUXE+OP7Ebg85EeuK5RwogyIrHOzfhcL/l0 UBSiJMRgQBKAzDkbxLgGeaFXwn1VzFWqWGmSyA8yD8an5XkqsN4ccglI31OAln8G1bNpPXIMF/F5 MPr5LcKJVNlPfyrb5q2cys+xY5s61wGkH7R0JgmhkQsKTdf6RBzFl0pKcjgYCLmKjhPOG8OMIxo0 MRUZXXEnW7hlOn7bUBTdKrse8y/UePaFPdtRwJcgp1wV8eLkh23TvJWY8qf0V1TwZ99N2anauQKE ekszTAzzz3SdueJJ1PS1CbULmkqRUGBy0qEeVSUzsevm8CVc8gZQAhaQXCnI7Yqe10t3ReT/ejjF XWcrzwQ1MKaSx6jBHVOa31MfbbcJ71gH5xfbthCgYpNkxkv0DSlYmSwTZQBWTNwqC2bt3H8RwL+S NAFuycV9U6yG3NwRInhEGQelVKbJMRqnvEAdkLivhcQ453VyvaAhC3j5Tx41rcSKQ5vuHuM42ztH 8GdmhH+GkyRkwoOAAY+sd5tUpWCAkmxENWTPfCmqlRMAjDzaVhza1ScwOqSjD11Kk8eBwXS8g4lq lmp068laPE5cR9p1Vu4OGdVPKJRgcxk43qiDHj8O8CYq4+gHfmkYSGRCQoqVurUtK4VLSuOwsaWC hUu418JqIkQF0bV95Z+EmwXxCCGqo+3EufgxjDocvaurkipr8/30fKMm5t3XR6qc4uk84ulkwgeH N3NrNXXx/WCRccb/paV7inSmw+HAO+eR8YqrCdDwQLhDLTTfzrwVPFY4a01uPW4Uzgqrk0NkZGNE Y4KvzwojuTpCoHjyyAPXnUipzJZ56pGGsAuRohZxleYrDwGzgjrzSG98KJsC4dVM0V27epNr8gax SQVwXdLP/bcVPHjifBcbVDRdCgc2JBLoucSVBH9SWQmMc3LIk0b9J0T5f+BbBx2XJbV6SjBQw4No Rbda1sBwXjueXP0jUkSNF4ulEzT17iB1dM9+/QLa28pSI1F86m6cgussaCNu7MekZnkZ8hSCmBAX avgY+2O33xSLu+6Zu0m963qP9Y/RLPT6Nw7eK9WqG4rqLMJ4UPNpgyWSi2YSQ8gLqfymETIWT+vX ZqeI3p+RZCYHayZtISiccI1GcBRpIa0tF6xMQUB5QHZm5Q4HPcwNiwCvtIZ9eMG8fxcGGX93Ta3Z wyiT6PABsgPsaM654X5HaOnYLObeN7TWBkPgEAH5uN9uWdPRr/6GPRSanP2BgF6d8xrQ5uobI8OX +UyGN1R4DnXoeYE/5WncfgGi75/P3WpmkWcxxVXP9/0SDcWAR8Xq3TodesRHgbk4FEWUPV2lCVxo NI/Y9sI0c+8DDP8LX+VFUSYZNye9b+rX7Q0QtE3gBZK6EtqAmrvwv6hNdZUwN4G/Qp4sf1Dh1t3L YHRycpBBBcvfb7xq1ZScPwk0HT6tJcDsUA3djnSZ2kuHJIr2R6tIBwInYHLHBz0MACLx0v/m1xEI pr2muFsVZdvrmwEIHHMwbglSjDOJUPlTrV4MkYOYP6tnLKH6Ja3+cGNUqvQrbTDMc1Rj3oAFajCn 2bwTqJ9XgnJ5WstSOkt2dDJrGhUXig4mN7XloYzC3dZL1XtSmhDoZ0AY3A9vi4/y5uInBtYjuDEb AneYhHDs60Wg8Ntcscz1YKp7GtqiwCqDxmXILvYYecAfeKckdX4yfqFDDTzoR96j1UU3/5ASOMYJ 9tuOmEifcO3Xo7wDnbsIvRs8nN370hC0Eng6Gsp4nv+DdbfVKLpoNec+sO0oDje7lopj0p10LE6t oz+p1xCzl3QMV6CmIViaa3bu/TLIDsPtsH50ouKSyTYME3CGvgWsEvvAfPAM/CnXWsx9QK7lRhlB tcV8qdSTt4S6+cdQ/U/d1vjh6Br1naBo9MO4L1OosMYMtg67IuZgTHdPP/wZ0HuLuJRqj4og+Hzr AzTdWXpxLRMimE36WYeV8LEwVmXRZO/tEC/TcluGpY/OkrBl32pz5TqBNYw7SJYPkbUf5leQ0Pcz 6nINPHQIgH9BMN3zcRM/uZNY0Ssbwg58gKzsrR1UbL3PNS5lyElYoR+s7uh4DffPAdJZLZFQH4Dv PmoS3481BTxZ/VGmcI0HFyTVs7ncvvFKUaK5UgzagKnRlksNCCgjydjPlsGxUIzGH4gdMraf0wh9 FavlZmsOKy2fVQniOjrM45frojUNh6Vusf+0RvDtGkR1zB94pSscCQadJubKBvO9MLwKymdis4W6 pk0mehVI+FijWgSTjMikQcgw60Trv0QBE+cXY/wFdpxyxtivCnI8H7kUBhOV/N4nZRAB4wquGj4S Dkch7YznpygyVXX6/+SbxrPRtXHYPVculkEgYbAhkhMynlNFLoiZc+XOFR5Ljzr2FzYg1iygXOcb PZIGHHLF9Nu2xUVLego2KSN4v+N8yk4qUZiyjR8MvM0lkElLdUuv0IlHs0xVYHxgxWQCDmi4MZy/ SFb3c/zfFhg75eenOMIdYDLdo+jJTAn8EWJGTBxFDLwKAyxrloiYak5G0gAYmZUkx2ZkLT1aP0RO okBq53N3dSkvO6yNKhel5Ky4imxHAblvc1V/+ReKtZ1aqsZzwJULUFAdYE0UYDtItJ6JD6C0xEhz 4Rxk4mlGDtSRbtrhE1xqy9fx9YEABTkZStxEegrjW89SWS4miRMiKHTYD2vJwR9BH8fgT5s3cPiJ JX5i7lBZ4bGFGurmggTSi7Gyq4Sxq26yJ5OVRPDXLyR72ionxi+4+w5B2l0xvnHukJUgs/wXomQI t14HrITID2DPqn75MEICsK0qLfFaMfdlQOcelq/1vs8Qzkw2KZdlxSAlOqmw4g7Z5reKqO1LNK53 XxLj5D+dnNIXWQk0XaZpyiJ0CFXUlgz25/hyEGM6XTPWGTw6p6NCaOHza8MjkJk0jCeZaO+BfJ7a NwMjzPbqkGVdpE17onYDC4uGNrkWOjDcacLpzhdzalkjvFRJ4eS16AOgyHya/uaEi4E2kOIJ8LUE XHn+110DT0+4/ewkRjiFg7fz80UnCW6Yi8QI9UhgEaVC4FdC1oMYDpVHKRKdAr4RPPCv0jufYBqf aCzqR5f0hAIvUwM2q1pJlH1wP9cw66ElgTDKv428NkmDfcbfKVINXI1aJ0RK0vYfOFQY7tE5lP1t D0zm8uWMS67/1aGhkp6MTwkH62fyHpbPT++AoN0yPnWlTG+DPUwqm09VSN68KNwRIVeEIr8mvBt2 1dePT82RhP/O9QYWHb4t86EK2/iH2sljouhUPjfqVZukbiJkX5xSEvgnPu2ktlTXykovc4KLjXSz OsncpBkXM/OjDNsGMY0VBPeNxeHizs+lDMXcoRvTKVBCTAiJpAloNtBDe4P0mF/4MmXQZQhR1+4N QaJEZdA8uZYuG/AruC07zVzOl7E5Hz/q1g0ltMgMvOxc1WD2ruUoaKtAVjvOvcU6TOuVVb441Z+C 2GJ6/LbuDr6d6LvOoi884+yzxMB05NUxodPqYPe//jCr7q4RmE7Qd2z6s48kddFCYChfd6w3+G64 3c1/mFCrKEZvEPmD8TY8KQTrWWOzfM9nvhLxNv73P8OZhcm66r1TSKGAlogS1QroLPLls4HGc/IL 0cnkKvw87a7spanbCIbJVTmPL8g8lw4PRklVpAgCbqnDD9pb+AIIayca4Pu1YQ5+QQkB5ps1pn+J e65wruS/6nv96Z81iyKCNk+w/lEdxDsQMpNls2eFOg7ofRHTmKn0T0QRDmKpinSMJ/QsF54510YV UeHVukij/ETccW4G8Q3SZH01Ui6HOQ7CaKRkskeO4a0MQdAZ1kjuuySbpWgTHhl6Nre+aVto0ujA KsQDEibUmtLa4TRkCcDV8CI/81PliiWcLka+oWn0RV4CD23bL4qsxS3h/zW8KWPgivUZc3/CMdzq GlamY6gmNCXE9SgY5NcRZKf4z3knddNkWH6w9eKUi5MDPRAn1Yj6q7nuihnADiUoQVuCDYPLW0rw nvdiDT1uM6vtOTlIaYBDI2G4GtLXBg1fwVKiCBX0VRshxdxU+fgrxjpyXojcYEiPDWjiUTFwmJBB MGu+ySx8zltGZWRGuC4b1NbY8adfFsCK7oOnLD44FR+2f9B+tbv5ceQOMJFU8xM/Q0XwQYzw3smD jiU0OmrV2uRTVQCdgBO7RnZjxQ7KCRqMvf30dxe9Zi6t0wZB20HHDxkOT46Zw3XkrJyCZIuoQdLo aB5DpDFY6Ojs3bEvQBwmw9+8dAWAlMAYa7qJTYG29cLFk02FlKMXJroqj3hJUaoAGhBG7jIFUrp1 gRM502HYBiuLWIk7lOEwvaly290Yv3R9xbMBIgM6ibxBPPysc/i1sxlIitnC6EHb6sQww8MvWnj0 5IYgXsNs84JxMRDXe5xLfXtuMTag34MXkjxJtFlIwSSaA97B1tl+hJMsEhzcd5zMFY4AdXU3LQa2 fMtM9CBD4YmTH6KxaDhrJosKVu8n1AyP3Prun/3yi+wq36AzEMzsrKG9K6xM+h5zWdXDLtQn7uhS dgx27jPJFoLCYGHcFljzHYuBwJ3sn5BFu6mrp7iYDW2fHlo7uWBZByGeR+tHocVdK0Z1/W6BsFhL ngr74aNwQAMobe/FEacbFtt7Ax4WFkhuP9dF/bVKMp2QeTBqLDTivcFlPChYA9ycPCN8QnUrC5rO SjElmifnKhHfgaF2ve65Wph6y+UycQQ2Guy6VrG/9uobO782s0ZmmynFSF0YJWgIIfEaNUNwh5sV krJc+VenPntuPJixNw03n/RELsMUB+ZRmwZT3dGSZB9FLZlqMxa3u/6KZTT6Y826EL7FaTv4L2D6 SAsba5O40lfnwZKMExnP+5O/0OZ8p0pKduqksmD02XKHXIr/pTCmoS4XiHkRLL7AO3giSfr8Y//j UaVJw4EaSh/71KwvsJRj2VxT+4+VGKtA0aJuoDk2jdUAEAqEuaNMvDISySOShHX+XlXv5K1nP1yD DXQRPkojatXHYXS/Ur0qHJd7zTEMjkPbx5/8J+bIZqUDXb+XlCIOLP0rylH9vzUIxX4Lh2qkkUHF Xq32HntKwUo3GNnEcxQlD0EnBLF+Vy0lrdQC1O6Qlf0IVsaU5RK1CPaoc5aMlAeSPqWdE+mvUv7+ NwNWZL87AzE/J8414XksAMCwnqD/LueljVdCo7iSldspWpzaS6smrfLWoJGtaGkzE2oMP6UpwXuK QXsTFQh3JAVTtwbNSitmILhXlCimTnBlARn8nFiwO9MolcGpWf9YBY89mspY7KOU1AgdqvG2jVGL IzzVLWoxVaKVDwTITEPWe487sxluluBggfJ+lDmvcYmfABSwnfvNSSToRlkE8NbAXzX2Xaf/7QtC kIbiSWYhwf+vMqo0QXsLkjZqt+lAACd5nCmt0H899XqoK5uq5hNvcueomiHQ4LV+HwYN7NZcssNB TGHcLqfka+LG7pboVxPFTOm+BxjOQtc2+Mp8iR7BtEH+xBZUNxrOYXoMTJAsXMMgYZvfRwH6jrdm pA2rABgyOIk/VMs3YB0ozBFs/AuRy8yJq0G7Yyr7f+jpwBcGC+FLWBLd84ebaxQta9+9PYhwHqUW 9E/TK1GeEb3xZRPS75axcVlnQmeNy8GZBsY/9nWZ2uIrcWiiVqnuHRWp9r/BLubunmfHF74aDFru Zjri57V+5jzpZf8g+gkfHyhq06xHfJACw6C96ZSM3VGJi7XTS8dRVNGW9SV55f+fHCP7fYw20WAC HqYy9bikSIA6G+MQhOFf4qeXF020MUquqVoUd+wD7Dh9AJAgzx4Ye3czGLQU1PSC24PoXYc45BV9 JEiwymAL5dDlAZkg4iZaMHImDIxkhGxghxEeQNrENJz4D05Njnkw6ekYYDtt4qR+mFu0DUmIRVca /HY9WETDhU2y4+1IwusW3KQmh6TcoYu8W4iXp0IyAJw/BnO5UFYvuF0fbFyM7BH7+qxi6Gfrso6/ nKyq+VPWCdV9PMGVmULTcRSI2RNdr9z+LZHHUIUotHUH8K/FH+qjFsxiQNCT1kUcez8vRPT7COk3 xB5s2qZgKfUP29vGHzGz4qlN8vn1pcc0tfCe1uzJpb4xE5EGbwHAO0SRTGYlbzSMW7Jz0U7exOiP 6s9vbV8+dlCCmOlC8PZXdvWWwX0X7sf6ExG20RDwpFFDqM2wCOupVtWPgdXkZzdohBkXzBuO0p+C 7pC2z8En91LKEdYLLMlPlBY9ZxiXb7AeFTWk3bW/4HDodCILBhrVqMOd621+mC6riJb7SedQn0LP SxEEQxCYyOG+z56hsYnA3Ll1WHmMSU4NqG8Cy38j+pUKwUHkddUrkHcevSlVeh24iIwkH0Y/ArY9 AEPLqWbxmxltCYozzKgmWG3cLDpjpSsNbQjuDZnhKZlV83tnwzOV9QQJgdLmzQAeNkUKJjKDbPgd +8gSsFDtzgddLjIWL2RgdpIiYBNa9UnMmCdr/Y9jdiCa2qdhPvpNzVxAarcATe1I2ADbNRYLjxuT vE52NlfQZJq0TtcRHfL8Efju+5MlI1IgKvrm3CaKyKUwEgppIsOapoqf8Y8QQDTbyRerZsFKLH4F Bhi1eOkepz6UAkrg/h9e3beOXm87rG3d9A4J6/l7pIGHbLYRsLQNOKJQDIhR7+mEdmlEzkLE+gy/ 6MGPm1bnaM5Wm9uskBqZUbvFVKUjAg7WQ3uMLz/ESZQHaj8SMYabjuLl/AzRkjDs8oJbJD5M4G/l uD1ugm1ByP/nU66LwFUQ3HKykmSM2VIpmi4S06vWgpHk/JFTeOvJpdrCttmOVytutvSQxysbu2Cy +sMl4tThPHnZ+8IvGfczRPKH3TdP07oGzHTKIzokFhitBmEz7CjDA2FbRJld4RLiFkKzcIgw/xpb loj9qGshpS7QKXtg5MfFmj/r3th2AmwE1u/Z0LEjZWPg901RDJbEQmM1g72oOzlOYGZP/9HXfIax 0/g3GLE28C5P2CboUaCBvivWTQpMgvj5fgLaiMpmiLVmic4ak4XE0x5v2nn2wmBtxIHMH/4xMvcS kLArpmEg5NBkkyx7iV2nqPG+A69t4Bj9oH3GFKftELDiXe7wO56t2Fy7Ze1sXeLlnhtjjk0vP2rC xEsqWvmipTHAJS8YiE3O0Ec69bR+Cw2Z80Smc6YGTi4URXBbbPL3ngS0FAivMEdN6VMURcgO+OVr FNuKM/TtY+bBDQo/bFkWf4EjP86HPm9yGxNWrKf2BaMC0/pKGPOv2yxn0W3TftwDZzI5RljKt9hu C36iWhiyTuVq98u3VuXi63KweRoEA/V95Nb1J6zeBApQz2se3Ca2brjmDeKzbIJS+Q/Xi87uWgR7 BgLfY22AS9elpYiGRKpN+3Ngy6HNheqxDGsmRvIexcdwNOvq/v5xqLn5jPBwZiJzw85+dbpi1kCr NNrhr874W0ycUBi2ejDPfB8gjmlEvX0CRH49i7X+Nm8S0hhyfIbYyXJms9rDB/7uFty61mNZofvw H4wPed/NiIc2NIBzDNeBNCg0wqApepBM6Onny+Jm0XXszqgjVbUgH/zDwxA1sB8VVPbvgjsq51Il 2mQcfhxhowersK5BTlRRI5PimKQA/ByHh1tQ8r/4vyt7pRc4rbQAtV7C0K0RsmlYKxrnOpNHI0lP S9I+iAfEOMK3D3Ss+5bMUyxoRllRqVs1xqS1JMVzvLUVTG6JIBl6Lauw2JxK8DBDmqbnll70hED1 2h0PaRbiP5+YzJQ26E8Tswn+Aldx96mEi6PeCyPiUqtRfNdh/6jqOsYuiGEvy9JTaUwg4Rt/tCjD GELeEKu5lzALk+AFtTH5t8ieQsIM95+3CNeAF1i3d0o8y4yFlrNNstOzdcVTddBkMqLsdUo34FMz NSLJTUM5zUpMBlMpAe8UUFAcE7NfzbJnTkX7yn8+nf69IHdaYiyC7scoDsX80XL+kMloYG5TSPe/ 9V6XHqEP7WYoGDtJtsZPOMDWauQUa9vXf6QqG/PBr38lBamGMSBo+weNpKsfzE+H2syMHxWPB3de WSL/2X4GpSuR8nW+yJkomE+wfSW6RYsEd+kpBy0swdsLzH3EQoxoMeS0vbqYRMHXUb0tY4hzzODn jGVjNZbf/la9MIEVxE6zLwpCOurFt5LazeQDr8b394DZ7mJelkrtELwDFb5QnRauFB++Kf1GTms1 pCx9/cG+2oLHyrSS1Zv75kbhxsRNW7YTh0usJthZsfzQSveFJvwDoICmKsT6miJTm1OinRwhutwx Ahk8ScjMSUn6TLojb7pHlD/D1G6H0Yh3oz04EAF6hPvEhp/n/UZKdVmoT47jHyQM5KUHwI24e5za xMszs2AneWyO//kQbHPLJNOL+cNaWmjbjMrHH10/cUKB2OUrysXA5NZ57u1mXVqCasxQr+jZM8Sk h1Lp91oyIHPW/eNXeqFLS4Xc1a0sylxhXpM17QH52nV43neMcNra+FKgQpTpyWx0vlwWcnFFMq+l zmR+LtFeUjoQyaOw8962cZ+5BcvWlfO9Tod0Oxc9iYAZzFOaQDcSLZ2gxP8ldAMsOPeNFRCURX4G JD+qRgaFldAVl5UTJ0NZYY98uo52Q1CQ6y81I9emt2A/fudW9V7nBB2tY5HpMD3q+ZU9P66TCXLq x6nctVgmvT1qAg8p+5Ulm2Grgwtfh4aE/L5ovS2zvVw4ExqAKPEkIT97yXUNW1yEvFM5mbQScqGD 61lSwroSJUQOzMv3tVnW+fiNTuZe5WOGsy7uIE5Ww83gg8gqRF81PfutiRToeAFbBNQDi1KTGWel XrHgAtne5B0uM6axtmQCrUzU941DEF6n4BtR7fWfl03sgGVb/DynXw3ygtt+v3YOyGIdqoqwmBjH 9XWXvi1vqonzxcN4rsQv+MnA2oPPMAUBMSpItm61AF48kXjGtH77bto/W7zuqmY8i1WHNohXHxu9 P7f2/6VQBatGjIlSfjjNIqk38iv5VWkK04PO4Ooo/0HxUDYxBUAeIoiksu+t5rXOiDef0Dwe5j05 BbdvE0luD+fPvCOqt7d/joxxN0+qOUphG9GJuEfCo0UV3KnBfyJfun6nH+onFI0nN6kQu3T0ipHe D+Ef4QayAUmdvL0I8D2tQ3pdyhvNMNl/PqCZWbe/5Fivqibc+jScVv9y5BeOztguCXb7dtyhaYxp Unmtzt/nr5HJOTqXCHnbBPHaSvqtckzKDTgJmQnsan20J6Zjr9fJhiqx3eXRDiioRpT8t3VREP3e oc678lYBkJ0mAX4QfUQYh72pVCmlrqmZ4K/csLNDUY1meRWk7As2l6Ty2aMhxXDNgrPVYEM6jHbc Ttu+zz/GAAsCnBYD1bfu0TSTnLd9AO81Owa3rRLDGVzvIRmyoSvwTkHAmuUUreLZQTRWQgROUbDS GbSssm+nc9ELu4UkcJbgOuL3GCZm/EWeVzrmTo5ek58gK/M6PrnlsUzTqheoYUdBK6XQdeXkvM5F ftXZXnq9vW/MDo4Jn3XzPlvfyjnKIhWOx34r1xSTr43kaLTfNmLfGG9FZIVlOwNPF8Wvxcjep+Bg 0Fk5ubqPtVR2o0QyoUBAx4EfLPjwUkNeRlTuRpY0NsoAh4Vv/Zu1vQP+iYgMncOY80bNRkaQ9rZk eco+V1s3JOscQbgs9VA6OOJFqJIpk7LwvQ2IWCnyBFXjMLpjuH/Un+zJ7X/tU/4/nsoUklN+fpTd dmyOgJGAp1EwkTDzgGww73bPpkPdKkxZckJlY07pDToEPTbG9+0EiJzV+1HEyoRI3OUiAIKlBo5V WYN+RmnPtMK0ZSNy5r2O4ABh5/22LM9z4qZT9fgUKmJSoqec+zEjeacbVUeGWYUTyGYb6jBvfxke wDvueqIrn1lEX0s9+I79JodK1DJ4piJvR+zyNvesaM+7ziyqZiAxUJudNnwDh2OxaCXCssPsJNzt RPg/wOLGNweMiLYqwkZ6Pnc+Itj0Jr2iN3ko0myLLYPue+0Gj0k0h2Kp6Gos88SArKW9ZvdSWO4d TT9SvAYTTup9ct+Zv75QX8B7D2SkmhZPqhQHglFumWB6Frx3dyUbDaASMJG3+UROKJKAku1GZW+u FTMpZVCSO6DyKDWxiu8ThwkQ1CmwmevpkzOw5HxywaTlzGZcry4JUwadQVGTfZOZn03dMFl9af/q Qb4hR69sV0N79WVZide3s/+AYGNr6MbYrbNR5zJvW/zdmF2j8fRXVW/JQn74YwoNy0n9kwdw0Pyn dwiWSmJIPirOB2UuwT7QPO+0hLdsL1DeARVCeQ/oJXvCnEGHD6rn23g+EFVP9YQWLSFLIcf7jhhq VtWG4CkAZxIJldNi+yYvDC2s6S4Pwd3Fidv/uv5HrPqO334uXc0jSucjEwDETGFyalffrMN9/VJB gKdnbNdeMB9J1prasth6gnTwsDEOg0dTvd4D8XW8wpxwFEpmPV0brgXmCsvdWzdZOkMPBXtIGO2G ESh2fpxDMlqFUIkKpWc4an+TnjzIrfQQWAu/K8EGznijQx1fQsk666PgP4ooE7HGc2XHMGzlvSV2 dxMcrrYC+arwaW8tDwfgY44djL4CsArmbcwJMU9YHS5PlxJa+Z6j+RsnzowPZrsiDOs6SnYZ4IXO 0owq+gY9k7QEzFOXiJbWjzKouWa5a1eBo9p536Qj7m5tTimG/WOruXD6T+elswOzWer/6IavAud4 cdKfsdqFRK7DDreC+OfPBrn5r0SaJoEJxJ8wpF1cETkoMzSN+gnS0pJqnoZW9RB5r9AXxkV6q4H/ D3JN81UrNp8UiiGYTDT+8AiRARPscWp8G+epK4LhggW1+/Gd/XAokLGb2C8YkTpMW3/WIl3Xn9uP ga6ilqdMvAmU8HKS4LTCfjNCqwl9dfJHjr26BU5lJEriIwaP1mv7xd+fDJKWi0a1xSs9MFs1J4ua Tmbz/Ag8X89qrIH/4LkZwnFqN0taLDGwdWnb6EeivtX/2v3jeMFvvQPmidWXhwt38ZnIqcYK0kYq 2P2jdbXuXq4kvmCyO/I2G2LlH1qYtgpj/UYWBmr8gkTx8EA37sAmPAJuPNjDuL0FJkIv6/UTMmoO S29ht95Jg+/f97zMEcGzFYgoGsC0loECjXKuj303NYnmTOBx4S5PKB3sUKAfZ7zFccwJy8LFZd4J evnHW5eIGa7IBjX+k6Qny7HfpG/Rw/YP95hImbNEWkPdoHtlxh/Q1DJZ6zPZr5YSe2WwOY7XTHyp F8Tr2AuPPEJZ4efh5Gi4wnNIdvLH3Dt7XqcUNXrgl22vPBndJK8S6GC1fV/QcG3Vq12tg68OxCQ7 1UkfCQqoFMoX8SUmAVSveOacAzoj6YaTa5uAMHBMwhCjq+o/V19MySAlA0mJZBuCFJULbvKnscPS VXiRfp39B/wLBSl/eHzlJkCEVb04zNnb9HSJFEerAWTbYtqLtAX03ahW8AlWXc8dNRx/WsqUCC6D LLQBwaNcrQyaRU7mtJADMK4Q5WA46ooa1rjjp8pfrzkSvWUxT4IjpBbpuBSJafacgWm64UTgXU3o ylR1D/yOmVj9LgbYfjk24IIoTLG8RBKGezj3u3DRzUD6wPPFcSDe/qYzruI8AzF4QG1squglJGrm 79+h3Le9lnjU43UJBiVSginv34FCL39lv1b6XN9412VmKL1+VuwJWEYzwBpGqnonFIC+J8QyBDnn 07ekRymesei2G1t/Y8t01i3SXd0lybIKyVFxL6bITeeLYUIGQV2Z1z233he3QjPPyZ58AcjjsNaj fh1XXltKffG1ZMf/CMosoGMLf8ADMFbBQ2v8p3Zs1gOCph4z/B4RwJDLBaKs0METjJzEFYIBy2mU ZK4benLZsQeRWXcAkDEMT9xLLUFW3V7VVqXHp2OORmFA88UFe9vvCXLGm1bkFdHH+7WbyJYRY09y RXsNG76PHY7NXWPc2OLJ0VWD9BceM4vsAL8WpqAEDDOmcKBxq2NpgW/edOXvyl1HDdkf/W9S8bCJ rDJA/TZ7ybH0ipP6gjQEX8xhzOR8ZE5QpJZUzHfRue8gW1KbCr3f1uqMkJX3owGSgW4MGzrBEJtd 5EoMs048r/gRL8Mg/Ar1OfJDczsp8Hhbktg6Kh17foaribh6h5iKioMVJQJuDOmkV5hp2cqqzezD FhpBMY8Rw+g3+A1xtvsFPjuD2J9vl79OhQtrsW/BL9p0tEZNA4YXxo4Ffsx3EjYdBKvq6SUPDNfd cwmc0KQfeHLJxrlFz/oEMap+oum3EA40kIW7reEvYwnVkh8qa2Y9Z+rcLoO/G5XLo+HAwNeVkrh3 DZiJ7FcFX9fO7Vx5ktFbuVltmRfgqB9WVMEUeiZAGGZcBNcSitBOXnB46o12Fu/Axg0kyWp5VFlt A5jbD2RI5cYk0oyHKwmRWsjRCYOq9UPrhfj1IvYSArTbPXS21psh3htwTjOPHrc0QZoKiygru52/ htyNJXwGZHudLeCSnEyCBVNBwekRavuhSR0V6ZkdGkbNoMFgcey7dj+v5FV75Nog3nuMJs4eQKYR p89Zmj/qGGY4V4Hf8j9XDYpFpiHk+6hyrqbuGT9HaMP97rol/xKbJXEGY5FWevqQJXrnAhLFs/Qb ZRLfdp4M172TJqDCnrsCM2ZlMKuKWJSiqqIUj8hrq5WWFqg+XFlxxf48HhHkJk38TcEW1fTJ1bTn CS3GmwIybgi+7VRezgELJu0DAJIxZbm7nQp6ec7FErwJ7QUkUFRpzcEdGcB8k0Of+Wx7styBT0d3 PyWDIq9rHK8m0iZtvFrQT/003d8iQSj2WNodzjy2Jt9Z4x2TzNY71I6V182qtyfEX6qqFjvJYAPE f57XtlqcFAlSwu/6JhkqVTJ37KQTrnq0+4WGa3mgTp6UIz5J0sxFjAZio6a9Zr7yKzXyw4eo0Kso Y+zykMiee4xNkhnzVHDGPVg7sEmV6dscubA3PTePtm98+OFpeJin4s21DAeUg5KTXHkBKYqofGqW Pzc3Ke31NR0FHCS5HTT4rNOdPhnmbwwiOulmNn4Ik6HhdWcfcpBeofHGMMeEgx1GFayWw58dPq63 8oxKAdJszSONzNUhykdpoaYEyQu/dmrV+Lp9JoyKW/br/md0ErrgcoS8YK8fDEyD8fMu+tfTu+R1 jLZ4N1eVGquDlLJ1rlnKaJZ7Tkpdew+fsmlKX2kru0xM+1WRBGbuSwuc75e2YCB2CsE9ZnIDrqxT 7u4yB81/tTQdJ5VE6w2+OBVxid7EXb5JIlNUymW8wDoVLR88Yf479DxdYDXHI8mGqYChufnjDpH6 144gS0BuljQV7HowX1WcLcV8uefkLCxWSYYAqgc+gD++ePS7Oj8BIba75BBHutjtmIN06Qnhclp1 OFVBWCW6OKWgqt8A+PLINX4O1gI/KGB9Ocs+436DxzkuF8kCRLfMm2nVU84xaCRM1gYcNGpe+fG3 g/VtzesZJQH1T+o+NBK0B7xkfsJGWEDCZgSpyEHPrFKkH8KClRsrpd0xcq7oRypxFWsslm2bIhGM yGCRg3sXgHU9eNxlqGEOUdfYo164TfBP3JwfUtrv7W8Miioj1FAAsLswrvc3iGeFcGuQ55DMvdvT mrlS1nI84EOlgOsWfgE6UTQccTJtiX7zwVwypi0zfL7YLpNLhtj6W9ItdK1IussaHwh9uRwaUEOQ NYMUN4C4pIVDRH9hKadshKMhP4MVS/2W6VSZj2/rjGlCNzQg3Wy8qaVOmVf6yt2ULvm85d35eziZ yHZ5tWCnmnXZFcuRK0qXNkQ7to0bAV5J5BZc+aRZSbfMKAsKWPvmEhuvi6Zan15PbRza0cb9CeeA z8+Q7rFqXyD/akv5yKRrvlETMMTWxsEcp6l99MdyngxJYzOh+chiaIbBGllkL5hofJ1esHOvLzHX Tta206oTYiKoIfvNdcOejfoiBdFqBZFWpDCWbNGI9CTwP2yH8Z/wyti9YJXLKznB3BSYXAC7byRi qqyFqQqmttPQeQBU8rgptfwlrMf2rdHmj+sWvnJtkGnV6KKXJC2jeK8DFqkZTe2WzN8ljf2VWLPj IkWygBYWNK/7ZGFNp/E+q+ThzXZ7I2WHlhNe3JOWlLLwvoF+dx4msFQrWimxWWYidOifxrvtPzjg Mx4sbHL5ge5DNAg0fGKu1tYMKFOdZEQBCAIrzbHfKx4tB+d7w5HjFWzIvNH8j36b3T7pOCaDZ66R 9nYo2E3gkdTUxIX4/y8yGKAPdLkLiFkVp8NBD79Zhfj2l6OURFXpKWyf6IQVDBwL16+DJJgBAoDq kBKLFXuUEUkwBAV73AUiYHqKqZ+XMWZcms7MLQAj9wNtkD4yDFYyR692KxxT8W7eGqPV00aAu3A1 //zbhIPDc4rMasiYpV8KHCzaX5WqFh3PeBfBCjUk/wNk5aDN9kEigkL3/6448VbdZV65YPeWzoUw MloI2mXdIrHoQ5C8wX5gfj0wBoya3gTmk9IBtFSw2BX+oc+C7zAJMEARo8I1NtXnB5eJD80iFTqv ntCNARm6MOySdq0Ko/+xIqPU2jI6bL5UUckEparu08b0HbWTmgaYg2CKD5QJSgp8/uCvwufuwGze +R+DOz1QLIC8KrGMkrKDQmf3Avm7WbQ+/ocFGckULN2zWssInFgGLkny8xqoreMnGVmkdTW01IKD xtGMLJQCJkjI17mccCRY5LSFFJ1waoQ1z6sb0l4E0uuLV2MSzWRAauOpmHc/dTx/yOD4BgPQiEuc Nt17R67T4nD/yMS0VRLFoHTEG65svHGUQ7Db/vQi+dYsWjBLtFz5VoZmrDz175rpspBhlkoSHR5O Ln51mq2TNTelcAizyLmzEh0RQq4s/tdCpxbKM44IxRPQr9c9VLtLBJMQPkDkejiFmubRfcdWUjly +E1LoenSPCY+PfkIxWbm3q3rUs4eqtETLNKwI6TmoSmBu7jpxUeyzLqfOtmgXhYMuzjpECuMOv2n 3t2GAI9t4E+aEbsFhDWe+I5IQkJ5qrZpxs8BOK10Y2mxqgNC7/j/PqoisdjSBR3xoYa1+j8veRSl yMsZWy1HChTCyoBkhvbcHHc14muHkasMaaAaQ8+FVHPEfDfZzLlU58OndKWEeyYA2nhfa7Y0AS2I /z7uQYpamjRdYffJmMI4rOcMofOz9LpqKdRPjbB0Ria2PxIOIISelrY/URgIOuQMSD6ndEvv2mT5 WR9F8seQhyW70RIM3cuRnaKAqYWuOzrJTxhi4uVGe1vn2t/KUfxfGBYGB9QgrGK6rNU4FeIhd0eJ EhIDmyiPDbbuUNMNxVLOIfz3eAJKZUqeeyq7DDxZhlfqnE+/knTDyyr75x812G6/2I73564zWVsC Da8/IljELBhQ5R3C6DkEJRSaAHdkwdD73XtHOu5Aghls0Z2ux0QkH1cAxzp6cdnzr9lCdsd3faYM 8Mt52T6ZgTprfBvLieI6RHjEWt0YPMR3LpwkrvbDovufULdr9Wt2Vz29BbPog/LQ3O36eQPqzBpI raw7COTJ/MZPMrqTSL9gyECnrnIag83tEZNxqCOwhVKoOjfdBTNY1wLFELjzqjoNR4VgrXERfg4I tUlQw+WTz/Lwar1GIH5Qzdaul42LjtM/0DVRrhagP/lzrXEg9W6yZzi0eN9ipxh9scl5VOzRP0kG x+hcyyDQXHPOsaTu79At/uzR0IwL/2apQWHzTzMJdxZsPv6tSCCXkTTqLWtaxPZtqpSe6XpWVEvH r6vN6JAxA2Po+XpN9gSTPS7areoAGXRtODF2wWUrP583qlWv3s+Xl/YJC/9qwQPx0aWvVacNXVsw T+qzDo2IWufQZ6u01854fFInBFddBxsFkwdl4nOv5MlGpDM+PuszQMUQs9bFIGItucJh9sGFUtQb uRcFHs5vIanueCW0KizhpBYZ5xZKR9DtZK2pvRl0L4z+5JxnKktSZf/PQcztCyMJEl/GYCmX/JFW epzMNclaLrTh57zh5LKBOmBvltdONp9kQ/ALhMHF5yqF/gAPDpXu1AQ8G1+uuVnQnoUI5YUuG+t5 TI0lQE/uQ3c6NQRlxXs5/B1tgBOY3HADMk8EG4pEXhso6d2aOcgVCZ0lBjDDPuGJq1U6jCD1PWDi 33u2k11lmx9gyEiNXDsTWWD/TV+SGB618o7/aNoeyM2UnJfEXcJTsLv/Uigl/dE5v4DwB7ewFBjw YHVFvhMu1umqAkGteiAM8XK9ewVU7g1fKWck5br9WDQlzGP+2ZadAAyW5n+UxodSEZdb+z74sK3p 0iicWWCh30JbSeyjQK1XN4M8TMs4Y8Gc2gKoqBvBegsZHd0YhZuzuPovtqrpvun1LTgg3XCYn9/f pkjCPLkH/CSPLPE8/B7fwjqFdzQokA7kIko6e0o8j0ZurTC1xKlddJFswybLq7lz98Ko/6DcYR2/ KEgh1F8+WSLnaFiUWGpYWq5iE/B30FBwHfCaf5E1hHt+ntTL9kItyWTZn2sT68IDGJDs+tuKBrG1 741J2pnDLuTqtpXuirRw4VFAQrXMqwKY1js6YqMxzFu5CTy/dWXrOArAo4TnvwOwDh1y4X84eu3i tvHgs6cAgu83O+K709MX9hYc9kWtbODDa/tBjOjWSYsHhNYFZoZ0PGwZjFArnuZkcCbVh84MHAvM 7LN8zMjZ8drZxOVzkp9ZlJDH/T8PVFRZnZHCVe/p7mvzMee5ZhAxWgv7smnA2KQUKnkzKyZWIJzM 5uxmD/UUuOPsUj6HBdl/f9WiUR7Sqf6Bt6jOlAUPScrkn2mBwajKUidSPNGF16vXzCAflUCyLBxx sxzY+8zBA41VQJ2sHVfD3TaLULuUo29MHdXc86hhsarBxZQINa1MppUSkbC/LEyLkkc4lrlAN3Wh Ah2kZY85k2a3Rf6Tc1f4u8CtPaJmiqM/PYXDw/jmkwMjAlsTGFKsLrMUz6k6Bgfr34+1jAfMaiRD 0VqdzvTrXzROF4byHXC2ibtSIKaYRvcriUVWjxeBxnmiFrc5wy0rNu0BaiU5PflPKb8OHLuA6Jnu OvCLI7y1e2vhlnx/5K2KL1bDaColqnpfgZf1vG63SrM62pk9FwGizbjy0IzAz6n1euzxd4qSmRO4 3XWLo1mx6yEWr3zy1zjnctgA4UA0gWvZGNh4AoSR3l3t5QGVFZ05AS7KixfLuuTKz6a9TG33NVrC /ZTVPnufOrWFB+GGr/ZqLUjok+pEuu0rH9Varb+R5MosjW3qsQG8Dw9Pd6Ndqyo3a7nNCfSM6iyr OqM7sE+h7aCnNq1xDF8CLnyy4L2v4sIlkXxmva0zGDrxLPtDiGx6+i9w4QeGkSVg8MoReQenMCHi qqty6DRqaHID0glzPBG22nqRVYcFByXh3IhLYCPUSYKWr+RsAiFCugFvi/mTvsnNulNYnWXNy/Jx Wjgat/0mAPZwKh5/08uJ+WcJuh+KYLTkE2iLqcnpx87vRzrt25vpW9OI+cuE1NtdKgSFArmuP+Qp EcxBxmm8K5Vcv+aAqQ6OEA1CILYyiNHVSbzpVkTeEnbqD94hxrg4z1ULamIejHRg0AUQLfR9Qag3 nvnuzLthSYvdVZmB+MMsM5x4XgwRtUd38ivg/QfylzfemXxNIxNhU/1Dz19uR9WPSRE16useSXjY 6dq0GcE4eLmZUjOPuZnwhbPoyCzyq5sC5Icgkn179z06wqUrHARYO8jJEKn2MV3rEXPiIk7LtURx XuebuZ7QVOeaSRz3WDzG67/J1yiacRM4HhE4ahUGR8C6IGALOOa2j8Ex7oqc5DVq3vIpTW2q5D4J wfZ5hJzNjrvvIBWJy1mY2Z95O48SV7t1GHKr9+tt70pKkwr/Ubxrvfxy6ELFQDKDVFwVvAALbJO6 3I1JTMbc528SZrAkCKqANJO5Z020BW4cR+UcBpGjsJHnEyl/M46kSzBI1wVwMFyQXwVWC2hDHjHf Ez7XMhUr9k2NXGWklG9vvifVogTZL5h4yQ2NDOWpuiMv82r9HuNQ6ksg9NeRD74/F/CkC4dZDH+P fVdFltZGCggaF5rNBqeZ1g3a80JS6Mton55HNEJFEMeAcm4nCW5NlltuiFs9BItPeS8YU0weuwFS BYgSYwDoricSE0nlKwoKvFbD7mo3MTkmhuwfYuE7azlEFkkdfGsDGZs/tuV0UFPGpmu13vfNeSDo C4KnaO3zsMSQ0zSrr0x8L2ernFUTITnh0CmYSXcFS07uqNqt8LOkyo2yKEiNFiyatWypvDq5FsfS z7trenD4c1DYyT8Z5/1ggKaob1Ni6iBvbrazcrvPceWEO3xEIMql+tSLvVF2RFU4DJ+t+pd5EBFw rrnrQrgOYXtCwPRA6T8N7igyedskm0yCZPIT657tbUiwO9/IS69og1gWsRw7yYrBG5RnJqVxnBIC WbH5UOhhj+7bEcgSjOuCwtgwS19IpWpHz7I61qRKyH77Bwq9f99EQjRujbPo1kV59Y1mvnapYTn9 fuRctR8EAb88tWW1HWgmv4Q9GcLDZGE7NeRZ6ZIaG7OGwxFo7WF7fTPezKYPCrglLdoI1qqfNk/r Tr1MGoQkS8MjEOhsL875Oi4aQojnG1HyfgUz9LS5Q+iyItdHV5gQrVZqCI4e9/ABZQ+2hV85Q4DH wRxwGyYa+QmBbLoxKmN/DZ4NIJXxR/UumkIHctrYEmqQvAf08R0Kbanh/N9fw6c1fc81EFw6d9Mv dpZrAcYV9bwlUIEfQeyJNBFxcg2DCe55ZHXs92fQxlOm7dWs0+phwsN0euOITbnfp0gupZv92/l9 jvrxVVXxMN1cgsDGrxUjvavrw4MuawTDap7kUatZjP50151XAVsNU9eXzPm2Ub+UFfK172YJuP+Z NIso5DSTKjf14d2xw95fCrvSSCEjo9+4io6nEx7Kg7Tn0/dbGbHwBv9fY4VkF/XZJPhknvMCv1G+ CkzQRb3pEbFz7TBev4v/HYY7sMFzs1Y5giCqa0VL5cwDnT9dPJ8AXXZlQwXPyjLmo1K1TkhE8ZLX K4CkRgO7PSz2wh0lebsrbKJzYkTKUZKmDQrC+1kEnotd2r5EBySru7vDUfOOeTnvhz8MnQ7yzOc6 ses1L4dPEpAnqo8mCL3sDJW0QPDcw6iULm/mR9zVtLxU6eLOWLAAEM9flAFJWbzrqLPUGTw22UmT 0ejA76VkXGC0XOhy25OHAKNI67QtYzJi6uKKYpuYyKgw/dEvsfpGlW/2btfZAex68G44GVxQOFc6 m3FrRPC9Z/DjnyNK2+LDSkLJN7+jHHcmZWcK1OcjeOFrIYYVu3Hg9zD4QlHGBXDmkA1a/vxRauNe Vjx4HUQztE6eeR7xHLQQyJOEEw0D6CrOpzfW/XUzltZ7Lu5OQIzxG4STffXDZJf+GZWeeOmlkVWU 9nfEicrY/zWbV6W6687j+Ignq9+XnidB/by5QPafTxISBhywPFUf6kljgQUHLcJcB/0uxcsmaqxk aPu69PyCSUDIw3QBdMsaLGC7xq7CCMfb2C1eo/xMNNqk+sF44/dmyppn1CuPi5Et2KQDkp/46fGl h1TkNm15hYlBjo7wanmOkjOWDus7wCAGby4r9tu2eUGK9yQY1G/RQhExNUrrp1vkq5DfE2VwpILS +Ej39wi9NmHd4d5iIeK+OTEVkwhxW2u9EGfGdrTD0QAQTI9mFpzYLFOndnbXwCXKErOPPCY+VMHy mdwOMJqS1QkHCAGpOhU/8V510wRhaY1Ez+kc4EBCBIwMD4KPEuZuqCqcowAKPCEkikQpkinF80Yv g3QnfRYcA0PaziK3Eyfs9Z7/stioClyWcifyDQFsJO40xTOvjzOyTMJS3OF52O6rLhiwfetrT3Ns tLJ0g9XhRn1rZyZ9ehg8MhyTvbkuzGGMrlATJBEB2+0T0TEov70xY6jW7BWA/8Lbso6J6Z4YJSz9 KAP81u1fAbS/FYL0nucHwLiugE93RHD8OdNtRWg2IvK2IrhxfZapVAzC6JI801Rm8HFsNieDbxzB sW6AOPcB6Y3z/DRmjXDFx1LgAFPeaweJae8IrgeCOGt6P8LeTbpGA+OCVIB5OqRYkAYBPh3V3P2y lwMFI2DuoWoCSkiCqmc0MTroksPlnGzZ/mvJ+6DT58cVi/8GVOy2K21aiRR1yoNCAdLF0qyF6ni4 8M+oJqLosMk34mQRPo+kQXfGIqx7Yx9Gd3IAlhBIUL7xnTDQVAqTn3Esq0MVQdgN/NkzhdHH340v 5xe5n5DUSk7icsDCw7DbpxdfTCC/cRNxNeqEw1vuXFg5v4qH/44EHUCrZu5AuUMoJNi0/0ODI1mb wVHOxZ3MrZZf8SVWWK6z3sCJk7CGFsPB1rJ7WMXR4A3SjSEAZkhRFPO4wv7OZm2z00jBJqMqw09v Hixoksaark+BDT04MfE1y5UUBc4Dgwk8+yhHoSnh0S48ht7bgz0ohrojbE+EuAlpcbqQRTXjU3T5 5q8OZwPRIBJSMtlToXJEG3IRxAsAsYV+DVr8zTyl+mZj9QDydiudZ0emy6k2WDsIkcPvHo7Dtb9e T3vKnLFwwTcrxeM7CtKysg4zdnYjep2bZT6H/eW69BLiITI5noW8BNPfwQ1xL1DoRglwkPpZraY5 Q7Xwa+03UZyic3I6rCjrOCYXOrJzHVk3sjzSz1VI3Y4os9OezDw5Rt0cwuzJUSbM/8EtZN7Wc+b0 USajizbv2hY+rY09TAJjkf5z9TCXifmhvvKjSbuo/r0GZXTjpg+9q9b6d85qL/Bifp3At1dHXvq+ uYfGhRUoJL7CK3yuyZMaP6l+TtmjPXuCu8I0bZNHbQyQuqXZlIcalK7WHhemIalWPJ79rlwACiso djWFwootMalI3FFN4UyO/kquRh3/ZvI59fhhjAt5+gj0gQTsiLG6zjg9WRSPSMPFzf0KDtHcej4w /TrOa1O16/A7DA7MiPg07yik4B6nwkbA2VGhPRR7MN5wdvbEw2KnOlv0Ka+A2XCWgguWvdZgSTDM 2Oj8ViZVemU+Sg9fxJ9cQBwY+6peMd4JoWRaPEmgX/rfFsJWPr88u1orgiRAJOtSdd2nY1PBybNa FZUzptVOPoYLySUcitetWNCfdd27TZchRafwiz4EQ3BW5wzuNpWioUHP1IVGS8fpIcdBH0ywVSvY huSPbNkGtkOBmezlC2uba6jyIOksbtfDCfmVMaJhi3VSrJLO7GWxaf/44pqMnAVHNHKtax7F9Qdf jqItXpc+mI/AXslDlFol+tn/H//RZttNRW29RaAzBTuknySPpexoj8BVLRUJjqCrOp3RnIrwMbtL oYUI3eCz8P85zqpEE/SJj6bUq+qZG9EvsjAWU1YyE2c3nFdFXt/9J32vwzYZV9ZCigtz3ezofoff rhz3x21CdkiwWeytNbIKpo26lzQpXWWE3ggUmWzfqJ6hftGw3Tai0hbeGskvHvZQTMzRMoeilR8p 0MOTjDaA3RIb/3dO3iXYZ7bJBdR8rs7eFFX6tT190/eqdQs6dEm82mn5oTuPsA8jFlDpRjjv3oR2 FXwOwOvP1IblB89VCpVMdZ1qZN1dhkqrlWCsEQq4NoJFVsomalJbQObAjxRUjZuAyprg+ErHm0z7 yMHqccurtUtJQeCJ+Vv2wfwTKiH2WOpOq3n9+25S7YM9FYggfHBtBWDuCGYR1u441QZHizRIgAWn Hk8JNm/a0NqycSyvUrjUsMI7WNUhe2TqPnJpSBieXi0Cjk3zLjZXfGHK0lQtFGJnZiiGPo7cwR3N kYa8T7i+cSbjC3OSdoj0egfto1Z11mL3bcluyHk7KnCSVULWyF0BuneLjtgee2JaJzJXPgDilhlj 8tm/XUGAPL4+Vn2yWScQBS8H5WLinEv2Hwtza8V1tKxu0TTb4PnTzckUuBahJR/VM4M3WAqFlelR JapIgutB1zVv8U0VGC8kedMwM0wSoI2ZXXfbmX+ZahxuTdGDhSiJh5YT4MyFLg59nZFJmzxCBT7p 0X98AHa9p5CoxnWZUujkF9+XivIg9N5VLHUkVNFN3VZfD3d73h2wIXgLtBbQpl8VC1/LM83+nes+ IavBj8mK4iNpC38YIPtdLl+ZtQZqEik8bT6aNzqGSDKKwUPN9TrGxFUV8dbp3jR9WhrXZDuQTVpu KkcVwFtUcjUiHYApCRGKkgEKAaf6IJM56aPvUgKH55xapisnExRp6LN38NcqoexUhwYwrB9nzFVU B4/pjTz28d21ihVe4mXeNjoLm1NYBpc0WLRYkEqBueKdQFDm9Qk2hL3q8AAVYn4QCEcMDzpzWPMa Jl6rJeKjqII0OyC7Z588PPPgakrHgzaQ6AYf0998cSmgr0q/GwGY/92eRW/0g5GVL51MLvScc2G7 vOcfAlG7hhDQAPCajLphtkTBpFC2dmlRPJnmswjiHai4CMkliUIVzIy+l8FjHBD+BAQ0KEZ9v5Th U1544/lfXcCr4BkkVfJ3aWGnSBZ4xTZN4/GhBEKLWNySrBqnwjrHW+2lbIQVBsopzaR7+9V284OA cM3SN1bAymq81p54xmOZDEQ/daph7+NUg8oE1ilkjARHrLJbPeF4pubfnPJsjos11udJIlW5nyTZ 5Khar+euicN1+scCKC+QEV+7VLzdxRYT91z1ZoaZbFNDnr3f0rJ+8MsNEvM3YwmYtX0GWZc7Ynn/ sGyzONz+nmtUWy7mtFrn11cs/5qxiTegHtgG5XaegHjBSHxB8gAJOD/K52zlT2iCimHfDBa/gRHi ZcuxalfwKNsm4fBrcQDOrmEXSys2RZpFeZjcj9P68mYNtMpnoXtk2dnXW3EKIX7v4T0T61jqpIJ1 Dd/C6bwxG/qrMQ0mtd0EOApzmTU7ThxT9bb9iT2F4p24qWVilV/MUGHqOM+d6yBbX/MNSYQPR3BF OxS87jWBWBPnemyPFmuG9OZs44D/6QnTdS40kvH0jQAnUKmX2vE613CTewNMoR3T7wenz9rarNLv 6QJ2/xIXeK6ySCu5WlbggwZ1ZscuClMF3v6tb9JXfFtxcRXYpqtBcHuRKTRfgSag2+QU05a+9kh7 QizMmTLROTwaS6Be91vG+GidcY706cnVB3nMZ0ah8TrEzOaPKaRKZsjkGdIHvAnzk8k8g8HTFOZr FKW3sMEWB86KwwSUQpVWPxV+0YwWC+y12csFs4RgoKm61h3PKx5PJ7/htIkG5KSmbOlT/PMQGTwS BsaJ0A3ojfNpQID25YX4INkixlj0wBa+3hjAQ94i6vNr63Eq4F1eo/bxKrMIJ+9aAUiSWYDr32F2 adyxdYbmJwN4vLWg/L8DFHVMKduRjgF9pLEf4y6zLYgn1O9AGdYDag41OPpIZEqlyU70wkfl5K+K +FIOJbiusjiZ0uC28KLHWQnlhhrFpHry28YR8evs/HnKTg2frCQvTRGEYCF+NYwWvJoPUEd2Jlen VZw84030CCkbH4pDgLkI+ylxonmffz6i9FrXVLwxcrQYkl6kQ6z87wDgRqGzKqRXdEZwR0bsj99C qxQ52qccfl51adnmpW1TaTAYia0YuLyCekVwurjMJIwMEKaByHWXyDagEOcMVBSbQ1j6T8vijwd8 6r8Rqzqrk4h5/Bl+pwWT8Z8x09Yj4doeIc01sJr9jlCGd+Dv9WFeQ2Yyz4wRiYagNVpuGG06ahRE g0dRapUQbpm38NjMn4wmEhwpg1m3YbYgQe4rAfU03CbF8jGr2nTqMjZZ/GMNKf16pcM2qtR4yz+x bq5F6uhXhM20BTGFz1LlP7+pCqcsyggzToJ4gdnER/8wyIoVFju4CAessiwzdK3FynVHOmue8a9q H4E8wlF4QZrncl2WpxgezmPhv9enYX9Gg2T7fsWJBG31K1k4n54eKN6dowi+IY20389xpH3l6euQ bPoBuO4kNp8wvvvq8en8uNrF66XaDeImwrjRjT0IJpFOldOe2NIyHcm0/jJAjp2muLik8nQmbfa9 sU8eDZIcQ2Gnj9rpj6V4Hzk0EsB9oNtsJKw76hkkikluDYmhUKJx1O/2ry6vBDT0J5jqxxkwBzD5 w4i0OlPfNEhWqAMgtpuJdSKuiiUulj6p/eUIphOEjpUYc4RKxvXyVYOGCu5wI5YaRj72cxzxA68V t6bnQHTxqbypFgCZM/kvHYxkGFkvf0IUGB5uWQdzaP+A0v42SYsFo8i9GFXC43xhHpFnaBZNG8Hm T+LEPdn0L4BNvjP6r8PplU0PSne3nZ7VmYE8cUaD5yEZzmIfOlSzI5x1ZaqYm9JODM8ZsGAh73ZE aJkTCq+GyR0+mgYaI9L3CjXB5lu8LqQGZL8RvOrZwKEEQFg3Tj6Kc6PcalATB5P8rf/kRRXZhhN1 OrFWxuz+yjOJXsFbg4dkD47C95vL1NaLYQhm6EP0fRImFBwDUKoGb15ezfN7NlrYH0BCWHW1NSpy Xbwmko2VpccDNoGY4Q8T5dbpcUiVjaDLpmS2DEh/YXGy+Ec/l8432KDL2zNxooY6HxY5ozNCjh7F VTUCestexaTsjsaaJtjGrSPFnT0mMQG9YumUfd3/VMnpNO0uEFVxbiuY6VaFlG5knQ6jRu5i2qai CDoyEArfsqsGnTM9ZZwOL/DYGBA8P9VqgnQG50Qu4drHgds1SrIjlz8lHMeCzB2ZjGjiCObUpiit mDBcY5xlI/Z0DmmYknzGItEsremMyU8MavANvEOcZSzoQGJk7q/TbYB/KNG+rHGvN0Tg9O0sp32R 0WtqOWlWaMidngOfMDLVQnooA3jfDjb/E4X6XKbXY85wnPUVK4zCWvMzUpQkY/hIFcBBOi6xbIB4 ZGPxtfGbzd17LsMjtiVyv+GopPxNuq/WYP3HctrD8GkToIrPDKq+lTIyEC/ALNamxLN1v8NCcmgk 2ny8+ygJkudXWWHhuHdGkJNFwyYnBO1mryETuD5/AGj9tK4ZJtAfAE1rL/o4sQqvZbPbbZNWmhtC Y98jU3oMgR7zWvVe5JTOr1R7WB1wRh1JUZ13E8a+S6M+T5ujWJuQQmTnc4ztGK16YCEcUba+0BPm qkYYsKdiU3m29oW2Ozg9a+gXT14CyI+UKP6Bhi3L5xqfAJxWKfB9iFFnOpCXxkZRwNZjxwtbczdY G6rO+oqlyqpsBa6YjanWDduCJX8/tkFeu7Cbo4NDYykUrRly/ePABclP2eoNFUS0A0HrOWKfzkX/ 1j4jSUDp7cSHqaTnEQwM/ZQMs/BOIyy/MsByPuXujjVpTiCIUdWnLkUlEhID48JdXHuWXQLjXjJQ 2te5RamsIqW7y8I+RAjHRP3029icsEAl5jB+81oI9q7C0ZhGaGXB4ftl9ZCTbqJ7E0Cu6QX/xkDu M3UeN5Zw2L5So54ydK8SpKZEdYeFtV5qaq5G3WNpx5NKdbCKQP1mdY0Mxj4U6uacsTDI2FhlN5fb kYI3M7Ywx8KLnNdponv8zKSbD1LaxihrkFLWzX+yZU3fsP4/ItCRaKa2Db6kkWkjF5f1DewvkJ8t nvJnCSq0FNYoMvtBMNVFazYVOHKsEedNhcZ+SJMftnSi2VxEACsyob0Pxw6V9RFDSnziX+yTMky6 AeyL8ZssaBXBXpLg+jGvojduGp6fBs3MYq8WNBVKFB/03AdITRXHvsKsfbCegO59ukab7wqgHuOe QBPyWo1H4WGKbEtSbeJb4mUm+wMErwWWNObv0isKahPnh+yNVH6DHvXG1PLDSyt6p3ubu0K0bxVI mRp8GgyKKsfOxAsHEclqs8Nl2BwLIDlwlc/P4kU6JYJPbKeTeaVqbh+uJJVPfNdWcRuxG3BIIG8F s289GzlgIc7AQFTgedam4cZv+lS3fAvPE9t05ozcX/SA94zwn+6ErfKeWzca2IhbRNBG4+jvLn67 BxplFOG2vmKC1SbWy/0HtwnjhVrqzn1IdHlkR11o9Hus6X4R+hWyzF+GLVhqbgc/R28cUPZ58hXp 5oeaVoSc6OIxxcy9P6C+eWilKOwkq6vvuWN//THU1B34UBGzktEW4qdcJJDFKzhlcmgbe45Y77gl lCnbn46PLoKrbUjTLYCu7RW/GCofBPs5HMfRopkLEf9xWEC3K3USEML3HgEintIb2lDks2/P3L8B pVffqLrGfTZpkqXyxeqLUDtjBLCbtQ68Io2eH9sZmRBgDFYXrGu50YsfN/VOt1eCxhThyUhHALSu 7NRmg2OyRinYYJJXOiIouLK1Js4XTvWeGniK7XGCmrC5yG5buw7092kk+Lm6LiXUQjXX4mYBzSdN QZ4Ihvn9G7okZJeL0oKYfvdTGRKrdm9BZSFnn3JsniJD7pQzY4OkJ6ZdJnJ+5ISeOlINe0OuzG+Q SySuU1fegcrox2b6+sKKN4Dk+q47++6cwpGPem2cLLz40PhDgFayLccRNbrAf48dXcSb8gMulqp4 NejeDoUfgMm4MXpzZtFG3Ibb23BLb/w5FaWlKr6cO5u4EqnVxfeAUYDl/MwhK9FNvX4hUCzouiOR mx8fgnPNsZpBDxCjpR1C4liEwfqVWV4frCqQjoeuON/iQkJGopnwBd5eYk1PLNHciCs47yhRMHPQ GxQc/ObioACWT3v9hkNCg40n+mSkAbqRroNy6ulyAC0760IlYAdzrSmzYRY+xHIQ6Xel6XlxphXt 3XKIx4UlFtTiGpAybFJhi5RvGDBaUvE0P7bYLP5yDjnyKhwsvgPbOtYlaBoAfUvCZpxEbavItWSY OLNg3ijl3AF+iZ1u5uqCdo5/8oCsoepibD36L4Hwk+D355NeUyPGQEnl6kxFGjIUxQa/fecvnoG/ nZE6BroLb+NHLSwx6UZcPmawJ1/kFMKaZyl5KkW+YAx7E1tOcufDa/YVCcIc7j2FcFmZtlGGHCR3 SPqiWa/fc+iTfC4SYobHlAYvPjcNMllMu5Or6PhsaAVWR5OHi8YVumKffrd6HtVHouaRFmmwze0f mT+/oQXEQKg+TiMFhCTHryJ5qMo1Pnr+jNkDAzYqPgDugochuix1AgqWFrpFu6NCjsvedNXXnW3i G1RoLZZCNPBqqpt5dEoTItqdbLKWN8Zk1mPooi7KU1IDu4t3jzB6KBETF5EfMCMo4Rp3v1nEMlq4 njPzOKdaAsfLf7mPYbfZIVNJolDxBR3GrSUXwdqI+AVViNJkBxk9iXkzGg9aKRjR8hOdXtKZZHEx kiBP/abNhTe1MDwqiUhEAwjnFxh2kdKmVy/aIozy5zFnbIcvKUDO3axjT0uO4ciy1PYP5vAh8cN+ kl+LtkuNyDGbI65/6rBifA6gm0HrQUMO5SaUZiDriKlrsU/uAtFJL+t/GbNSOrvVxWR1PxKDtlZw 4wu96NCP+EqdYQ3PYy2nMqMpEN24vaIhRaQqumpiqf1Mj6aYWQIwhNynAdOJAPPZtGO8nuO92uhl vZJ1go91kCecEOyXycNarebhZSLRwqig/KCqey1tXogdm1kYId9Do3aKn0tlCb1Xf3locLOwb8Ey GuLpavWQbP28kK3ZfHaA1MELQboVqMlReR0yJ6ESUDiU3wINouKFqxk8I7JgxObifWV82pgLlKma d8U5WZNyfOA3uvQj1bJYuleMtDrKoB+//kvnFvBKiaRF426MgaB+5dkitYUx/d7nbbijfmwnHPNU jyp9ba+k6PsdcvAGnxETlQAyfdrj32wl/tTJEVOcIu0wAExl1rip2ApIy2dHbAEZjBumvZc5AaUC EpUF1YNTx6uUnMDX7TQqacj2AkXalSV3SLNIEURWtPHklUua8kRDOxqn9HFSFix7b6YW9Sxkwfvx f0SxqvoZ3s/cnoHQJCmQcuHOaupvuLsjFvhHAnGnolbuQ43vlK4wX7juOt/sdDEas5b9RY2S7dTJ Gu6GjhPnsnHkgHsbvmvPP1nlGQl2a9jTmzh3c7G+yjPdOqUko82/2N1FRsa27/TUhwk7CY8kwEvC s7twdcsPZNAdlkdKHLE+RogfszYcrgHUy1R3/ATJdRNawIos2SvZAj94DefXAd0ORWs3LkZhNhWg BLWO5LEyxyZ6gLatqpwpe8vQbutq2Qd8XaS9LOAYu0vYfSnrAMAOZcycSFpDIOGMLf3MTWP92pOX +9rClH6KEFioTPV+m6JEk152hBI6vvWWGOo2ee6S24cBkXWzog0Z+R7dgVCMQ41KgIksJ9ppqBOy QOhKr5qo7DV0qLYydnJ0EopwxNvOZgm/vr5BKzx7S2vanBEdXVs1HaE3tO5+lG1I/A5Kth3sPd6p dNdvRrvu3ESGrdNDWj8ZO27rdH7fLz4nqMr6j2gvErvzVOvsmWOlQjrExbx75ve6ekN571982OGR w33IzFb3bUtHRyLUYaaJOVOAW5offiAjojLhX9mpfV/qj42IK6BcYIXuLk7xwjFpGUHxwVlKljTy r6cvgq8ki9yeaqDi8ahlngZphdT/lyCjdjweQcOeSfxp3KKrb47WNoKVAS816KDh+hArQbqvc74m FbpiM37QXR3FkPAJ71f6QJHbIyjfcz8bCHC7mROjv30geQKb8/Eg8X4dJAW4Cm2OdYp1UmEnIMDZ Pe92xaxdgAVwTwjhEc17krTbQCeiHU4B6CCsECk96GQrlCI6tfDtXRgbAzCLn1pkfZIRjw7RbXKt TMpFlK80GLwW9KqTP4F3jASYZ5Brg4VSz3DArcbHbGexvC9tln1notc3DedcxT8qmsz3/0FhPT4z VLHQZo5ORyDucolaD5w5qxApa2OsxXUM2d9XJtujIveU61FqrkdjBqMUcUYf3xzSq8qUTJAvzJFZ rSCcQ9fVe4oRZvthl4N7+wKUbYEyGQq/nAU7t84s1RHHDKz5KVqsjziMbRuqmDThGiXDPpvKSsWJ 8vIoP4AAo4bpCtSBXeN2HkQ/xT5EFXQOmF/FZPet+lSvplWIxjRD0TnEvqEd897myHUQeOTC8SSy Avx0WGheRbTj3rIDTYzLTIqf2tQ1JjU3GhKigsP7wc0DCOYQQ8d4PdYogN0ATcE3o9PjYujKfla6 vAnsmlCq/JrJldyWHL2HZY8gJIoBrdvg4COx9Gf3ZaBHVlObvtsTGVStyAjVFsrrk2Z0Lau5UmBo gdJgu3BOlEQK++CqH4HiFnSvxiBrB2vBKcjREu7lA3gHwAh+cH1utF8CnarvTAsk8leZWMgPWvDB Bhc2q3wi+CzGN0aEm+pYaeJPWrG6WhqgMCoyklxrlQaYRwSkoDtYjz28HcsZ09CiFqFZvN4MRY18 2Cg12tJKS1ad/YBAOT+mctwtm6bmfURt865fB9Kxx3p6Iqv2eMxNP6RnQSjHZQFNJ9spv7WOCWK8 iQV9w8mEhiLyXm7WmSRT+1UdG/T9i7sxXtzTnNUxW6qMRvXuVaDsASazb5EQrkT6uc36UVVyi1uG z7OvPL1Wwzyx+mbtuIEyPWPO6Cj1KVol610yoUA4j7WLoS7B4NBF16SdvZfxU+S7Or/DReaZdS3L mHMjC3Z09nVRRCKNnboMg+omht05sodOSopipZdnU/NcKg2LUgvzSfBqip2/bzWLkRCIIhQ787A2 v3wt6oezvi1ywx50OAxRoYIsGkxebrUkD/Xkx3PK/laelotq/xQJc1HPUzzfKVz7jDLqQ2df7cCo c75BXylIX7NudzevJcXciJd0K/1JhrHXL2rw8HvouhcoWstZODrljbFKS2vTmcraKBeKTo5C8UpF ooZ3WtZNn7P0IFOlDeI24gp/VaFd8Sj6qWT1ty29C18ZbocJIGnf3/tF7pLwSxwh6QOYxktGOQ1k kRQWy5ia6TRE9dakxrBb76JWSTqyMhv57dbG9p6+bfGCM/ioSPwCzjYCMW4Ef/QMNhrs4esceVVb WjpO+Uqfz3TkIhH1RdDH2NDXHfaoDlBw3Ll/XzuyQG/r7bi/SFb1V1eiYQUrAId+U3rK1klvrfT7 ZTY8DZVIaaAVzjcjgQP+krip5WGu2n1fmOLVOqI2evdqx+kZnPkZ5xMMv57voB7OOr5egq9dfXma hXY5vnexXUL6NHgP8FiiABjvc0+EK1g97mEHfZmOBzoLz0zwwWZAfneGnygQT3C+u4OWkRavO/Pg LRI/mdFv89aB+7cO+97GUUjTqgIMyVe7j6Al+6kkBqR7y8090Twug7DAYAWWpItNV9+JwmaIkj8A R8Fl5PfKHD4xBFGPQogTl+Y1gRAwuoxUC/xKtrELFKS8U1ZLI+lOXidBTebIDjIW90zvLCGN6Of2 4i328sJb7IEW2/zTw5a76imGQBGeh/AZR93My9Z4WZ9iXkADVW8dgvAjII4ix/vuKPS0FxM6HklT +H6hFRTHIJ9wCeCiGao5TNF5iL7+toaQotGoGSUg4zEKqzFpdKsIM6IpJr7sFWB96shzrvzSWkQZ VCsIcJbU1irO0IrQpTJJAuiJ08RdIaM+uX4QBfFADylAkqU/SN1OFH6IYRrd7yg1IarhubvOxDOi jLpWJbxeP4Ax6MubGJJOnp4MQnD/rkQy9dWJJGC03S7pTzytTxSWMxVU2tmheNfhwqjW9TS7Cq+E 7PAariUeIyhgjEhA4WMib4lIF3uj0RzvX5q0bFmQ4DkC8Ajl8rf18pdev6WTXucGXUJfy9kF47Q3 OguY3/ZmQAP4nZlwrKhjL8r1MHzvtZoDW1eTkqsZbbnbOEG8UaaV47J1WPSTFP7kiryQ+SS9vAFZ WJYAZuY+G3GUJNgQ722/6kcSK1A/u8O6oo15t8RjXQTIBGD704Tel6qdkvcP07vdLmFVWOSrZjtl MRJix3Ciu2RE+OUsA/FZf/qMJztSOiurLjRCx1xl9NwCse5ETNUPAV6TKZP+m5izM/+08NwohemV k8UmtFh7WNwuxLGTBYD31UKCkPbKEpwWiMnaLweHvHCYDpfBMkoxc3ayaBEzBWeL0H08jxU7OA72 nrLg7gs+Bp1HmhR/lrYDsuoYTJfT/dovg+9vKSu7y22nEwIopKzp8ixJOp84u2b8fmY4n2/3K1iK whOBVE7Axw/W5M2A431xJZLtoTQ/lyRr+Yj+MgRIB0GH5X38Y2N2ZYd86klXaF6Ml3PEn5TFf8BK VGvpJH+cBpax7UDjoWjm30cMoI3ul8Uw0JlohwnXH6Wgh/rA5huiztVAGHkZRJczPi9V8Ok+jDtF fSLqG79MoqiTJQ6F0uIRb0FdQO2Im11DI03zCZlh9d42sajsfYvXUaOOTh7YKcaOUdoydhUqx62P uZfiw6RBovShoEG6IYnlNJ0HOfeL8p68drR2HvRkYk8YTkfBiitsw1nhI62kUehASzu3Y7CbkBKO cdn7V/HrpuYq0rQ2LChVFLh3LACQQ+nW8lvznAbsXZ7oTLw34dylT3wGi4k6OzJM2+vObZXdQCiG ktYMZDJp8otLohHQPajxhSgBpTNaLJ7OGU/yjNaGCvgvRTjh8hzkPulbODuTn3/n9OV0HSNb4yBm 4VxHNp2Z1gE/w5bVQQOKnEuCxrgAG1Z0M7BkGHg3dJMljsDLAMAEmMf7uYWCNeQF4vDRI+qziLlo pMxfha8YZ47RbJQRFzG59/WYzxa3Yq6S/7Sukx++FDaAM7EEvUGLetGWTwzrM0RM7+VixEfJTOS9 X4/UDKkXQe2pLS7EUK8QK5nTvyVz9wTfQpQ9iy3VvOeYYMLTV9IRFr3ZD1tnf8KTUI/rKZvtKp+L ILUyuOIDqGlUL5xL1COhyHbrkbRMrUevT3VG9yNNIzBTITA/FzIStJg8jsqJl4iQ3e/9YAq+pLJu IiGHJwG4Ckfju6vxU5QyME8z4flKsFMwFVN2uHMSP9oEUnVzVggqYDlUOyVDHBY7hlg7qbeVTmEc KGBJhUZjPhxlehXH52Pa5V+fH5QoLWyFqFVbAlcmHMJeNsM2oQGEGNhtgvfMW9dU04H4ESHbxuqH +VHW7UUJtVSN4sFRxW66EPAo30MK5k7t61YjdnodoCoBLiSPl/T8GKy8SwJzK2eU6j6mcTMvESjY jc9WkYKZQxvDC4TusBJ5rsKfq+tCHs3khsJPZkH+5wV95uFynb+w7IUgi+BUlR4C7OSDhRH1s85b XbD0qqN6726rKwOaoGn+Fo5Ttf1uV1YG1qkfdk2KxJtMffJsAyemkXYM1y/KLaHp2WN0fPJgfywj 09X528SVBoCzcRDhECeHnlulhcdd20/73z2jDkMlZRdwAhRZFBYJH95LWvG9Go4cT79WX/G9/1SU LvuvzXa3eQ/YIjk5Wf6KCvGasJhHVxO0teX8R6NimVw2f+rolB+VasxuK2hYOWK0B3uocxNMLHhV g9i9Hq2uW5kn9H/LPx+77G012VJJ5vlQU7mgd6PzKVEXfPevpW/1CsVZFPBnR5OPF3Juj/ZYJYH3 HJ8Fz07TH5hYHD3P1lGnbsk/HCzyXz2Ai1Pl6FKkj9QMs8u7alakRwZ8Q5zAIX8kUJA+Scj1znEL 1KLlHy3otn+vhmt8nhci8y/PLFFFZzDRbGYQFD4cZ/81Kbtv3sAsOKpPdjs/cZigT/cwJS/BOXbx lbFzGu7mgCtrZdPz+Yi0Qu0PrgXusEb/4lF+i6IUPnk8lf0esmWBykZMSELsw6NJaE+SUGxJAphj rpgEPms5VBbHnkIejzZ9QGX7IEGZ7ESMPPRwSmwHW1Ogrwd1Y1o0/7d4M64+NyTjZ59i8jWEgwEF 0ufMTJIUvEVHUXc6VSM0gS05qzh1zAF5pD+ZJDVVTpV7ng7+VLwVOHQ1l42cNFGjvz7JAPdk16mb MZWiFxBOFgixUI7ZIuCWv+h8wp+aTzUez+ssqfL3K/UnqEtoCOHcTErgMnF2BBuAfeUcLqonpg15 N+wnsrORBZ3rWfTgJWnzyI7wh5ryNOu70bkGuZqqqDvk8vOsCtjryCk4S3BdvxWsyU+mCFtpnGoq 2tUEFf1zVxngX4cuELl4btVqaGzNph2FgO32kPyV4ugWoWOT8zPI5n4Z+MoYG0G5vg0wUCwe3IV6 nh1Qpy0NWtvpsRK4VD93+cqEOl8Tlbl7FHMhHNsXB62DaWVVi4XVshOgCIWpGD10J3+UMuJaInIn Su61nSI5ZydDfD2fp0kLmD3keKaEMtS9GBCAFHo1ERUcCwvMMrd1h1dIXCSgy8LsM+FXIHOiK+Yq lCISWSSfXYQcDrInF+IC/XNeIYbV/iScTu2h+7aCAXxsIAyzjhcoypiM01Ubz8dd8NmkKsVcIfvp CejSXpGlimzGD7lNzruvvlG4rMx5fKF8Tyro6sd7VA0KwkJOj2wP/JLontaVRfQ8ELJ5E0Ym3MvJ 0RuF1mzcHo6i5pV+KzydcGbEL66mpfkjxNxTLolKy2OvxxSq/nyOcq+ZrB9McrnI87Wf8ntq1C+w wIY7C+iO8eieCLhriiMYSLrHSgeHvgb1/jQVq+Q+t/Y7nZrx0Y7ga9boFvjuG61W4cf+bgGtoHOw rouBK71EGxQln1LJKJoV9tOYsrGIWKaP0ShZfjqeQ4Mnwx7PdLLEqtUpuJG5rBVNGI31bt88WplJ 1yBg/xi63RjPuvesZz2l2a4T8SFpD22hNdBB5waQSqWvTElsPxinc7GgHCyeSLwGJONtFIafBHgq xOBAh4h65JZpfbBI1Oj6kN6BBKsg5q1kz7BurIRJNYvtH8P9LY0HU0Xp+QubU1wjW4CaUNj2aHVY HQQzZ4EhGLe8oRt56HPHXsLU0C0Bm8pro1yebtWkJmTrPvdXHXi1QriEQFbt2kQsjcGQrBc8UAlV 0ejo9zcv4MbsMx9MNAPlheMovGjpioyA1YtIMsi7zKOmX7z/4wBaT372cqHzCBIkWp1wr5AKpCOl ne4LhJAk37es5VtaBG/wXTC9ArWNUdngDeaQXZz/muxPuX3iQnvwvUY8z5AcWJlPWFmbd2ZO/hvg /TwVPAXdEwIFskkIX+NkDJuy9/7jfqyvkQAg8CYjX/Iy2FIw2MrFUi61f0RRIURr1pqPxjmANQT5 ucbUmttJy2yNLLuv32gKIAOTyBG3P7bwwiNAuEGgekujucc9BfnGNxTG4xh3LFPmSmUoB95kMQkS kpAZN2HErPLyZdPvE6PvFYU1b4RcQ4yOwfMqjnS9lIs2xDW6F8Y3nk0P8c3ZAH+Qv14Z9EhNYolf Y7uVXCNbM/fPwkPbxxGrSwhQkBGgGmIneOt/bP+zULmtg1TuZMDkFntfj0mUHbEFLq5V/uQYnqP3 q68GBP8bwG9QwNW9hk8+CWW0eTsmx75MdqHSrzyozNmHA5/8Cuzrid4Vndigx2RBgBtmW7rDID6n YXrq2tMdSieDWTNXXrhuUa2XJ71Cy7cfhpZil3DoYCe4HP3z6TfHDZsYcNKb4MHUdrI3+uMdqMrN YiKj1yc7eKjyfcguM7GW+04vTxyQriRoIdL4PKGbS5ARbjuh0PfxiqvBhn4LJUxYpSxWojIQ5xqj 97slOgz8WvDDzfE3K4pKYxDIno69dp50fnXuuhFjmxHdZ9Oj3zM45k9pXjyvzWH8es3eUh+y8vJu YRI4wDyd2QiWHOhue/ZMTGTxwxNuPpziX637y8HLIFDUlEeTOUEXFz+GlTQR0sU3MQ/SHvEIjaFG vgwAlzHib/fSt5tYGYFQUtkxri9tP/ZxKuO+LeQXNjt/4Bzp+oM2H7/4KokiXRsJhKiHJZN9R8qk g714B8T+tz4fsvDXpkBYJ5TOytisvhRoyQdz1NpTzgncY9OJniT3p6pb5703siavwh/GRmnyQda9 xJChsfZmuM8OaJKUxAG6nN94PePJ+Vb/CAV7kd2ZuORLWDIrZ8+V28HAlrT9q2m94A8UbcEu+Q9s gnGCJM9SbTdA+KFSMtdaHdncjPJOb8uRCTfj8RMIYcku8McaTIA8UVSCjDOM+ljnGU/DuquWXFQa 6Ng+fyVyferradKSRlSQ7tjWBfisCVyY7ijDxIQFkTVOcZOEiKCJ8/FLpdWLkSNrOi+Y9HM+prl/ slwlHKek6aRX+Krqoo1YoMnyofle5csteS06S/R/Jn46gA0xL4IAQ3sNI2U4IUrzHtXjPn58Y1o9 qqWoSsYR5jYWuKNxnC0yB+3B3m7EXMtEbHRmWlfN31rBn3ayCq/Yjl8GYToY3oVPv47wKSWpTl/W 6gkzgHwh78KOtZz3QV1mdC13FOZJtAFeT0FnV6Ev2jgxbQ0c6r9sbo/kJI1QvFPu2gmHKcO9TiKl ma9nv35nMY7nfN2JrICd/iMafFzBjkCICJmydq1sIN8U18Um4+3/WmkBpU2gApR4zJCpfbBXhwTh wsjfKuE6JRlBWePmV8qibT9Gs+tmeBi9+Jpw8vB3EjzVcYdp3wigEnd6HcGpXfnC43PEY35hJk+n CDf/XVHr5OK/awqXVYTTKXe0QFijmdDZ+13vvWisRG/ZNHxNQ5+PEF5JyujjNG1mHX/A0XXpEU7x Qi2Xc4vjTtst6NUwKMgSNskRs+DRvKDZh2FsKWpvytQ5t55PSh+P7JbxJzxv4xVLHMvln+xgZG/y uYliwTuhqI/jY6KCPjBh22thmOXIw02nM+TOlgHWK4SPebtghfAf5A/woFHrCkSP+AcFcCLoyoik DIfU+PV2HOhrvuZMY1FOW5fFqYr8nh8fK/4UrRx2yYhoeBGRlJgf+9VM5tqgp9MqU5gfbb+dB1vG 9M9/S0O1h93d7G4ydHxpVxOkjljYpVjWGtqjV0jiBY3DEM8cNtkPHfkjhXWByTa9bhRkCROStYkF Yhh7WPFd0DVuQX0fu2xWabdd/Sbs5dPmCA65V9wbjK4WStIjZ/QM8RdkUjcu3OAbWkq4ON/E7A96 rJ+kPlGP63fHoSdTmGjJr9P9IXiRW4ft/VjCITvbPgVYUq0TXWIumLRaHwwIEkIkpKTvqmfDuIjQ pPN3qp3ju8RuGW4yvQE+Cp/HufO7Sa99npDn5ljVurm12JcTm+hKFzwNUQ1s1QL4AJhYXivzdhqS hnwcCXFmUPJ6ll94LGoA8Nlt23WxYhdRv0oNFAA8BuM6OHtg4VlkqcmGnHk+ePd6aV5HRjt80N96 hjFyZn+foZdtarIkPhhnSJVQgp7lZ//JklcQbm1iMxdPtzLkm/zgc6QnH6K8d/BBYdOdx1N8G15C 64lAkjyhyAMY+EbAyaYvUK+qSLwjaWRG053KFPSZ72wFYGpk91KYJvrvmEshOJSD/FZipBYhXE21 DfKwCMUOoVl07cOYLTMQyUJ7fACR9MQnFSACxi93cuuwYoiKM/B+R6wdJ+xVx7yDqh0fQ2YkIFEz haT2zE7T262U29KubVi8QFuOmL+J19J/tfIC12p39WPTn1D+H1jaoHdpatPoBR5tNpe0sf++4/pN xtY59G+zO4CSdXqWn1azsK9e0TOVYtdS2o0HJzAH2Ty65FIUVXQMu1eInHhqptAzT0tma+/C58mE VfwMignw+cjE8LtO9f/Tdbhf0T6QX1NQ/RxnJNOKuCEyLdnahffvDP7KkFdsMERkiGHG+JwFWYGR WRIVhfmIYr8kOYxHahdFo/yHszftOCOhL5ZDTDXhnPi8HzlvpN0E7tjnAqVg5caAs4WFaCRWl0KV 0ezHlBvgtZCiKxF/St6k3NnN3/+2PrX02NiApaovGp5j2f63wzSav/k9VOM+YrWqYOi6go+GLe/A HBQrQM8LlqvzXX/DNS4GBIJEfZkkXNwULba7HKe5tQdndSBYGP/EJ2apI7hqd0sQnYGf3RAwMy/i Xk0xiakvBpYpF5+JA5/l6uvZt1StyVRE3L4Jf2w/rGfvMFqEtIJwji1gfuvxRewjTQU7eF3Uemug XQxJjrW+uKgaw0ZgkGbtjomBSQQJe1KtH8jgJ3ekb0iJsbprKZFJEDGTNZY38T2bkPkc9lX46/i1 peppo9VlXGbZNqsTRP5L+GLiCJC1VnJ2/0fGijN0xT64Vzvg2cWDeVV1Hb/V7R6uvt42HvD7j9SB 23J7Hs4vR+lKjAhuLDxKf9ifzjFVHqsKAm0uajspw3MdxDV4Lid+++/QdZa5LoKBJCdxOWzdGd1l MYxtpOcrTw+hWqbN01jNOAqyn2CUcxckxqX8VNFxfZUGs3NGuO2G+vm6ROQf7DvaS7EgEa3YKppd mohPoQuQmDkDH1hJWip6cDp/0nMA5JUC8ntkTQwzMV4zZRK142u7+uA/UxAy920SsI6Y3WyO+65M JPM0pBP8wqbmyBl+AzObmql5B+tKNXtfH4LCIPpIbeSUFhSJ896rW/71a+6MIM8jEOypIXlJVAvF xb+oUzlH979Wcbv2CG+yoq0Y/SXNF81PZt/ELeBOTJZiIcxe0+zccp299QnibZK5/gXpH2VaoaBB QDVQ5Ca3y6vE7Z2PjrbN85ATmaRTfMOyqm9rUKziFbuVE6AmBdx9FZoIqdR1ZCfAWnou4Hc+gm6p 2HtKnRafnYRJFVlQa0ofIQImrQXbGP4KFXpuTwFx95BCRQ8sKlrrizUlPu9mAYQBTd2efDVBiR+i /kL+aJVECF/kd36CXehCa5KrAV+Gt5w1mAEQGoyikODTTy9plWYB9Q8QpkGX1cErGkB1B9d6Qu1s EejDesiQfT8skgo9n+cjuBjFCXrBozUC2Nk+f2fRzEuE+16EFEySMzdp+Y9VRn8pKQVRsQRkFRbx TRXnnf68CwzCzSXk+Ew32XxRfeVeXQnDe9cV+N4LkBgkG6IC49WhINP16oqBJXL0fe+N+xfzHzym iJayCsaCtQFdITgm85BDmK6voCcx0iFeji7UOb6/fwu3AP/0Tv/qalbl6IioAmWtF6gSCw+oYN/T hSbGNHTJu8canghoopDsIXCS4XnBVP95+c8Q7RilydVVQbkMKPHn7NhbVi9o6RS3GFv3ieEDfYCV ixKZU/LYt24QI55q5yJw0FgrRdc0bnsBeIRe3Vful+pSc1WUewEcCURuBFCniSyDMPj6E+xcjDi/ J4F6hI6euyJafjueq8pKtCV90T9f/4yPW/JJBG/kS2ZUdJN6LPQZJA3fSdIy5FY+eMg/HpfBLeJl s+eF63gVbCEzk7/LTvtSnYMVMKQaB6uLnBosrdUlJUF1RatkjQ2xl9YKrf7U7gus5cOTSck1xPBZ VZmZYYPE8omfYFfzUIdH5uTmHBcpT7xJiW82uUz7tyeLTzpebm9i9lNc+vbtYv3TWNlbUgA1ufQE KBI8RfNjNRjVrnibRH41aA6RUiNizpQ3sru5X/YtQ6kooXAoeiPC//cxuw7M+YIw3fspXuUKMmXe LxB/NTlYoJIw5m397zuJ6kvhW1gErtyJh4XpKvfl7saeeTdRlkxwSAtYxyW2aEXcHwNP4lCSl7Ya 13Gr9qUoYSlEqWRITReatoygZZDD9uy8TSElqOCSQZOB4F0zezV2/P8rJ47o0+WFC547Bl/Szl6H exbHhv5hcU9MI8oda+8wbBt8hWWVDFazRfoUdh5l1PcCRLSHa1FdyM3RACQhzH1bnBxHvthXwJr6 8n8hfGb/oNqGgEXVfC9FEPdfF30CfOknXnofV8YFGR8wikfhvb9KQ7+44FTLSZ8F868wmH/lf0St cnO46ckEhMqnzBl/fAiek7Ur0NfzsM5dFZSvI5u4NbBwR3Oi9h4Gb5PBSHUY1HGaywXstosIyuJB oROxJraihUXXpp7yI8QibxetF7gFsWNiiSqlxpGi4D9OhdXy/xNqmuw+J1dRleQVfxn7Zi40SDOF fUglyLlkel6CqGdhrzKGZFF8RsuV2FONkspwgJeva0KiJs0aeSJ7QROYVxUBXDqeN5vL+EtKdzDQ qa+GL+a7CvAuGiWVY3CU1S6kOj8KPzqWxifYGGc6Uv+2TE1fcad999qQhC5sLLWH7a9EuKyvxEur ryvoDzZAmVY6AT9UWsGM8O2EzSDejPpB8vPWYCFt35J40P2DZb+YHHUvBtinuVLCET8dPABES2cW dB9YTXwb0k4mn6quWQSIjJvVcAkYP/x996Ga8OQBQ4l9hGx9YlqSPFJfAScFUnWToBTsvMnAHami bQ1FAvRBMH7kp3p0rKOsKY4SUIDcVmUX2teFzn44GIuU2LH2to8z8LFdhMhY3btIUswgDWqMzPRm BRBr1ZgVa9YM78ETyK+0fA2p1FD98K7lDSJxAhBlewYJEyEMist45hOEBX7Aoe4OTfEkrCYJWBF7 RIoeTFAhvNj3S8Me24o6K0XbBdrJTZ+b9cBzELGsgQjWCJ4g4BQD4Ib8zLezuh2dqET/A1jUfkFM tmxTt1579IkmyH/7ISRYbELrCUdWFKj/rdqiiaK8Tq9Qmsd3K3Pesa45nKxbyt9/kmWZt1Z09hRh F1XxxmGuHGuw2oni8wP4JuJDRrPXGGjQF3rO3sM1JXrlGPwOtOnmnOVQamAeNA0zfXtajdxYkAUQ CWs33GyojnODVUAqBQgqTsks3AXIGFxNdmv1HKawCq2u/oV45xhFiq24oPU7+m+Ajm8y3GlAKBXZ 30cooAuJ2cV4TMxX3Ys6fwtT1Dyrj1N1qbJanNu+K/HFoDKvyr/X8JC7LibxKZmKbXCz3EkFLJR2 ZCWPBiFC4/SqWMmnn6yRZNpuxy5nvF1gxyekFrMCizLXlzePBHdfbamATJzs1AJogH8uREZ4b80p 9qshv/VLSqQJDz+HbPD2NztjciTozySSflEjyu9gOYXy0kWYVYx/OWNTr0OzLwIVPEDdzl1J58sN HkouZSol6V9XqX6M1HL+DZH+jfIuiiocgJCJEx+bjUEV6kVrQFZ+fjvxTMHHkpoN4A7VL7nTJaLb u6vmJyCG/1qB7bGxJ3/zKdP9cejqwD8jH9YR9oVIqfnePTWuzTX+KNHHVImqr9z71Xh5YQpbeDHg DBm9AyuIa6qmWnca3G+HddMOFZoWrc46HMo+hYowPVHLjuWKTTdK2YIMwPX0x/iU5ZJ6tI1gTfMm 42KoCdPiJ4VoC0YB18A5l+IDORNVJK14tVbFVl6ZgRgmvxMlOzjOxthV7ecupywCrJczFCqwFyik aEqHAhVRdScuAUBplHwuUU3SlNXuyAs/JEI3URH7x2u3CMJDDb+9N85SaZmEIWrDTwj5rjqgLyhd NEtV3tQEcaG3tRky7br1+c8oCMEJNzhBz4uTnhb8UEaXcD+tO3I8iLzVhz3M4Ea6VEh2aPMtukMm 8/2tqBsrX12AX9F/7JUVrL00d57r4bsfy7iDcTT4X1wqY6n9tDeqFItQp/p765C0e2a8/eane2L1 f+17/ELbnA2XVNflKeKlaAnm1UuxOzP6vqX+Jp+AH2CMdvCc+iYgL1K7tvPkfX+eeJ1pfVLthK3T rTpFxGpaoH94JiDNyrA/652GXRhjDq+pWoWC/LsbGIQU06kjBVp88+ZNN3bLbrPaH+ITUlrCRbRJ k51MIWc+mO1ak4k3rLMZj6O8epMzGILpQ+Yjmz+s/qODw/KtW/2rXXrSHrXCkJsKdkeoTf9x/7R7 D+VLGIgA8OevsgGRO73ziooIdxQ8gDZ5UVMIpE1oXqSMQymko+oQJSP0EvVrmm8aV+8QU+UYC2AN QNoOD0N73qpnsICV2h85FA8XXPydvET6lCAwlLUegaEKS0dyd4ynE7Q9rV29R8Pk98gse6FYX81u QbET7LQMdJ3puWzyixKHxst+CyrWBA2h0UovXCJuahWBQA75WEf+JmJQT05idGV+SDaqiJHRFfOl 14RGXSkq1ZoRPlt4Ekskxu551USD+4nWldZ8XbfIYYNNbiLFufwXjFWj8TTYddeyWY/w+fX7LkD/ sH1hg5vMKAVDJlZWz9GP59oWPYhDPwGdU4ckiy7K+tR8hTOyxQSbR8jwHm2wZgGA/9xjKjZeO94r NxxTLOzBUc6kBH3WmDMTX9Q44AN0HzK9cjmy1K0fXWGMfkKhUz5Pj8ZaHwR47A1RjhREDcqI9+A4 DvD4BqnqKUOgnYKM3fWywg+7hgz5D+TW97qPxreoU0vroObEkE1V/LSh8Nds72ojLzT+L3qLYi62 Q3GYV+pBZEFOsMfgn1/c3103VExidSQ252uPGFkLqeoGFuGMWXgb/35kF0K7UJjTwTez5Lg3I1Ja JatCuHt82TgkBPZ6aCKXZfHxb5OPB5IoSpbQA0vNt/yOISnRYXnOs7mVAzZWeucCHLgAP0/uwXzA JLeuVdMmoceGEq3C7NUsR7LH1iPT3blnOnmvWrIWcbdaE2SCpHsFXZCDfu0p91CJ6zUQZzfMp3fm kD9+FzsqkSiOLVTRw6yIauBOteRbHqqFR8Gi7kENpNj5qzBcJf31PIpSd38njB4yuMfynxKs6uAJ qobbBrfZa3GoAeof3wAg+41Wje0DpcEW8gDQ3rFH76o3mUsOy6wyLu/PmwmHHUx3/M2+y8Ejae9q TNzliHihy9Qt181VQzbMGFPbhI1cwGuePnhlFT9yFQbZB7qS6cGsJ5IjbF/Lm5jOgtq2WAr8T4D1 JURV0251x6t3pTocE5k9S9zvZOET7+ZMgbtof1Lv+sIU/c7aqgHWU6gMj00qt3ToExw6DeXqxGuB OCe1TMy+AgrnkfpszmvHrtq7bZJQtJ1gY80JLaQtjUrk+bWByfbCi3suN/1N+I9fE5bL8OnUNjsq D7H873irk5UQAqPhTFNzZ0rOiZvr6QBT93Knrjc70k1wupLaAdd6jvq7Nv94v8LC2KPc9OIJvAA3 SBePHcIgqvuqnMRN8Z7pbTjQHPn5U8SquRFwetlA4qaCBF5stS8oZfoWk/ofGNWYM/4VKXgvVZF4 n3xajf0ksi+lXHeNJQBkxPGuLMvEjf3DZC0bFx2aSoOh1udUfZkT7S2r/Qz2P8FftByK3PCX2lCz 3BGBNxfcDaOTb3KQvk4qGwqK+MeAyKxK0hIT4WK68GRtDEraACz6E4FDlfAHlLnuGE75C7coyM8i OzCI0sF/+Ch1YdODci/iWI+oOtH9PCBHMoYqNWljk22tGXWir0jaBuM3J0LRaJ4fHzB1FAf1jsCP Kfu7EJQweaohXZJ5uyNkulh48uiBzSKiJh74iyAWHkic2SeLFJUMpsMI2Byu2sn5t/dbmtkBDmSr QiqYjGCR65hKKPqH7gPhA6qpkS/4lRVZvYVXZctzBC1cVzLn5ibtJdmyj3pukWBr5ObWL9m156zz o0qRbUvQH5L7ltNorNYtoq8NL60kvJx+mhhc2BhpB2K8YqCJI3XhbqHtxgn0Na1keIRElzTaaoJe 65ZjSzI9q2YzL/qF8oc37F80XHwiYEk47evw3UxFRojpDKPr6qtlFG8+J7xCjsEWDXn2wWOgKKQZ Ou7Fy0o8RtEYAmBY+aH3nZEXTdqRtl/+QDb2EOXNcmrRlFq68p3iiPxBVzGS76g+OWwySrT40cZG XD9FDNFWp0AzNtwyk9lIZL41HDnL71axih4seWjgFu7ps+uWk4dCbC1XOSJyKNrz38/R5CI5LqEw 5IofIKZA4w1HTicPDhSqUs0CuRBF3nDT+PNzWsWHE2+B4NoFpxymjuKn4U0QCBmSiPsHu9lgVZub Mi2bCQZob+Z7QsUAHlGIuW8uUsWPzvpCwpar1INvukGKGK+OnN16Jenh/aW3VJcZF6ubefKQ4mFC kX8lyGKbAMwni1D1KJGhA/6B8kyH7H82oSO+QxIULagotoRLpdNmfl5gop9X3GKN/yIGK/j66jUb +E9LIhkPfED329Gg6we3ZYcJudPerSooU3yrH66EWFKkSu2e945NohiBGhailnvpAme28/wSUB57 FStUl46+QUCXPR1+kSYqiOkSgwqsESpo5ZfKh5qk7oA5Lnc1FhRvRB53bxjNRA7XH+B5K/PkIjVs /fqv/YYInVdY0uSZsR/1z9PUjbHn3zhU+s9QNEDtXl5gpV/Zx8GZbdQZ7dpN8yGbrVyPx3qLDyJI tSDd+RhQFZCMgY5fjWUFhcExgrK3DGq3andHdX2buv/BdE0O7M6PtHVf1p9fvzvjhnhrqJzs7t6T pZZrUDnrKngXNl5+RmDhgZUEQbr160etf8J/6WmffhbrO0CC64M4dUEVZsWbWSs90BV9SOIce5aJ BxGyOCNfn6R8i4b2rabeMEptWIk0pmPCohr/rNbDJFr9E5DrkABet1ddxvJEo+1AESmUGrL8/YZR CTihAJvKfXffiMWy68iN0+lWDH6gyj7Bx8H9Fyp7LxyTOJcguHo8KFTo+AsmDO3Xb9FzFMeL06M0 a4T3uTCvWK8AczOHvcAKtyH2aSTq+iqEIbRJtmrWKs+TqfkJrj1QPCxUan66xCrznSNJ7syDeM8+ JnxrqObYRYbAfnFz46kKFV5Iy9O+QYifFojzoUZfwowfx6yBjXlVV3RmEK4uZ3m0nkGJgY7NX/GI O9DQF3rh6VXmRcuDPUWt4x0tu+W4bUiinjtfvs1Hvq7fu5TIDz9z3ny0ouj6nw4s37JzWhdUGvJ2 CLtosKL7y8/Y6LlpUnPn5rJl81AWKkSe2Y4ax2LndxA6cwQ0/f81QRwhnXkoVz3HzXBX1gWDpWFG B7YBgocUGzNK65Akcuz9ZslBzrBWykNYeZU+fdyUocSojjvr5foUCzQvwy48tCNsK4Mnqg0u5teM gXyqoExOjFN96SiGUc4EkadYYju1M50E5qJcWM/uqs9yt7xmnn8E9e8DAppH0uR/Lm6Dc+u4BreZ fyr0+EBTRGfLVD191/mCGK7gN3K7ieD3jx0fnTS4KRJxfq572b8S1Hhil6P1khvjygym3Sd7zdZj RujJJQLSLkg3Us4H16NGn3erfgKWebOSynPZEc24zoBEyy83K7liUo1QXaDdoReGFow3ATzH+RXc s9V8RaiuYjgMexTmPj2m/AaBK/y/PWQFgdrqU6T/vKjR2IEvqUDoqFjmJ3qoSjo0ZQPxkTiQSkzH WmLe2AyigPU8d4csLxDk8xkvtnPc/t21TieUS42yRv5+VU+xtMd89qXN6aadfaKhLIvnv87QAt5n 6sNAhEMcVk+9ZWgXjTZI5PxIZ0VcwjilI87xymFtXQHwSQsjAihH+iOLvm5jjMpzYcoHHwW8dI4M cuDOqYhZ/jo3hkZ0eIYDRHhj0zEO+krJpVab187pmd6i/eH2My5lNojITurt0Gu1bzwiF9JdrEBy wJ2ZStPuDxXWIdVKpylbOFDCkYsing+hXiiL0frJJTr5b+mrElOKmNb+F+BX9rHZxaEMyeYPdMYN qSrcs0uhEQsCR9LbltVIO+fL2YBYpq/I/5LuAS8ud9npjNkGA+GTHNFwLMG1qstfLHTe6nmaPql4 whEFzp575/8N5l4dR4juoW2sIYsEEpNTt+1i+0Ndb+HqUpH92kh0aXTJie2ciu2Y0/DZmhVPWr25 54hVN2+XVE7DTcVSl9YPGzI7l3gDn4AjFA9orBfHRFIr2GA5cTZJp3JV0pO3KVxkXElVcqrJBC/d /MBwuQuV7xDWzxHLV5bF70zZZnWE83TBR9/B3UL7SXWgiM6+kToGh1SFPx1FaSxgAymRoEluN9N2 HC/wyVtbf6sRcizwyAZ4yk+1awdHH6Xiva1qqJnLxWLYw4tjvLPgd1WN0V7kJKTn1qhNRH7YRA15 58bZpOz2PBDfRpxbCsXJDKn1S/VPLnero1FHPlzsHVb6qwjQ4m2DGo6DO7PwNlR6HYLI0641m7CR dZhkNiKmSpEK4udG8wNIv5kh6svxAZ9gPIVIGHYbeMoe5KIemjLG2S8KnI1R7QWIAmKoDDbAXXTS zXZrIoE1Ftlhcup76F5muzCnhGkwe7PdS3SmMRo1f+vwH4IPRw2E2iBh7RGQZ9p9VubvkicAMfMb fEKwtxp63AgXBsPdOTAcz1vc0jR/+2c9j9T8xhhOq3A8EKrpao3uNwj4JjgFYAM863xy/Rn9U/CS zvO/CawAaiIUTr9ZkpeUfKO6VPIXP4eMuNi/cQmczLGQwLO7j1LqHEkg2JFal38TpOhC8rRIlv05 xkNJS3BdtKa2tW/GwTj8hsNg49qCBhz2IvU7zL3OoVItTvL2Hm1r5lYaxZ6QTM7kNL0VLaDB8wev 49cp3ZJoqBfM4nX/ZuWodt87dHZHdddCADDqfwNT7JZQQM8aFFJfzA1+ys1NHwOq63DSODAttpcG BssnH3jyefUmrjG9K4GfxmKh+5GtZzDE5Mh6/h9bPnOMlrIhTs3hX5midnU+/9raHUkHYEkf/m55 3R5sdKffFO9pipTT3++rE/d4OnyhF+D2LmkPEeLOUGif7OfroQT/BdCgP10EEemr5jlDLa5KCzO+ E4ylmeZYSaECMNEhlRb49LD3zw9msZFS0y5QT24Yp0bkxibkSdRAlCYiPImtYefWZoC4VUyJ3AAc J2Lf96xkTLiduVjzRl/aSc2RefaG071RvseudAvU3voNiUcvIY1j0xPQORg2P/z7arzw77UKUN0b hi4wNb/kNib/yP1mUTYE/F7k/VLEtu82y4L+MAhHss3JBLDV7Yb5GrbYT359WGvd1QKxl4+xT/ND i91O8ZPynCO0kMjNTrdckKhWlx1GIdxx+jiMUX91iuZFeQ3w6pI9S08ABrrRaRntQ8ZLQTk5iwq3 HaXPi/IzB+1jWMdOO1he+I1uMM7jz7MtcYZV1gG4wzMZue7eSbuSrdKnMFtyhKyVU9eIbMlj34It iqBsdItyqWjkMgp9VQGf8tkscgTLxz5wi9TqzroPB5YkMzGdPRPpZaEgnBEyQucOCq9Ov0LQZgRd yj/jvLFjXtDjjADls6i8iHYItmZn6DOCA3nibwtMrR/LIKr7zRjZPaZqJt5ArVcadfOHYoXvN0AD 1j7miAeqJoDVx9fb+UnzYYarcxNQdc8M1UEgMb6sl1cIwii/mj4+UX8bm9Q0IxHogK7z1WNFFbvP hrtjp+wvqZPCsQgBOUa577Er1U6y0bAjRojUj5oiv/Up2Pw4aewALVjPsjwsLOz6qhwUeVVjk0Mw ltML4P0S3iB+dmBk+DTXSlkd/lKNECDJ44545hqdy+I4AIQf45HhFaR7YPPTyDgUNFs/5L9OvsNV 3GAmRXnwlAZ8FcVUlKVSazAGa4THiragtY+QnDKTkbCEonZkNHMGigMoPCZcyTT3aEFpl5VqHqVG G6bo/t9SaMg5RmvFLIGTKTwtYRTO4elZuZTU2KOLiTYPHX9l2xoejUTlq8TZVld8hYGy8JOtese5 GUTqTXhl0rkgudYt3w9hjd5174R4FNfZta3rKKFTGZ4NWloqMRro7NzOfxQq5QjJMg2HKfZ/Rvz8 dQb2t9g3SKWjy6IMsZBgpmuN9XlqjNKjY2LPEr9o+Ya27+hcxiOM2rkwmwJUAjLnwH8l2fZljbAD PGgjNxTYgT/vphpchsdpF25ZCSyqxY8jUfPUEECP81fLvuNQjMA9ZpH8gv8PcRerPtAXEjC0JbHt /AqkbDq0+yv9JPwA3DJ8JzFDpNF+UnTs28GWpoPtS0TiTmjm14/glI2QTWtS4Om4DPRFbwOhC2U2 dYBanxoO9zZbJIHW50Iwf3LoKVPX8plmjyYjoKcehNac2cgc0akZOWtsHUs/fMTZVo17A/zCtrDJ VWJCrlJvXSsjGk2JM73WbkzXFKL8Ku0umykB8tNd6iu6a25B4CPYJPNOX6ati/RW4vMo6rQMuwrZ N6aXUBznO1nM+cuhACHCvSHBqBSZ2mNYqaAr6pJ/cnwKC+fUgZs63BRRl6qNxhLIbnqwPSTdd952 Jo0Q+ILAvNeZww8jFwbyybRKlwFb1dYWRbVlQf5JnSnzME5ACrA0UqNpS8HiKWDiZlwhffI+lcRa IEQjqVQjgMdoBEwH9UnbomZgxGhz0Rx0BmW5tAjTxUBbWnBvhpK6UeS+Pux0Qqv6Kk/L0SO4cX31 FtzAaELI9I18D3K0WQ2vaDJTdAY0UrKQQqOJYFM0vgkBnFoAMh3gPin8lr3WJgt587QA1AZtUgr8 e7wN+y7EnLTYxsbgpeqZM0VpL1xk4vl7RFNkMvzGuqoSSJNWDcTTUccM4qpM3zANaXSuNxJK7j7u tx/+MO2vZyD5HWKOKowhAnbQ4xZiDy77sZPX+V23FjYoBOhAogEv78kI8SullT7xpwJ4DCMAKu0J srczlT56kgzs6Z+NJX/mm4SAsR8BHvks5oD3hvzWsC1FbgE+uUrqhRXPNFZYEZaUT+08SC5WxhXr Ro2plvtN8a7iWU8nEtdzycLiC67Lds2qDOaVc8rQamsIE/L0aOwIqrT0TqxExZZWY3dZfrBG0dN7 Da+DwQXmvbtvQ5sNKQOEopygCr/88sNZPrnRdR5Fvfm0+915MgJhO4zvo5jVJXDGjFPhXUgrnBIV P61nSKb5Vl3xxp48G2fk5kf22eJK6txGJZgmBbH2JiEZ3bDITgCHSWE+beQVK5WNXnyXeACvyisQ 5CSk8839v69uLHwkN9YEIzFNcPAcQYWbCCNnGLRQ/Aew9ZJK4NRov/pFsxXtXFfHzGxXjtv2hf2J WlMjn4syIFvbsQBjibO00dtx+i4rO78n3wGk/6LED9WZG3Yg2nZvapMgbI1SxMqxBJqpUiHPXEzi 4u/bn9pyWkUFus2lqoZcK7wz/tIxL9or/bHR3qbB65pnusOS5B79/2t7QUOx6tsymTJ3jJoRr0uy nLwj4gwt83iNOvUgHpjw95N5znHrNs16KA7x7c18j841h1GeBg42F0I+S3AMHiGkrWlUliY4+x/g D7apo/Wus6Szm/oXqSr2OeIdckbC6aXMZtmr97XeuwYARBnzB48uVhAqLDHARiTUskGABMX3LLAe Uc+Xlx2lWX35+TQ2E6pMXOkHlF04yXTVYhWnnkCOQ6nf9qtiU0e9KF4CLHBC8i9Rlo85M3zuE83Y FrUREtFX4zNcDKlUqUdZj6+rTHsb7MC0v1kFpwXTFD56MdLlk8yHNTKir/Kr2ujidj63fWcvESAi N81xWDUCqgpdGCxoruguvH5Xn6yU3wPtiRxINuhK8wUPNYg2eWO6Pub4KQPlwuUFifkM2n8YT6CW 8e+gcMRDN88wacjiCQPsyzaX19pnbIFsSiEUwkI5NBRs26FST9DCa22NXqV9yH7WfvTjC7VuncZU v8KAPGKMXouKjbGCsyxbGmotXlTkNBt3JKThZx8RxCuwM5wGT0K0fRTGtMir9gR9Jj9kH3EcnQXI w8MKKhqzAd0EFLG0smkupp7RtAT7PFF8AUtvUezwoCy6JQfHihYX2glVq86e4xdkevZ+MLFEAihL 7j36UsYJfezfARzORimP+sJhCyvj/3PYg4W9F/FOgXQf9l/sUlMoyK2DvtTPzR/Pt+2ix8TNyGnA Bo43YfTo4JlCQudNKxNr1y1o72NKwmDOEFqwwk9+V6oZJJEGzcz/d88sFaN8+/gVfiwCphpFwVYl u6gkVc8rfgnlT2fYVosLvyBK0p8Uj/96LmA1WMY29FOvOFoSFytVBtI7TOn0Hbg4gPUV1i2zuaa7 BbAkG1cF90eRhFayRPvaFDUn5rAaBgKhU6Gp789kY52beR9/mXJrY9Qp3+b7MmPQLZzPO5tWlVP4 QVkTblvLnqQxjeyNCraPMnGRn+VBWO2QOJPAEQBk/QvB2ohUZpzm0gwiWjz5x+yyeW002oC8tBeZ pf6NzuDBsh6aIhM/5SkogXPhHSUlrRFvYbL9XxHnGoubvT1cMuFcj12f9rnh83slRtnG3qcmCJ7A jLS/Ij9zibVSksso/ezPEgho73qWmM6VwqaBfmt2E17/Aqu6IRrGFBi6bcZ9XDVQN9cT4ixbJ4Rj j7XT9uzroPJCc6aXoYyNEW1qlR3qcqUU7HAmdM3eiBE3A6ARxARUUkVmOAr6b2EesSE3ynu+QbiD RkI5oKeEKehVH7cBylcNp05INWwWVeuOrL+Mur6YQlhq+Y0hWYPa2+U9PuLpkSNDra7xkr1fpXl5 IcZvf+M2+axvto2rTnVQ4AcJYEWh7aMCd4xaDzjSZqzX/WHqL4087EWuvmNrCl+8+TvFk2y2uZN+ kavHkhi7uxcyYCVPjMVGNPTkFPCq8zZYDwKVUVUnkETEtMFFqlIXvYaY+jAyw41oYS8INCGKaFWT HdTM81NUUPxCnWcLJt92VbLZJTcWy0LUYn3V8NkPeUDpTY0Ej/VXHwr1h4q7e2dLjkwMLaHPXVQ4 en/+DZYF7vhvwDpCFMyCo2mIJzxyj0Dp4FN8/nX/8aq0dKkmfL01L7Vr4RVtFj/csuTRM8Dy54l5 thgU5hlFHnfHW5vYLFf5hJVb2R98Qji3QIvdeAhsDfNllBW33wP2YfAD+GsNQ3Qr7gtPSV28I7Kw S4fbwFnPnXuyMpijVjDDO5lCIlVaPZsCFq4oQdybhVdlQ5Fp6JM5nZcHjeNUehjFoFeaqsfdx8ov dQytvlnwam/gOxZ7X/XorRoiqwwmkGc/ISlcKoAW2VhHWq8oCVry1jJGc7ExMGa/gYeXlmESXDHr DTQAOfLHfKOsJQDVISv2N8i0o5IwCV76jFqKnvTeTLlOn7TKHFt1lUqs1T72bJmVmuEOCBiWziSQ kAVxrvcygO5w8zSo42wH4erZsvLNPP3Ttq2EGhgJVrGGkLTUHacnJMQ3jICGiGgbvdj/XeeAxL8b a4rDopaGb/IyxEp106rUcqQSHaMq+Gc/HbsU+xNmpYMFmI9141KPuqBD0g/x41aaTGcXlCmBRZcj LxmAzp5eEKZq+O9rExWK0f2tsEpmXwZrtFcHwyhe8eRsRqTJFcVIFPXnFSP/Kp18LYphh5Q7qBww QZKpQpeosHELLBowOejP/WYPRQvVAcxRwcXGWpFaP9KuYu7sOxXpSxyovBMz9qpsve1GqVuVx0kc VOerdVP+kdTqkVMgoV0zkeLDuyjdmGCZ43RISayjC7mCjWvqgk5cp3kCoNajRePvpUQtrg9zx9Pu fCdnKGdVxZ0FieFTYJfkuDePUF3wwDfmHI6DeVrGmDvAotl6hmPUKn0glf1hc+bT8AlYdM4/se/c 2WSDX23ZwLtZaVcVYMRGSy9DfrjaLbFSOmBhkwYb/B9mHmpXghNtNaYOJs/FNlXUGCvANl4xeE2G cNzjQg7ZW1GSa8Z/ke/fuVS/IWhhsjursJixhvJ6jlDA1MG+4BnabgMCsIQeFIoqkUYEwd773bYN sjihXVa4RHpXwwx885YaTYfvIngnN3rM+jAj1W3ekn92u3hfcYnUZKnfSxUWMt11hVKzNZr4rCZy OCY2OFH3G3J3ABEVdAOy5uVc8TtW8Yqs7bHBK6KBRg4FDqSoquEZEh9RcPQx3mXHv2ppjX/0JMy+ C4bNa8aKkYAtfn+R4AEs8Vq8Y06DmVuuxufzJ4i6GVBQRtPc1aYbIu9kYhrXttMFWrvcoGtoX+YZ mKubEs0UtnGY7gmy6KcdVHNjFFIO3nx12HeR4Cx/AbbeWbjNddgL5yPv4E+/v6uvgUuJBDvmSyQA J2VoUFExXC79wwP4tsX3tKgR3RJ4AfenlRj5cVxDg0r0Rhmkor8RJutyId44nnjwIBy9XCuyehY+ RYOgTkXCDO/YW/Wkc3mXP8IsLpqRVhNSNortQ3tPXNFmatNMMB6tjFxwya53Og83pdHWxH53EY89 qVULvTuyBSO0MpAfG+kD3RDr3/qsR4GvsbFjcnrkCouzhJAiC2fBtVcBawPietscfp250Nxml93Z HKJrcr0H3lO1dt9J1G723fgQplQE7tAldVw9AV6kJtL2Zk862QU+qvFmLSpU6C/Gnr76YfDxNo40 NZBsaMT85CVLGUfjASwrkwnOjP8hysz7LatA3kQK+/LBiAz/EwiphK+lSjFMOMzLFfTOdMXUClKz Bw8ovg+AbxiJIxUGflVoadKdxUW+3GMrrnEsM0dLW9dIpldKAsbansnCoZJ6YWt6dZwTTxBIIdne 0SS/pVSF09bltpHNd0Fca616qswZyh9ObO5JpMBNNwVsDYbYyySNFkrvrosdR2XbdysNuEb1J5Y1 LF1qnojMDd2PxVfS2tJfqQP+ea1C9xklu3O1E/kbCSRw2N93wqkFX006SLHJjWXUMg3Ip90d/aYR aYc5B3BKCzBa+rg6ghenpmg6uNluza/InOPkVR8KXq9ZRzu+X3X1ewFLc1p8BZD9VqyIrpDD5Iw5 4pZtO2bjOEadPz1ZuM2zdoIJhXMIWphbhRzQoLdJ+ygzQWLaJ71S7QywdtT7xlsuT8622kbPalq2 i1OUWKpFRWos50QtrlshU6GYZQvpcx1OKZz/UcbhJeXMzH+1qVs20ZHr7myvnv3b7RQykD8wmGiu JZh+trQPQkyRlsEcw5iUaJIvw/1ogHoaGNbNS5r9fqA8vHBaP7fF73XYIDNFwa+Y4SMAbVncA+Cw 6DfDGTr3m463JIQZr7crtOM53NTxkDx+qu5UHCZNiIMXkKfb4XgJwKI5CEp4NYVSI6o06OKkBB7c 1atlLwiTu6QE9og97OA3lFxiHAtcUbGzfA0H+GrhdjC60yAtWBm7WH/asYQgXtTSQ/BYDXLmvLvk sQWZvM4EB8I9Uf+WZoyRvLpJM5iIvnaZWWv1Q7M2HAyUXwQOs1JhjbJPWMc81RTaQUgHmSGCS4Sv OCB5lusq0e17jMTizdc49jY6wpcgH1o91DGg1bMPitCiIJiHaXIX4QfpXPLSXVm6rIrOij/BvM0t d5wURoDYURz7b5qKqp7CupxWxWEVTvsdX2IJHHPmBPMVTHZ9EKZLzS/tuIp4bIDbqE8i/roX+ZaQ 4xkE4ky83c+7OswnoXbmOW4WKgPlpjhmqL7af0j3G5tn2fdO2y2Cj5ISOLLwbCIKmJfBmdTLrKfD HLR6mCR8W/Ej9XZZp5EylJdFOYBx8PtYvlO4AefVyddHC7qA7WVYci/z5Odbf3ql7gQWFGFT7Xp3 vzKFB12Y+7dP+3E7+RMXxM31JhBoUstrLZMv1f6MQv9wuEosY0YDEMXXpSYQA5agQnrH7vYIFH38 sj09O4A2W8jULDAEjCSgaIOtIpIDMyqAdwwr3K9tRrV0ZN8bJASOpgVnWNC2plTQobDxFFfZZiGj aIcLFsh/0yptHl+cNlYFhjVVmnb3CJEgwn9g45qylXSTWVPszyVinl0Of6PE1MLXQVVuztv7gNpT L9t5xHHbYJdFo0+iI/rPO68Q9lwSlSqheFw+bNfJ6vUEeexLz08y2maCPKnDges1+isI7vYqYEW4 ED7swJxDWDlXworvh7g+cjHHtxTvB0u8jnOyzpoCSdRJspH/5wwmaqD9LL6G/hGvdtbGVu3ZgBsR bpVmn8U1r4pCXhf+ConcJXZrUJ9cisUbyC3qlvebgLyNbQ4qRe5jaq2lwFhX3qjokQ9/pQ1lBu/J 6JGzGvJGgJ/XptHJPaNG8+tPBTz2stoF9d67EK5o4FVxXYgpmmI2BugOZfPDNd7FnxnN7NeigCWu xDuUXzO+oHjctKItJFVIfclHIZMDz13VdqIxppNFvxeVbqfZkVjlc43t/6eXXXgDe/b1nMCcGqrA QZQtEclUgi0HNI8rwXCoOzTfjxbk8z2abqGp76PonYHiY1dAtY2GYrML6E6Xi4bsKUW/vkRRE8gT EJkFydDGJ5GScc27J4ogNehScR8phTE7X/wTSXGOtNKtTREdLJnKCNvakBn8gBdfT7ibPA3varwj wPw67eKuklFNaFY2w2tETY5mbEjeYTqWKcvPDC/WkkCbX3iYSh+6px6T+RJJHlBSsQ2xb7ejNJf5 E0at89+iD/zFSNIfsMeeLwH3qcVbZ5qrgrgiFAqLmFhR3FCs8TYDOzQ7wc3C2OLX0YK8Fb4AC0VU wOTGsJcB7QYiB7oVYLuLcLe+dwHsAk63seSL9qGcquJXcwD5dSqjdJ8H8E2gQhYoZU1TjcOjXtKn FgXbSzFnep7PEC6UO3fSJwYCaYnCgtyrQLg9ip/3bf/o6gK5YwS7tul5AZz1nzvcUJ8qwxJm5niO o2iFUHBFeUh/ayvVuwjZ02EODZsgNU/U+SpP0McRgWlme+bD7FZSYPS1fMWIVX+XLg2l17r3v1II OuAH3cDbJteCdQPM60Fq7cjd+vK44JX4DeEnpzBBUAxtw5YDVh4CnplqCjiRe1RdhKIujn1AlRQZ ApkloLw0mO+nlvoonB0VZrf7aL5YZzD+U9aEw3JgzwVfLcdZpIFN0wlVd5WythM0vb314bsMuUYh ujx/SUlvsP0AG+Ht/aOmSkG1oP5rF4ruvkHaMf2zmywDlxNErx5K4VP4Tf4JiPso7AgBWAaG0EU7 1MEj6amOUXTa0QtXYl2BLM/+YtExGZmArq5NqvqRddSHgSrPbzKTyUOlhtD8Ox/+Qe/Zvv87JEON MiqV2WH132+w21LCrHfHJrP4ac7rlWpkSjhM/3vfH0mgu8BXF55cNebk+yTEtOxeFZZi7Z2sJ1vw bMftaEiOlqDlRYIZ+yHHtmKKBnKPWBvdqP66XWCpgkxtWVNfH7+SNDyT9HRqF5SIAYHufWtuMPyA 0td9+RFTlSk7k+oHvXhGpI57kT2iH9L0jxB/Y6U3SE3JoTT1YFQtw7Qa5CYqzs79rCNwX9bEx8PX JoGrQ5d72EC2b3mcmB6zyjfbAGqCL2DfolcQMDqHmSRk3zuHBYoHOtztxnthQv6+eMnjEk6k1hWt uTydJu12cxEsZmDB9zMgDFll5dl0qz3K4UPtYbcpYVoUZFbDhmzbKNFeVcmvM2MUYL47yAkHIee1 HoHlERBwtmsiYq0H7pY/ThYTctTGPwDdZrB0z61rXshEe5Chi17IK0FGccLjZWOU7vmtrxG/XwNs HRVOjkhKJNCmj5juFTqke8VyqmGkRR0Tm6wnLAaPONjTNBGcPPgrQ2fikIOqv30BBuxiThCgaxLw WFL6J7rX3agjCy9qT/SpV03U8Lvvd7MZH6+Yg5KiQxHKO9nsmMiYLvjA2StR6eJU/IuQphAwqz01 E1F+8/UTY4NWVbJ24ZZ2QhdBVq+D5njaZz5uf2AqlPpEmyghzn/gAr3EJXdPPVgXfEL7KRn7lEl9 oCNGmieOZKtJJ6Q8HqH7RZYe1W7HGrIahuqn9SYYtbU0q2G3lwvoiDbXt+mtYl4ijrFMgrjhtBzU j4HCdLgaiWolneVMzScqsD17ErKx7tY1iVQAFzTaQh5IJ7eNwYCrDSNqmRsBkbiKRkcJOgrZVKoY OE+fFfYuIb4yRu3YpbLGG5mey1qmeoYHiPzHF6nEDjUAh5lFnOo9qJJZ9KJ7PqAxyWI27R6suIji vo9yDzNOL+sfM53TlPUICTjQRcRURIRFxUg8mYcEhfL88a6OZUB50UA2nhSTWtTSnZ98pzeR9+fX UAE590qNkRAbpPDT/xsLPPX1BsNu5lyxxlEVqNe5Nf4i3LF8lcR5HAAzN0xqVOLK9phMPnD9YCyc ptC/mSV3Zwh1TY/kduydUIXJ+smu0lHBLHd9laCB5ZXtIEsc6PYtwBrBTTqAJq+ykG87Vblorgkl S2d7jFhOdXgfr1SWApVa6PueGFBBb2E2hn7gIkjO4vGHBOBoTX1TcytgzF6RhD8Rqfu/mcnfToXV 7TNtUZFmCzYEpRi9AT53ncsqDQ+zRpdl6weC1uAC2SZZDGNKZ0O2SpSZ/1B45ksnTxGTBF2ClBD3 tX5qvCNyhFyQE4jrXaxM0Z81aems3r6HKMbvisICmM2dJev2ebKHY2RbNjz792eV0SagddEMG5l7 6V2fY40ZRL7qd93talhwKiawDBi6nE2GW06AGJdweZzUxmbYgF5SUaQuY50HKb1TNUkAahqiyZyA oxPoPzklTEYprutZr5Chuoyxgptgd9dNI/d1fu5iz9JYb9OgI8tKw5c8oEpn+M0yONe0zqrRe3qm Vbi2Ic1WCRhWlFHrC6Adb3R0xrLCskW+OLlJB2A9jvcauygKyLIkwoIQEF60LM1lPVartf4xrLAk muXN2SPWrhU4XrSSt0FBYvd8o5FhDu9umt51eCudHvaPBfJ4MaorygLF+L/u6X3rSZ/71M1MfguC STo2inTTlnv8vGOI/QErcKpxL0WHv9+TMTtYi48LQcBRh1iEKr15bRt1Y+dAnEDJRlL+DEtgwjqO j2Jma97tzvF1M1Tz8FVgrIrBHdij/7gchT3n/qUsyQUNgT3ziX1m57advNR4WRVSlxyG5gAejn9L rzvpxDKRJYa6yQHYUalh9hXcRTPE4Iwv/ix/Oy5c4GAhmiRSsjtakbNUV2GN/V4JFS2fYJJ4uzvV hdyiq3vw5HUapmGDZDRFzSRi8UAl5fJebfASfugQsK8S3nm2AJHD4lrP09A65u0oWpBiM9SXhh02 Z3tgbe15IRQCWixd68doJ3DPycFi0pqNn7R9nbhrd2mVOJ3ENHB/iWipn4N/sce75qZwzrkE96fe nm/Wt1ivziACMiIDkk55POHT2iktX5vidA2acJ9jHlIKcILrvXRvvhzfs1iV2UBJYcNkfXvJp/hc zLXkSqsNnHFzHQeFc+fy5l0Z4O5wz3cdBZRFBkS8iX574WiNZ5pj7pJR7+zM9Qb2QPRG3+mdQK+m OlwiTesDdLY+rAXCfBAMnogAY5V1ek55xi22HkEp6hLwH6pbZxekyd8dP1pRUFuw/PG9YxrOUwBo YPtrYLfZW77bT6sSt2QI+RZNLQ3e83e5IklI43nGPYCgBbNBC6rNAeCMOtXxMCPUiY41CeYciSuC BXAQERHkAcMtEq7/0q8wRa+mG9skhwMx39vK4jpDj2WP/BW+qX6JfPVQxpt6RVYbvD6pTmt9FWMs w/VvcjT5IGz4C/n38PtncnouleOlvESliFAURi9U9QD1YvLsstar+BsAjPbrUGAjF7FfmHPHzMRK o/YN8SIlUs2dcsDaOltug2VR3u4MDlYlDQ5dsUGdGUBsbPcinS0iU1ID6+CIAHCuOzmw1MDptKuV r9AUIXhjS3k2dxxEYfHgx1qfEJMAxyrxLeoNHsKJlOn0yum8koEubLd6KiJq8QkSi6S+cpLMb8SD PKt7uodKk6FBnLyAiKE8eZl5N7PkZtvlXdHApJT477bMmKv2+FWYrqIISn0BcuZATNRAQFMFyToV TMuuCQIyXLnk24fNApe1Kp8v7AyfFzY/g2+BbOrSzhOdDp+TTqRBxZiDkj1ZavwmlabU7f1f5x82 hbvt7VZ7BT2k0Mzohhg91qcFQ/xOoMLl5OqDhtCQmTKfHPZhawEEH8vfVJCneya2NKWwKz9/2td1 80rh/V3oiCV2M1RBSKQ+pVb+SdUbbzszimLJU9yCY+gqzevKbkjGJYvp7gieAK7y/vAGfNa4LjQT oMAO0ysmScXaGxRiqamYhaRa/gEFXdhCfroB/bxpdqjf1lj5Fjkq+aKnc9x5lXojZj/VOye3l2od 0lJGayuZhDBqByHIosJG1TtdywG3CqwLOk3ehmcfrsXU+xd6Gk9IYzb4Gdc9pXGAxFUQJ6CggQ8V xtDV6/mqsTCwest7AXe/9PsEhCK0yyDwVoqikZcobXmaGYb8cSPb6CJBirXlkqMq6u9pt72oJJfH p3F+gUiGaV+5wkjJoTxU9u4Stb/PBgFUTZtETTdLS9XBTXoBxps/yBOv/Oj72pU2dfA1oMffd5pV ERPK5QPaPpUnc4qp29fhpNh++n3XTHEGXqD4em/j+IUm/Bva+AqCMv24OiJVUmY+8JmqByMVwSpr bf32BQ1pT+HUvadxyahVRZ4cX3aZ31ccn8jjLaa3Q2BbSqdEg5KkOfahInw7AzrFEPwe45n3byN8 eFlpBIlqJZuB/j6vW0XJ8ykJ6vf+sqjgybmRjtYGvVRSLlZK4KsJwxFzK4pSJz96SrptqU+AUKKA BHemzZYeif4InUrnzhkAv74iL8+itPPRyoYmpabs+cYN1PY/h7LB4AZ5xFzdT2iLiqoCsgf3PPrs L9RLgkKtWzZmBpYi9h5vHNuNfoLZLgKNKd25oPvjV3EYgRpbVNM+Wda/tq+ly7OZ4ZJ1/o3RbU1B +rDAsyNoyBJI1FNnfhAobtlpYB70oAhn6GISaYCcErTSHUL5xoQN08k8f6PUYnDDbJly/tmXJDmU +PKYpfN6s/mdANG8XmQj0iYU44rimORSyIvyxQZ768PrsoZXn/UEnlZStKdA/XeQrr1aEsA5+QHm 3QhwD88JXEIPcQtOw+VBomEuk42vrW4cFu7O2MpofxYCI13rsm5Mr2/c34O8gq2SFcqBuZVUujpF 3djx03DNEnPd82nFcsK5zln1KKJGoMpNiLlEXdKqlp74kExG/JGeALotrEw6Gw6ykVYN2kJmdhmG xMl8ljlqILTf130Hh8cLB4QE2Ir7KuX6uvNq0RGRnOcpKxAZo4NnBuhP4blU0fVtP0ikLEBYZ/tm ISTu7mf5WJdQzfNmta0vCtt3Cr4Oh6TzpJMdL4PDrm4cpj1yEF+W+s7MCrpFPUWkWhpJ6R2u0F+O 0KTRHc9o8XiP7Mp6zhW26EOI87XS/qwhuHbbPcedk+c6BjTp3Z4P4cW3qDEOBi1tsGstEkXyRP8Q H911IICVX2tbCXTN6Yf0wWfTjYopuPzoRERdpWDiM6Kgd6+GoDTUMv2ya2JCyxin9rDuUpOX+g1b AvK7iCguMWti+92bTmRK6QYS4+SNOobw1wLjij/zyM3R+1oRGPmuT2sHdRvvKS/NQ7gvdcwIWyY7 pTDao1Dl3UahTmuhRXAZwOlhmdykwevlO/0ouGuSzcEISnwI7fInbyFtfIElyz+9CboyelGmTZ9F x2wLhLSy67ZEMthpThF9F1aBwZSRtVXdVJz5/fkffaZ66j238enrBbJLLAKnh8mvF4XVVbqX7CXy hxt0SyzMhOiyU9B15r4rFcRfyNvvl/ailXGTIbRdrbcHBp29AW9oCme7nAjBuXOBWJgtgqT9mze9 jqv20XLKWYmxFlnEnQ+iU6KL225TaoVCp01Xgt3f9rk8y7DRVscs35SioGx0/Qcf2aWbidWhCMDR +Tbi9Lx4fc6YK+FoeGR/N/XJ3vVFxyCuVpmcAh7FfHmzbO9o6DHwzAOnIPA8k/HHHqSvbRnT417R UCk+Cy+IZNw39s4ZGt2LrLJdVFORreCVaTjACikJ3Q7ncmzWZd0b+/H9Lg+AEOok9p308xc93Npg iHoxpCFyFq9uf8xox01VYC4cLMmXr5juQuKhdCTGOqjQHRvY2Oo2FT/5DVdmvLTxtATljxiTSoAk KekBCrekK1+PGJezB/yjKIDtlahSx/hVjoyj9iXwWJ3eLQwLp2iM7hOH53hdCbCd2eLOo4pQKRUZ wmNGv2Nqn0FTIpuTbpG76TZXD0c4RMaWemdS7AUIsJD9fOQ49CVaw64MARegujV7brEn1KStQKX8 DqoFQ12TI4MgzOVDEeoSzpmho7F1mOjZ6dre0bQ//3V+cu0xKySx7iZMq67j5MISfQ3W7D3KswVr Cde2DbTEsD8y2ClLWTWtx5XJmqSbC5ewpel7RLHIoZHhjSCL4bjKflZiEailP4Kc2TYStYoz7WbG UfKqqP4k6V7IrRBPyTz7E1mtFOfoD5r0X5ST8k47PxPQH/7KuQm02u33CF4XA5pSAlvWgEt5l5zY lxd/zKs4iZvG7Jr9DTLF24eICQZOx4HBHpjc+AamACuXbrhLFnRttLyhQIs+QwiEjRhJtyMBxVwF Q4/zWGpzN5r7btSOYjWA9rbzOMiLdXWmf51/cwZIIssZsxjbQsLPuxjsj025r1Du5aqOF9VDaCik FKaLJtS0m/Z7+tJ2L00tQ61FVMm0S9gQAF9ocDifugJxh/pzNWMn9jKkA/DJ7ZbR2C1XomkVZRM/ /m2ySTNwl24B4Ci4ID1WIWMilg4/mTU4RFt+5BrpsBEUbNR58MO2/ciG0KFg8dtpMzruYYf6NcvX w6DvOFMx78KLJNg5V6PZNTIm8Rc94c3Z4WzD7zH29tKL4/pPPzQI/v1sh4bhtFaIhjjXw0sgNzxm h7lTjRdDWRpysoknrpnelpvZ+1k92BMTyrpHxdKeFadf8/lQIY8oWflK8U+X8YOWF5NqSQav6+C0 pgByPWi9NESzonXbi9JLodQxM6C1c7ghgrLyq3FWK7SrpdvX+G5kCpF2FkISLwocEuZ+1JkPR1eX ALDt7Gh06qoOVFf9m9ubLYW0WkmjolCslKs8oQP+8lsYzJ4wwvkp1p5gJiFtiD7RijZwVmHEkiT4 UOwLSBFFLdNIaFy3j/epppfTfXrSdhBbDhKyvBKlwS4KdmtFbYmLpQ+SOIRrdaylO9tax8gm36AL ceopTp05bsBAE9jBuVgQGSSQw50j885KKaSl/37xq4EcBe30u0V352cK14w59iuINcvYhePI/CSQ kN+1PxQiJ8bk5dibo+oZ444BLR789LNfU5VIYi/ffH4+NkFqZNkU/B4fByywldqVglqjCsLsHNLo KieqFnDRe7BSovEMZOSUl1O91ZW+wbBepa7NbAEaD1jS09It6Pr8C0bBQTtgis9iZ8VJCZ7AEbt0 EnzK0XrmGSdrL/WvS1Nlnn5OK7iG41fFvES/ITx3QKf5yn548Z8asWKzH9WbAsC666DSDyRwvJcO U3TBlsrIX9L4pvFJcvnhZgSSeVIxopKGINuQzMctZC/AB/UJc/YU4LDylldmnXfgNu0shU4ClRfF Z+J5OnYA+IUDfodJHO8iC1VsL/wey8ZipxzWIx31qfCStQ6aL8QukWGqlYTZHGbyGwpcGt8UT7u1 KiAOWpVY+BA6rG1rqhWwGEZkC34k6bq0JP+oUi2Dmb8uoDZepovkzSgiUjGm5wjrBt2zym9Y0qqi crJA2zend1prwMeRVLpmxgdCSN5QB9cqUzjnb3iKz7sPSO4xUkajF7Ffaay+nDWqgTL4QwtUTe69 A30mjyXFTirx4hFWsREXUTVsS4gzT4svO15fPUJBrxvCP8j7pPLhSJs9DQ6Q73uFq4XZRaxlaD/p Q9IpO+6pySI29seSNeB8FW2hDdK+TcxHbnoIIzJVn446QrXJMlU+9wwwaWzXZAnq+kckvTuQ9tEk yJomp4BiwA9DhD6liTF4ra4DNVJRdh32dWkW2LCGZxXOoU3z7xIaPtQVMwyX4KCLbvc+rFOQeEit 5VkqsHAMc59gaJ3yYqtubTjeB6S7wae6e1BbLp/SPYy2pFhZFZ5uVQLWwpXmI96Scve5Q7tzcBRt NadErvw9ohWH7Oe05Dd00F1q5hgwp7aAwmrXfArt0xWRoKyJYdzJxE4w4Keydzavj19xMTqqibNk IL0kKin15TCV9rq+KMMZGQ2UKe7PYhYG4WyMUI59bWRfU2eo+O07sdaaxWrDlN4QFQamlqei1zFI F+byzCgS6ZEkHoTbzEF90YwikR29QPkiwTaHXaOGbU0qHQDwJqamAdbOgEKreX8OBibIWF2UhO+J oYONMRyCElqHl7SMeIqVWPmef0o3Idbxl3VDQcu2U46DHiK8MBZ4aFS7qNfRfRvWWac4lZkrdmok ngskpZt1XvBJfInqBl2nzPeNWe+dnSMrqysxoeZnbdOQQuzpvpl4/mYp4ZzNyZtmw6U/AyKvTqO6 hwe8l8GMRg8MbXzI7YHSY/pJyavWuXca+NIyFjH/NSDdS6sO5NFixPQQpzMNtHCm092znOkl3Cyp ldFgHhujlgu4143CVMhJ5exGSwojiRQi63GayIJoDJ38Kzi2B15uh78AFyFUrHf/DtdAItzIK6a/ iCzy1E+2UxNfHWXvjhFVuI5X+cuJ0+PL2vL2+aGJ8gaByeU7C8kHoU1mZNHz4V6NvHZgbhKa09Ts nm5E3iT1n5tDNtPmMrufw6Sr/SIu3li8xJMD+L81LAyWblpscNPnAcQMdAVhWvQCeFigT39fOFto JCIPBv+dy7Nqhpl2JfHIwQHiHTw26+uLA7M1piO9K/mapapUsQzqsZXqq0bzUFiUOV/EYoD4I5rc IVk7gGHpC8ux7xUej6jpqYzRIotgKSsriX1JW+TCm6a54T2NwwUJcq0BIg/ZXKLT6SpLa1/nat4e ylSiKwVkpN9XZPcLXzHuYDMmRNAUS4qisTiIorH1wXVCLFFijyPhxOQNJmgITFp+ywLiU2TtLtPt 6fbhm31YQ6KxNwTAnb7V2VQVHsi4IyGpNpXK9xCJB+oHpBUVluYmqeMWulu6dYNkiASvvG8jg20U kAHsQ2Wl2FNUsHHRbZSBxvjHaWjBnzczHkRgxyGgWTFn2X24fzrdbxuxtWa2RAmy6+1OqqN0aOZM KaB3RDBcm2WXqcSdvC5MdTJJcQrvokvrgDp1Htr9Znalcm2loW4W6Acthb5D+p3TmvmE7cwZfAIV Q9LYH7vfvyTyDc3EP3+21DhiImBXwR4/VK9LvXN4qWc4lGAw+oR+MnXn7jryy3z90HnAdUPK/4l5 VoCvQSpZj2G8c+Qwz9dN1cCQ6HLuen3PBT9OZml2EYHtMGNac049oQwIv0CyxXs+4m8i7M677IXQ Vug/vRmC03oIM1D4I/A0B8cFWD9aD6nwO5STmKWlKkANWo+qVQdOp9W8Y++lk26zIEtgirsjse9r 2qoRlE/i0gWR7YmFSXrdvrYAuWcKIFKrt/+IkcuYHj3XcVcCrfyxwc4pidCC68T5qyE/dYiMLcju CMOq8kcT1GYY8kW+G/UDLLYvp2a/ZQFJCoaukZv0nhVWjiNk9tjUU6F+ZvY99TB7jJVm0knd5cVp /zG894LfUuDciQPnFweX6V4r15EIvpGQ5+TF1bROCRtkmzWx9FwNVfG4loeYlzqzamSx/KACC0zY thqucsVzIeldI87gOR8dMKPJYZ7ze2g2c5tSZAFcOTTdy9p34N2HTEXuUJ2L+G0av+8vVF+B6L3d hhs/GAsMR/nOt/74c4cQgjOv5Q0tVtOLpUeLUHXL4Rs30q2GNQrNriTnN7I6N2yhvhdXDgwfJVOE lHRGNpqkJPLwlRAKEpLq0o0BaA+rKOvxxIqOSkS/N1OBQwjRFZN6HKSCzNo42ItEpXf2wRKQmdDB hEpdKMdFzcSBMxczcm5gAXh0zbkfkfUi3/NDj7WgkxGppiPOJD1+t4FWkV24YMgompRqp6QjHYCM fFx+7fwh2HHH9z7SLVanJSJPJv9QdYwZSZ0HUsiRrm+1wCS1oXuQcKuiTGj9mNElzcWvXQMAe3qF vNylInqOntMMEl31jSZym1cbCMFur5hZnMs+3J/Q+ABwKB3fsUSjXJEV57rZwQo9bZjlCVSH3n2s 5NNZnkvTQaaqJgp41u9i33RWvulMvXayRxyDE4hcLtNgilga1JTa7vTXFbqKpiv+9mDvUfGoJKOb al2l5hHcE1lA/hUbtLZqF6IaS9uCvWaiakknZkBUiMv9cEkA7z+nKjGLLQ4uCe3tHBlHt439a7ft L58JPh46l3GMGsY7In0lNdeRRQv8Mfza4Dw1vgQGfd068WDISeYCqqliwrIUARBQG3yQDjrlA/Bz 56FeLajRcldHeLudC0HgCxhFcyI9JwISZ3GElL6Li57TmfNfu4SGCLbx1eHSTad8wn/LINi/trI5 Kh8sRPj6PegHAqYs9H+eAAK8RXHKi1jIN7jQfUUxvw7kNKIb/yZT6iSY2hAQxw6DwyI/aKrype7Z wISgDqBfId2HgsvpNy+9cIi2Ltd5Q6u9qU7sMZCmUnzAQuu7UV1jfVWmEBqDjjQyREFfD+EOnB+m nXpKcSzXl5F69W9aLktXgiZltmFOMcn+PuTDmt4QrGr6nXZs9Xe7+EsjmtFcnFBCXl4BvY+aN9DO 4Otl/Epl8SbLhOKVybHg5SpLWbUPZuddrCbsi29BTOmlMdKXBpM0wm283EKuyEz8Yr3j9Hf3ZClS 2tVTswx5DLzzqAkO1NmTnxGjh3wXaVbG1aYra9P61SHeX5/+nFxJoMohsKsaHzwIWS1w6W45Ifmt RN7XOooh+C2D25HZsy1DDPEBbkAkc6I9mgsS3IEYpFb7VyQbtuTsFwrOnhoAyOLIACMLW89F5Mbt sG6+dcXk8XCq5bxM+o9OdhegMQLVOJqN7j8sPr+1fNflCVd+yuB/bZIrTX4JRAMDGfnfz9JL4Ky2 2sxPRr8/lMqsdlLFZx6/6x6uYpG7ZUxRbB71LFKYq0F4FhKYqNGqWFYJFkLhXvBRlKjul5FbWZ8i 8CkAXCsEK4KLbnSNafUWccE0g497EZmXDFFsSJ2ASlISYPytSLUrsD95AtJRaE4UDU+BbU8fnjkb SYzuHufzEJv1k1N+r86MMY/2NGCU5fwML6auepKTyAQGcBmtegjG0QmERfbQ41vb+Cpv8uO/6mu7 b1y7uGrtnsyHjEf+IGQ/tAqqYgo+F/Uho60iT6pNFkN3Amci2GyhKmFiYn3bS2LXnUi2/NdBkKiC HjnIRrf5pkoqxa+2g9FY0Y/sZqf7Ynl2geWWyBi10NHPQX7gAN32X2Xk5bk7WQ9Jy4tp0V+9Cpqj rUQxbTbzqZBBrIWN+/E8hvkVTkNyhUd/1BW6nLSbwDBpfZfNTHSfaIqDGzaTs878WW9/kCD6qRoL 3+cClBG9fwEL/ipo4wKhHstM/zhhR8+Lxr58HEAWcJupggBpEJU0+QDlCTd5uKk18oWipTDB5LJ2 VLJQIeCUFdsSX6/nWXflCmwKHHMR+BvOf5KAPssMuVbEwku84OMkeYcK/49tCNpUluDkaVLhrqQk dt7W1AdRPX7Xp+SnzBChEyKc64NY0ArRQ0bJVgdifaGSYibxTgDFEvphqPW3BbF+MpLHSUWFbLXP CorN1KFYVMrIn0RoZF89OJJSWbs0IXVC3tYgCV6tGOwYHd1KRBjTgbuNQY1pSUnSZr+3Pr/peW/M vU+m4YjgxwnwQ+qE4NOewtXxCYiBXjaz3ThVn1OSeZhDXPRz2sVoe6Rz3WOpzw0SWtypEDncKjWU +6gOS+4p5YxfROyCAQHjNC5dO/G94zbZC7D5RUti9ukkncFqbQocOpuxRTw3UoOA4dA0ZtPSOJoB praf7jqUWbWELPMHw3829RoMNkluXxbKdTXTXG4c/mpzwLxJReIMmZmMotF3Qvhq/xm1cxu5m1QM dtZ8lR7OH3xEAwgEvnNy0EmxfwB/S7m5A2VlUBIGEuqzE/9ZuT8bfL7wSfGtJsN4P0AlSiL4EU2b zjbp7rUv2r8mMK1YMMtqvgSqAFur4DQCZytV2adkR9gyikS3Cc49VKp6FwOKX0vKIxxSzhzTwuzq DYxxN/v59ize7WqdFAL4gVJSBE1S8W/IfpzZtf9hAU67aU2f7ZjOZMYS9PnOijQKIvJ0aO6QDB/M 1uYDqyepz6BDLaCl7a9F69quVEESLTv2LuEEjiMN4R3zrVUYW4ZPi4Nk15vORO/uYpTd1QT4c3Gj BtjpQ5BONOu3jkZ7CW6sCpAzKvcas2LCguHFn9R7yPzTjLJRVqhzdF0raPSDebTYPHYbT8zFhagy yeQ5/Bu48a6nAPWlzWsHTL4KudPnj33W9YZn6Qx3WG3M91i6biXit1zRsnC3TI+gkI+ddcXAbCK4 KGqMfjnb8bnQNbohCksjRoQ7+ZhLdr3v8UoQxXIHH89SEMHFqCVmu5JO3eHTR1OwN9wHgvMwPhLc OSmfaXB5ivEO1+poBjpGCPDPyAafYfVkhsAwJRelD9/vrDd4+eWlxW7wp4/gJo0DsxJJo9GoGsZP irkj8pDjOt61q4BgiJ1huach/wX3atTg611LwIyGY81+ttO6Q7V6rwJ1iGyqllEnlTpKjHkyBYeR pfzD5vHA6ATvXtH6Yn7Kl/lUlTVeZ1At8DQBYkftqPvEET2clSP8lr/0/FIvw52+k7ZaPpgywN9h hUaR+9It2b3VDsf+y6Jbn87HV7mM6pusyFTIXphJfIdGrVV9YN2pYDfBgwSr5vfciqYFTFjkIsrm WRj9I8vLnRWUmvtLHsl67wJhPcpPIN8BHowN//3u2ra4fUUnFi3hIuBtQaPkLMVyGPgVMt4CaSwd PK99cDIjULIJARorsWhVolVLZ6MwhjHHAmPUIzAyfD2jULCyqkkFi5mMnNLcUazK95mX6gbAY8QU RjNPfisuk00kioDuTN2U5+ciPpAMqMg6PiyXT+ndIQ6TmFAzQXzEgZiNySQOQOd/uamm++2eIE6A Kx+s0wbz04vtHuKip41HmphXJfM2cfhld/c20fkAxyYJHd3sn3vSX9qZLrIA/HSuqtZPU70PuLL+ rlC3/T9GGO+BuvxC6rZ4xgiCVjkyo8AZWGqmKjmIVP1650mNRL1lTjGBm4awbfO3dZLzBTD5qI04 hfaBi2iWl6uBMRuN8Cejm9KnN0EpuZVaiO/uzNqFYAoZBwFesx3Fbiwqf+7deKwl9/+V+CfyvgO9 MX0M9w9A858p9/JNdSNrofuz8eH1FFpccsChNh6xbSZau324V60pPFCa7tC/wNjhy/rW62HEYmKr EC9pNGuA1HAC7Ua2vhlo08NjPzCe8iAeFhQP1VkelIuxDrxPwc00cwmqnoX91EST0c+2aqHPhkbd 00HjksltFQ2ECFELQB0rbNvOH2c7MbAkAbilh79PoreKpuxoPtG5IAMP/T8NdPv5r96G6Cee6yaq 7/Q60y8bmcw+EFsuwsQgFhcg3bNQWS4hQyeEhVFmP0lqi5ktlmrZvBghN2Xajjc9duW/z7G7vE+f D0D1hq9KHBCvGGQuCZ0bgMwC+qv+Ve0cskLRv/Mm8NuhmNBqlNxuJDMhjEO1NkrJXmRARX7s2tSy ArbNUjZHG/GTWXfD+7WnizW9qmrV0RcgRKqEfSYO3he2ktiOvMgp/Lpvqo4rFpXs/nUF2q+KbrUp IPeR5XxZ+da2pDcR3kMds73OgT3KYYQHpjncvdGpGjrdSI5EeeSLRod3hrh9pwh/GaC6iHW+Z9GY x9C770XGlZaLneGaMPhjP27c3D/Q8S8g+8/Djh8FGmnL3gpaw/5RYC1FG0hcEuXQc0Y85oD7mvt0 tgS/w5xXAq+C0yaAU3q6dct/51X1iKm8VLhqJyWogR7k+aSLqX+gGTNdrHJ/rEvnaVt5S1EMSGtj bd0qDI1vWKVq9N3TPX8iLtou5OOv8ypo0Yb98PMtZBT5NV7JCb7vky4BSnVtsvbIfm9eaLJXGWEE V6+7ibVa2T9lsqNbr8+TzFOHDvwP7Y9VE9WlOAFTy3t79OLmKt5tKs69llC/W99roa7vD5nUw+bc ihIeglLCj3jEDATpe9alBzDLOHikwz0C4Gy6pkH8qnaN++3Bzw3FeOTovxAZnGe2VZQdocJSbame feui1dHEqrv5UivVCXiDztXKmD/X40P1yeweohWqcc2KviBcalnGQ82Bt9fTVRJnG+l110MkGhC0 egzKJ1UbpZUg3+7YwfzxuwFAVeaX7JrlKqs5Ey7e1UOydLHR5cvby4wvMtvw5Ei24iwjaHKCxXIF 1AiqsqwLN7dsVAy/nr6lhcPhAAT/cm7Z3GuLxijn3mUyw20xkEWCTYWgt4GGUd8oeApKIW8n/e/3 IZBpTf9jRAyOhP691nYTgXY5F86NsMmUzWmkdwMTgktJBvXFblbMu2dTaQ4yUQy66wp/Y4uadP2w WRZL82jykRTcwe3xstWkKOv7/BqItx2hbW5qpZpFJXf6vhBVmU6u9K7FXgZHn5ehbiG5EsNRw/Sw bPWEX9Wq6bkhebsxDw6QnJZ0S3tvjKTLrFMkKVo5WOAZT+VUzo3CmKuMRphot88tlcLTFjBpbq02 Pf3RM5oFbXjQU5cwrErpvqyz+5+4x0aserKSJRQDtNdcXOipLtWfT0QmVOPC/3LPcGqcltnqQHCc sHWqZrl8OB42VkleLG1QA54jWiJ4QAzkwC8kspI9R+wFySbOoWfdVTUw9yEyq+92aqzvrTgKS72r 9zZSkjabYkiz4yXX5zRS6PlMbiQ/EGn5ddCmPNL0uXFs3Kz8KssLQd3t3Zs/xtPY1jUFv9APNt88 URHmzsanCf8L4T+6ClZnSJAqEfelQEIw68vmufbM3iK8LD78YO25xIpocnSlN5ahEUzGOReYOXoi s9Jl1KAyCe3kyK9Ws+O/u/g7FlKVKNusl516W1Du/Fzge/Po9RWHlqPAnyeNmVrcO6w6U1x+B+r9 iWPTWu6s6TxJtluzFJ8zxE87JlFSGmxy5BAvLkWYHR9WxWGpqfg0iekrTwQoLUxAwUX/75QWWX+a fP+YBxfkBcJ/PxVTa1GK06SDo3sh7zq72Zh2H5JnmBLnexoqHyWBfj5kLMYVvWWwlYe2RaZVrjZW xPnSwiy/9S4vgh92KXklp9Vl5NgV7jhtxv47SiltoYubfDpBVgiVH5Gncl/dElcfMxQLr6dEbrJD K4SIq+CL7mfGXLaoq+uqacPINC52m7uv6iTvt/Fpzqu6ZApWFRnqRgHQbE73oPBSuJxekeheBR4J Ad93hRQXCqmZadJZiKosWPvDNvUgL5fUWmAe/HgqXEXijbEzNw8rJJ77+AdEmYjv6OowdpLqD7zI 6dUgFyiNOPb5BQ5+8aQjdR4ZGiPtCoQDEVW6BPtpDGMZhFW40XPzPaXJRp1FlAjYlx946v3lgpYB GBPmk2xHUfr+Ec3tarN3UGAQDoO5iUe7QaNKNphW5rsBHQj6c4isICFnuw18P0efljMkAku+c2MZ Wi8QWw9QvPz/yNFE3lK2HjGViQm0Q4WYG/knEn30gu1QPcy712zOhInk/bbmBeehrJWO47TEZGJO NTyOYk7WQLdoG7hyZ9v/ICpmzAxo0ckNixnAPJkgEgFsOy8x1Yd9Bj2WtuoAiOYU1L7bIU9XXpYD kQZdAwpDkmwT6+M2PN6T3kgEgBvPBDxRz8NtDrd+PODnvyArjT/2Rwaw2MNeEDJ1bHZXRqGoO50B 4mgYygnw7j4vesqiLX1OfZa7D+jnNx8pJ2y6fmDzVdrxnpy/BJMrexsZO5xlF4V+JHIVtnzVgt62 ciBkyRsZq5CVYFnwvf76dVV4qoDySLfGPjxl03Bbh4pxvVoSq1l2uA2HqQrNrlE4D0qCS2eeQ0pE VlYgdhBgdSAoGH2a6CLJxao2nrtZfvMyrUM2CUhlmXxqJXOQFtYIglwtOqw8uzsFay4U++BiAvmL WKrbhRwbcvTwV9SDeTU709Aflz9T7bOavUMVOknUlBqJ5oA+TOsMQp75raiK7YF0RDtiPoP21juu rv2zCOyUrEukYkTInkOhInvfGGyWI/eU6Q2E2ZO4UEQCzWfhgv+3YuqTXT4z1oifgjn+uiia8l+w beMytZUE6wASEz3vh0fAfIvOLmnKJx4L8sna04tBe2bCyxTHMs11q845scqZqlHSfin6Av4I+o9F 68rhUb7btCEXVCNTsaS1JKOcSG30xSEfMrPdcs0n+K2sHslRc3mo/UgV2BXB1XC7tSfFp8sSdILu Qpzuc/QC/FS/5eo8JGwHjm/hJnW+5wTqkTky6wDS2V5YU0cq3wv6goMsUSYy/FJsohC4INCy8OK4 mNuvPb3Y0Sgb3OlwM5ryX794DMZUl8ciFfobImPRE72hMKxzjVZ1J7rif7UqT5w8lWgIi5uHHeYz VaS4tg28IqGeyNzXGJTrrMLf/dpZg4KL8xOzHMtuNxD0s2IRvME1PhX20wv3TkoNECulkQdoX+YL I2X9BqUSPb233Qf9XU9GCmzxwi4XwETkiHzoc1PRJYBDzae5i8yd0xebLV1L8wyasUDl7oXHA8sq oKQYT96Fq+84GUXchLfLIm2vrEVCIO6u2RDUwWuaXPFEezdQEAjUWriA27TVqBtNGME0ld4ZfPCl DKcssebFVDNT4MlL4fe7j5smbAvAV3fTazUlvA21bXrt0xl8evBkcsoGG+3yylgDd9WFwAIPDguX 3C+7SJ5MaaY36xtThlNPRqFaZPdpN9WyjZ1bK6neNEZXNvjahrF1YW5/8wkQNMpX7PIAzFQRm299 I6rF7I1+JSgAiuqiwOmfh8AMaAVJl0qnSnHv8y+nxR//NFumKd26oZm6z0bQubO5axo4pUhukm5x r/lKCbgv/sJmTYT/OHDyxRIZP+vBIKVSk0yRXhvQcBjII2s6nQPywjigmPSShpdEi5HGaRZ8UOG+ XXU/FYN2CLyYJeXGx9sk4aTOhPqDfkI5K2c13+nL03IPcWYMLqcHLaJE92iczeEkO8jg/yq5ZINb sOw/wRbjgYPttlMoxe4BL+85J4JT+y0RSLHPKhRQq3IBmN7vvg4Vc+8cqdc2rKFFNQG28Jpqk2Mf 9nEbqaQgPQCGrOu1Cl4SYmaL/YZcG1thfoaHqGEJ2VrlmciLevAyb6FVUvmoMepD+d3xq08lyJ+M amzYU5cNrjnVFRtNwCTnpGBujNvtpUhdhVgOta4/RfJONEmziOYp5+ZkioDMucYLbR4QtrcfRUub bc9coZhnr+4JHM9Qtu1jKTSYdpTGd2uXxwDJ9IhfVMPgxNQ464Lkc2kQe71SOsNgyqiIspiFBNVa Xq4Yi3fvKle/K8C+eHSOWnAg3GsVPX/9YnEz8yl0FBtavhT9NnwbmHDEej/HFpVyI9rA41EsS+WM MvBuLCMV4f1cRHQuutzlTyDMyr/84vRiliJd1kavNrNXQc3ewuk+SLc/6Aacn3TnOrUqQ0EUjRVp DA2YdEKDZnR+lNYlz6AIyUXgHYMvx7mnd0YUS9lKpuDa2oiQqIZU+6THYCdLrTtlKyPXndvNcpSV EuBHhnrHUJ+BMdk28pHBUkBbuy15mx5YeFcEWCcLAAW5Ht6iinTWZLloOZvvZl8oKm77Y2GcJDrY JU8mxRR+HYan+AAyfy1NXVIFkamoBPwel6GR5KmbfH0JpqnLTT1w4eCJDwzRE5zOIuihFmCQmH37 HiTeWvInknxgfxX+EzmzsmZ/wn3YdvAD5PdRnzdum/xFFStqTniuJcwl+1cCb4RFykVcCdQ8uVro wdLVTwdAYoLdb24xtr9cR4qN7R19CnL8ojuLowts6OBmdc0SyW9TcC3LWIIXJndWu65A1O1S6c9T duILCl1raxJEovVOtUFYfXk10X8M262eN1LlUXIl5BMRXx+hByXSoFqNuYEDxnbbmJjRzv8V1lV9 AM4zb/QxvzmFwje52hKgIUTzuB/0Awlk0Fsuj7JDo5yZBWyWxJLrKYFEZvq0Mu+olF3KgDsLGuDj j7zVeNZ9iKaMHdo1SMdDkC/4v6H1VZaPBNPPQs4fNUDRSI9yLyDp+qSxeF/+6j62XIY9VbW4dgk/ wDAQZONjrfnjbWxZGrKbyoRsA6Fjow6Y1lB4qFqFur5ukT7ZrxbgCZ84MolFtixSGaTATaag8t2A 123Bqe45Bx0hbU+LU8FpX+JacmLR6eHM3wh7uO0xOkKHaIEbUVPeF3fLn62uHHdv/0lN9gTAsceP AAS233rgyOl/3LtK6Uy8buYHJaiSA74RyhZ4fr2CyxQxtiWGhVhhL+CDLwTBoFu3Ryd+2GKLop5U oJqmuw6IZztcXaH9Of23gdw0sX4k1TVtyR1NkR/vu/JryhlRaAqcNmTfStm5PGVv0E0KcWFmJ+Yj VlIuNCz0mt36Q2LB9J5crnOW17MyzHTUoYSXHw0aEuuLv2ELpCC2Kq/LwZfQ15va+La7JJbjXkYE Re1+4a6yc0KNP3ZOetXc+1Nz4VnowP9D8/QLlCi4MJKFDYR6NbDMkl24UG+/M01GQglOoYi6axJJ RfYw808hGlkijjP18Ul/A9cnZg1rMP1l5hdx9Ox1/+I4VpIHy5cpOtESakzxE7IZbhhPapu9YF8s Jx/0R4Hcs0u37F6KZslvJiIVVupXikc1yV0X1DCJX1ehQ829a3t9MJWcrtvRNRzCsPOF2WlZtOM0 Q840CZHUuq7eTOh6Tl50l5WyGvmFEX6xNigeZ6cwT+LsL4IPuTcu7uYQVrx5rAM+9uiL8MStRA6a HEd4Cwdl0zpThCjdjcSsPkgpvBxXWfm44Dv33yjFGFUt24jx5/3+m1Ft6xd3uPlMk+Y/w4SfbtSA IuK8xKjm13T8aw65f38rnwK0tidK9HpoKo7FMP1MBzNDHT3rzrZPjsesKXrMp1DSO02dl4GZY7Fs Qo9HKX2suNNfEbXz7N8o05vIjh79ZdeXevzJtzERuckvmNQXFEFU3XG6rtcofPxTpEmpLGW5Noxl 0r01f+VU6J/Wiu9dAhTjL3/U102OIpUg8tPveK1G9/Ht8/k4AzNCXju1tmMIK+zmhZTkVeV9Xnxj zx7yY1c0VcupkKTuGdYhVm9n/SJBzSh0klwbjUXVCDOYU5RJe3WS40XCuJIqlGp8CfC3r9//A8Ix 3blQrMwBe017LAVilbTtT16cwHdAUP1IIhRLeDZPhdMnC91pFHuwWCkKYWubVU0p/yN19LF77Kyd M3OznhjkmFt79wdJuuRYfHUTGDYZRtMjm/ZXwAlG93iqFFhLYTyUZVsFCsOiCqVbj/NQ/Om0iSn4 ZVLIqMrNfUrPixmSQCfxWBwNFmZXtdEZ0fG6LNIYhmB7NwwYBW9QPDfro1dKJm3oz0dVBldvcjk1 5qA4NGlznHRxhFYQkwFbVi/6PvcjIyopxd/HyOWdCh2sjbbAWkSOte/qZw0v46tAAr3LUAxj4liD 9MtpjRLCDsDIbDk+v0zr6t/Scf8GPZRhwhRgql54uQO8AXDDb/MoJ2by+S+HA7MOPeTodnh2YxuD 3+kxeKDzKxr6jWhHZAElRfFrN8b+cSFCtsrsi91yCi3uRpyer/o5rQ95oBZWEbAse9RlWDjjZWiX gAvkgUtJOp8Ih8Dpdl6v9swK2GVffBPiiBDWZqUKLvJfhNvuarTuYt665HVXI+yGkEG92U7CTkvt sqMbivH0udVzwQbRavCh3oTX/ukJeQk9P3WO2B1RB8/wF3R3W6OtKcE6YSh0CUGJBFCpZ47QXkyB jCEHadgl9XL71actlNgUXdzEfctZJ4nZYHK5e13XrTJGQkgTMoQ9UFZaZRbevhgoEYPMEIlkGcex Mi4urG78kF2u3rMOxmYK4yY3vpdiR0pSUGREO3Pq/bBUGtCpFntSAYgQCR3vmkVRSrXf+YU1ihpj H3ggxez+s2dA770BUCfy//zUGUqy49ZB0W+0OUOcs9DOTezHbXcyqXEetPhXJu3eN1CbkDA8bdgW rY1hyz46nmc6CKbqH0qNJT9AufhJUctEoP121KUh9QN3QkWnTHPXDhI5lBisFJVSjDZ2K8XBb8Ii TJq1lh/4U1/98xDgsoyyp/Dv0ZF6ANsEk8agGBguZS/OL24uSeQ/TacKOsyP9TTZbnUVpOUiHEMz ZPZnipRvIbg8vaS3h7sA+YBRAI/68d7X9BsTFoPurMRynUkEzRFHY4GgSsUpI1nyjq9ooD+nfNlu YxvPqkWBQIaKHXomvZoytoLTF5L+rm+aHGvauzAE6rk48ZUGUucJOPTbeVF/vVmSDgX8jnDwPbMw BVsfmN77Rx/gznjsw+Jcn2JoL/bSI/DqR1jVGBRj5jNT2ckpdn/WnhA7ohAJjyXpp71OVwN5UVyI gdj4xYP7/1lr5hFQwK67ciY7MqNpcLi+Nvlzk763EaTaWPVRo4i6QqvJqDK0M4QfvVln7KRiO0bE bZ8+7iNM2zU6lH99Mbgmem6CBEnbql6N+uhmJoMI9+JsWgo2EtibNKREGW/pf9OpCm59eJ447f5j Eu/nvmQI56kg+zSO5J8O/S10nCwkjJzOwCA4C+O22vFOLB21nKBqWiDu71Bh+O8hVktY/0zBXRq3 +nbOnHqOvcss8gmBCMHu8Ez/Y4ZRGHa9xSGfr4Cb3WYiMrgzQ032r7Xgq65xJRAwfFMPu6+XLcLF a7sV8MYkP+jw9U6+Q0DQVMrEwGzM8H5H0bbP6Bdk43MyRuAMjY7s8KIpMt5q5PN01M/Aymb/VWa2 rSrwShMh/VQvV/XpVxSEhyHi3uEWMRigln6cpm4HeyTHU1PCeNv+oMjZvyrhUkWn/3JhwDrYFuuq Rdb1qSFiMHxFZXjyCatvFKfHGm1OLGKiFc/FjAga7uiBOuVI7Gx6AvZu/H8OX79zfe8T5mtN6pAr Os9eAyKqb+juCb9o+Xg/7lIPgJFWEtcom+TFPFJU55E857Cc1QP3Ugjcym5PNnlTrpUqootwbYAR 8nMbgxMOQy7QTBq59X11SQlql31lMbLWel8pZ8qcgVHqJ9UVCfT0brC6nWyNwijYaFIzoqdMcuqb QSOM9MPus29Zob9z7otyq8SmS81Ti3ebq2mGllsy292tI4vO845d9CdFMr97PcT3SsJeDN7gl9uZ Nwg+VmFItl9fU9JaqVY9BH4aRwWylOYDOgCeHhf4yKbOErAQqcYd/loTW5csLBY+PsKMHxuJRROR H6GjwBWlkpgZHkjNY9QpXPELG+Bd7Bqi7q87fumFPVK3kRR8HxKOX/L4I5e8/6TGd1Das+8MVa1Z vAI17PNdIOfdwTbqmfwv8zlRGqD95VaKjE7GOXtP1LeZ4T4/M2mBJ56fIwwnRwAuasvlYou5JDdf SvPvX/mutmkKXxsZk+KVrAnhp/uJSq9w3/t/bs+rFwdrt6gTobzHrFLDKJC8XIqmIUUUxkTz532L HMIJaUnIGVTUBaHg1xBPH3uDzU61rqPiNBhc1Pfj+dadUXkGciLq0ZEQKaYYKm68JhDivUuiLPXM GXKDfy6txDUFho9N9eiliIWoFMmxxURJBUhp7Qcb5C7nclbCXSTy8zbLMLr/zwNEpfDnrsC65an7 mOhtWX26zNEFjY20AHLtihdFX9pXlf+tiOsQsCCZC4a7veUJkdd7FzwEgTxkssJY/eW5mn7qbXoC GGF6nbPWAa9rKXPQ9hEVsQ4f0e2RsulbzOVTtkJE+nKRs7fMCydOtgLd9zo39Li8A3hRIoRFZvAm R0+VCcqufUEtds1cOQR9E+PAkU/LFEFEvviFdXcpBdAxUGeOqXRKXbH5lIzCMEtfHxqgJx1/wOSO NKbR84TmS9yhMhmQMmRzu1q4EJzgSzd6/nKR2z+NB9BgKaqx9Zt/wZ86BUjHxY6wiCfbBS5xmzpc bvuRdwX7lZoLplLSpMoQH65rBcvhOIomFWZNrvG6j0VIH4EUXP11Cc6Mztm70cTOnHkUl6nBPFCs JwgZktxK9XqoUkhNPdi7WMROVNKBn+a4WQO0yuU2Kx/FvlVcJ3c6Hc/Ju/8AbpyoKdfJYJu7i9/y /7x0nZI7U7d0Zdpg1SDz3R2x1Bb7xy22X2OIOsPnYs6qri8WSGPgaNjjZdvKIUFw2wyDZI6nK1mh 4HC6B8WWO9p+vNERCpZxC7jtRkZP4xpt95BdQjwDlyGMuITiDe0AvJsY/liqYJVQsz0Lx62hgaqG 1fzsKM7qc5CfxZ9gGIWri3vjNaziAnVj0XZp3QvJ3o6dsxGY8fpoON0y+2x/Bhh6no0nbOFID6ID qSeRqd0LJfUC3/8am8enASnxz2dIxx3XgtrvP2Mu4KpLPVUjYwzWKxd++i0wzibtkxn9Sw/jhXpC TR8s21OPXuyd1NnvqSED8URI1dRuuhlZvyr/IBV7UyFhcA9iYNC6gLLZh3qsmlyvLMNyWG3KnR+t 2j52zC0E7UU7BfX2EBX0Ddlh+MADDmPRt4BTu/QHzO6wM5N2NZA2apXmorjLkNsoFZD2yK5eBrMm VbrFoJy5NFXXjHvJlHgh/IBAsO8LTRDC1wowgkAzCY6SKgd5RRqwgkZbO8DBtaPIpw3R1eHkndx7 4ijVVznYUe99+MhCC4Ns8gYde5tVEVSIYnnbTMV6qGxY1TgDOCZzhK7zqeeclzRzhq61tP7urmHj IMiCk8dMjv8VPsozGqlKCPbdX/Pd9xcr0TAMNIkkAmXbum7rQeBeKqpldshLHDXs8OboMOBL0+ke AB2YUNlvoHBJAUkjGYtwO+FIGoJ/9dk2hcZ/S3CSMUGbBVUGO0McfxblGtXEo4BgWT36gLsEJEox a0TECLLZIDHQJj8yr+hzT2oYC6A/meIg5IcwoVBodSrq9c2YruC5TQ7rKSBqijWbvQRIcxhZ3Rdg sojXEtX23/DFQmBVq6z5YH0gr5qp6K6yqEzCy89TOuBEG88M8SNT1DRPfsRl1iEB/GmgntneLMg8 R7OycqekGKoQZCsCxWvFf6aor2mfocdBCD8wLMsgjGTPm0IeEJSGR3Q1e3B11K9+wIx5DqZ60CDw f8YbsJjeYYRonN79inFmCGfb9xT2mmNS5mMZx2deCJnzATfUYh+tPWeKk7omfhUzz1o2707fnqj3 ATJovauPTuAs8Wxqja6CspNELb9HItdG21CiLxkkR6KNoLttiQL+1+MiJXXgZ3iY6u1f1WlO+h/a GP5g0YZ03h8QbiuKrPScD/z3nJgZg66XLm1fl267Iehiyo5C3f4zaN9SLQQLvGDwMyh4j2FkEua1 ESRX4fgY5CWhP5cJMj89AapyOrSIXpiDN6s9ubWKILpSoQI2FGAnSP4/sRKS8Vm4TXGcWxYe8xp6 9kG6Yn0VHes4lIjIJJzwX/4sAoroeKDlKAGcxNSWLoJK8U6HpOmW516vqSplmBKrxRaXKI4JE2Zk jB5rAbW4tZgKeoc9OlMZsLQQVWKIHInSMfxx0lvGInWCKn9EOYv6Xt5zCaQH32Rt3+mYoDwLct5Y dr0OBdKRFMaedVpE40n1j8UWBrZKcS2gmHB1vKyuQku8YuNRL2Fl+Yj0FGUlpdnBzGcgU9VLkO2o C/mlneV3MxgQw4uEqsrNAyZn9qtxbV6FyhQ62ORLzjFTdUn8nQSs8QpRq/AjI0Yhm+HowM9xz9oc aLtZoSH1uoms7q/ta1OUZapji28m6I9MSXRSuFt0xlfZbM5Uw9kdLwSHMJEPCP2DXAoAFO5kq89V hq4X6OZO86h4CCi1G7XDzQ2vLsl6HDZO16c9J4u/X/YadGGaoO+ntzwxYfK+rDBvPmWK5d2xH2jK 3yiqnN5OcJv8Z8zJFjUvJce5A4ABQwq7/nqyeNEE2NpBPV6HlE/CwHkOTg4KodcPu7jK9abwfn+V Esc/4AABdzhXJLt4iYMGOe6kRSAyIIUKtHM0Mzp8PwTRFW09iWy89XE+/kDCfAWxJJGwRIqbdAon g6efooFHdXN2fxSnYJRjBElmg3euUhOQnv612gRKNRolufZPAv0wnvtbQtdnPKOHu10tnEylE72C LRRi7Jzo6xm7xVJu/WD/SXLAV7JBsi05nVreJ0ibl6gxC5I3mpjX7fcMNVY8GelZBhr4F0uu/Kya dLpTQJHM/Y58ioFoMeDfhDdReJHi3kga/HpcW2FddOfhoV0Qj8o/8tyFUPCVtdjiotIpJHjg9nVe 6oVhIkw9T+nKgvcOakgAYLLcTRrE+NEhW4g4VNwrsQv5tNRtvsON6awp6fZ/cNj0u72EeHN5ODtA Hderu0LDU0R2OckQY8E3PSRX5/+ZJCyD7hI+c6N1beLD+eDkxnZBcSBdTLUUwNcWGKw3EStzkLY7 LOWUVIWLGzNilNk6RWMbItFHyHasFPIcqZSaBkRAzBgiV4qvEHJg+zrrMrYahvLY7t/AYBYMDs4i 4f5gKp+edr8KQHiyhvDRofqpREbDhvn1//ePA0EWndr0Jb7SgfDhbvUI1M7OQo+2ZSZ8jAJx/k1g k+QwL0+pvkACQ8myvvHfLi5s1/cwlGBhnE6s+DTvrQtgy57Il2oQkCK5+QPQCJYx+MLci34xWrXb qRi/rs7Bz+ZBPRmUVMde19k46zoIy3t6BxfVrAochvAFpiMdQsL56RpP17ve9+fPq28I5fwVp0ow vhZNN/s0fQh5WILNgRFA76lYmguVF6dRAvOiDUjyHuGABAquFIBvL7hMiI2SoIZ2q7TRSREtharx 9NOLFxTa+NYsZVFh3W/saX6qBLrS+IZMecsRwVevZXCgOWTQ/zXLzSC77jlgz9AXSeyx1d3ZE1au enz1/BhXIdQsIz8jZlKc5YCCpEpUsdQ1dFnSDCnjS8MLAVSeTAsfOtFUslc/urBeamZidZSmztob 1AGSD9fUYzmo9N4aAcFZQeMcBw5En70CceZEM0DNe/iXIk9XCQIESg9bYA5GL5i4j/aqltZRqYc7 RLX6lp1wHWyMYKyD1y1u8p/UXnn+KfXde7Y50IwUwrjfctB4etT6Q70AVTKroQvcRFSfLbEyEUAd tQIhm4BV0i2zSb4E9d8DsWx93hXWV7Zs13475v0cqr6ci+FuYoZMuZYED7z312hXMU+QoIBy8acx FfnJeXOq5Yj84cCdTDjHwlInEcNQebc5k/WIh3Y+Q/c/uD+1bxloFFpNFLMbTFVFu23g5f8wtM1z YTvJZ+pDs2ilAjLtejJG5a3JbBcJU4GRAcrPTuLjQBAni1QNt0IyyN+JmnnX6jjkJ9LCmbq/20Jr o9XThfZOn/y6hcFg6mOFzXJF/K5XpmG4dcwxW4mODwBjgPHELv+Elo83Vl6IBBo3hK3k8qmH9qgf 9f0j/OPZEHAFTEnysjsa6EGeegvEhCRSWbIKkU1FCiyZIaybf9i0iGDXgh+yd8myCzIDMWdnOo7K mec5ZyA2YVv7vwkPbHjwVGnwMjuO2QD0R7GY/Snrs8npHPnxfgouPPGlH9xzOJ2sE6IDssVRKe48 HhojnTTvUZTK3J8qquEtYASNKlWk+sLlffti66mMhXbzYC6ZCyQfFlzjxnq+9ecNiNs5ItDrgBN2 snuUBAMm83UPihP1ZZ+LeYNOnAIdO8gsSmle9bh8CoZ8XSsMq++0lYlEVZNrFk2cTHOMV92CDaZj Yp7vyWAMCyub96JJjfqTKVoGv0dkZCNCffq5HJuiTjyJzqBhvgoROHzYe3tNZUOlqYNwx8rOFYtZ WEBelQuDWy1Mg/zmmWIFQmVSkfILaO5/v3laoHeNzeOAxhepnN0Q8poryfzNQLzwzbDYbavMO6u4 Fmk6fDicY1s4YuH16+FA/9NJL+4gxXTpF917zxp/pfeH/0qVB/0rCLUqTYqNrpNVtJEQQlVx9tBk 1TvkjQZ7m96hAyy7RpLRchv8mETo4aodvP05YEaS32SfkWLYpoukBWAlTSmEtASALhGLhqwKE8rA 2HsepmucX7y+FVItL3CeV7Qzq9dLeYCgrW05120la7v7Ta3fk56ghSKHq/iMJ3hdGE0teiA6m4wN +lgOJe+ZT3/+TaDCq5fV2mvInSB6DWdJfqYumGQtcShnEyzdnkSws8aP1HSdYLZixPM97yA9LhwL I7aWBBsDO3HSnnZGOaSyPcf6HGZLeEr0xAj6pLTdjIM9WgdFZja/c9zxzxsbkN7pCJcnyIt41luv 7+73brLhVMGwA4xemEPr6OnEZle34Zko4UGgGry0KEfK5llRjb0+vqCgJ1k89ugs0T3YGGwF7hGS dBo/EawJrx2O2lT1sOVhV7kRxh4dhwuxwb+FxHx21aUr47WcdhX7ooKgbio1/c3fAkRiX8vI7I+m hBoPWx6R/6hCw+SNWSqSgH9H7o9a/17s3wo14VnBfjFwYyXUgqLHg7+zwAJIFbLPDSeWBrmRcRW7 fza5PUFtiW+jj7ubG+xycYyZxkX2iorjAVRRrqNBT8X6wP8QzRAEVjSwVtEEM1lQG72OsxDJrbdU Ao1seMDL/OGh45yIPKq4+/G3i+IXn4627VrdPdsxqUPvv85SOou1a2mRIE3qNuNOtwR9wGpa55Ua 05+Oy53/u+mGt+P3Qm5CbkAj1Jj29V00+l+lJZjR3ZKKPQx5VaXcz60dfVUgzg1169tGiLqdVkm4 CXkIZQC4KAFJM31kQtkJVwsBltxGwI0uETBeUepKC4I62pi6JIvOqXpUDW++aQWMtW5CDXN36JWh J2Y2BySARQIZVMtYd+lZ0MQgS7v8x9sHDI55r6CnsBCUbS1ILeGm1ut50mGDbWYWZbO7V/SsqRKD vnOCc7PQkrPeqgax9TBS7vc6VtTz4wwatMttLJNEYA4owQIxX9RU0bqaOkCe9T15510BGJx7kDde SbhQWVd86+L0fV94B+ioeO37U0QVyRmCW43FdNBkA+Bq0ZxITZMFwJupLxlerJlVp6sCTM5/aPRi dmz7aVzpdJPJ3n0cERjdRA4kiaDiyea6GtMk239wLjv4fhNLUmlNJFmEx2UIcHXHJlXZBf+k9ueo 9Y/WF1W+hy4IOSKJKyBxShSTtfAT7s8a7IQoAMtIMAUejMDdZAh6qO6nC3TiVD/JaL6p4AMKPqDo 5SJR00VLAlGvuJFcZUiI4Uww+cskrGuwrMEZ/V29SfvrSYTyuXVJtHYIC+nubf8y/L7jQl9lllLs xciAvTMrm3wOscYQ8g9aId69vV1JpVPspwZbKshKjAMqTyAN/01b+BtgfQf0KyYaGd4dVvSfIiMG sI23sgEvbdKJoLElcqoYp8QYpbGf+AE1ma9QUqepyJ/ugdBOLfG8e+EUU7467o9zg4WLEoouZYU0 OLavesw9GKTIeBFZfHlzbrr6yAf5uZFAKAWF6IV+iL0ghXIFoYKSQxsoORBlry7NLAkffKHtfhW8 PM9Y4J8MDWfDE6vpWEsn5CZr4csrA846kpKOF9pyLAU6BSWxlcNfi7mdmei2qGLVHq2RVhdjGy9B 7rmODa9w00BvExyWh4D/Lu3S5j+v3/W66Qv0cvdWCsTvBvmcXAd/jdI5m4kbjNDrZksASoJVUdRV lFWXD4GwkMa9chTzLWkKqabCN3ZRLuhHczwMiZmXuGYlJVH65R1VryoZc2GvUNV4mTtJ2hdWjMCG NcySk94Zv4kiV2i+SEhvar1F9CzRc9+6tWK4D4H4gzucpCBu52NhWpsvIJ1hPOctTYBx40GOlvHR IccTg93EiUW2nxCtDZ6b5kCzKHHNSjsjPAtGmR8SNHie+sRgLS8L6uJwfEDSU5cK7st/ysP2fI8L MkP6c2+ZYAgNq9vmVfizsPAK8pDEo0IhsbDBaTwUWklJeyqfir8D6dEbPewPn5/a7qNf0IvLC8OY qGsSS9K0R8rYnX/wyAoB42M6i0y4EYw0qUz7IYTIpDWPUYT7xeiSdaliC86zU0Zq/u+sn5Y34sqg n/JtBsshfnzhA/ErLGj8cGbdf5EPKCK8PHjWk3uTdDdvnAgzZtcqKKUjbEUD+c/1WBOW8kaPKs9+ cQr/QpbiY4y752N3fv0ex5RP9NCdf2p6mvNamWhcHKXyXCmNdV6gfI7d0h0HAoJ2X9qbOyQI3uob 0Y6qTIAG0PT7roBMnbq7ll1s0ggeuIFNG1V40DK3QTxZjpdTY1Gj1p3hkDM/wVWYAgFpt1fB2oYL JN4dzcsyvNVv+ZbpwP+gNpdTxhJEixD50EkpEMTElrngboetpXgvOv1R8Lrln9QdE6o983Ex1RgK tM4pNdm+q4Sfg8XJ8KDYhyjXm81Ax7tqTwIhess7ZKsgrPrqswL0rW1j0e4n6/12OxEN/pdlv+IK 0sR1ZK4X98bcoY5uTG75JHobWPcaIErpC5Nf1AkCh0ycSDDHTKu2nPwF/YyD/484HV2dkyz3cj9V wEZiKihMEGK2EbnBbE0tgYU8X1SpYX9WyBaycGza4DoLRIQoDl0jXS3W8NBqAIF05lfvyjums5hf A/ykhnmLM45fxtrLjh1UEWKpzq0iZw5rCXHselIiVqJNTq2MdYlm8896ruz0AyUMDkkhtiKyXjqJ lqWyX62YcrxpB/j09yKpfg6sVe7z0rr1I5xM2mKd8EL4Qj8ThguqC3EC66Jh4pHNP+mjrQGqWc3P R6Tfjx6oD/hiagENpFigT24DvJPIxw4hkpYVFB8tiObOvSTG0pSiXR9ZcC4Dtkmtg5rHkKZZkOH9 KHTPdKyoN58J/ui28yd6dv+EgUY55XOZaYU9XCOupoJ0PHBabh1xs3oU5YgbHrHRjAIhRa6djKyX nfMf+FqFIo608A9y9iL12vEICW/6VKXenZqpDuYQctVstD3SXQIz0FH8hEeA5IWFBEKLywXzwGTi xS9Bf3VBu8MRC8qme0KMQ7ktYc9a3zK7J3ORH1RbRKznIfpLt7V6Ny6UAuRK0YbjjrxlYfCxZ6V1 uME1pH661Ifh9QhOIa2au4PIA9b4VD7Sg1Fio33Mr9FSC8j3XM0UhgUkTTmVEBSELtfqFJe/VQgN lwvVQ30iOnoZEaw7bRVoYbGqUx42nj4wuOSiPycD84M+b53XXSFatT6NeW4AIGz+Ta3l22jB7oSO mPgTMQrIsBN5kqP+Pf/1OvtR6giac+PiJWn9ujMJUKppwjtAtZg44TZUTBJEan/NnfTzJa9egVgO QlAgnAITVE94Hp/MNVd685fp/vnUuEAdV3Ou3l6Vcw+vYJEesWSjrxBKjIRQNXq/glhC3dcXBPse 3QQFC0legXCkEPdyonct3kgpuwGCABQA36ssBAA5R2j8EmAc4WzwWgJwIvfJ23nBbmJU1Q/3Ud4o pfAzUzLhNHV3GoxEXk63QYp8+bGJ2GS42iJHm9CJ+IN8N5IMlszRTyHqsCVGOiAFk5E08ZaXsdFA k8O738Iz3MtPx701HKSJvDCjK4UDQwCoISyP3CeCel4o3tMSQYPFw4hVEvk0e+MJ9IO6qLctDMvn 8HUFY5gQUl0w/1nUL5RTwCrTa5YbCwkf5QaM+UFGuHNuLoVx8JOo5+5iaAMlh1e0nln8YzbWZB6B bppPZ/oEA5DAyRBlyX4P0wa04RByl5oo3gEpIhvGh7X/SGucYxqDD8wT8W/qROZ59iXlYwv5aMdF amaIn2qb+ZiVqDFkfqu+jOeKct8jhnMSSvNfEJtVhHix0HwjWuzKrg4li/L+0fXoX4FJ1Z0QaZOw szv0oI02GCRsm0yk84v/14UfeTCFRxC0W+A1XCLMVFMgRjTF74gthS3fTyOrSqdc9z0J0C0384P/ pr2HV33TSBo+QX4pYUzscs3u1CbwEhNBW4eC72ZQVlCmRUdsUU3mOGZB9Q4kRk+P5V4EhHzSA6Bu inheteFX62jT3l+oaMdy2X1g9QPgqXIpGRVMhetCGvVAUb2UgwiuQXM4ZX+x5A9qBU37yTIELjTl TftbnlbVulGZ+iWNgPXvK8YC3LvU++NdlW9p9AUtqZnbkbXQNuoMuVcOiN4ZfqBjxm+1XzUJHqhZ vUw4aG+zkPTDphFcdcJ6BaelmJS1BOnP4lNd/3YkjYrnrj1BCeruHfSd6JulH46yhkh1/0Agrdzc mAg+w/1GQbL36zeO9BdQhd6HpNRVSEVDlqJ2TnRCbf+zMjxavDQhLLzJiI8xap3pnoPS/VQqOi8C ayOxA8yDbkzSv1Jo1vcM6hMoWCsw3/sojn0SkDfT06jht3Nio7sHr675HsinAz9djnbSZ03Zio2j cyn27Wu5xUghhtnl8D3dl4fvI9eapzj8ZNm7IUaELVsdtnuOTl1L2YhFY2ZphxnJaUHQux5ku0Cu KsL0+60K4UVnLEh2skoyePUaFrQA9d4j9MnmO/X8fPpbWfl1XfLQXBzX/E82goLIYt7Fbcc8GGDD r/eWUL0L52dE8evQl1OIlJg9OEU/dq6/jTs+Fwh2zZzdDdcWlb1UteiWHMrmPN71LzstbiZJm59h ArH42+5b/h8NbZUS9W9bttzug7nbnU6aikrOhIbfrYy84jbRC2uRdS2J467vdCPX3cKp0CgzQ4HH +Ol+IRM/7+vmTYmCo2TtBVfU0G/9eDATn+wsSgvftbt6JJZEgkWAMHRxEiKzG045YAnVrXry0qm+ MZNdN52GRiBQC4qYvZ2zbo1VzttEGqQu/l0v68zo4p92pjw2FPSyvHw3OFxmb5DVkr5vPg+XSy5J wAUHrJ2/AlTvXrxMonkJAOmHCBdAQNpMdd5YxJy5pc4BcOGMUS2ZREoAoXsYWwz/y5TPsXH57Nrs +ofO9s4AH+66p1JijTdxzyU2Q509CTUONnIEyUYv2MbXfymNsgL1WIzEmcUT5wmajq9KZPwICE1c p04ViiXVagU3xyFKAVdCrnLjyNpn2IAQ4EZ8VpDQpB8QM//WTo89Gqi2iR0yBY5FkfZMprk75CHf q/0POKYeWx91lrz0w52VL3nlIgTIpavgUPh0xxngPjmCsSYvLhC6OmYuwAXbg+A5+/6o6PHLmsjJ Gq9Jn10PvX9Lr/wwYxlhxHBAzvMkHOF3qHAZ4+yd/lVprDQxtua4pfy5oo/aLQg3IsiRTrL7bH/K dIcQ6YCkccoCgsUkq/933Hsdaz/P7KMjB9+vZOMDK1e8xZph+Gy6wTR69K3VNFu1MpMkSq06B3Rt 9NM= `protect end_protected
gpl-2.0
d2fadd81b92c264f9e5257a8da60f000
0.954974
1.811796
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_comp.vhd
12
7,300
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GW7UNomknr5Dw6Tz3R5svbJyGexHUbbDbEMITb5vMnh20lFU2WL990S/aYPAkkqJUjJPpL8S/093 yhlfAz2oXA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VPJm0bZrrF2NVzuq7ao8MAK7FE4zNvrufu4waB+nXHPnU/t9wPsgu2I3ct/Bnh28+FN0cZR8TcWt v4yqKihHeubq51JvQnSQBnzZnY/j4llNeta6yt/tyW8P1UQHd14W5LYJ3uilMxX+2FO+TBWdKAi4 WI9G7LbpVaiaSKjRrUQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QNxRzsRZZgR1gVuYo8+jKDYfKZfPisAg2DlTO+UtWSAU6Gzbvs6mMigOMdL9ZZV4UfsUw/2OJ5u+ S6Lfun4dcwsruwbxOy8picXmvBLUdLYm7bTLF0yS+A35sQViez7eTBeV/chDoMNsz5/KAmkP4uqa UAwzHT/cuZCBNeVbv1n2bDA+5kMv5nCwcNJSACh254NPEvFdKf09AAIVWgsdVg33SRRzbYw85Zro NUT2D1AiQIWC+D3eAIAGqV7MziU0hGf8yxoqZj28QBwS+/TDOZ5a96Uztx5lJuLm18w0vX0/3kFG Ghl2uPWKnbELkf1REm3OzaVlFBuQaXkp4PEe+w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j2GHCjMR7MSOEtk5/r/rouI+9tnO8tdJq5hVs55zjHiR2VpvNE4+EjcJr2mtVGWSh6GfYvEZ+lZ3 vnBz9lyo7eZUusIT6lSmCUVtb0bFg7Bu11ryq3Tr3LmAtKneid3NWKmkMaz1DXj3bq6CXcFApWmw KzjnrK1p9eZ2B3zHumg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kZyUDnN0bfuuqPptXshBDr81sWyb5cUW5RO54b8h2tJ586CW5VQQuS5U7tJxrVQsvTcWZuK3Ya+0 QfNJ+DcLZh4XQ5+Wjf+Q2uN+BwNpFDeojZKk0scqeFl5VTi93s4R6PDOeqscbqcxc/bHv28DahuH F8iiTjmdq9hMc9MfxKVqzkyq5oYrXP5lx45V9Lh26i5lGS2NNXQMEcUEK2ioY6FbrOmg9jQHo3hr aYsaMjn1BLy+9BIi5FRRfius91lUpBj93hqbxyHinQVQ0JRsXS3YiYqJWCyYWIko1b9NdOOBejVk YlVQ2U+XhedNe/rfBgIVU9bOajnmSiSa7KbYrw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3664) `protect data_block 9T3ZlG/66hAQcW2jvejTpNCzjtfM3FwKr141s1c6B2838Afej0zdbZyqmJlijEPNvuCXd/PBokxd 9/ChcwKVxT0UsT6S7fqS3vKw+5IQyY1lAPFMQ01oX3HeXI8fYVzjqM2BrKWIQDrsxDV4M7nT4O5d 2bB2E7rpyPC9NzvBP5QzC5q+WXiSMH3lrrwZ7OnlZeAH/UnWsIiehkyhpNZM5Gr/7aP4Qgyzav3b Co5tm+56qmmYrDwKvDM2xAs4g7HRestwaOvmaZYC+wZPSNc7/JyhiX6YOcdpQnOcM3pnSkkSMUTv 5Et5uKuNnCxoo6lWKWWi8zwerUdDAot0M+8O5aWsJ87kn6hdsCqAnAowdc/bTkmHRoecJA4YcMT7 Fgm3WaeYYHYD9UIsemn+XZqTpe3h6zc3HTD8Ev9jjoEDg5HhYXjEY2Eu0N0lrTb/vG506gUY40rt 5w9AEFu/8dU5Lj0SWgIQVH8uiDcUUODvOoiusByy2ouzmlUXKw/ube8OVl7qFvu2mOI4pJ+c3C+d Ribd/gWQXXHFbyl5nt5wPfpzFp0oDsJZfeCb7ArMlOcrLsV7/diafcN9FlMk7RlB8vGZAYiLWLxv WTFQLc4CnKcyYbvms036+J1TItUY1YhCb8c2LL+il56FDuxGTwi8HjFAsLIhRAiqsR+XFML6GTC9 R6MOrl29+C+2XYx7vrxl9Qh2klO9//iJC9lWEcMPcExbQEnn8LcJjT2DIaQHbSgHLHYVLWy4is1G uy2XhaSwQPl+slEXdanm76EyQid4UHOk2DPIX0TzvSycc2VCmRvjdKlJScOf+3OK5uMHpChPcSem 6fnK/rkYZx92H9V8D4AkRZj7OVs0oVnVcMGbyKE4SHssTNUSZY90r38TmvYcpnoBm9PVy87kZUSg PRKcmYtL7GVdoZvAORJnoXPsAvEfQKYTr/TspSI/8OGPV6K6Sn0RpqLy12h7OIzr2y3WLOlmJ692 n9F7lj/H5JsJXiRx6lwlCZfhl5jZXbFr7ZdaiVosi9+AKaWi9j6fCfRofEdbo17uxJEKE2UDrCR8 P+5WsgrnzZ+3kULJD7WjoHLVAH6xSFytFR0isVaSaUBmEqYbCx2uyNkOY6fTmZbgOLbMsKnokDPO 08NyvgjZIYmJTtmx5dgP82fWM9EgvVa4ZXnftnpY76+KTexTVu5kU3X07mX7wBvIKWbYjNuLLTMm aWeF2pMoO7EDP0rwxc4UQkKapTEsPLmdwaqTvL/OowRhSEsmV0QpGFBvm9aZvZkX8ofx9qp8Mxlm sf5Lbyb8nZ77p9XyuYwRj9f48zxyfIMNMvHxk7iNVFi+gjUBQlHi03f2KCIkrNoZshjRcSccoa8+ f+WTbBJRMRvD28BuTWrnkpB2dzwShW25YhCc9+/sady641jUT+hrkclPIuFttU0bMjS52QqEWN02 EWOktLPGH52nmBJhUSKs87nF610Lt5NGgv1uTvYyO6Nv7WnTtAQIAQpV7fZ++TLdbz0omVduayhh fYh17vJUZsUvwygG1CpK5g4X+07MccL98FWKtF6cdi4TWSm9FKrr0KjOyc7JfJ4NCep1KGMltt+M RCO2McJp5j9rQrB+8bzfh7SZ8ygg1dLltYQPLjPPUj3XlF7bgSWZLvsIP+K4KDULm4OFS/y1FKrF 1ITB3mAkseEiVrbXKDA00SdRIGIU9txG9GaVh7ESOoxrsuEry+fJvMtI0xvzMK5T6eXG/xUuIj9j dZFYOQXRp21Nn9FXkqTk6Wf8JDv4gcVg3YhXsdOOSS6LRhAvFv9mXz0TgDrCXUUFj19LME2noCkH qUrcFXJzcFqsKrepzO/5wwgO4G6Br9qMvOglJARcL/ifXecVW7hXu6BSklw9HWCbzI1GrmN4Hvbp xi4EqnNTuAq8cJphF3CBQflODCizFkFHLsLVKwoSvfOk/0FraEhvFmyBRS9Tp3CDd+125PAOiuzZ Owz3lPSawIjGjHJQKtD2LpEn44hXp9F7fJpFfGzy51sGC99jf/LtRZ9T7WykzbLD1KnTYmBLhwBk WO09fBYTJZU89fdpnoqxXb1eeq/9sY959gMWzpMTPIdR/5NDKXokl0aoUthJsMMfoz8LMVtMb4NB ZB3+79+SEuASiXl+noubyRDBZzbzE04/loMwgmSwC8Wtbtke1VyNeQP2PJ9hhQ0Zi8hi2J+1iBQP T4kfmoijz+/rCrlJmU8gh4B+9UdCejEP3aWKEWllY3CJsy8m5eZpniqYyRtIQF/OSMxZLC/VS2Gc b6p9UbmtYLyZ9Ddp374zlELwFQRFcjRdtNsT0UerF93Fl67QEIq0z+ZcMNHtaNFhtnZhNj7Wt9nB fvN3bBJ8vnADIVV7Cqz/le2kmYvjMiGAY7VPE0GQdojaJq/udZ+/kZZ1RwghzlQtELNd5REJC5dS 7rIPfL7UElas1qjDQeXKGkvvGWiK6pgFGjirHARifBlsFP55/8Hr+73dXVuQytp8trHfDMS4uTCW RCOK0t0mvFzSPcCKtiptRek0PAxAe7gF5umlcryetAN1ipw8Thl7yVMfbS/a+U7qHse507zwGk04 3Wb4FOHFfotHDuIdYCISnPLiXoUXRtOab4bXBZqvTd5ZQgGJaI/gBJU9fiPgdavUF6NZ3NLWzX/U xOo75nM1kdue6u7G5ANx05D+hhmktqtGBhIMCGbbSOXoy/kQ8dmW/gE3v4i+NnASDNzZ19HumIUC xeas9PEucMck2WJJ6jBRluioeVygJa5XwoDlGDYGuoy1e2lbCLkooJcXBEDZw6Os7pgK7bDEiep6 f7dR/HSoT+But0btWmYpYP/qch/56kmb0lLfd7nWGtpx82BLQ7dWkuJnwEbQTMqB8XirzZvLIpnv Tv/mZO3LA/2S+tvVPJ5bDm3vd/gv5tLIzka5vNVBr4y4e36YMAFwqmsIluO4JeTtk/xPVysJyZTR jh6IjANRIb1xXoDsH3s12Sri4Qm5HX0RhO+lA5Owh2PrRyXby6hU2D4xcSlPH6N6Xe/Eab9TnENG 350uebCQ/0izaaOQBPz6n4XNOtM3BxQcEtvvm1bXiZWsXWNJl2IBdW612mE/iqB3P7lTLgXHqrFi wOlAhxtPdlUnNn3yuGYqZCD7gZSXromve++qDkjtjZOexDbVfKDt4w0qD589/VC8QnUfouIQno3j 6GyCHKWa932KzTxt8pLwj55P7OG8zl9ZNunF3YjOCew0ScBudI/3rPorNsdiRQ8H99qdlRUGddmu ThjYV8F9W2NSLfXo+nNIEGI2l/NEUqQHBdLAyzlRz8Gu7UB7RicBqJUyCDEaci2rbZDDEz3jm+qj aA6q2u357qfMblVXTuOPh9Qd2+IfJMHo+n0SGutgEzvtqBaFYfhdX+dyFU4HtKbO/jzRrE2220du Hwn5vguSI5MXuHzJwQzG9OY0Nm6K5A4qcKbRN/Av2Khb5Q4vlf5fTs+HZYsocg2GbqTpVNcLU0r5 XQkbLWWke0V6kD9fNnsudOmjAVgNFvi8zA01Wh/89XQpm+g8MVVjubP1BSVFXD1FdH0MkymZw9kE 1rrNlPArZqa2tYpuOTg/cmQT8jMAxiu9UFiQJsPSoL+XxTRKWnAJvVQawvFgSdDziOAfjZ5R/k9N f2slTgYLX++2/N1DjmCNFIhFvtmMT/UdG8aE2hxMpQ2iJUxSb4EeVTNlnCRmak6yvdiIYbEvXP3t umV6u8U2wXNv9oklQfCcePK1gZrIh/9XatYe9r2N4l+1pVIyiNgE63XKscpcHwxQpcbFmdOgItjt AuYD4+YZ8XYm3v8DPxS+63hbTkkp390L26h1jvAG9F5e/fE2O5X7EEs/btYOiI0jtR8bWTMj5uGJ 1QJyGL2AoXWYwD+a0tb5y3v2D7mIbT+uXdqvB9RmQu6xlke0CIQPT1+AxU9o+lzitvWwldxkmpkv uoYtxZd8NENUR+w9erbT5tgFlfq21lAe/FGSawKzaaJk9R496ORUo5UB7Pkkpgw+JetSama5D7Z0 hIYxTX2+VFcyh4GbrgKTYGkiFF624mnVwf49woFODAXs/4iS5BFMXB5HgzUbzbjA3XuHoz8u1mSx LxDNjEDgpTRUSJQJhjQ+6lmMdfxpxCwDoOopL30OUxvDGNWG+HGlsDs8hk0o3ATV9Y/P2w40LqZi tBBCG1xEPAPF27C2nKo54YQ7/7RWaBaDngt40a34ZvpNINwNzKP0Fz+YwYYqb1db+XmVwFvKe4No XnZ7fQRYaSw993CeabGWxQZ6nwru3an+mEmI9TGmwdTDeV1nCVfAkFgUoeohtH5cjjir4mr75C+U 8plI/3XhnQnkQOdxumDZv3en7FDTYJQY4y4pHfDE4PD1YtwTpOmrVRz8RgwLPaEwOVZxk7EfHrUG 7w7RJywlRYJEmsL6S+1z4TEoQY4JcecA7Q3BIpAKcMrPcGB0Ra8sflb5e3chEtlCJ9dyKnpQT1dn m4E626vVxm123NPIy2VgJH8iTkEqt1S257dt6AO3Hxr1DzgRlaPOP17h8y3XaryZTTTB1kixojRT oIqMMfvOdpHp/fTUS/HGtfjNMk1tejhQyvhOqLJFE6XsEKKt8eqhfEO89zyo4Nm54xoUjKFangAG bqLwwipDcZ+XdhHUgnEOfbz8lTofjKFkEbfBKAY64Bh+S/5cdRE0UwWoL3itTRk7IQ7gXZ+BD72v jpcjrg5BJlgsDr6DdzuaPG/HcByW0mnOlbMTP93eMv05bSAzlOxgSV/mtmJZ4IWWRsNkYSR6I92s LnkhrCg4fHzKPcsqMujyEjqg32kB528DcKLI+2YAoTys+BVZfXXPGStVKavPJ1TCrlagfLfbkcO3 c+1z109EZ1oH49JnGTZ4uw== `protect end_protected
gpl-2.0
c485162c60925fa72e083c62b5b572da
0.911918
1.94304
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/range_r4.vhd
3
23,876
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VgLF9SQuL8ubHd6DljnNG4+eaR1e9MEXa6G67x8E0QTfOU42uPV64nkjojUZToHgjmGygLX6jh1M RiYW+a6z+g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block d25+ihW3VWcv/WefE+0DSplprclRpNZldTpUJhdlxdjelaFLKEBdSAHxAvKsrcMzH7J4GQtz+FSn CSqT1MiS80xGWVFeaLG7TH1wh47fHJ3sHAkDGQs4dQOLIKqtJN1IgYi2kbXCVXufuVIVgTfyszyX Mgne1FILpujIRiRsopA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OC4FYqvz6nWkX1yavh1en43k/G17p3RNySfr2knBCH08ybEvEe2wIap7JSbOnKmiWimqwIarOTdO 7sihil5SRxHZti91zMFIO6WKRGqXP4hIiWsjftqJQ5nDB+VkaBvkpxPvN47GdBDuBrFVBg06VrpV MP17mzhDG7mrxigmR50+CaXEwTRmNjmVY6osa4XZWEbqwsgTeoVXwkWeeMfmKqcYba3fnWT8wPkG +E/e5xf20r7BEYtU2x9e7TxNsa/lXJ4CNYp4/4WcKwINwzVObtKekWEut1iyJNTGyodu6/Ufel1D c+PbZeHN2lzBIyCvlEu55Qab09emofZEDvUN3g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KZgzPa3GJuatlJUFqnIR5HDEDfOtjJ2vwDgkpJRM3GhE1OjV3S7datl0Ilu2YZnHekPGwJ2MSUVJ pB9Q/DKIG8mdmLiANWH7j3+x2KtnqmGua3RkJLzTuvuuRVDP6WvYsAeI5cUYCnRRnfx3bicAWXx/ iFnTm3zShYs+5wm4OKU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XUrTm9ScVbSF95zhukCZ8cEPSq4dRlCr1p3QlVY156GTMZ1N9OC4uPGgS/fu3UUiD3Wh/3V8MhwS fY9+7O3EMCi/HdfNwhyjkLM/gDn6bbqubIDe+wE+kklXHlKX7181JDou05jSutVg7e8A1iqO+kaa j8jDluqYuvXSctVR2FRzzd2VeCnW4L/XnnXUXIz7zOR5F7yymvOVPdyJaNnyFRa8gsUHDZsV0/2W EbkviQa4XrL4J96Tg/wrGJi/ReaRCkPmMjn/ixqONvUUOO9d6cEl4sP01f+X9hiVO4T8CO8llOac xYk/SsWha74w167YXjR/JZow6PxfGh3mi6n5kg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15936) `protect data_block HfMX3ormVWu8kwuBu0oqrlUhTh7//8oN1/SJ7I+udAwUIqTKELtnrNZky3664/X0SNrr4Wfz5wwo 4c1SzhUfOMJ2fiN8wvGFBIeG08Yet0Ew4Xk9tShF8j+cbd+YeIfdKose4Gg+63P5IjOfRKLMqZWW Ykrl07HXIWu2ObuuvwPFaIU5zUs2Hsh2ME3wzV5Uv6N0u7rMLlYlfS1VsRf8vgC1OLAPeb2Jqi9T 9pnFm21PELF+F59OrrpnDXcd4pfFMQuYyoC5JdX2j/i4BANk0SA3HyE441O5c6XKZkpqFiZIB01j ygkx5CQmT3kxUTz5x4hrkpCm3bozk8CiVT0jsgcxsukYd1T8/EtqXLHm3VcaLNjoAuOc2LjBLOeB Dg8pQWm5+egnPgt2AD2parwFghKQxLAPKQg0rulHQp7euSbuu0uFt5ifOkn51taidIcM3fkTh5q3 h7trBONKmS6NEIvXdAwedLnRj9qTYuuAAg7as72Fw+Q7ujuDILmNXYCaX8iTDglywyoqxdUPc449 uMy4H2KQrGAt5USEydOlLR34u2hObM8Bh6ZdGpk79sGBK82vsPHXh6TzlEJ5gS4G99HqJ/72JVOV 8Y0g/AU+Tt/2IpVxD043WK9+GDCAYxpu6M/ChSbB5f+K0Ya9+NEO74wApHgxwn2wo1R5W3vo+TU5 g8DOzGN+0nr7EbpdXYhwa8O2QpDJH323xFIR4TPvdkyZf0JypKDSjSW+FIDRrYs6naBuFJxNC93l yzk4pdZ1m4NU7+fIIGl1VKtNQ7z2ZNyz82/nM0lcYeRjzy4bOK/8s/bosuo0fJt3DJ2KpHNq79dJ 0xxLw/6W33XvgeDEAq972IMPW4pa2akqxib+cXIGu2he4rvHJPG3R9KWZSJEwzqfPr4HtqRjEaNJ wEWgPU0/EB1E3S6KS12UsgFmLsoWQfy2SrXQvTjPuXQNXUNRhYEG/66HRXJ4uCh3XvM9O8zEyRrQ YaRyYM1AeHBg0rAWz40wjdxunl2n7Od4FSiYGCa34rp+jplOotJfMifZdITZYYM9/XSYCQdFAkfb f3/rQQZ28jgT5GC4dNuYh/Ljq03NvVFhlMeb+RbKEEWFlXpCUJC+I5MgKVGSLNoV6O6IYqN0s/dl dlQkbH4xOTxl32Q+lPcY2DunLaC5Ed0gQum+jEls1nFJY64OULLqX8Duecssp84iVuTaWOaF8VuR MxSjTbSHN3qoALbyEP7elIAzKEKeYfqs+1cXkeEYVIGKhzOyYdufaO8yCV37anUOqKCbs2A4/L1n maC5lo9Psl35bohmBoFbAhLkvezQtX5JgCPcsiUeBU5XMxtqUd8V4LfzFcWLoVgz7ZYhJRFdCv6Y FDhbaGp+oJ2+w1J6/n/h3FF9wrBM9Lwy6ow1oT5agXQ9UJnUgI1fqgk+9DKXMZhOCYRHhsvWD+D3 l6hBCQgpVWL6ffjvOK0pVLbWEL9AUqoBuXWeoMhFci755nGJwYMWRBwHH0crsuuOc9ljjopYPySX sCo2nMb2nGrl5ROrYZsScirXMJOX65k7XoX3gFKoouvT+skcWtbNq+iSBWLgKC9cZmgY5QDIANFS djabVxx5ZQoom9BcGNXPlvosRKxSEhO2rF1M9V6GIPg6CFMv2OfpXcx+a6+mTJm8jujMKeC+H//E 7kyAyFciTOGI9jQr08Dan2MQcfYJnrbmHUHVearGXAfe0oSgjLKloczkZSwKuvhcRKvzNtZUK/4C 5+Qty1wXjecW6IDQayuSXg5TNvWKdgyfbGcu2mbk+/gchZY1R3RMzyFyfnD04jbCs/Ry/c3+12Mm jmgmxC70BEfFJb3I7KbNMPFyHEjYjWKO+Ovlzw4RjHgjmEuP9DQwqUTeEKitlbECMCVrQwuVWl9v h43jezZ6OwvRYG8iLGU0iUpEE4qxoB5b7hxgAsp0+aZF7MRQd8e56dKMxxyo2H9T2sBMZZp2RH4e QOHlstrl2dhlAZRCyVtoKQ4WeLiI9cHenNxHHb3h2vPulyfZQTMmJkDUKVlqAaXwTt2LvI7a3xnQ lLXvEqPLe9phW8goS1+IVgj1+9A+xtY2By4okTAGjYgf4JYED/bNtSoCDvsI+07RykA8WVonxOCA eG23OjxRau1PZPldFaHZS5JPm5v/5ImB2BJagtFC7YPdn8Kk7eZxB4vN6mzD8xecuX3Dq6fN61EV AK50D8BJKk6hLAGgv79Kllwcvx2x9fdpCO0xdeyCn6vjhEuFpDqHi01VR1ECluaqAg1lqybEm2xF DDXe8wRcZbphJ6rJkIB7+eFZUc2dJxqxiCKYCEsgcfvmwvyFISlKlQ/XftXTk1d0coG0C9av+V4U gcysjaO4WEiS7wz9t78UgiB8qDEeeIgN5H2NTFVT7wTYaz8IluiMBnkGg9bgqCIEY9MhyQGCP1Kz 5gzty7By5BGIcIhFX0NX+oyTvC6saZLzOkG42A1tcvtnEosbDDq/GQIJEjHHllbmmMlyBwUnV5aO xS2Aj7bdpvVDIp/cNLtB2nUmXIE/jREFyj6qNoh2TaLnVgncpz17YigrGLS2aQeLevUNOwoycH0K IBYy1CjveJIQ2jrwiuYJ1KgevurSFU7Jv41nPrjpTR0E0yYoMBoc7UVn8Dem3238QOa4Cqkak+M6 4qwIO9dBORK3YyryCdn5X79kw6kHKKIuiv2UKcd4aLmfcC8jCWdmhU/GjJD92bm2wndlmL6Oh3yq +utCAgjiNlyiItGgMLVR9CXbVbsJRYrNT1tFNAuwEVB1LKJukah7wd9Wft6MY/zYh7lElw0+wsZF +M26jV4RKm/v0UnmZsFn1z2eaJlUmBadmZSUOBdR1APpt/8VdEBL2WTANq0dJyR7+saJ7ygWIBwC q28/eQHR+Q0pRz5v2VC7g+boxJeoPRjwmFBlGpeFHKSHgtYG+afI5I51tdWZzOdC0W9SbGw6bVhv stWulFPli4A4Q03U4b9VK+gETz5fqk8JZAnt10lnWSeCBpcHwXNExieK3VW0g7ofH1XYEpzu9KsB fjBsrR2sxeZzapG/JyzcJ84CJCBBsEAN0MjWHbJw8ApC4xXvtwGuZ85XwoOfTLwtV6+As5kZ9NT1 c4snJXoJCg2s8MsCW2wMGaWfrwCTOPl7z+dYTMrGUSyhNDFPVQAwpeNT0XYRMoPWH7VsZasgJ8mg 91J4E2oW7ZMsInQU2TFXCfHxICRaGtYj0r1tRPS8alUMqxepmk8+pzDbjo6NFBfSKU8raFVO5jeu nWxnYhlsQFlljCFyDakWF9xo+9SUHO+kSvDylDfkZBYPSyzaqhn5oNWag+peQ6E9cgWVFsSVfD1W rE7RkKETCn80t/2QzBobVXBmvihLx3SWiiEMeXVPh639MMqRcyMFiqAC8yptBifO/NowUdUjG3Qm CR7nCYm5xcHZtVkq7zkgn7xnaaxZKfyjEAw3o3kD/4biScIbq2jMbXLyRfB0/0OK7gUotpM31b8d t0UDfAFWqkuwKUUOKQoK9HJk/s/hRA6LZZlLfXI8+aa6L321KC4hxSHaV7e9RlebrCipjSH2o+FZ ax8luK8Tkumnm9rm2i5T1VKjpYMBt0XLb13bAsORseLQ+bXteCPGwrQ6lm2CpQuRxb559wFqwtOT QZE9V+IZYKxgT0raojJOAhmVQa0MaecHn5DlC7q9WSmX5pBu968AyCj5N/CaEFjX6uspS8YXgLft z9q6tCOQrUkjwz90ee0ZWuYJ0okWdk/1LJ5MLXobkXztiv4B7BARUDhojUCNw5Q+OVn0r6wDmI/n YpgbJS8MRqOZY4eqb/YeIDwTonN811UxbKv+XXUjkSAfD45j1yeAdmO+25m5h0nRhkKnBGAl6znW onDX2pX16Fq9Dk6B/uRggxYZJM7ssacquXCG02qgMlGylkb/ceJ0kLI4RkDlLbxFTzYPtcMzUF12 3OxWUD2DbqBTjKCm632ZsAOXORwah1l9qYrT3FsxV7LptRa00UdPhhbzx97paTGz5hSEa3ySQM35 ditunfy67Nr78SPozNT5oAWU6A48Kd/bS+9rwMyj55C5flFIr9tqSdqIjb/TL+9dCV3FJSgY6Oeb cObwBcds/JMJ1rycnls3/EjH9YRJZA9jryTdp4604NwbWNxNyRgUzef2cjcUK1WLscqQQXoRcYSO axvQPSFh2wqyzxZXA8LWnrFAUwhm9dXLwYkfIAzXPE8s1dFmt5KpnljC1TtqOPKdxso7dfAm3mDd sHiy7R4nAvWS8/JgfJMpR52RLRZ/KV3uF8JX8RMoDt6jgEmpE2jILVQCcP5IFObPQuhb2R4oRZYM DZXXP7c0MT8JyGjXMFzQTIEqtjYH7li+U5ueHs/C5/9ywnKEn3GKNbazC93YwVgT3Sf1KeT6+t98 VbBx+FYLle1Y0lBT7RgmT233D+C+SRBhG3/gPVxzBPWJvTkvA0lVAOlA4KrHpuH61sedN3jQuOEk wPBWsgPgHMq8y66t9qhtJlFgCC3pW/ZdnO7zKAtyWsHrOctJNr6f4t/gNFwOMbxXBQxSeOu8pxn+ FjVAzJHnxVn1yccHGXmoZq814EkjUW+wVlzJkj/EGEkK3gOvIsBKkxgE9v2iKfKGNVFdWxegmIPQ K4WFdo8zsPhQFTM6EHM9odI+4lWzCTmdWtRDqa7b4dGTefXOP6WepOVxw/Fjh4+Ciicdxc58cgST EjN7dWRaPA+g5VvVUHPZN3GZ0c9AEVpesexoVg/pZiZvYbHVh7rEerGSZtM+SEPIJEld2IyNj68r yH6Ov6iENJVDcN8scg9AvyQGGu3wLvq2nIdAk1qe7x+raWjt8NBoD5798sJNpOKxLqaLipZ32E53 7r9GO6sVA/qBbJ0pT0tRcxst5zOH0qiCx81/hhI4vPPNwlDE5P+BFyD7MxeE5uzrIYMMo9D3ZInX plP4EuxFjdL0NlbiPgUewHJXkhZOPrvGvOZ0nSzQjCR8EfSXMQ7tdeqbdi9p/gn+iEoE4B6oEtce bksym3Cj1CH2iz5HdVj9PIwKUhQAJojqBwk35nAaCShZA59yTDjiS1DyaN8Wxg18g3q+tu2//Ju0 qPTZwSuau7qp4UsfKzt3FeyAWrfL7t59wfV9w1GKszeqZ5csb4m1vIe++g8FK1slSZieTTI/0S7p ltz8ocBqEQkG1FcH9LLEmyCzaYtt3XfpmASp+Y0B6P6t62C4iKah807YiXvxgzIXhgDDZsnMiZ1s f4viOBtMpJm+uS5XiQrcmk8RjovuYxqpT8QOopBOvrX/DnAQ8BrquD9/DUTTUFXbbhFl1V0J1ojp jqzaNI3620xNUb0q6gozeAZQU4Q8ANBQACOXmEGupouSDGH3SG8n2bKTPD7OojF3kn8QfrCqMlDs BJKa4ceGHsRnWRklMQ/6lmVjspiAJ/2BEoRC7ojwrUtAzmUt2ezDHS8lVQkgIuxHR3b/JlsFyacc 0gULEDUihMJiJxgMfjK8nwmqh9873HDFijxs/WiTno3fH/Ef01o+3rF9WeWzysW85TeDpYSBCNBu 542v1DWT4Y24rbtM/Xo3mubGcIAlpuHtrVYkp0WRiwpqIKp+yc3jAtsmfF/6DlNiKnDv58oMNOpo 3bcKDTK4IY73+JIeSA3clKAi/INO1fLbBJcxjkMGWehiZfYR2Rp7o9m1iITK/U2odE+iHISgTbwT 5LIuoKJtvIqMtprGz5AhTs/p3KAbVUbHa5nbMA0oJYBCiFmUDmkCsjHRqvAGH7/GtUiRY2SBAT7y yDX7kkxg5NpebkShpOn2dUsGX5GbNbdHJbvAhR5uf8n5/HUjvPSC/2kW1zLGebRqUpjMbYHgPsXn hBt0gjY8KGu254BIo+yQ5c+B7R4D5S9SONAzb8AddY9qUwxcRDHFkjxTuA64n1qvVGZbghf2rUDr eaTegcuIRMAyN2C5EBicIWAqe/FHT6OLGXT4pTYyOR9uGHOpH6483qV4SY8RsHCVqA7mX2PPEhpD v7qQoPuD6OvDx+ZfEqEPyAiCajA3AGo6Ll6s2DlYLdrEWvt8SjnjvVCLZkREXyRT98Jd1N+6vL0K 87QzWl5Xb/NpQcj7lWOicHqUIr/AK1xXAi3eiC1FJLKKoTLcoyLKq973PIN1y6vHL5hLHQymd1fW a4o+siebhgnLhWsMU4X2Alsle1PDDqIymK5NK8mHS+dzRtZbPcxPqE1uULQF64/CLp7l1+79gEA6 6TZEIwt0K8uYPWkP4AuBAWngwBhN6c8oAdIp7BHL4BF7dDIVeXMpO1xdVHXC1qmUqnZgtkszBOfI b3vieZhS1UJM7XpN4URUsxxPfp3OPu6NbMQUGy2TsBKGD9uTVfQK1JBRtQFP0OQYFa6sdOiVwdjV urO7e/mTunyjosbnWcae4WaiJtLGWhOhCl/VS0AQ+J60qNDLJqSQUlcWATvFHEyI2iw6sHwm19X+ 8AHB+crDpQb9LBljet+C6MrYgzxbqzXuSKRbR2wvBGGbEGBnTI5h9a/Jl7nTgoywnJNZm34l/mjJ omMoi4PUQpbI5zQh1l/V5qe0GyzH6P4O4F2f6TNlR2qEHGbYOuo6A+9gGfT0Y7u0Zao2N79/xCGI AssDSg4oF2wL2RLSWkLUCU6V6NxjFTIMRc/UPuASmmG8uCTh8ZPLKp+yLhwdtQUl10LpteW6UT1Y y7AQIzYk/uaviprPdW5hxEUMza3LwYOySzKMwmbUtwJ8uZ83CUSabpsvmUt/GYWqTgNLA3uNJr/Q /tLOwJKq3b65QQRjEuPoJdjfhYz0IN+24Q9zV2xR6SwKZ6if0PTMqhTuerUWQ1RHsPZDYr6ZcSRi O6JezbHBVMeBxuSze0uxn9GuXBRlJJ7E+Fa53u/tHkgGUaxpJzLYv2cV+Nt3enG/DLgSG+hTAcCu 0YKA3dxHFkRjeEvlabzknHUbbaMknKuZtvjrzAoIN6ERp3gkX5msSEYumjTFUzB1UE7nR0a728At WZ1vLPTfsJDiKA9J2C29HADTopkIJzvRymiz/xU1Ufgv7Wp9s4oj3BcaV0/3FtVKunqTbDROGv/s 3LgpSoSUJRKsx92GAkKM+SffDKwrjvj4urrsGmcXaPMZe8M9TaWsvKlDPg4f5EWqcdiPPDHBRV3U ycs7wDr5xCHpqVw6dtmOPND9KqAeJ4qEfw9OwjpCljCEfjBZjH15LnO7R2ZNso4GgVJLctYYQFiO ehUt4J7WwcurAjM2X5LXe5hX2BFgegB65s/0/takd8fZ0eK2TyX1vPMKMzzYJlFVzSPj5+PTmCMt Hr71bK981UZvYzR5ZRQqgS8I0LDp/hs6riZqIrZrkKT/i5qZJKoO7Gsr3PiW53FFEVoRAUMIDadC vKbLngzt5MAcSoQ/2anrHXCtSbeJNREsRccrmTsljCiQ/ubKtqwJmCA+h4nQkF16ZCzsr9Gbmogj aaHQRedqEKPQK+/acDsYA6CteyE6WJvFzjpJ0HwNpOCuTZ0UApIUttN7RJu9SFlIGYhnXdsR2Jax 4++oUYcXnF8hznGts2YC8TXS+pLdLPnCdiyNKiKnpl4fLJ4ylzFKYqH6INgw+6wt5a4CCqK1dnvI nSFO5BzaVUOzj++/hHeu29mOC4osIl1Be+2B5H2IgJGIHTObMGBbJbPer8u/XV+cimG3WVSdrZPy P4/dfkI9lC+ltNydrJf4KIgBFGsZp+0r/hjJba4r+bYjCf2P4crIC/XV93eb3T2FvE+bAPf+b0X5 /OPGg6KaFQEJ1p4Mw/+syUdSEfYURJrrTRKKvxnSgBdvaNrVxVqZved3d2/hJNMlXVFkHmD0rtv2 qYAG1B8URac6LZT/9nWVwG895Cs3AXDxya/ZUFOQA8DKaU3B+5gJsRpvgX7TgfxW5nBOHgzuoNzg +/ukNb23pfzCjiBHCK4a/cE5Ab53irVTI7Rg3SOqtsD708dT+xBZFTdIA8VG9EcI301+UbGo74W3 2W7L/FQmBb+e5rar9kVZ46fJmaBqPSxtBLrv9h5pIO+TrNfVOorQ5av5FxHCRDTeYrJf/+VVUAjJ /e4riKd61wO+m5k4SvftRqSyPwAQG19UoP5dCP1Pp//ddNSxMbSgRQwRGz1RO3RHr6XVb3C9pisf NisLpyuCSVuvyKHWTsSAEQGhvrp+MsCmeV8Bko9LClVjgJttPmj2bruRrp5OLqSVo51JFFJ8IL1/ R1u0OirwKn/tHCkz70cdcE6VlPY+CHCY6xjB+CJMZ5zvXRXygLmC365OaBOqrLaUfbIiUGbdZ0KZ EUDsUGBJ8OdDI2EVzFYyrIpiZF9HVk3PJHv7r0KJAnEwbrttN1DF4tufb44I7nQ9YigTOZ2+9+aE vvkZ7LJdpgOaAqxGJJs9fgFLs5WCZ3PWBOwWgoreXUtkH1eBuz16KWFcWfEoJ9omeTtm3RdpA91i Pf+gVjLhet9dNu9lYIn6wp3+ZSMwsl59ET4VxfW84x/QzUZrQ9xGygV6x2E6K05qRybtpoWcnwKk BSF9y2Z0yhM71K4qvga75sW4xSbNShyvqh7olh6D3YpW+unI1tszy8jOC6qvd5T0Ql0LFjuRHCRJ xjtBpwlPE0+POsfMauevDJNSWXIMzawq958s/WSUFCrUGpwlogGnrsXdze0WxoU1RLRs0nMqEN8O YDDECeYcS0bjR9hYqqhFqlvBZRb011XURMeXRSvSOCZF+OnQRiKghO+TDgYgQsP1JDKPupOoCRjG DnW6nxvzDAdd/OmN7PD3U3+94Tbfx1kZH+f+/zn2E4YytSYjUSHP+aLpruvmHqgZw14lskdhXOaG ws6kmMeaYLQkcKQeKV1JGs0+/o1b8jzT8kxCNgGny3kDuHGpJ29HZaGxzd8W123D3Wx9T9rcxtax LlVe2yOuGfLu/d5TWyDpYXoAd1IT/a8sSyl2/UhHUYmLk8isUIRPpndH15dHCugfsfu9D6umFl5M Whd3mkeBMMwBF4kNg2EJA4Joq9JG9YiS5NzmFjpAq9BMzJIcJsTO21/DXn3LIdvOzNuhUZg/FnCs UNgkWU0DdwDDkkxwbJolPWYsnFa1aCamt1i2VPkdKk5XUK4pHiTDNqMqieOoJTzcD79q0fvHRl39 f7hSjcYcxR/1AVGSIW1xxVD8qWhY9Ydej+jJhpH+CpTzj6J9OKXiLrsgl4jquFx0Mr18LaU2x2Ym 2nkp13Xz0XLoWpF8R9aUxCYd8xZNih+xfscU9ZqhcFR4NAOYVj/CicW30BELNCBk9fiOD1MmlVzS iO01X11vrAxXgI92dgQj2kgpokwnvmFtxb0qV9sabvyaWO9Znpqdw1g/zxzU2NcJeo0RzeSk1ddw AixmhvCi0dqCXZJgCgN8eTZoWturp3BDL5h1OeFB5uLT42J9VuJ5gxYBcBbeVAr4BtNnAaEKq9v7 P48HzDkALBUab7A0AfBLxc8YAHuByNRmEXvtVZXYN4Sv13ykVvTTMXrMublJPa4/DcAAFJjuUNy2 TG0DbWqAelzgMXr3y2gWobSPIZXAxWpx01SFd0N3Skmj17JU04TYlqN/QTI1diKOo8LJS74uaCcF YjZX8aQx76rX7c1JqxikU2suyNlY3h4YeY7nUfKuJYKizOjDtuiyaxi/ctlgG1Kp+iK6rPe9QsLP iJSB8WmJA4vfzEYuez7cZVE169MZA4uVlzXbI0QY6Lm3e+u1s3WV9uLUtau5vCPYsbg2jTdwstSO guDQFZqUDffcBi7af7L6gwWxjW/9v2Cc7BET//k11wjkMTr21fV6SYl7bt64qM7Xz+MDvspE9eOq G7UIlC3ZfS8uQEO6CRKrFvz3hs6/luaUpXlYsuFC9MMgIQRAmgEtP5Dpa2iR7Yp6xwXyeLzgBaBY L+YOzu5Xoj1V7eNXK0ESFODUwJdZ7C5ksto+DfhQxLjP09v5y0NUOaqdyHHRo0rpYYaQtuEjhDzW kPomSu6goaKw3q8+jpPPEOLiLQ6TCwBrT/HHaTfstr4xEFroaPC7G0BbKv9iFcYRTG7vv6ayUh4v vJ70Zfnb3IIcAe24hexCzrqD7IW7t/UbeSK39vxA8rK5ExiyV1xpR9y/PPUdIihBW+tMzN0lQdfD B7MD/t0/8NTHzQK4WZdoDw5vtaOZYsgld1d2Sp9woWtesaE4vlUrA2GXc2grJ22cai3Vxxj0Dx1D MCeZiIHRjUHlvckA4EoEfb14+jA+zss94uijH0nRqtH8XXZDQL3RN+UnrnbUXzIJ/Cn1L7IfHGbU 0PAWG0GtpB7NWoknv7x5Mefm+tD3T5g3h4vpYySyghrYcqHasHAyFLo9OTGAECWWcl/DclUJ7HR3 6J5PwVit4wH4+ZP3CmUBJ0KumGLEblEhMHj0PAerGTlfO0aAm2cVpmWjLEVDWJ+rr5Ink0PEHhNR zU41hS26rmsvTE5I/pFGNf7Bs2ePTS68+qq4hPSRvA49jIcsZx0p7MJ7RYzzQ9/i+1EGLO+ckFsW zNpUXL4HCQW3H1ROMXi0nZN5lx41BWLZADQGetGe1kID+HHrV6LDiNHmuXwjQiuweJ6ztnvepIO9 KRch6rctlVgGYG6oYeZ9GIusB8W2BcLDlxu64QG34D/Q309pNNAwjJQu8GImpYmj7zXE1nWNn1YN tzmNlovN8B9ZEAU9X1s7RVrsN8rogYeLhzmJe6kGC7CeyPtOZm4I2UNrVBhcm4CmUE3cyk8B/Dz3 Psua+eNpFD7NBS5u7koQnxUQiwLAJCkosrVeLr52zwc9AeSnhGbyURNO8XC+YEQ4o4M3p1qf5lqk BQow0NjMIMwNcgWrQadJAuOwrYFdB1n+RxQXkoi3z7z9f9mGWCYVLr2V/p2DdXqSmjeLn9pmDfWT rkxHI1gygIeo2SiUTCTqYBpYk01QksZboZ26jj0GhW/R75AV3SwdCmqE9iJwiNO+7ZmjZ4Z+C/Yr gz+JSrsheK5nTS6HeZLTeHdiSilYCDMB5bZ2izFx04xkCwrRgD2lujFQ7TPQIRUmUQHokz+8583J zMWjZRp0c3uMYzkF/huPzNpnSILIwPR+HgXyFBLIRoDRqW30b0ecS6EdB6gSXXIlmKJdFyglhlZ/ 9TptECZvEsDYbejNHmbE3gBesGLyXvysEBw202r4c2lc0c8MuXIYQSeK0HJouuacJcfrX1IbsjV6 VxehnSo805fdhUuyAXi533EpILZFgYODI/gcWP/rSACKWmEHhuqqO8pT5yToVKiztzC/G2ffGK3E +5pPG1+lIsPEZNnmfk6/TohJKW6WQIMo6yeeG3mDyMnqNX9nO0rEhbnadIPYqlmgZtoAIadapnba vf4KWe1srLgEy8cjLoNTCKGwngkQ3SvU7CvFNKgulFW0JKUTRY/jj7+5jsAWlrxbOYsczhcRV9Cn 9dxUMeITeSNxnEdOj8MkMoIcqAwf4DYDyvFg1Qx1ASvlyJlipbVD7q0uw1SDOVijbSmUgHti7E18 /1CNK/m3LjcP3UhjFIflpSU5ospanEdE4cr2/UDxlZWfQevp9tgNR8MHsbUW9G+59ZTxwitoBruy g5ASQlXn4rbMlxhdMNzdXodD9bj5HgD9jlbFbyqfYH5eP04afofxdic4fGlPu2GN3ji1vonn4d2N GgKmKa9MEgJyHvrRXTmxMLmVRt0pa2dt+/PAJ96HU9cOIO3tRU9gm6136F/CjIg08AoRjqL2TXxX ehnBN79Y1Ay+8BE9tql9ZzmoRsS0m04zJIjS5m/a3Jt/f9aqd1AHcWn4JOtY8v0n0INsED3bH9w3 uCL9GnaCXa2iO33zLYtKf+tqgAA7YiaLxitBMNnMW8DzkpOdy4pFsM+kRq4vHrYLEuPmk1UI5mVk TbnmeeVCfyPpvKIixw1dUkAp+6u48IEzVSB1aSYSzgtqimG+Sgz6b1ymdNeUXFXsCcza5xSAZkMY +EmiTexGUqsSJebMkHQR6VjVGCm7Xu23n7xbl70gcBuOwizPKY8v0NflalARcGfnNpnqSacMaGYW 6sfQ9b95KTK6TqI8KfGypwyxqPy92is9+1FYJtINTZ+z3WEMaXePZvHvhE1M45AO4lx+plSqZJjh AOJF9A7XkOBtCWkWKxZWMMlmvNsZEGqdty/WDlzNUuxfJi4jefY4PyA1Uy32Wu9jmnGxqqimU35X c1JAzlnJOLRC0xWAw0RDUZVRyCUDJmcF2i+UM+XS8xxOTVeZpxqwWsU+rgt8LiaWB8+/0IklnW4A 2+QHqJ9gabmBhkOy9csgdpP89c+uU49wzfh0DHIZHN2OLSgfqWtLKU3ntlg78/NPEkBZ18dfcs5N 3PdSJ8R2Z5uydtUl2eAqun5oakH63ecd0/tHNXoj8R/Qh9oS0LwLhuBTgL5c/+55Egx/vV8lGkpY 1FbA59qQU/9uyd6FJZUpLIc797xwV3XaZwR4YINQPvGFr0GNB9dze2XNsC+WQQ5njVCXxT2suSCp UDOUKp1/1x0RMpQXICSTYbDYUhtRLJhMfYBVHiKOAaVi7Fei2Lq4e0TR2C4eovFIWqVnFa5xOzdj 0iwAa9eiidmgJeXMrFN/MxSfG5tTvFYRgJHlJNis/Q3ISJ6rcjpv+ooM0oDsLYWxjAEvOhvKywjn JRbEvTFtOqGm8Gljj2m2JK1sw0BYctZVNRD9tuywqKkRKK/xuj/V9eAAWsPw8s7tgo8zJr7tKAaN pro6mwn3smI+Zbw7MMO3yvz2A5bI9qPnWy8BIXaTR2NfV9esa/bY6vu0aIyOB9N1GH+a8gHe77W+ 8dYZKCfvSwzSRxB246lb3tVUImg7TOTJrPJFa90UOgRu48GEdyysIGD0B+jOqMODLmyEKTDRD1n9 Rg02QnNxsSMQvgcz4t3AsRK9XdlvAeUgiBPSBRmaQ6Znp9h9bDNzVt4poe+5qSG+EvQFEZJPANbL ZU1aCiI0efzj2iUZOdvDBF0MSqYD7byC2gdlPT9f/um24PIaPEP2fjQKuozKMPFObS6rbVMVDqsV reasX06S6qlIF/NzQHDzYbp2SEXzqsch4SMvP8L7UMxUgjOLxDN5p4ogs0dbuj/nEaB74f7aac65 +pqgJXLVFr9ereCTZmpJckxrQg/RUaRT22u+y8GazGoYS+Pmv5A/i0akzpeY9K1Jkoxz2SSGu7+z Kw+1S1qCyW6bytdKsvY/ZrzJPv6BJcxbCkT+YgOtlsKfSJxtg+TVV7pEKSJK8BZGImz2Y69h9M39 j91PJ0Tmz4OdsWY8UGF8sGW+yGeekR8vTc9pFD1QbQNVQlROS6JZZGrvBa9RYLe8FD+pqsJwlhks PAT7FtXH/i2oa8mpaSrTv7fLal29hqVIRcdrnA90ZscFbV2GMVD2smncQiHvObAkkQFCIZBIDoke ZZIWexTjIi8i1fplkhKI+GZvJ9T1nXEYADIIc5bJemyYQB+bk+dV8qbHrwxfkIEs2wRQo5mjW49l VwlwFDrl53knclG+8dTYUDcjXz/kufg2W0IqTPeuWtrx6FUcq9DmnmBk8qxbgtvSsc0R+XONL2Xq W9eTezGFLojALmOKu6BcP2C1XmpdwcVbFPxE6h0Yvw/juuUylX5210vu6+Zy/EOKFEROih7TNi2i DKavKQS4Llg3yPGNOzt/qhT8trysEIKx3ZZwlLP/k0f6BADegSi9CW0oRYa8jin1FN6CiAy6R+Ji ayp7Vu/YOQt5MeqTavxLP9Pu6aBSdrALHxkKbN8DedOptdQyarmZhJ1YfEiPKYq8A7N5HQj2GV+5 fkKZw3hmlp4//sVWJ9CnTC5V76eKACe5Lq15uBns3LxpstfhQNKxZdsq7wekBlwM6rOfVBdGn7El GfejFRwmyFRjvjSBA1qe9mnJ/D1KrpdODKhaiftTJaKPFawDlUyW2Sp3Y/nZA4o3uWd90sW3sCeO 6LO5chjC2A091nkAPlhOoiee+7VDOpQgjGI/nyKSm8aJxzo0UaWf2V5NGk22iw9MSvpmwt/LaRK1 gaMuZ1dF1BZUwwQmdVy3UQ43ja1rhSNzbmdUWvDuDU2ZeCr48U7vlYAi0GWjAe2yC2vFB5Zvlp+u GNikJ/mn+S0OgymG22GfzSgyz0CsN31f5tDH/CSQPDoxTBAN81ua2IXvgxERMqnYes01b7ViEP5J eq3bAil28aaZBsVV8PyZNJr2ev613icb/yqmUSKyYNBeDfvhdTrBkEhup47VNTbbJ1VuvEyYtGVI bbDIyyomUAPNp3lqL/ZwZZ4iYGNsk4Me6sakJqaI4EQE2rnnKzXVQWxb0KLNe1G6Ns6fNMOuMLR0 wtAJySNRH/ulDsRlZV2iV0sBRLljG6HwJjZFnjqcobPo06ToaiYQDOVEkvi1z/ohFayfhwaBF/o+ BRzTtEZR9rV7idWnYQEQv4rUGAgQ34JtoGSy2I4n7cBrGbPV6kkkLSqu37I6BZwU14wObdekkBOV 4VyUztE7OKv6xtxNHCg37YtxcGXX6ar9jqboBP201UHhE5y4T/GzmewQWtmthzMrZ4Te3jgP1IDI CMv/6kAujgpmhg6UNm9pRnhaT8jFJJxKpANwge6wVJ13uujz9Lz99BIOE5CZ0Av6/ShaaTfdMqG3 btgG5M9e3kLxT1Mdll2rBZjGkp7+kbYWf8kvnYxtIt7YyJCnYMAWmPA+FEAGWuD3HdYTY5FcmGUg gwAZUlyp7ZES/J6mhuxA1q26rdSShlS0gfG2XqUcNnDeptxKZsAq+urNSsbublXZYBEa+BktXtRa mumrVS/wlctyH6bfqEpUn4EdlKZasrKfiYcW3qh8RfIuWawlq5i7xNyJoeBZ9MXwRyb/aBd6nuuH Yssb6h7TjJFChHAd6N5ettc509oDiqwB97uMZQw4qcOdGvTCe53YaY+18m62R3m/DKMHKpDuv3yu MXUP0ha6gF/4dA+lQRgDbMo33s80YHUIN2eO1S8H7Md/iTWvEADIE/tFsXklXCgd6ZDDygGRr/H5 twal9Q7OFp9utsbYv8TLEOV51D9Qcn4W6XVw2Vv2aHFa+BJYxBQW7RCS1FUX7eXtV/BTQzkJ5xZ1 dGNRBXkC9TNfEgzIS4siPaUukHKvxwdZrahiFHmll08BabaCsINrYB2AtHBXSykGs5MPR6XSGEdi TKYTXK3AYyucJUZmlpjiHF4s7KV9mz475g8O0zLyoEZvtmoU0t3Gdqh9VvFGJBHM8XbLExM/Nnoa yYqK70jPMHh0upZvIJ8AzLOHsPW6sf/b6s4coFDOFW0bwNUuO58JOW2Z5Gtkm0tQyTLCpMBTJL/s cfiLtHy+KQMST4itNCGnxzAyjoOXG2GRogPyCyr9EcUo4GTcGpnUoIPdFCkPi936sSNGdslpLVnN ubbZ2IPe2uUDfe/xIm4CMaPUMIlK0/A1wkyCmE50lmtDNWkC4r9FbUdhp7I/7QRrDls1Z//JLAga WyGCmTGYoC49iBU4m8e1U2whpw0qsuNbpXfNxLMhSrLfq+U6jN3jJ7lNWEzl5/SE0aIeU66neuPn IFaJUdYoulz4dO3kyz/9MG/fw8ilXxHtBcDJSKVn3/iXaCgZkfIDUvA43D9xbsm1O46Al4NRa2yg 9lhmST9btjqL/dL7D5cWEmQWkWKX7impekPYmNjkS2keVySmEEJs3ONegdNA5N3Msha/5Xpdkl0n a2ZW6cfGlzd4ASaxo0OPUOt74g2s/zBUCsaebv8Zi5n9KO4SbbTus1FWzB5Qm/H+a91kq7qYoMlm W6EoP4K+qrv4aEPgK47F+7sHgNmWCVLx1a4o0EaN9BC2RqQJCUuTIId46TIBqnyROjNiaR5ucv07 4B+8hYEj9YBJof7jFamZbES4Y4TQHqgAgM9ujtzzxOq+y9rXpNseW8oMRWB+rKE0lOSLCWEU+TFr iz04MzjWdl/IRqa1bHhTickIPI2CIRQ1EDKcCegtsrMQ6SDbiTdN1YakAVWdA+pm5TXWHhbIu+Wi HwbHcSl9Mgt10U7CWwJW4iF0j3Ds/DS+RRKYvmgn7xjIxJfEgYPz4P4S2E56rnBhROeXf2vN1u0u X5edwKtvukzd87BNWnNSE0lXcq+Yt8caLHqul0ptPSl3eVu8W5816/ZXJsWbWrdvb1AHehSPeUbz Zf0U+Rf/ok6dD7yKEdfnens7P0CLlKw/F5gEAUERqmUbh1vbrF9+t/sLBxByUQt2jXc134dUptJS D/fLJWcvndMF8cEmGIk6koY/fZnTzW66w/ysDk0w6CY9uNICeu/MPrOMzaE422KeZcIfcR29S3Rt 4+N1mKrkn46diqC2nSVabR80+nVfFcn6P6xU1PyU6RWYxpytAY9klEWS9sCc4z0vjqPil8eY8AKD 4PcFYEj+kcP5OkTvhP2Voz8k7RDJXbp4fipROh5J2jiXUqFzqrCP3HjxUMQj6QKbDrimwWxlQC9x gvxs6+S8rTcraowAXkywDSLuzFimiWJ9I21CdByGkCS5ZFEeL5eHMxXdwFG5OJQSK22W+vsTwXQB GI9k+qPvJykM+oYQYs2vZm3cEWCeOqc5TZ9pERgFrD7ZNkkbrJJUIkoNrq3fXGVNIsOy+/RzTxyE nPGHUT7jTlYzFLA+bNL+PgCzthPc/ceEUAITkiWB2WK4y+E4IfNyTIRzvSykRnC1nxwpqyAPgaOT 3VYTRl7VNXQlC3jIHblAj1yfyFgrr7ohIzaUgxDb5iZo+B+E6HL4eQIxyEfdPg0/UkqaRYIAP1Ua PoSPIEUxJGbyGKGWrWvh0/dPE2oLQMN3ZkuLD2i+vVWsWSVALu7CsS9LVYhGPV/5AjbzuXD67yMo nFMkXFqv+p5Ko41GzC7zDKGIuJrwHdHHr/oaAvHvNEezw+/2gsxIPMoYzPTZ/Usu6XLTglBIHb0E Zmxunw1+4sHUsQeUoYeV0/eZLfyyqJgnI2SfvrQaiepepioTgK/ylQuIskEvjN7Q4R92gm7Kb5id dQZGShWfnmswQOhbrx8901yUIHTSzTlrqZH83n2N3LqVTF7WfN/YAXCbAclgfBl2ZGvpfXN0ILIW UuVNSsD8/e5ZgrWMdApPq7Kt/IXMlor0rdhQI340S38m+YtGKoi2xVVL8X6lPCN2maQLwbyAqOaa n7ImFkskswotIxMQxfnscBZfTTQomDFJkj4Ve0zh4YUHDnYuS6IoJXKhoWl+GVKw+5d7bTxSiHHC xIuc624ODsELCY8iYTwwZfvfw8c6EL4tp3Rz6jBj7Y4ebsWDpyxwrUL4jqkdIrZMuvorTHop1pTb Xtkl6O0FrEMPnbEci51sXd74PU8YVihaksmjpI57VnrN4GKM4li8SJrOkWJRbaz+GyXA2QS4MVIN YklbVz2qhbcrihD+mXAAKYW/13DNJJpNO585dMySYssVZx9qWtslRMsjIS66dOSvxOsyv1Ih1kd7 fV9GjMn0AiRYLElB0gvF/LpOntc78/s0vmO5nExB4HH8I8vwhtrVVuLt19S01GXwIG/I3LQMemsV yst8W1T4P1mWZkasl8xMcru2G000h8u6hjATQD9rrWa8WenpbIEU0zDis0lxabpChbnmXKeMM9EE rKnEko+XlTME/nytS4W4OO4subs5h25s5KeVNT627jHgnHs9zRRf7nmuHjhwyKxGGnNxaDWcDc2Y ZCzoNLYfQHyY7TCsBCf8XwuQZ7pEn6iiXgNUJJWB54nSjsvwS4yfnhq32XYwnKnPuBnb4wfQgiTN UqBBDFcH1Lcm7SeCTsVOsd0+JtlOMdYAnGb8L2sK2gmCkWIW3LMAAmSHfZlzQw8nP4Zi493MVmYb mf3a6XHPFoMLKiYJh1J+xSo0G1iHMc73ZhzDfVOA4llT01V7fZhaGKnHTlZFNtmJ9q01PdXbt5wL lMJduzBIs/qL5wF5fnU3A9Yd6FWXu6gN/h67Rgn8Dz+cYsGtv9wCf9mTT/XS8YmXjzX1+83PZsbb PisyKnPiXFkN0wtAYcA0qsC+fieO+Xl7wDG1b11R4Rnp4FpIKIjVEZz4iq1BNDTieyLHJg/hkFUA 6I0diiDSwWpeVFYHLSq3+ogjAyWFhPSt15aKVT+p9sJIQChfN5uBRrUI44NfEjItbihzTFAFvyEf yoBfSHSenbonKUhs5XDyNx9gmFAsmQ4oo4vRDyEkHnbrbzz7vKwsF66sN0GnRelYoheZDw0GUiFx zdZxII/Q6JAKUboMhzZZsbvrYX+qEBiphk61MO49Z3e7d9b8urqwtcdSBLqHnVjlDcMGTR1tJA01 mgH8iFgyw7TgBbaaydcIUicYN+jCHPIzwi/Swiue/urujKm+G0OTNJmxHXkUNK0fRLGWzV+PbUCk 4GLWWab6qiTKbHzrDnxFnQsU0uVHylsu7DYdxbNF/ZbTSKdRWxfACJhk5ME8NCVOY9FvXA3qKSEz YJaRsNv5vnEnwY/9p0so9pZ4g/04EsiT1z/Iwra2iYKiG1oPJyg5jCQQyjFbxNz32Zq39VJj5su7 6+PamwNOeQyKlEF8/xzVMcpSIcCtmee9B3A7lNC7wXQqeyq56MfRD8scvm/ovmCfVCDPezJnn3GF ZFfIATUGtNIXKHX+BDPPbpBwZXMA7bKLzsHNNWDgRyxCq4l1vUjB5wRyeH0va4vva6p5QNWTfCo6 fFgN/c8Km9DgN9rCqM6AZjNotSfNVD8TV16kiSkVqJMcwDEdH3GwjWBkzA85eS1ejyJaJpq82/O7 kUzIxfHSujgv8XX412mp+I32Qkxm/BLvGT+ECQY4PoC8E/83/XmipwSR1+CYq/nWcERDRgN3FToS Y9OZuZ/qaXaqFtc8Qvv/SGOst68pK7blhPw32RpzBqAHko5E886qEp2II+l0UurzEP1s3MqmgN5m vBdJrpy5V5/9mnMkfHYMbqeRcQ8KIb1a78zEQM3pULk/imOAAxYgF7FTpq/hyoIltK6ObkXCrlnd OpE/6UbKhHDxnQ3OnLkxvoOkS+EgqeO8u2UHFZgMynAfz8C3fop/pKz0bC5+SOzxaAb0oOAbPaMY OBtyhOjYBnSjIZDFUZQajyou7DlPn/50xlf5Encv1IaCg8CEYHFVdkf5UIjeo7fTDIlrA/KRqmUb O0BOEy/nnJ5t1G8zk7jErgk3GBhO6xQtLK/Vxs7ZorFgjTImueBD1H03XhCkY8sPaTh0fnXycSW0 59k4Vg13pavhjUPoXcDN+ARnBvoP82102ITcB78FE5i/KiJiwWmlPkFRzb+wOSjMz83y2QICbygv MCoMOChmSfDp7B3bEn0BdN3YW0aZLmmFs2GCNZUe1TEIQrNK6SJgg6/3K2d9XKDmTona8q1aL0oa 6edtX9oGqdlfJXGvxzvZJUt/bstRVgDBfPGbZzGsLuU4s7wyuvzpG4gxwwprWxKekUtpDVpIs/wN Kd7nWcTqFb+CtcS7TQ7EXxhWVC5tbqV6ZLiYJig32JfcurKNqqpDBPMdAq2l80HWAFRtlWdPe1/n 9iLawEhHELbNjok+to7SYahka0AxxSm+575jHZAZuwGuJAd7ZV802rgEw7/v44s+aYbWvxkVAhnS vhL/qytw7MYJ2Zt48tOeKhGvWMKor7qaHtJsshIU7MX7EhBiGYRunvR6IZzUl1W24EOp1IFjD56e UxqhGGo8titxTRqaWGDNxrwzyxP5iZYV9glDcNff2nExKbHPU9I/jOsjJJ7fB1cxGHGxU53I+8+x MDpKlCB91SJAZ2jM3DLvXz3nsJ1eJlKHywACcaPjZIVo2TUF5GkOMdZvHzoN9aZ/l+3+aTvcEWov JVp9bEOORXY4406QUIxBezaXGihOn8JCERmpTAWZSaif8r9N9oe4NOI7qjoZwmT5A1HPRBdK49bF cw01uZuWZOxnVir6joIkYNW/0+sumyunm6TyIOXAqRQGZF0Ya1XLcd5UnUwKrhOg9C3nGazfarfk RQOcnGUMDTnjVFzdWUospdlLOTq6qmAY2y6crfQTrhnkzZlfw3mgnk7Gr9n164psg2MT59G5SB2n 4vtKlthOiYci5xxdcujIYa2T9cUeVjgaKzhtv7pKBx2Ad2+99B+dPHTHSHB653eBobSm0sx9OEyW qpdxAmHuF9UrUYk3Vkz/189n+2m7GTFuD+eDiMP6OSSE86uvZb1/qL+lU98VD1gvP46VfNptYzcE 3vkmSHb/dB5SCTFpgP+axuq5Wv5UJSZJ63za1yJFfwKtv18vdbsZT8ycztKxT38TPTdv/dATogjC stEnEq8gS9T99BD38194jeobULCySSYMd5MfgyV4CEo0qq2DIK0gl1cRLrvntGjRk5YsURvUM5hm vGrdTOE1gxKcOt8LLhEiCPNPsmSwjcISr7qY8+1VjHbTBsFOSxwEKBk9r++03wn3qSI0PISTv1QS TnluC2ige7vLID/vXenIZXZg/lDbxe6O+UzPPwzeDpWyAIuNqioHhhbQdxyLbfgASZI5G+3H2V2t a5Bidl+HorNrn6KWojcjs/2EyMbbW2/oPpE/31lGEsJNt5Haj8rNNq5bvnxNzE39/H4v4w5WfFk3 E/amN9cQf9RYgcAuoP+Qoep2t9uuBpdAQcdoo5ebRaBVupoi2r8G3U4GMviHIb7mPFQqYpvMsiGq kstMgQgVfKdVwuWk2tJG4OicZMbEr2hrMEJNS2YR5H/AH+FHuMqAkFk1tf7Z2b6JMp4gp8hw3gLL 0tWXOmjI1IvfnesBqRMuLyBsMpIXgHlIbI/uzV1eQIqepAYpnhCy5s7PQEhW+0GskbFin5PLCp+m /MVyAhG1rvIukRAB26bQG+HERNhEd7XN5rmMxOTkCizRca8NnJP2tsfH8nuI3bozwOyaUYofGxRb Wf/NRFw0H7dWIvaOmxiulfLsakGcnA333emzFm0LD7KKkDVeGF4JGs/q57ESeU3em+JjYsIJU1Pj e31Abcel43okwkRuNO5L7U4zRfQ/Wf5PjEviUzW0XzDts7puU5pWt0UJuUMpVeTwK92m2699yGzs cUNexjLR9MQVacleSH/aaM6PjPthE94lUZnQRw7upUswmUNbK7WOSbsirtsTTGV34P5HUSDHmfCT 04Se5qHGeYH9AnOTuWOSfw7jeMO41yBpunMcP9Z2O3EBtOxUAAdPghorPQun+FvFq0jtd9TOg9PY NK8/9YZCZjcvSl/bR5YHr7KjVd61yHRnywFMTl+dW0aAfmOhSxEH44GbLn9V00NJl0oYL/2KKNlS jsonCn57P6dtlg8we5D8OIt05X9U9hvL7Q92SbbymMhZ `protect end_protected
gpl-2.0
dfbfc90fdca6b77b50b2effc61bac9d2
0.943039
1.842
false
false
false
false
mcoughli/root_of_trust
operational_os/hls/contact_discovery_axi_experimental/solution1/syn/vhdl/contact_discoverybkb.vhd
3
4,160
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity contact_discoverybkb_ram is generic( mem_type : string := "block"; dwidth : integer := 512; awidth : integer := 7; mem_size : integer := 128 ); port ( addr0 : in std_logic_vector(awidth-1 downto 0); ce0 : in std_logic; d0 : in std_logic_vector(dwidth-1 downto 0); we0 : in std_logic; q0 : out std_logic_vector(dwidth-1 downto 0); addr1 : in std_logic_vector(awidth-1 downto 0); ce1 : in std_logic; q1 : out std_logic_vector(dwidth-1 downto 0); clk : in std_logic ); end entity; architecture rtl of contact_discoverybkb_ram is signal addr0_tmp : std_logic_vector(awidth-1 downto 0); signal addr1_tmp : std_logic_vector(awidth-1 downto 0); type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0); shared variable ram : mem_array := (others=>(others=>'0')); attribute syn_ramstyle : string; attribute syn_ramstyle of ram : variable is "block_ram"; attribute ram_style : string; attribute ram_style of ram : variable is mem_type; attribute EQUIVALENT_REGISTER_REMOVAL : string; begin memory_access_guard_0: process (addr0) begin addr0_tmp <= addr0; --synthesis translate_off if (CONV_INTEGER(addr0) > mem_size-1) then addr0_tmp <= (others => '0'); else addr0_tmp <= addr0; end if; --synthesis translate_on end process; p_memory_access_0: process (clk) begin if (clk'event and clk = '1') then if (ce0 = '1') then if (we0 = '1') then ram(CONV_INTEGER(addr0_tmp)) := d0; end if; q0 <= ram(CONV_INTEGER(addr0_tmp)); end if; end if; end process; memory_access_guard_1: process (addr1) begin addr1_tmp <= addr1; --synthesis translate_off if (CONV_INTEGER(addr1) > mem_size-1) then addr1_tmp <= (others => '0'); else addr1_tmp <= addr1; end if; --synthesis translate_on end process; p_memory_access_1: process (clk) begin if (clk'event and clk = '1') then if (ce1 = '1') then q1 <= ram(CONV_INTEGER(addr1_tmp)); end if; end if; end process; end rtl; Library IEEE; use IEEE.std_logic_1164.all; entity contact_discoverybkb is generic ( DataWidth : INTEGER := 512; AddressRange : INTEGER := 128; AddressWidth : INTEGER := 7); port ( reset : IN STD_LOGIC; clk : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0); address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce1 : IN STD_LOGIC; q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0)); end entity; architecture arch of contact_discoverybkb is component contact_discoverybkb_ram is port ( clk : IN STD_LOGIC; addr0 : IN STD_LOGIC_VECTOR; ce0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR; we0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR; addr1 : IN STD_LOGIC_VECTOR; ce1 : IN STD_LOGIC; q1 : OUT STD_LOGIC_VECTOR); end component; begin contact_discoverybkb_ram_U : component contact_discoverybkb_ram port map ( clk => clk, addr0 => address0, ce0 => ce0, d0 => d0, we0 => we0, q0 => q0, addr1 => address1, ce1 => ce1, q1 => q1); end architecture;
gpl-3.0
10e44cd69256efddad7bf730e41a6e21
0.547596
3.528414
false
false
false
false
UVVM/UVVM_All
bitvis_uart/src/uart_core.vhd
1
14,646
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : This is NOT an example of how to implement a UART core. This is just -- a simple test vehicle that can be used to demonstrate the functionality -- of the UVVM VVC Framework. -- -- See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.uart_pif_pkg.all; use work.uart_pkg.all; entity uart_core is generic ( GC_START_BIT : std_logic := '0'; GC_STOP_BIT : std_logic := '1'; GC_CLOCKS_PER_BIT : integer := 16; GC_MIN_EQUAL_SAMPLES_PER_BIT : integer := 15); -- Number of equal samples needed for valid bit, uart samples on every clock port( -- DSP interface and general control signals clk : in std_logic; arst : in std_logic; -- PIF-core interface p2c : in t_p2c; c2p : out t_c2p; -- Interrupt related signals rx_a : in std_logic; tx : out std_logic ); end entity uart_core; architecture rtl of uart_core is type t_slv_array is array (3 downto 0) of std_logic_vector(7 downto 0); -- tx signals signal tx_data : t_slv_array:= (others => (others => '0')); signal tx_buffer : std_logic_vector(7 downto 0) := (others => '0'); signal tx_data_valid : std_logic := '0'; signal tx_ready : std_logic := '0'; signal tx_active : std_logic := '0'; signal tx_clk_counter : unsigned(f_log2(GC_CLOCKS_PER_BIT)-1 downto 0) := (others => '0'); -- count through the bits (12 total) signal tx_bit_counter : unsigned(3 downto 0) := (others => '0'); -- receive signals signal rx_buffer : std_logic_vector(7 downto 0) := (others => '0'); signal rx_active : std_logic := '0'; signal rx_clk_counter : unsigned(f_log2(GC_CLOCKS_PER_BIT)-1 downto 0) := (others => '0'); -- count through the bits (12 total) signal rx_bit_counter : unsigned(3 downto 0) := (others => '0'); signal rx_bit_samples : std_logic_vector(GC_CLOCKS_PER_BIT-1 downto 0) := (others => '0'); signal rx_data : t_slv_array := (others => (others => '0')); signal rx_data_valid : std_logic := '0'; signal rx_data_full : std_logic := '0'; -- rx synced to clk signal rx_s : std_logic_vector(1 downto 0) := (others => '1'); -- synchronized serial data input signal rx_just_active : boolean; -- helper signal when we start receiving signal parity_err : std_logic := '0'; -- parity error detected signal stop_err : std_logic := '0'; -- stop error detected signal transient_err : std_logic := '0'; -- data value is transient signal c2p_i : t_c2p; -- Internal version of output begin c2p <= c2p_i; c2p_i.aro_tx_ready <= tx_ready; c2p_i.aro_rx_data_valid <= rx_data_valid; -- synchronize rx input (async) p_rx_s : process(clk, arst) is begin if arst = '1' then rx_s <= (others => '1'); elsif rising_edge(clk) then rx_s <= rx_s(0) & rx_a; end if; end process p_rx_s; --------------------------------------------------------------------------- -- Transmit process; drives tx serial output. -- -- Stores 4 pending bytes in the tx_data array, and the byte currently -- being output in the tx_buffer register. -- -- Tx_buffer is filled with data from tx_data(0) if there is valid data -- available (tx_data_valid is active), and no other byte is currently -- being output (tx_active is inactive). -- -- Data received via SBI is inserted in tx_data at the index pointed to -- by vr_tx_data_idx. vr_tx_data_idx is incremented when a new byte is -- received via SBI, and decremented when a new byte is loaded into -- tx_buffer. --------------------------------------------------------------------------- uart_tx : process (clk, arst) is variable vr_tx_data_idx : unsigned(2 downto 0) := (others => '0'); begin -- process uart_tx if arst = '1' then -- asynchronous reset (active high) tx_data <= (others => (others => '0')); tx_buffer <= (others => '0'); tx_data_valid <= '0'; tx_ready <= '1'; tx_active <= '0'; tx_bit_counter <= (others => '0'); tx_clk_counter <= (others => '0'); tx <= '1'; vr_tx_data_idx := (others => '0'); elsif rising_edge(clk) then -- rising clock edge -- There is valid data in tx_data. -- Load the tx_buffer and activate TX operation. -- Decrement vr_tx_data_idx. if tx_data_valid = '1' and tx_active = '0' then tx_active <= '1'; tx_buffer <= tx_data(0); tx_data <= x"00" & tx_data(3 downto 1); if vr_tx_data_idx > 0 then -- Decrement idx if vr_tx_data_idx < 3 then vr_tx_data_idx := vr_tx_data_idx - 1; else -- vr_tx_data_idx = 3 -- Special case for idx=3 (max). -- When tx_data is full (tx_ready = '0'), we do not wish to -- decrement the idx. The reason is that the idx points -- to where the next incoming data byte shall be stored, -- which is still idx 3. -- Therefore, only decrement when tx_ready = '1'. if tx_ready = '1' then vr_tx_data_idx := vr_tx_data_idx - 1; end if; end if; else -- vr_tx_data_idx already at 0, -- which means that the final byte in tx_data -- was just loaded into tx_buffer, no more valid -- data left in tx_data. tx_data_valid <= '0'; tx_active <= '0'; end if; -- Tx is now ready to receive another byte. tx_ready <= '1'; end if; -- loading the tx_data shift reg if tx_ready = '1' then if p2c.awo_tx_data_we = '1' then tx_data(to_integer(vr_tx_data_idx)) <= p2c.awo_tx_data; tx_data_valid <= '1'; -- Increment idx if tx_data not full. if vr_tx_data_idx < 3 then vr_tx_data_idx := vr_tx_data_idx + 1; else -- tx_data full tx_ready <= '0'; end if; end if; end if; if tx_active = '0' then -- default tx_clk_counter <= (others => '0'); tx_bit_counter <= (others => '0'); tx <= '1'; -- idle as default else -- tx clock counter keeps running when active if tx_clk_counter <= GC_CLOCKS_PER_BIT - 1 then tx_clk_counter <= tx_clk_counter + 1; else tx_clk_counter <= (others => '0'); end if; -- GC_CLOCKS_PER_BIT tx clocks per tx bit if tx_clk_counter >= GC_CLOCKS_PER_BIT - 1 then tx_bit_counter <= tx_bit_counter + 1; end if; case to_integer(tx_bit_counter) is when 0 => tx <= GC_START_BIT; when 1 to 8 => -- mux out the correct tx bit tx <= tx_buffer(to_integer(tx_bit_counter)-1); when 9 => tx <= odd_parity(tx_buffer); when 10 => tx <= GC_STOP_BIT; when others => tx <= '1'; tx_active <= '0'; end case; end if; end if; end process uart_tx; -- Data is set on the output when available on rx_data(0) c2p_i.aro_rx_data <= rx_data(0); --------------------------------------------------------------------------- -- Receive process --------------------------------------------------------------------------- uart_rx : process (clk, arst) is variable vr_rx_data_idx : unsigned(2 downto 0) := (others => '0'); variable v_error_detected : boolean := false; begin -- process uart_tx if arst = '1' then -- asynchronous reset (active high) rx_active <= '0'; rx_just_active <= false; rx_data <= (others => (others => '0')); rx_data_valid <= '0'; rx_bit_samples <= (others => '1'); rx_buffer <= (others => '0'); rx_clk_counter <= (others => '0'); rx_bit_counter <= (others => '0'); stop_err <= '0'; parity_err <= '0'; transient_err <= '0'; vr_rx_data_idx := (others => '0'); rx_data_full <= '1'; v_error_detected := false; elsif rising_edge(clk) then -- rising clock edge -- Perform read. -- When there is data available in rx_data, -- output the data when read enable detected. if p2c.aro_rx_data_re = '1' and rx_data_valid = '1' then rx_data <= x"00" & rx_data(3 downto 1); rx_data_full <= '0'; if vr_rx_data_idx > 0 then vr_rx_data_idx := vr_rx_data_idx - 1; if vr_rx_data_idx = 0 then -- rx_data empty rx_data_valid <= '0'; end if; end if; end if; -- always shift in new synchronized serial data rx_bit_samples <= rx_bit_samples(GC_CLOCKS_PER_BIT-2 downto 0) & rx_s(1); -- look for enough GC_START_BITs in rx_bit_samples vector if rx_active = '0' and (find_num_hits(rx_bit_samples, GC_START_BIT) >= GC_CLOCKS_PER_BIT-1) then rx_active <= '1'; rx_just_active <= true; end if; if rx_active = '0' then -- defaults stop_err <= '0'; parity_err <= '0'; transient_err <= '0'; rx_clk_counter <= (others => '0'); rx_bit_counter <= (others => '0'); v_error_detected := false; else -- We could check when we first enter whether we find the full number -- of start samples and adjust the time we start rx_clk_counter by a -- clock cycle - to hit the eye of the rx data best possible. if rx_just_active then if find_num_hits(rx_bit_samples, GC_START_BIT) = GC_CLOCKS_PER_BIT then -- reset rx_clk_counter rx_clk_counter <= (others => '0'); end if; rx_just_active <= false; else -- loop clk counter if rx_clk_counter <= GC_CLOCKS_PER_BIT - 1 then rx_clk_counter <= rx_clk_counter + 1; else rx_clk_counter <= (others => '0'); end if; end if; -- shift in data, check for consistency and forward if rx_clk_counter >= GC_CLOCKS_PER_BIT - 1 then rx_bit_counter <= rx_bit_counter + 1; if transient_error(rx_bit_samples, GC_MIN_EQUAL_SAMPLES_PER_BIT) then transient_err <= '1'; v_error_detected := true; end if; -- are we done? not counting the start bit if to_integer(rx_bit_counter) >= 9 then rx_active <= '0'; end if; case to_integer(rx_bit_counter) is when 0 to 7 => -- mux in new bit rx_buffer(to_integer(rx_bit_counter)) <= find_most_repeated_bit(rx_bit_samples); when 8 => -- check parity if (odd_parity(rx_buffer) /= find_most_repeated_bit(rx_bit_samples)) then parity_err <= '1'; v_error_detected := true; end if; when 9 => rx_data_valid <= '1'; -- ready for higher level protocol -- check stop bit, and end byte receive if find_most_repeated_bit(rx_bit_samples) /= GC_STOP_BIT then stop_err <= '1'; v_error_detected := true; end if; -- Data not valid on error if v_error_detected then rx_data_valid <= '0'; else rx_data(to_integer(vr_rx_data_idx)) <= rx_buffer; if vr_rx_data_idx < 3 then vr_rx_data_idx := vr_rx_data_idx + 1; else rx_data_full <= '1'; end if; end if; when others => rx_active <= '0'; rx_bit_samples <= (others => '1'); end case; end if; end if; end if; end process uart_rx; p_busy_assert : process(clk) is begin if rising_edge(clk) then assert not (p2c.awo_tx_data_we = '1' and tx_ready = '0') report "Trying to transmit new UART data while transmitter is busy" severity error; end if; end process; assert stop_err /= '1' report "Stop bit error detected!" severity error; assert parity_err /= '1' report "Parity error detected!" severity error; assert transient_err /= '1' report "Transient error detected!" severity error; end architecture rtl;
mit
4fbe6518da7038a8c797b92945f2db60
0.484842
3.930757
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/accum.vhd
6
48,970
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block aX+FVmPRA0D3JcGjJMENvNQOrb6bG4jkny2Dp+oGh16/6VcMR54gxmfDJQCpt23OpKu9lTQBfboe OGuV2ofpOg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MxffKiUsGPu9jRgKBtgQn+CYlNq0i3+DIVptGOQwD2+WgF+uIi4+9rmDCXDo9ZMep5oDxQlephF9 YZLEnAOGH3hyPs1+idTE+v7z8OJsbVFTYwX7KV1YBRydxKljHFwlLymeHj3+uiAj3bhEe4/87Sz5 8RqpytCOwYFqFbErUTM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ByMiJLf79j9poSY2WMsSohWaYuvFKmiLdss15caq0szbQzPT4Xb4qVFKAagTa4WS8aJsLLr5/HBu X+cLwoCx14E8ABEc97qdonvSY0x+BmE20xt/eosUcd/5iGk/I5vPw7qTB9Ksm8ZyVRGxNSYWGrGj HfjSfkbNZDWm/Ku76HUwa8tIloC2RApm84sm8QnSINvF934J2UkpXp7Kv1+KSBdpT1NCA2e4Nmf6 vN615ztxcYaNjkFKqcKrY0pWu8yjN4zwC+9YJe+0j1hQlTD2H88hQmVaja0XyeoZocqyerb3c5kB iXglqlXrdA1BcbabVcajHSQX/34MKpw1lG7GCg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block B1IfUMZx1lO8Hl6eSgzd8hHr/OFYNpNr2HipuFFId3r7W44sc3rYbByz/Pvg/+27BhRWN79xJ+ZZ vN4jS+Yi30odDa3EfJTjKc/5FjP3ovJT7vc9fvB2kIC0ZHwi3rMovNr8tJTpfmhfRbEmg40ct/k4 DdUOpMX4BWOWoZjk/Ko= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bGLKEsoG6k1JCVOB3pPYleTr6UEESHKkC2WdrFRHCdnwOzvPtE0OpE8C68WeEVUThwY8o0JmXkyA 96aPy7IuVvzVQx6vJeRnwsUN40cMyiR8AHFJg4RlXeunNm5UbDYoWAoH9X4bjjS72a6uy0cG5hJ8 ybW753OMY9cC0fCPdhALu43mjc8RLUsJaH5rhLFOrVCsMGAMFxHFOdBSvVa7hvB+CeoOjdnn40Ys zJ6uEwVulSKKqe7rCP0lTHnqdrYd5BQ5nRbozke9jr4UxocAWuJwXCiutzTP4Q4zU2ziam3PVkLE vMigGG9W/bWYeMttS3cqjxNiBQEFAMgi+BfIxg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 34512) `protect data_block jNxEFjLAQ7zjTnA4B/tzAc5ohvmijR4t7SeZMYZFqv9L6o5TV/P+V8w4m9KCkQb1nUOD8HtGKTXI Qwy/kb8BdC+m+Wz6emTX78AiXswmFxv4fGidoEjpddPApq2VGEon1PCHMTucWdPXnyTmz1a6tmFB TVLEbfAs+h7L4XeFpy9jLbLY613YNPCEvD4XTqqEVxJECmiYbFS15IpHP1Vh9jhDsjLxxWZlzT4z PnLb7RspkG1AhVHmy6MhY5gRS+zolJo3ZbIkHvQ4InjqQR5F7RY5oB8Xhs5/Q5yhq3x8uXdrlC3N JUC43ZdLUnOwiWO4OD24d3wrg8gdUYqnu+Bp7N+H6DnfkzfgTFVu3cPA92m5Kl4hsO72VeqTQAjH bQB1nMTWtYsdNQb0b3JlHU2dWKaBShSjgdWwnQYccxSXS4jE9/IRAHbmSxeGMj7lcY8+fV0PXi2v YqG29+YI8yNSUk89ZEC6bL+j5jZO684hNjq3N7evqWnBMQOvRy4+EGmFvy9jbfF8KkLSvXPwjRD7 aNVz1h3OH7SGqfKz1cZav9RoW4JOk717+6qDvnbiWcOHowtuWByZlzkDwFeuU1wT4neMJaRLJEJh oVwRRadcCGuy0bZ/FKgC4r9f9V5f5THKQxK0JwED3IteZLOKu33qLCWRlNL+evX5c7lqeAJLRdut BlAMWKU7U5P9WRoT3NMgYUZTTs6McNRKmwY8aRHAVZkoLXigJOp1A5KPbI04GxfXIFhUrbR5feQ8 Mpj31GlIAtzG3kiwrXgVP/Angm4FSv+IPrDoWylupLVuA8XCFK8xXyVh512jjQn8rYGz7XRucYs4 Fvbz9uvl90YoF7EoUDuiD6wceyzlEoO2lPQreAiE84SVN6ITE5fNVKBEp83SFHrHV3EYcZD5eXyY OTUF9y//pjnBY9ULq2SskKv8+lrLScuq+whpWv1As4qQGLw5XuArw+wVj995K7lMQ66KeWk3WYqz oiPuN133F8ihZioqdOPpEBCy2FOn/rLHDrbGKgX+Uz4vq8/GiZLrDEbvChf3fqmNDQkGzu4rpRd7 gcgFPl9Rumd3xTrT1DkkFsicCdtPHzmMUy2XX3UEQzXgX9wo4D0D8FNWhTmELzwiaJCq2BZ2M+5W qE3qHTbdsBRnzHCWT5acrYA+0VYgWV55OcvTZ6tgOqXZ3U3Q3s0roy/hY1Ptqf163GWVCXWjaoN3 ZCGFQLipzWEB/LuNqSyyam7hT79ci5ykevY13w23simxIluffzLWaGc3k1ea3A8Jyp2Kuxv3k5Wn zuAzeVurFqVth5mdBsT3s98VKujBXraFlKMQMZUndwfQxhDmZTXrzPkCcpeK1N1k3SJxwyy7S8HW fd1xefwJPhyADcMYyrbUnpyoOdywyekFOkZKDeqs5hDmnPBKOabcfGzsgh/CcZWk4+1BcJRa6/1t sYe0oQ8UOPTSehZJoUWcdTT06f66/14DwQt2nH/LTWDf0svHr8r4q9iPu4brBmwCbhUme3hKSGBq 43tDUZVlLzS2sckvh8vFk/klRmexwbY6sG4VgeTMW5bRa3K3/C6ELl93Q1v59uqGqi5KwXf1G3lZ X7WtW05ilDkaKRlVNI1BEyIDKYu1QcxdM4eGnAuwzcCINxBaeHF8aCy8QGJIVUnGJcDsar2aM41H EwnM/6krJo81pLkJRgJrPyMgYNvtvMaCmoQj+KR/o6oWOyKxI16s10HGohIdYcEwxdVlVRWqPoFK OJ1rHezKcP9kT7L6SN38EsnCMOrs8daxy53cXRs+PBSwMZxj4rCKMd/JfmXOvw57eLdk2kgHtFA2 s1MXRnYkLKhNKaxc6hObUkKGPmc5cZ2ER/aGcBncgQoBaF/OagSEJd5gNs4pK+shZbBHsijtsYcx ly7Dgt6IrCQEDY1FZNh3Qo/+HOCkdjDI7lGzH8REvRp/AY6KPnc0RGJTjr3+U6orrzF+DrwOKTh6 JC9wCWZ53jALQWPCXekA2hQ9VrZoUR36lZDY7Vk4u933UK8jF4C6E2OTkDDfd2qlUOGpzwRcBe/Y W1jShwZzILaplhlRZpdtvfB/CkkrIsWFfaPNag8S92SuxCA2f/Ybomk2OIDYku7EeRF/B7sCvL3V Ak8dLXF+VwUruhV4VENofNHNSG5Mu3Vm0rtaKloijaz9o2kJ0TFgT3PKJPG5jLw3IzbSiYIqg+gx uIgX5PgOCv+/ATNL8jtVoIQq5UkOZHJV50banyd/7n0w2SZc/9C7c2dw9HOO0Ct5e6Ji34QT4L1Y EZYA2itT6P3vQ69UjUUEAkPdSfzcCetUrCpFk1SkBrxlwBZQGTtfBj+5OLlTDdafo3BAHtTRsZ62 Mc7oJGb7fEkWvtbHHS+Ru4x/zEa3P4sJu2nXYSpkUFNjgqP+O8EvyIKPppjUAdSJhScWbgaLbt7D 7o02aNbTyMbXi6dsvRA0xrhLysnWJ2tjGYbPq5H/Z/x2x611Vdl50v89L+QUMvP+ZHmxVtScZThz BrqQnU+iAWEmVTI5t2SH9HHKDqmsYlsboYFiRvlKvqAuirSdrqjPyVRcIMh+lxrTlCwPBY7hXIrh 62lyaTTqLW3j7ALpiPMZL+ZgpW6lCy18Ksbwr4cQjvyioxfZTKX5UC5mUNOqkllect6jrJZZaWue rsjWkZJ+GRHqzZ93xpfTFpfXYNnfCc9/44rk65PVNKNPkYVAruQKOsk2TGkNuQPgdDjzth81v3Bd s+D4Ihf7kFTGEkoPcAtlv4A78n1ZGn7Px1AuMKdsBJEBfnMrMwIYKly6s+41A5MBgxcbpRFYC9E6 ARkg5KA2AKNdksBcQTm9yck6nlmhBBd/yoahoP9Kltf9tlcuFHiUwlGICniQo6AGVSobTN3JTYp9 2dDkZ4050RgC/tSHw2WLIBWj+UYnRG4I8kdq8wtxPhGCKrJzPVm8ZJlgqEdGFfm5+nEO0L1q9biA ilmvePJ7xSTSJlXtJX8jUv5FIbafxg73opLzLAPDvtFlKjZAS/LBB/Q+wf7xmTkPth14+CLQtL1o qd64VRh/T3oJuUFifnaepd4/8SOW1ntC4zjQyHCiwyPL8cd3c7o/mp7iu27VYWN3gL4jzBq6Adjs p9fo9/EnWKNxGNxNiVHqBfU9oWaj1b4qhy3pr6QJDlLEd1GHEYD2odp3K9+pM5WMEA74096Ft4Vy 8aEEVG+cK3Q6T80ZMV+gISW9DZ2KRTAdgE62TSIExbS7Wg2QFm1gY57vnKKVHlvfNS5lGvXaUYXQ 4KKYuLP5Hc88zcw8UIdGrtWm2pqZhZyrOILQB03o/tg2bnX42SOB1uI9mGiRcHNzIiyupDZ2yf93 4F7/0DMwA0PTlK85/PoQ1OhEbvkmqd+5nBacql/vtrkz0EaF/Q2ngjCjHh3lX0F0U7zasC4TeTu4 YXMv1q/mIM3yV2azQSXngrnylAbgxzBaquTC15eTKA5Y4wcTkpyn59GtRFjWHBooWtcv0opNOaKk JL+sDMV/8uZEeDkL2vwbDIgUvDscM5Y/62lMx3z6avadHNzY4PLFfQd/zasid10UMX1MrUm2F+6R d5GAQgW+uygWo3GI6atebD1KMjM24FN1Di98UrNjaFyXwwD3+Tzcj9xX+2IiV6kxxKFkRhHUtvip fpaG7GD31tcR4IsDlG3Y3+E81SXJxtxqOzeTrkrJ/5SBfb75Wl76KQIlF6YWGmyQoBJZ9WS2x83g wQXasrCx6XMAdglmKtGX1tRM6Qq/Lridfu84iwbDBeOuRu2WndunG8jTx/NmAEc8rHajvO5xmowf FT4IjGHPJ2+1NK/zbYDmIgIy7+mCiv7zpiET8ohT4hdLjngAWA82qAjufFB1d1QYKoP8hlv1IGoC GjM7/59l3BSD3dgTQcA6waHfanZbcDH7sUDalRIEvurduvio7csf7Ueo2eY5B+A3mhOrMh0RKPya 4zX9EcddPZRS55++WpuJtZltbAOm2ZP3/L5dPpeNkewoyAx+hU7sdY5WmDOzjeK4U4RPaN9ZAcUH vam0Yk//KD33Vv3g5vqx8rX770AeoNki6rp2jke1VKZY95H1gg119zDr8olS6/6mYmQsBhUjA/E+ B1Sf5DQe02+DkAf52FZ0uYTBd5LhpVjkKP5wc7KVI6CmyZREtPZRz4vdrCh8DQDUmP0yEr6zaEu1 vTIVyBdIXZrIgUbapUul3Wo+9Jen9YALiJJ6H5rN9hMVgCqQo860KUlxKqFB4YsWaWgBLdCTqS4+ o5tX91pxczPpExrLxZ7CV4lMj8d+BzbzG3rWT4Yk8V/lYhgk6Le4Hi0qDjJ+AqRArpLv5GBcVy/1 QrerZFEQa5uaYMIkvY5vfJKl/na+dmxezEamy2VOcrKBc0m2bF+3h5tLS/pNcozMbiIt7Mt7tlEF ml7m8+fwVEWYUCuaz/nu5Jzu70+b6QiQWT+7XLi4PII+bcwyZTLcla48MDO9vzGOVbrbaQKBiP8q O14aXgmZvkvFPATgNWZmTBBzrG0DVqTVOh4SkZ141mdSgKgEKTCs0Ea3OqaGypcCz7xp1v54YSKk hUwG0LKtyjGF6CDs0WSNj+sWFDBXt0JOslECU1jbFhJCeMSEtm7jpCHT51poMBIt/6AR4cka8Lx6 s3B7PlP5M9yNVHfva8oollSIVBGhJNvoWoAHi39V1+d1iOhGejfAq+QMwsbQ5krgnmjJKFXPy8Sx CzQJF9aTuQ2Fkv2/BMkYHGZ9HWXETmbBfbeDogKN5OtzKz7LdJG+lCCd2QKqAKq2zTNSr7IxSF7J SK1k6MX5lxd7ph5wSHpofAM8EHwZD4KP0yvOPUvCpi7bcSuJI8v+G5M8UxISQpGOaYifVUG3HNnV 0jMKKJ3ZkSMp4Quq+eeq/+ameD0PDitsCHa4yCTJf/3ZolmQqc0DPz7Ksds6xOomKHd+fABxHnTl 9ZjObGgjBxi7kRKD5jFUcbXD5MHOJiWCa5nrjcJHTaPmIrzQlnUiBfKyyYHsg9wmMgiU47s/VFQ9 zZmTV44YW1W7M3H4R8g9gMt1LoQkEZsDUvctzUSSR/zgwwkQhh7F7U2MVh4whyqQRLSaLGucMLSI BujsfG0Q63KyB9p6Mxmo1na2YwcBrD6C2Rb3kaMDLGftghuVL0U1/o7tiNla8wdsO5e83Am0XKuu cmnNb/anMLBXDBfpBeBR1mha7KadCASwxptDMSsr/ra7nOUojXhbGUwlveEwh3BWZ+e297ziclYE uNalcJTTm/v6GoOudK1Rp//xgRqiTNwt9Fhb9bF2N6YX4SgB3IgFRHHIuFVucCggGSPG9CQNnqi2 k7hwRQ1Km6NOWuzHmU40ciGx0vG49VpkQyZvkwvJPpSzuR3cyldeuQa/qqgf9tvnqyLQrS29Ttqr K5c+vmDZ/iNPfZzm0nFMqNXaCRHKGdNsjsIG9BKCm450VWYNbVo1we2o8nMpbVKahDcV7qQmmG3U 5ahVo9IG1WasdhE6dWjgSItHMEyfjAMOIHd184BhLWOzQKRZGP9jZbqblTuqfUB+zR+1Tc1Qxcko PUS73nKfUpVJ2qwom1jHUCk5jmZZ/cND60feU6Z5rYCwFziXOJOnKXFNjG41ARfNHbYK2Bo4OF5M ug8QDbWItbdOLZd8uZpCU0L7jFkiOEPx6qaqlPfdh/lUX4gTvrKhc+wgoQHk2ZelpDxkD5UkiQlv BRJyeSLu+ulp5gNRm8wP/H+IWw8l6yWH7r8H5Vr9wsqqsEVOs3Cw49LSw92T0HEGOuOLrt0d/txL zlphjqWyEf1WtE1sGxT/PzXNuF3Ie7ltbwlJQ1NHwwRuseXKKwLu4Qnzl2GP+cTr0TVq7kqQCnri f2IX3QoMp5jQPXfEBN0JBpGFBTt5KJJALG11LNEHh7ZtActYgNScmGaYy/wa6gXBTG1eYVkwd0Kv CfRh2VSHZ23BGVJzmUcqDYitZPAYi0P/JtkY4n9VgtlJsPCQDn73NNe9eoSWVp8ZnFUvII7a6enl xTsgtbKGitXewqZSQASlkGNqKpGcknMnKKf3DGKVq6jSASYlw6p/VTZDTSivZl1GgfcU/0maKBkr kz7S2yH3jqjfbDci/hYZrkQbGw5tg0ILFhYNZLUV13AeqM+v7DBRFdJi9EeDRRu8xGuTqhOn6rGd okoCNwf3t6nJoNnNngl3uNbPka1199BZCZkM8yvd8fXueSB2PH8SwmsrX9IDBtXxrQk44oxQ+nXK Iqk26Av1YnZk3yXjLj0T4YZ+46NVOrBwTRwW9PkVzghKGwdxVD7SIO9l/9aRb6Z5nrdBy13k89KF kCBXMJssAgotJpcl/ztrjYF1/9+ZsKIFOk6nQLXPx9h58WKAxLyxWQv5HVsddY/GFx1dsETaA1/v x1WDxbFTaRMcmsuIjCCc/vyOH4/QZKEZEoQLNHhdO8D8zhQWjfcKE6MJa3I0/xv93AS/EN7cz/7T PV14xPh6yeJQ1jNoRERg7g83J7hZwaHgzRxRD34f4I1GrmgRVVe8CDchbHm8Aam7DNYbE5Br3r9j wX+JpHUbKLjJT6Z3mO3AApD8SM/qclV+Ir0vqp7Uih4I3A7+kCDLQnPytABGDnhQddlz+Hq6QTEv K4uaD9rcF+x35k0PMjFEf4VyX9G1szI1wKzMXo7bPOubo61g7hkQ/oClqszcMXSG4cC72eyHZzm+ HlLgkr8swgk1chbeOw5VeQYC6yk0ZigofhMqfxfGtthkHhG9tDCH/5Ss+b+wAJrZfgupMM8L3vpH andMUgcLth8ZNPyVnnl0SlAFsUsVh7gA3rVVBtdGWtNe/ukVxZcrsgkAKvqzjDxjYyEvJmC2xS5B Rg+BlhYIrLDEog8Ov/t/7sIwBZ4SU5BGkXRArzCDV34FsDBDoPrEthyQfy6gkfdpKBAXjzFKZN6W HZMjj5997WoWobjrxPrISpXEgEOLJq3iYc/LV7IOxKQ80IryYgY45X8s/L6Fbh2WZJuAzCmvzhj1 aG+N5/danXlIF/3STO9cQP0ZquAItDeQtBag+uiin9d9MhKIXSXL5T3+7aLa9Bnz1RY/VSvmFWEN c8/nxgEGPeqCaI30/PCWcCNgPZ0jichtfJsioNEpzf+uTwG4tT93YbYkeCDqMiJRQJhu07BbvEa+ kYIoT6+LhwKByNTLpDHq6E4qG9vAlso6i7qVLML0Y1wik+1+9XBzMidFogUT9bot8CzU7sGGz1lL vTqrN5G+2zMRKFZRgLIx+WDYI/Emjgy7BiZF4o3EkgjUEkBChIrzaRtZ6xDs57CCz5qDVN2N5OM0 XcmONFt4mSLB/hvVZCgvLf+3zk8gzePb9h0gainrMJPgO0/hjIsTcR7wGGnULz2ufZFv5KQ40lF0 j4UE90+R3ex/AcEA+i/Od2cGhWg8etJImqhJ+oi+LBy++J/FAwKpw81ib8TwqiHWXXCjtBpI8s9e IZ1j1eGq35AUCTF/nJt9W+lRa85fWaBjaK0JUU/5Jk8nxCYd/KVtC5Apv+6V77nSOuZ+GeJOlye3 JyY84wLu39kjxC3PZ3ZvN/RmRBe4SdFhMcoGrDjEULoBQ7RG9ALAH3O+z95Em/xa5sxGH403b9Yc rIiOTIrMcs5FG0mDdR92SfEtRYcyd5OzZzOQCn7WRJebxSSyEhk7Y+x61i2ii0WL7X7Ez2syx2Cg EwCLX1JSTaMqOU1qCGOcNtGrTC3X18sTGB0DOCLVPJlmZ4DwbQKNR+FZU9aLmI576OAzMfka5qP8 YLgg5Cgw1yfly0tFtRO9n19aXmMX15q/A8CWKAjB4uR+gCHanbpx48t77alkvVXDteOW4K00R2hQ VXstzlIqWZ4ZedDrSlMCJAOkB8aGcxamnqvlUv2+8aidgFVSHLYEhAFhe2l/nOAtI0IQM+yxYHl6 2E8Wwxdpsc+kBd9O8Va8zsdGmBbg69Vki2gTmP0KOPZpAwM2uiFIJzjMHDYA804wNHPv/CGPYRGZ +rgZbCjGIHK6U9OdNBQJVYNDLUu1DfnDRjDjpnxBDueDvL88R/pyxRl6sOe4p98j3W4jOT+r1SL3 ebhaGOhmkXJvDbdOQ+KF8ZY9OQEOIeZCO2Hz8blwKf/4oRT78TNP8e1iMpAda4qtSJtEo3BcZFO8 Ht2FKV1FYb6jMfZCU29hZEBFwa2Rl5yWeuArCC+lGyU4kxo5dS+CfrOrRs7TouVr3j/oeB249u3d KZ+Y719ZMd+tIGy7noydmzZfgfAJMEYqInpuavInJerRhpGBIgMYGu2rIE+frOiQ8W4wV3F2pvKr m/LCPkL9dc6sbw+kXFtLMh/2+b+AnI2gZfnztYWY7i4wChYuBhMmFbSSglTlwoswevQBu7Ku+z4u sBxe8mB/gGsUeDob15vEACUBOh1N2ssr6O2xbtzBUFC434qKWl9kamlCNmwGMnKf2PdWWwVgP/yR mAbgYWeGRFonLuO7X0g+NRtqZQEwFQisUPXAyTJthDHqi1sp997TuR5/WccGJEyG1CliTVhfoZ/u I8pgsRmjS9deynZpDXAN8SHHBSIirytKTHo9kzaViJSDpjGBtvalwJvkMW/8e2KHnOobcopeMPd1 PFJnUTWtch9RcgG5DiWWg3mcc50nNWcm2xQFa9eSn8sGNzaw8gNb3hLGcLRDMxtCVCOR4RliNs/z U58hIgMwsFiSryQ+LqKK/FR9cTqKDr7Bqr9kMXJpR1Ws33TNseAlS31Qf5sU9vj8tsyzY6lTWVLL VmJ8fMbTLnip3zHqOvkRHNLVpvgTcwXAmT4kCzvuwa3XPsMIDiBIl2iE+iQieh3uwdYIiugtAU99 27cnQ5yG7iHwFEqLexgMG/Zay/hRNQhLXnpq3ybSY4h8jCflZZn08405JmhSaVvUpEk5ylHiSniH cYrHOiemUt2q1sLJrwk4UR1N+Ifzaqlo38WWQdK/C5FqYbtxJSu6l7NRr1m78WFUjuVrEgjcHpdE dh1sWggo2kk3R2QVOCx/uQy8msi/7gRdJETXb+3EvaPuwpCEWAMGctP++XqOYsWFkwNycPYY4WEw lEOj0o8IVKCZUc5SeOTTg8PXfE0wMpjO2HxwPyk/yhJeDF5YhORqI9lQAhju8llC20wT3Ljjpc/n F9178WX4uwgIqWD8q8pYvYx+lbb9yMjhVGKwP6gUY/Qdcscfr0P/qOQE0itKuTEqhlfnP76xiMEl SpFohd4nV8zkNawJuS2Byc0G5LW/v8TIyvgPmaFt76A0mJ/HG0VuSSX/PE0gBqfHRwngl7vQt26R lBa1WpcLNJMwCpd+6D6aa9WhXoVayvLCGnXUaCVGcZuxzBUPz8w6QGdlMPitoWDF1NGG+TjNprwq JfFMRh1BgJabR851XGmiygd2JzQcX8SxXOF1PBPDPWOuSoDUIDSZiWyaBA6WXr8RbhfubUYGLE6m Apu736AHvy75YXIGVFIS4tPr+51iZk7iOaD6OONfBxhuXyWg93k52/YwzVJF5YPpm7+uaxaO3w7z q99ARd9X3wQmOQM29rEaRAW0VHETyvqJ8i6O6gb6k/fYUvi8+WmlkTkG6zWNEykwvnPUayNGagOa s/uc6HB5BJffENS91NLSpOydwlUc2wxgjB1X6tlz/VE8IEct9gjw+/lQN8VzrFtDrKxADK5Vdu6g hP7072o1Dv4KVPAAlAVANOlczZOAP20KGsaMfMgvPpVqc4LOPS5cU5tT3hHwqHNuYrPWCQrTO6ln WIAsF/49Fvks2DOCC/t1B+gN+BW0axUNO7uj9YWhejKijxSGDhip1SD9GnCJm+3ugTREz4gb1Qh+ lgO6UkVeMsJYZ+75C1NA9Sycv+V9SgH8y/Ur9FcSWzpdx+lxDU13T2vhyiTd/QSwGrvf5qghNIeN if3tCgOYKlW0i89OYorTpP56RRRcXvijHj0H+/keNHVz7jIOnMiL+imRtMpJkcmos6PL8jREUcx5 5F9ZcV+gQ5LU918ijFT3x13LEyIfggSPpHZ63/+p7HLpR/9wjrMvzc1Nnm+HCG2q9vcjfTMG8Wut QNkpw6l0TNKigrRgMt0ra3K/agfQNCxJPsJixdDeBsJ4MmEISUJE4RvRr9XdxV4nQPNA/oq2rRoE Sr1ExepTqPwgUMIUf7iIN88gizck/0sxJ0ul5by8wIGb8F9+XGU9d2ENwvViCD9NOF/QYrcF1x/q kgCYtUfd6SxUgGws5p1FFbAUS/bupCF2E+KaoBrbgrLgLCSmjBb0KWfomacxdUKQMIFJZs9lhYU7 +e+O5EQvJlcM3b4I7QGRIPvncXEKAlH7b65SmI1/6kYSWMHOlYpTkkJGeAajYPbs3KW9ktVBXyrl xe84y2kpDC7OQ+PDMn2wkahpLjItab5r8XnlwM5YynkQ2GRL0bbANEcTogLm9AiWMPenE6B6lI5b f6RMrDI2Jcalz4fBnTuJszlFfUGiQiaUgfvtq5s9nUybFpKKgBJCOE9OtmquZVxHoX3H/1hIPCTe PtwjIdBuEUNUGfPlBsJH4ZCh/CAsiRnJcyZjQaJdXQojHH8FMEebeWauivFSk2EXjSx3pkFsVRvF kbfUzX3/2KMSCJo+zbHzKbSmdslEimRNIDUQyo2Vaef8b3KQLefZhAOo26OdVFDBjnVO9KK6uPus 5Js6DNh+f1spsY7uicaAHuNLx1A1JW6CuWEXCWg/sYWWm/n1nQCccTXSyO74srDGlXyrNSE7BErF EifRbl4C/tXYImuVNPvIz7styXmspRmvIqEQKaKvZTThff17qC9OItQjOXaG27pELzObI6RtPj0i d/xOctFmx8Rn7xaeniFg7AH5/8dLq7cSoxtDT+otLasSwSPjr/lu5WrP6YQtEZcQWqJe41YOqY8t DhAeaF+OOMZm1IhZn1t1L1o8twbUMLVbVMjMxIQNWj8gk+ZYEtS58y54t6WkOUjAQMC5D0UfjGdm b5WrYLjz221XkbHzqjkSfNjLqI4WGtPUcNEFgmmZbNMqdPFvcHUbNq/K79JiJ1bJz+rU0TCic2I1 TaNRHvK2PLVMDLgtCSUtNU96zhgAR6F5Whx8tHaR3lmyiGONRMZv8E3wWVav5M1NIcntszMA9Eda p7MtiwD5P2Juso7Bx9vCgXi1H2i27nxImSEaNmJTzVEPDVyZTQQl3TVxnU398ixQUhmM6AeqbGK5 3KeT9mo7nI7Jrz6NNim2QFrsjBEKDSsM5OF2sfeYypDLBSdjl2cQb8FAiL2KpKZcuPwvvAFQiXeK S/5tJFVUvEtRoAW9BL4nMb8T/8zHzcHOVSVkb0faiKX6PU4mP0O9WqyCvQ0YT4B/FMM/K8YAyaB+ 8bbEMdXiu8/E3MpqWghAj0Lta1dtPB1fY+aQQsmcnMnYSBNb1MOgIdfbg7SSVhMsmV4ST/NJk5Ti MRORiw19l8TcfpbVjyFcA9wqRZ4uaFaL726DXLlMbk255/4HAc1DXHLOUeuKCiYUwTnny4yRHIDu Qeb/guWce1U0PBiPrvpGMQUz6R1jVWwVGz8Lg3KnHv4pbFOuJgyjSBtRVw/2OxMxTY6lGdrTTB5f VyczQD+W/I625/y8Al9rcNmqFJQeAO4yBdrIydXh4yOIPVzkKq9IvUgR9oOpOBEywGr+gwMm0l71 SCp8bsX0kOFwNuC/D3qVxbpLxwmlJ89rGGkeNDxuqF1XnCjOk+p6Zdm+5twJVD0sdTY9qJj3z4d2 TvLlIyYLpTj0nn2wKFs82obheUeXx9WJmRgkxTTbRxe8RkoRlJMHs4cuM9eF5TOH2fCN302auvyW Ds2hx4DrCF6RSN45jCxAHYRlJr+6sIoK7Tp7knmtH2pfH+5i7NflhArb1E7uR3OkKTaqRuKUpbGz y0KBZO54tX+5cGdPSaXwO1j6mbRhabN+pTcyke3NTrP1Vi6b88BGEQnTJ0QTC4j4D75VRoLH4Wmd ArAzytwfIsV6uSVhspa+n9XHUpRzmOi1OFDemtepWo/nI+Z2AaIdwuhJiAkcImRogBldJTT9WfWA ImdorIFUwuOe+CqpvXZi0BR/0V88+W+DQ0NbsWyd9e/s4IVUbBcjgxaRmb+Orr+1IAxY1af6fcgk /Xhbn0lZRRwXWSz1iRul2FjbmrtPYku/qk9hXrMtdKQ0MezoxUuEusT1/tneWi1414nYSrNpiO9A G26dNmCMU9G8+oCRbI8gQ9H3MWpaUMAJK+/UaYRnPzFQyozpciwUnrh3R1ANu2/59l3RGpA0AotI YoqVNuuYPthEvvyYrWV5LrYXQg6qI0a3EsfAbrN5wPvEqQmKnqscvl15lis1XybuYnr/dpRlvdv1 SKHLj1BNOGrVvRrvK64ch40dE/XyGE3r/TTQjO+B8TK8CSG5k6YOTdUm5VPpg69+cs23Th7g4Fnu J8uAIHbZgq8ibOTIPA00FTaVImEOdh8+ZC8qfpZTMuWZFHVu6yA61HyD8OcEIoPpkdg0FdrR5jrI irSk3sOEJAoY6yX7GjUuMkAnYjGSY8OJ2dx5D+ZaiJCe9DFMxXn0PJGOJGJ35WtMShp249TEV05Y MUr2MJd39UaBhU3C2h3t1/kR5oMat7A6AuT1eXgGKKHPGRfrzOy2PrTKDvZTXtZ7Wl9b35eygebG HH6oEJnE1DaLfjIyr8gDumaPGeRDJk1eY8uN4Ll3mKqmfu0O8tt3aIKQwWMv1V87hKJAlSxEgvfe j+ddCbS7k4Vl0SJ/hZ5/o+yLJbjTQWmq+TD358xvY/53WZsVBU4nPoQPXyMhUatFi3T3502zJdpb 4h4oRoN3d1moMvBMtJOhta68t0FODygXoGrbI/xw5ot9CHGToFOI8kDKrkcZ9LWaZBZJdcj10h4n uMmMm6Qakla96DHc2vIpUW1S8VLKDuVs+XtIxjPtX9SyeKeXh2QJDqcJnGUFhRhKBYNNRNpOYC19 ngKsbuKGj16wsMKDz24g0pj7gYFeEhwWpYhH4BcTnRsXlnDOsCP6hgvW9bTnDxhssEhiwOvMusPP RmIkYeaFAXqz2F1TM3IBdVctOCMywftBoCAZsOLBbSbgWhJ4l6ZCbbAmJMHq/glqnePjwwhu9agX VxkPx4eU4e7e1d/N3FzmYeckESRjzsMuaVQJrTrsLdqQqHsRbayHoHHVa9UzaUPNDmzRXETW8Q8e L2niJ7vO4XdPijHdAmAPNl5ZSwMeNDMhsYxCZ4g/Gu2hGOiVyenKk376EeOKoUWKoSVdgxwA+ely S66OfDypxRadN8P2n5gK3ha+Qxo+uw9BpcrccsV+BO4BKqdW3QNpY+KL5j3yjY8PG/ICYJjz8iOf YvcLqAFGHzue3IiNQuzIrSUXlOxR1x0pkbOhIUyUBT9GZhq6Va1aoJP9ggnKDgrSdi8KZBJEyP2U c3NBTiaCLxfwa6sgTJm7M3Pm2AKQpzs2/5Ek9i2IBEI0s83yHK/1Nkom/rnrL4SedEkhCTR8LIul Gqp6TUNrb9VXEUFtUoz7JLf5Ke+9rRwIU8TFceYjBlqWzyn6e86qlev90FqpcmaXpqRQ5DkQPqcT zlHVOWlpnjqyG+aiwEXq7wcYbK/6CtCVng87kx6qL7J5bgKJyQQS83Xz1ELWRzP1twx5cM4oq9NV 4VMkP5kV4pmlXe+9pfjRmjgkZlkXmZlJbORY+HFzd9TvapMqDTF47GSbVkoIZeynTsBOoh4yBGDB Hd6dJmpVtOK+3sdlH1Y+uUh07oNkWPAUBscEYDatHzChSAShTW+CW2/kbCdXNKPe6so8TSQl+8kP tK8clr0KWIM+ZucNt3ni5Ira3KsWrQYwh07DV8+h4pyro2js70oTSl5RbwVcmQPxrY3oTslhEz3+ 2XjoIwzuJ1ach1Dqo20aHnKFxlk5Nxt5dI7wJ6sUumL+EeyXYDBLUbKZqNR4Ssmr98EQtyBVXvIj SEIGcktj0AOWu5i7xVFkYqoZkvfhE6zf5AHC8uF5K8VWMwvnnXdhYVn2hNPFKMs1M5RX0ZkqcTfD MK87GprHMyzEgronMi+rnqjkj64ZEJmGW4L8b+Bi0vtLxY2hn2h8VtrYgrRkxYNLPd5XValKE1mH cxH+YypjHrFzTkKkp+CMSqDHemQJP4nO6vFlcg9VoMXe9Bk3nwT/k0EMeBRpj+LmdGcZJV5KsjoW 7c5d/MUfwFZxWOozNHlB5zTL2isZdgl+WXgxfElOuuWErpung/n9guz19HXgor/Mt4yrgPwInwtD u2HXOlGjs9t0SHCn8jtQLem0xR4WzEWMnKf9xh/hlYEthilej2PMesqLZR7hdRuHNT/jPNtt4bJA 9mdQq6UOTPzxgQgDB83bX3rS0DknVXokNghLWxA1MwnIcDD42Ouf4aPSQnSuVNIQyh93e226XKe7 O6npuvYj7daxhXVPWGFmo8tHh4ExAvaMRiH/Rxb+u+FpgOEH/YRx2HKeGy3b7oih7sirtcloaU6R r12kc0o1VSIijStlqxFqVXHMEfDTky2WDx1tgSsqRDDvc6bxhG1LRx0ooXZmDBLNxMIKfufSrkjm FZuA0HCRuZr231bDU65NGPRm3DEdjtjaVgiWxVZKwYz7W2AfjmKopaNSywUrCyQ0zTRoPDVfci8f /D8274L6KbX6rnmqvPyU4DnlTlxo0sw0/mBqwZPm4G5OO8fgXV5tw9m662CokVQsu7vK0EbblwEm /XBDk71GCvgqPr0wUeD6SAHZMDNVtN8F0WJg8oKdIMSz7Vta1sW0t/wnUgGnRoqlfwFiOfTXoRyt PNSvvVndt8ggWEMSIOBQ6+MV78uokwNkAQeL0JPAPLH/dNXnoUn0sHNap/iwHEZstUiPH+FVG2cC //5EwI18WD6TWZ0PnjX0LM+zxzi9bOJ9S0KBk7uc92x/3CutfWf9w9QAasV5f1kzcMbzQyeT+sBu zlUrGgZXvqgwfld2eIbMFuINXo+24VwMMu4fYddGIQ9gOEosdBR4sBWRvRAmZs60EcA4rxMEUHPG XkJ2a0s37v3+oyFI5huddZoTNH3UJK3mHVh6BgZioJOlOugIU8jQ+acEYLkthRzfSbCoaO+rGBBq QvlX3425ApYSfPavE9TOs3dqXuu58SrMuI26FTT/3QAVdM+tyW41eopn10Tz3vq7Ehg99qV2vUDu oUEg31nu4rkTJXdmxoYhOuSITzHt3LK2FvdyOTVQ8TlWxqjmt0QP+KyewRkPiC3GNw0P5qJHpf1r cuaaLM9BDLIXsWsP4WEtg3YIqKwFdfWkwugFlzT/lRQMnFtZyP8VoprBbEe2CR3frjpDXT3vOcgR VFpWJXP/LWezPdDEAYutYmAaoaChzYGfEkj++QjoYOjQRn5YamrdmMk57pFYHumoqQu3Ymv+FPy/ wE44FaPTkMG342a93u9cwg+lbkyRpqDhAZ87bJHrS/7tbgaE9jXBGMb9LAkfOiyvz6WszXhmnNGU ghM7C8KzIVlui+0VbUCsjMlwKENz098mhhnzSmGzGwmX9L9DxoWFS8+UTXjNhWANDafST631JomJ l8SQWWJX7a1ZkWTo7g3fVLcGJYMTB1Mejq2DdK5F/Z/q4X9Ez9Ndfw3hvJnLYhlUzu9DOOyx51sC yDJBmFIM8bSAWpmIpltBEZaSKKXZ5APy2CzU6fE/Zjp5/kfjQANTiTKtyq9dtr/KpdO0WsoRf0MR TNxj745lG39fU/Cqk+gA9UXQovCzpb0GbrXbV/Xmctkle7SIm1BCNp2Tv5V+ObR+LUA4rPIUM7u+ mStgIhCuHGUtDpZVCfsOvNGYeJMXskNe7Euyf2fe5hhtUtKVGxhO0pnX/DynJwskYEK99HvkgT4P 1BtXj2WS8hEb0yznRH5fRKlFIWtwEFFnTMpvN6wek064J5GiZnuNW/t1Vt9WCA6Ihxo1xBB1O8Zx uwJpU1bqammvqjzcAT4Ohj7mR3j2BaefkugINOTWmUqOyGLc2HvJ3G1fGv8wbqoc96JKfRZ/k5k/ vfX0z+NkMpnQDGQpkpZu5BccFOx5/KGM9XXnOBKD1rU9dFmt1Filv52asVGjqCkvQpnqO3ndlLwQ G8cKBj9zJ1P2HiLZ77S9sIwGJgA3y6WEch52vw+64D9w+mWYv/aGk106O44h8zWnw4godJpbPJ0E Y8u3VZqgWIFISZvZUmZWHOeD0ij2qQ22t2Swuj7d3xwMzpLR1n+9X4C6GyYLChW2FIT3NNUzZktv JhhY5XwkrkqnJJqFGW/uVz1Kd23DdlPuGzXlfP+WthfeZXPfkKIBwpBalzZyrO3V5HEM+tLT2u+U 8CR5ukpkznsiOoX0AbpXmsPz886zDJbDgFPgwJOIGzLKRHZrFDiZKBaXGGD9mzDaUZnaWYTGpfQm GP/KdWsoGNxR5d0RdCincAoWD0UUuIVRL4tlThzkKxfXi12vUTvxIQpzeoZMNjLngS097lBO4m4p klhGq9fu5BzWTGURomoHTbbQ34qLK6i0dxnOJO9F+RdODT4pxN2iQE/tFsScfN6wc3jQ17IkDi7L pnRG9etWHiAma9l6UTKvBRU4r6RdHJWF3upcLGI7xJWZ05StpTLGa0DefIIpuEmRU0ebsfF7KI73 Lw0g1nTakkUCphsv+OBgx5N7aMGPhAHt8FPJhVeySYbWNTQX/s00B67MUDwA5LvscyM9/fD/hMLR 2o5+msYrK74w2EQYTCTeHCRMjVfUIGaODrn8UVAtPrccxM9aoREpdQ87diPbcWewO467Q2koEgZs Y29eaI2dclb/VCFsU9+cLiGlq8lTFAA0HT76D9rnLZI7C5DAj98xuQmAdqNLIdmtPIx1kahIZShA 2hpMwAgf5z668LgZ9SzeEVWdXokOAKe0UGcIpTZj8X2bGOQmB6Pc1TgvjKTclw7N4/uaWRpDdXTm 58fT9F4zLU9KVeoVfxs7Zj/PHmSuoGOqLNgSd/GFfslVfdQ5ZrJnrN3IKLraa6ZGiSd+BlIKko6o +/TV0Dhnv464pn4NFVj6t7TJAYguKdUtR6jaZkIt7WKK9lqgUXHwApJUSwMKe6pb8ptKdlbMCy84 sY/aPR3mpK3d2qt7pIBRNKxRIYAlazBXFlRP1vaP2Xftyvax9+ymQVM6gFZm8HXg4/IbOrbBjnEH DlmG160bgmNX7RcEPptMmRiw04h+EktetMQPOvTywp14C3WOg4GfYE3Ne70I+a3SYgXDak+t5yUk P4UVl8MhLMwfKhNEaAFpmZhkrWTtYP37VRGhMrAaJp5Rp9AGeEMiEBT9aDgQyYWpjGI+IPl4KS69 2PXZyztCqoMJhuQhNRy027bDIXovlyuR9U8ZPPzhQ4k38lKCy1i7raFWUbLrsgaSRJybO421MxQ0 Rj+pMbTYHn+NGiMBxCgNek3+tqLDGtGkV48XwU8z4yVwBU7zPl8JycInaZ6PvNLI219usQgTPq6p PpeHLZxe/1sYvBkzF7fNxA0kjm6qZ/HTOxKUjpDJhN2UoeS/x/G7qRxOtWr6fMnpHW/7Hh1g7W/P OnpUVjGSFD+RiAJpiHYdrjdL/aMT3HOnoUwpGRwhMHxo0w96znj/SVdpm5kKxxzkpLAu2l0uEOkm zO/KZNAD4JdweUOR5WkqK4hQYmjkcfar7kxF4UAzuK10ljqqVeZodOjrJQsZH29ZoZ4Gu7IV6Z1X j/fxkrFNMRghvv6VLNJrJeP3mbJ8nniEJsCxciP3R/WI8rLtNwPU2eTNQqSZDoJFOgA/bjQO/lDx Aei1PBP8AKtphpOoPLeGF2VYXhNSnQL7imrRk4vZuUfGTAUPi87YvGOt6IkUxUO2rx7E8htlY+8I 1P5Ur9ia/ZlihHPfzt1bikCjhAndAYX2WHwGg7yR4XQ24V5EfALyMy2N8KfL5VlU/DEMiDvsUhbN M42T6+UCPCx4kCGLx4To4ydxwCVyuZYg8/jvyz61iEnRkBBOEs9jeuimWBfw2EWkde3pKqbKB5AK SJc/5GZ2hmC7i4yfcT6wdBYXXW/TIKoOz9ziT0lUKCsm1qTbSAlC+zFvg7X/GPBnwpZnSB/tdsPI AYIWX1lrUMcIt/hN7lQa5R9ds3SxDg8d1SZ6Jm7wOJbEnuozW0Xwy8hIlWP9sip2qPEdihpffXss J1cquGyKlwu/MAK+Ilqutwf2xB2tYcYiDEQwDiSSLzLLr4wCHPoRV/shJtpW+LcmialBOhbGHsCF H/oZmmQrg1bEPmqJ5aCLBkNwuSs9L3yBZrWgAmrayEWLtAnt8KDjbA9iFZbNrmo7bhgcqCXUkWav XSoMy2C2RY21FoYvTn8OXE7HfgEuwMyj/NMO4iMydShdO4r1zKTyVaLJBr0+QKa0v2c0OqAsUYzX MPaA9TCIbCCOJ6nemQM1d29D6+NKcWBA8fQER4k4q6jpntfAedFQwJMVbMGWSdcX4uwLDCjqQAMD WE2zxpgsMVs1alzKBymhhScCOXEMBSgYR6nEHfcelfUMvc3O1G9vLrON21IDhkfVHHCiRg3GpWh1 cKhO877+/B5O9LcyK1QttSRF05JSpmqvJa0ikq8xMsVYVAujU2PY9BTlZbpqz1jD4KO6URwkWTQb AMMz7zPRnZsTGwoRCMtkfjwwDpMoaMYojEYN/l1eRCpHA+rbIEYkZskgKE/a2aUfLPjoy9RAF1LY SNlJCuAiP5PI7L2YHPRjqP0Fb7GBH8I9w22evfpfECjHyIb4HQCK8RT4slO/zcgBNUEllUeHo6Il S5L4FmWkvfgMEcotW36wAeyuD6ZWmQlRZgjnAn/1Owdu5CIYznYLQ72Xm5HpUKqV2M4U11az7GgU nXmICa78FftngSt3wWPpluFyk8aQXUnnWbvOq+wM2EaUnq6GUlkaCEwDWA8oA2qSojThFRsfxB2P OCczNyj130+v9bL5EkqdOZ7w9bvb/A1b4K43zGdMAwJRT/us5fjy7gWJod2H3YUigOpI9rnPzDrt en+HXzDPH5ajUiLgSJ824JaSE/c2JAiID+WKkLuIGWhs2xyl8UGA8JcwRYhaQa5fNiI4mUucV3+V eskbTYSbAk8qQVrQsRjc2+4ys2JCEM+N50nGbiviUNX0uasKHrgpKRK9/Ng3VivXDZhd1pieGuTl 4Oj++tEQsmlrfAZK5H/s+Ooq1LM6GmCr6pnFNlUTIYtGnDpUGqnkQ351qVDkYdGgEM3YbBNLO9um kymmEoHCVQTxPJZkYbX1E9edKDRCWQ2fQLj30+KKg0SssA6q45jtRiaUWgcqSQTT82pRegVs7uEQ J4DRyQaAi6SejEy8GMLO1sCZ+zQbYABrEisuCrJR+0SOgE07O5MsK4Z/D2QSfoB3KVuyzJ5H/YZT QqR1AXgg5L+iB+NpBL8d0unmsZS4RzVXaW57pR47f9rMEO9p8xzBDZMfiQJGbG5RsOFgZXkE/F46 Hn3Vv76m7SzXyOZ/9IFtyIw0TGj3NIflio+QYhNBQhbEk7BbDh4vLH2mrmb0fPm4rgUSmlMVzxX2 NAaaEC9mCH56pVDEJwXxYrjqZhBiqscf2x4OzssTFq3d20u41zSwndB5A0UREqnRSsCqDTi1ZuTF IQ8O/8ZLgeAfj/aiMQ9zUW7fN8Xsy03fyKh2eAepYPky0yoplKaqe01UBIdj0Ck8APaMHK1qNdA/ wmNloHt8JTMgK8W3q3G8JVcmAGiwXABu40GdNCdzjTkurONqxBgnsHsJ+CkoX0RgsROdlZLsO9Bo vGS2emvMoLlGzF7YW+TmClQNIMNOgJYRKaUQWlucz8tDbYq/+foNAXeFjhoUSWdvRSeMcawUeH8d ALgQz2jM0IfzvfPAHjaYGMaEXBdiNeV29wAjR/9CNqZKSYuc+AYCPN9wO+z2EAKg2rG59nYmTzUM SMW6mcfJFpXyiday1cEOjsTeOJ3feT73ryWW1HgmTQz1O+STJLjlkjqH7p8dz2IhJTS7nf7cCwRh 2ka2tkMM/6kkYjOPtFZp0VQ3GBFaVb65VCuMINktQviu4nzUvBpCA71z0NEBwoj5/RAztbO8HySF N+js8XOrY1N77G/hA3nGjGOmsvuh5f4XUm9hzG/LGsNdpr5hAW/F6s4H7OmEzTtCjoJ5eNxyvsAe 40+/DZQ8VSDJZekDs51MQwhMTXau/YoTyA5M2i3Uh2u9iAcrZh/WE+DSpJG1WQuSoDh4+NFH5TZw OPMH311vxDootXmjDEDFkjDd5VxOG67BJrG7O6dbVasOKyV2k7uA07drxtQBrigd4d+oEfDrRNyK HHOUByYkrbldZGgm7+RBoaS3hKKgOH8B04AjC+mOotubH0l0VbnGyO65YZ1jmwwVnZxfLZqv3gll 3E+mR2uPwm+0Aa706bCq8ytCrIU3g9jmBWglMb0ksIoyK2K/gMcFT7mKvs66vLBf9N9q0WQfDpk+ OyDUgZrBUCZY7jJyySrzpbqJn+qVNMPoeYlnPaIz1+XHosn9j87sGtKA0ZjwHOifgo6xc2m7cMKj jdMOAmvI7fSnbYZ16ae5PDv+wzg5EbSX4VgL16c+9d5/jKtAxG07Egw/wLogDjGJMIDm/qq6Ncy2 LqPHYws0VgJLP9wYbZoEASBrCJJzw3FAg7vrUSuscYF5L8bvJRCt/8WPiBEPHd2JpxH0bSKtXBxJ anYGA+tInos+o8W7E8RrSK7KhPzkqC9U/PrOXXO6SfZSDwNZNYJ1VrY3h+XpS8cw9EYDPK1L3RL3 33gbgv5XdZ22+b6gM584to0WW7mKbPIwc+w96oCs2GXPci0j22GdMPlQtZOkcVGWLgkxaarx1IaZ 6IJWJILn4VyGLUspWOWc9KujamNua3PRBp35LGqC8r2rv7Z+A1xSwgVZOolx9T8cJbW8tDKznkvJ BKFUt919pWxyi/AZ9d1SQqy6uqWjeJ/18M7fMXLpF7gx/40g00FZZwH6uJBu6sFo4wn2ziL7e3lg pREAxqKGz2vpmYD9QXlJAqb9Hfxtk9kKZQ33bjQv9yfkCgYhW6tTcUTnvRVa27ColA67N8RemKPK Ar2FYIeS97aVrwEna9pdmN7wARzMhWwH9n7y1VDVReOeaJY9bTunQPxh4VyG7btfSzu6uoOity2v EF2bacOmiHuw/kuONxKQb6oOuPTpEgpLjofDIaZyVdSDvUdXdcTLLw4o+RvLc/IghdZyh6pDummH k1TTjLqEk5lAzkNkBhtATKlT+oRrUADye+D7Of3UeZY9wYnHA/9+cq+Es9BQFDZJqIZV5HGGcvKn hE2i5IYweWo2F7TrWe8fAIo+3BwqTm4dWjRP68nD3X0lOGszgu5goQ1hNW2HLRXnqxG+Q9DHcvCD UPREzDQvbHtYIvJfZ3rGcmyrb9vlTiQjc47LseOhcsekTp6VhW5PWdscyvKwE5zdvdFukIX4LFLH Vu7ulB2pYNY8Xo2MY/Rv6tGALwQg01NnCfQhlaV/fZWKiJyX3kw2WewGo59knZzY+4gemYSBOuqd PHR5vzAjUm1gPBPa/HaAX4CxO/Iq8O5HkkCJkp+WrbsFyKTu0O8re/Ff54bBQoCxWXZBOZ9T3RA9 gV+usxkgAyCMQZkX+rdKK0BF2y8CV4UghZkoX4F7r0hFZOCrsnmOJAaVuCdvSsCkAxpsGDe4oROX XSrghyptBt1WjNXhU9pDJ+0UZTySnerFxD9VtPz8Us4I/3wHlkpid0ksGpdJ8Z3ROIQyUTe+HWjI qR9rldi+fDLzw5btS1TdSTb5fjQrzEvw5+N5/OjbyXZcZ4dUwfDAaKh889clVracp5lBnHMwqKDF GHk+DhEyYA9adVjfS47iu0SEyvOTtcToRbNPle8ZyLIRzEUJAIW9qZILJC7Dp3my733QGjSJ6JO1 qNG86WIBcRldvIeZJWX3isWj6aZlyF7/8uVPvs+XaTszxSZvRj/uIljjZETF30nP0kQbaYhW1K+5 o5MlB9yg/IHxPDU9Klz8H2weFdGygRs5K8eF7JnnHoJvDltOWxlx2FxddLii8TKdamSmQtrYQowg s3eHmx9n9j4SLfs/7cawtkhXiZzDt11V15SnA3CdrBkY/MqGv1x7QOd9birQDgksXWObCEhZmSG1 HS7dwK5+sg/020XKdGmajinSRATkzIxVqwcQszQsYyJkrzZj5Y6HsJp7tjQMCl8/fg1fbZtuQFtb NIBE01uzdwQQSpAHHJsOUPZ6S+bJxvavy5esRzib7ntbrf27x6aVFa05waVSX8ER7dY0E8E3osfb CohyJCplQ0s0iBXc9sbBk+EqVh+Hu5izSuAXwMaSIu5KBfnMxCIWLLtxR0kbEiCdcLTOzANNQgfF HpscxezVMeC4Cv4rAvIfaXC6t/J5m90LNRPJt1dzZosKEdYMfMXGJAWJk0OcLYoByli0tKSnOrmL O0VcY5Whuj2wCFJ10xV/IwfqhutlZUz66Pii6fBB/+BbYtauAmmR2Y24CNeLqKVD/+wJ6IRlbVsM vcB3b/I4EFGI/q59d3Fna3a8zbKksp8Bjpn1BfOvUoAuFMMjWyrK/VYi8Lk5xJg7SetwqRA5OH4q IUHkjTKfbdnhhyR1ONTQEsJpW4fZC7I8QNSRlGw/HSJyuQrViHWfTM6tz0ikZ5SYQqznYvDrZJFu 2L/EkEARxv6UdhtUKE2FGWvpUJeV4NNSr3sLIKgymW+JtCB1SiA/xbXfTRqy1zz8DqEiNDIudCWl xrVSH6rVybtDYtcj48z8SQpyE12vsrTtDT4ZoGDyk+PsD5FImHG7M1zbfzGVR0zhUDDWKOum08ou aFPC+SGo5g6pZjJ0RHsRFxo2/THs4RisIN8nH82KN1RYbUt5coQv8peJngyBITBp/Dp4gbsOFYu4 oVLCLGxmYCIdG6kzppbc2dF49eX2tDfPBwNZLYtwanTc9+SFY69dzd1Yaj5VC3feWMrSolokM9tq A39ObJ7YaIGmsFSdf37+5+kKSnqVzYC0O1Ehu+z1ySvPgPGES1CwQY5i6zxPSyd3mDcSKmRgAezB 1MKhtPMtoKAPc1tJSehxYE4ZI1PZ1crB1F5o9kltvTlT68MGSSTvqszRtV8EukB0DxgAlmyTmeXH ChidGRzKk2E+F35AQ1v6UlO9vIGUCfCerinLyx0Ym2aJfs1IFsYsqU2PdI/iMrTUmMBfqjLf6y3w 8M3OR1V39Enn6wuxsK+4Uz6SN86Qs42uCupJ5DoBa8t6X8c0mRFs3TBNaHtO4zDjrjqH9DbYdYtg AXj+IoZB09IntmBk5NJUtLIxJXSC60H6N4g/1VAoP9E29A9hl2S6dr7jhwLQ6omWR3eWOyM5XTyr 4BcR59ogUVbqfwEoXTwswHiuLDBcb2771faFFrX1uIClC88uz21Nd8ITPwq9hujkTmkQoPte//KB 7FmUMSlRc16RzMR9hXpi0tc9X8BsFEhIis1T/4DzJBRq/yHB/uUw99+YNx+mFKhD0fucqy+F0U/j TWC3r08mvCmeO0LISercjx7fwQ7uR8g+EjICeXoNhs0eUFEpAKVQRzDP/6oBynB1XbYoWsHT2/SK N+XBb1cQK0gn08FcgPEHra7S0U2dN86RGGRlpx5lr4MSGJWouyc6V++ylSSY2WsbBXu7hWvlz0yh Wwui5U8Mu9GDvHjTjDuZ72dnVTGSaLqXYk+xazxIy843aJL2u7CjIquTvZbVdva4//ojZV8PaPn/ rc2GbosWMzvnHVoKLlUnJnqK9R48LLaIN2040lY28ogmUn2SYKqIuabhizvW4JO7/WcJAG7kIfF0 vCw9/vRQFxR9NIwSHXWBdWN1N0dYRicdns7H1NoemdL3P4V3nffKwWAN8lI5L+Y4Z/xGW6gPScPV HT7XSV5y8yAT88iDVReNeeWAo0CDpPmdniS0Z3o3S+Ll0N3iafMtgf/74ys4BTd4gSoA+UNcQU6S UKHKXS8W23vF9cpqcfUhVc39b4qhIAc3EIpcnRPUnOqFSzleOXsKqkrosRfZYyTrXGejITxK3nKq ya1naHYDmyYbPggoqg1sOW5YfPvWU1vKUGp3VTkD1d0iHrgHVP6FeWEx0dw/bWG3uOuAmKniVaTZ KtVQfEVmvL6enERNRee2G3SuJdbF1Pcwx60Zj6D5SD6wOJ78pscHK6QHMI41QtXCS0sUdlMqXjrZ VqCMKajbS8fWt+EaMDByIO2x7lNNWFLbLGOie9fIpZ7mqeQ7BlILglRBH/QALeySTwhqAm65IRvM XJEtMugSlghq4QRMOaLb4Jw5gF3d84ja8F7+mlibu/t0yIaj96Dsd2bijcRCTxtWRbiiuzE9R69w MPSHpb1cMKHCj0pdAPgMHmcD0PigtBEZr0DoJmKJb+sQGFCSOm/hbaNlwFiDvDRhLucaIYeNsUf8 qaOzTgvqyEk3KwIqwR151MBAmaHHfKSRqSMbdRQK1yWtVreb1L1DzSBIRd4Kb+mznSmzkQbjwcts qUY7zEz4AaZZBKIDLcAd40umJ7ReMYEXEgBwQhhseIX62L7JXf/fVg+WN3vC6ivQfHhg0z2LotZV bggpWENyJwFOpBih68HeGogJGPwZQYFH6jTbUCsl19YDnW0ret3qHDkOcY04bi7d/geNhAGGDO8Z ct33+cQjDdXYMXeR8OnoHu55xmFRCdVuE+/0TpQKdthD0YEB9M2TYfnUC45msI7oRDZahI7g8GaD 4iRDp+U05ZFDTmt1EUh/i1F/0WgrRbjUIyPjy85Qn2x7A6zenZ1r5dNOPPO52mbNgB+exZsKn+Iy 70JDcy+Sw+WggQKnkE572Ykk5OoYpiWTLpttsPo5qVG3+MC/Jak42p7W2/8sTZNiDw0QZg3eHxuQ E5gxpTIthL2yi/tSjQhQMmVpRp65qGGNIsgltD3I3VVTMpgf1Paks5bQT4fjRzUhQ68Ru0DTRdyd ykLdLYHpE4v1TBhlb4RtnkttwTE1u+rH28huDYeGcooosFPWgeE2SCe39sH7au5nr5yiEexOkjv0 xO3Isj5ynuUNYszHs2TG15U0OOlmLy/6RBBzmWl4MJF0K8LdZMZ8qmeF2Dc/X0Q4TkMzxEdHDYBc 4FbyHyMA3WZOaQV2hFVCujm0UhF68fpZY7WSNeTBmeqNIAo97aKt8o1rLHoZtHmEqARhFNrgzF+F ePO68OHm0sG83AbRT78At3IzpqoKSgzWvNIuhdRamQaAxCNPyuK9/kpcICeLvVIY4YYJAl/mDnd9 Rl06ZvCZAkFfrSySr4/AHYjadq6maqfEN4Tao6D3JhSLT9vgMNsGx4rCrbES+JAeZfeO6hiZMuIh GpeIrmysefvHSTBGcdKYJOgVXMAnDiX5u6vFQu5sXwBKbaFJoor9HMuDsGM/+Fta5kP9UXEnnFRe ozWQycX6PgaAxGJPXzbZXUB6p8AoVvSo/d0m5PclVLRPQbxVh8N+ov457ErEsbwQ8HptYT5Kys/a Yt98335mFtA7aXsOFJGArfOOLeTdWwY+f7UKexUcsQZNY+uuIzxRaLSN9iCodY1rY6fVYdg3sc6D UjimieGZGvSgDPND7lBnr9xXN4xKyzYMG3Ig6QJboYQyQbi+PfPvjcHEztakElbHrtfb4lfU34AS LjrMDbSffEuhxxLecxM0jTxIvLyrXdq7Gk32XDqZ5Pg9R9kRSuaeHGVC3lTQpC8wHl5UhJnaKEzL kOIT4SAEjzsPfiRqn3B34EGjpLW5U1IiXBlM7WozszmkcPCgc865Ddwc8/Aae4f3AoWAZHImun+v IoKUmQUqCumhzBYWWzy3E8IyQXwKjWKDmn5GYSP6d85nCiHK1lpR7+9JpS2vSVgSPG0mAa9/fIoC NQp4i9JWpxo9iDLJdvP6Ei2G3phjsRDiSWvY+q89y1jovdpvFwkw5AbigeLfzaGMUgek5aSqsMRT cMsRdV4B3+c85kyCATVFdfq/fV4zsn/5yd7odtW2UO3hsceZMp6ieSLNwItkQYZ0O+mt83JFJFOI InnxQ6ur5uaTeYV6LYKnqTeGEGReCmCYdtolHQiEsH3hGfywLkaC/diIEEnO8oS5pLitPH8ZaRvW 8NyK0jnci9rVSgSocliP11h1McHdeS42Ka3ZyRmd7J3PkSuWHUh8XzZQBEe37Vm69Pv+z05EeGjC 0rIJU4hOWy387HF9JodVdefSga7DCBJVeSW7ERN/DVKQQW6oKWL8GKmXcYz3Wnnkv6z/efzkiSYm Tyc8uqnUjs0XLt6K1bHafu6u78pYHrCNmMXMsCwPs7lYEN8A9+0B9ZEQ8C+mytRJlF4K2kkOifYh Ipd1WHUK1sddYpFuQDtrB3n1TfbEpYLJ2WNEqBB9lygEA2/ApknyqSYUDdSkc6kloUEXP/FUV/2v 0b42sazQwi2KLbOaTuVE9spPhr+Oc2t692PlXFD9bzpliZwesgh8iRoH4NCqxbONIRjMfW0nXxu7 UlA6H24ShTlQpmd06GSbyfcT7fuonNgkH6L1kp0tSxEO327EoAzDzbmM4rE41m6JSr9jxhQMTU6K 0xd+HJAzNdQ8lrUm2oYbp2gmS4NXPIchjbgVGoGRlAq4nKWP7mpyX2e0S48w1pW3bg8uMzmgXpsC YCEOZA6tv8FyPANZ6KjBGJF48rPEP2sbklJ76qKmfb3q5fHCghFeUhJhrkEo6javfrZcgN6SZ63h nGbd8of9s1pjuZlgNFPfYpmrEGdMVZbA/biD1fRbfbbzONHl240f1JeLZscncVcxthqZDbLPTDp1 sJUsE4T1Ww580QrPMlwoOboXydevsBDC9n/LJHcBD2wabecZF5V2SFc2bahe+mnxA91mj+qJ/xTS rMDTpWFSvMDLJKz0ELehji51yEwOf8VfrbvNebhan6HHuEFIrDRdcjW43aSoY24NIBBlI4RJ4kl1 6ymf6pW/F52uBddWS639iVgckZRhGBeQwxPkwNMw23KOra93CmvB5oDJpDtaGy5zOpP08zYTzOZd +LVVTt/qeTjQmouHeBlsJ2su/Ce9moNrSj38deweu8fTUEc2HDjl8ty4PRNEQceXUpTt9GYKla60 Mq7O7CTK8BFQzk2Zv6WRvWz0H7sY/TDbhAFe9ieZ9FMTiC8NHHv+LcJp6MEUtXr2L+gCmqNwF2pQ OBsaoYASv2bNUbA4zmXLKwABYjAy4x2Mu4/ru/xxWn/NIybS9bxE/U53rp1jklH2k3X4oB2u/16F prCv8DbaakqBpOdDKahzXOt89Ua3EjGHRP4ZQtH/8sGuCckg3Dh663P3/BOFoxAC2+jgK7QlJZfL rPs04+SDMhjTQZ/1xW2ES3aARV75i/FH1XqQHKTzc6/TAC6Y7uDh4UdQMeG5VJgZTbUQc6tJuZsz YrxTYe9UAIvBxOrGK8k9gzuoiCI7h8pmSbbHGbqQAem0SfPZSfl6tuRUfgi1WUnfRC2j7Af99nxb hN/FSZxiy4xalWGgJoSqdb+XkSwU5ys8b3BG/o6lhOEQvBOrbzm8R+4Zdd0U7QQK55wmOdxySt5p Zc4+nc8Z6Vh7cmN/rE1IkzzgCXX5lOCITV/xtX6SydwCIsx9ZNadDiGVHtRuS9UujxqG5Jb/K/Cz bmhmbRfp5QjA8FgTBhupwH9ILP2HszasOIY0AqT91a8NeyudtgB/jg7m6J+guDB1nkrp4vwh4Pa6 I/L8cqzQxyoVqk51kJ1cQrR2D9M7DU0dhaI8FVvt3Kp6PsJApTXpNPHL48ZhnAoedijlrfRlgrb3 d4oEDvTYJIsbaCWfj5ZzBFWMU9/R5qh5EcQ7VSuKo1xMIZD0taWOD2G/xVmD4LnXIUaxDVYMR8wz VX1dRUUc3wl65vmrswvAV393goltDd8x9aB6BCdzpGtFjGIiKbl0KgUh4hfWKVSMdGJYb+YRIU00 4st/uzNGjWRgvLrgNppDoE/Epz+W1n1OgBGV8qdpZXLf6M8eo8+Qxa4eJCH3lG28EnDoz/F5IJ8B HJ/rYF0llnMYOJFjx0aJOTvn7qxyznijGLSL0uONUDZJ0TSBMmWCpfdwJMCxHpqAXlRAkjXj9bwl jpw6H1J1T8yzdahxd30L1POp/oPNpSipFKfRk/6VLXhv/DTixni2+3JwwKZamVNo0fS6pA9OSuKd wedFNlrSVEqXd8gbQNdJcXbzWsNc4gp9n/cNYG5a4anXIeiDcs+jHzrPromM4y4Aue6wgrhu07ep aYkZbpMcBiHeUngiY6B7DYc0BK0zSLuF+Kf2WY0j+DAmPXMCJjbLVQe6OrEzUlXLMRZs/ID4o6BS YVxHhapZmXLyK+sWoOkzJmTUXjlmGdVNe8GWBcPi3u7aWEdjlBmRiQq64Y7c2zUlE8PASAS0HmL/ g2ifknuO8ps5PxYr59qrZYaCw+Vb13HzgKSlADoR7ydY5eU0yG1tMY6uj2lyk6bZOlpcngG3S281 W5kQqotkE4OMbXb77FlpmFgaPmpPjHa4WYk8DAa/TOtBDbuy2vf4yg20w4jZ/0/75uun6QGTJLJ6 yDFU3X3WeAo5xbggDBa+AR+7btTYSXBsjd0X+kWuwVAHzcTSjRohGBUfXZNKA0HyBnAZsHyNU1Go ClIY9ZjgQthO3ZWs4RoNRQCXKMjSAeKcP8nTnLCSHMz1jTnIxLe/NdOahp2iMAiKj9N+duDtwbe4 HKZszLL4bT7aozpSI0wTRXqZtsyNnNTyYkLNFAGgZHhd33YOk8CMKa2do5f9kEdF6VzaLlU5z2+t SjxyDntgLagVVElU3JvLlg/uzxjikToRG6rCSbGt0La3vkBFbmjgbMakE5vSseTKnNKMGe94W+D+ XV8RStfvg8eNvi+KeqOIxddC5lYu/xAojBTqmfuzQaa/Rhy48O27eUmBvkcMT2vnuHcxLxUQQlbd 0ohfSep8AsKJaJX4snOcRu62BHJHMk19lV7o3RmnTqRT3rLGi2QkLgke+BGnk1H1shdZAKxIwD/U CZ6mHuSEqG3zswmx8nXRuZYPLNNmCJyaiuVWv81cl7+j1bjwSgCaSWFgYH7Mc6KpdzORo6ReWP2h d2rqASfKF9mjcxv959FcQnDHZ6dDTXSA1mNPh8lFTAfp1RPNUpb9NfJzPyOdW59I2imEp0hRWQQp SI+CTfm3dnBJAk8MyPFR3jX09hF+EhzupzbZENvDqMw/daMW26BA15fC3Vivxwuc/JyklSw/GJeh g036qoF3qbghVoH0LHCAfALPHOuirEPKk+I4PELLz3jf0q+DXVFf2xFgyJPl4vVohs3lr23oFyAF npRrSETTcX9eoKB2cxTw1WgISvLjARIuBK+IAMsc0fLFoO9o2DqXFM1P+rLmKL8N/hfO5ZkpWHFn 2uItbYwiUIYT1//Rupq2iW5RCdHsA9reKs0BiXHn1jvvcXI8XC4XMVBP074xudtnMfdyA2mlNgeu FKCoQGZ2wQKCJX9zqraRXVy9hH2XvcTeTsrg1DkjaRPNpii5gXkiwzvgTinO7GcxDJwbSg4Y3pD4 tySs8hYt2Vs6GeKD2uzsrQWeYg+cIB4jWXIH6ePRu6TpnX+JwosbTFfmsGj5dae2EVOyfSqepfow 4uiywF4mrQcWFtKHpjIOXC2Ntv/tufpx9lFZiDlI9A9+kPyNPI1SHOyTKm1kqtakf7RVSDUflFSS 0dhOr6TGKfEfyYUIuubPFf0bQBFak8sHVInVHD5df5kn6k1QC6NtWOq7bMGcmbTNHwlD9H38Z892 G4q61RN935H4Cf73bQEYNcjmG6p80hVSrBqDkPzpofrdcVdfGRoUN9ilke0pp2u8gUQepgaWV6FG UECw0gxwnG2jmI8y6xapwyOHAQrOgvgFTQZszVzHp+1qY7VVkOTtRKTD2EJz6A0pyUUNVi07jdGk QZJDIe9IolYdsZtIIUq6SI7VED8rn2RGBsXkryQj7kkApaEi5B0KyJwnRDWc8Q0YNuWQh7bcOYtH Hw71yOm+5NsKCiDjH9vYkY3y9w246xtlAT/sRuzFRz9OYXNiuGa971pfUGaWFLv8GuS2+Lu01gCv fXbWXT10JI6h7f8ZuhyzbqOsNz9TWN0ERlMDBZQlxaNkZg3TOExpLFJ0PGIsbcsicWvrQP2ZWbyW rhsA7sc44mQIYHHBtmhLYNX40w7NVp6rrixMM4CXBlhWmww1tvpd+JK8UTI4+Gfs6mRad+mKaJjS XWhZJeVvyYf4bClS+SWKsmXHSYG0lz7spYtTUtV5J2TMpw3yzj7CqZDkqZbIt/YzuEq0jVlQoNIk L+S/2etG2jpjx0WAWR9mFopimBT0GVyf3fKNqLz2ei3IRTpO+H4rXy/yA+uowqKpDCWneyqcu/Ww NejvuPrW+EX9GAj6GS1LKaNfVTddRlXxx0RLkWtMNQN1BF9E1tqWLWbWS67eLHkX/M6wdkL58P9q GJ+u6uGgLhxpI7+m/h8jPfRu3XSdC2KUsgf6PL24aBHOv5G55f0fgzQ4PRyPNY/9HHD9EpTgZMX5 4JPfQ2355iBsZ6kReZnoQ4+uk2snDX4NoWFPkqbWCb2LM++/JTDmuGie9hpP9GZoLflFmmFwF03i JIUerbWK8E119pCUiSQzsVuPPrNvxl5Tg+GeU3/AoRY1a+TMsYt0ZCrtxBs+63T06ocZUix3Rq9P 3ZCMGmpo+xt4ImSGlgTmvksb6JLgRyp6kDnTu8o4hNN2z00T8zIeo+jHhRPIUsEOHbZfwCPNJE/R q5ER/SE+FcBC7bbqrAnzNsXTw7kLaZ+msDeaotR23lIzTuoGBRCCo0NfdBOWHKY7V1HtPf3uZ/HU vczlwHfi+T9voN1HGla5k7ZhfVI3YHZjlzgGmI1p5oFRcHlExeBOVFdqNVn7mX08Ju1VK5uffI7G gmCtSRt+A8ZolmcqGLxpd64ZFQpTjrYv18JFD0Br6BiqsXovMD9F8WnjRJVFmcshTpdDw3/koUGN ulBIxkzbG6lXWsikwp0Xdomjzqy22SQ5qkU42dxRu2L1MQ/QBKepsNqsE/IpFBVLq8k3/ZToJiDS D9mNCq4SaUkP5dkzR5URUkEKXt1l97HQXWONyVLJZf/qnYmrI+t/husru65DjPzTAbW4KW1OjLEu Vwc/yU5uRhjcSaoBx54Bqh7gQDvGHQ/zIF/zvRIhx59AlZd71MSTuj7VcZUG1zoVebTvFUBO/vPW qo/JhN9sbhcCbpMO3eDW9HlwhW1hWnlBI54ElAQiGTX5z6p9qIRrA+gD27Aqu7IqAUIHYnE+isWY nUu/ADrvUIhvw84+oZ0Y1raTlIbS0OnbtZcKbTT+S8L8naBie1S0DAOta+9zW7GLSbLxPyWjgW1T pQCgjTC1NYAGDQC9fywEtjcB9QDD0r8QZFd6Itwtd3M0URhMRZNbnwD9vPB8yrEssBX1A7gdkdgj EAms+CWN875rga0Ff44VDpY+6j5SXVn88a7dC0Co4jFnjGk1gXJjJ3CWYaZdJqTRNMhNGglNOZbe jdu2XToB0kG55M+M98PQf6YuIHlm2Jfn3JEh/XD5G+RJcsUM4DnJalteDVzYdMQwTJAua2+eIFJc 8zCPIRFcu367f2Ara7PKuVLrt2/gMOOgEVeBVqmxQWmu3FEMrN48XTjY06/UwXTTB8JTVsQuJRwD U1Lf2uLXdV9+4lSImQwSkwjlCY9sfispKBMdkkEadxyDVB9zJ2/Qhm3KiCux4y60TPBBzOFlipId wRLF9XbJnLdbMAflowmrpWZMlrGLC8X8Ij1j7hS9DuJeqwE49i+/K+nIFlNCIuxZGCxcOs10BFLg SgHPmeV+A4mmnqgNICxXRWfJTy7lHAOev5BWAWxRIqNnHFhZ22baIuZiQsILaycgQD1QKeiAeAKc dFlOP6zDSy0UvPVzmkFmVjNyNOWMmc8+zzMj9hrVzvREx2O2XVF4oCJXQ0uXVryi9/cSl6ZvwZmM m2sV7xTCISFdmp7pdQiQ+rMga7rQyuSikUXCQWYJrJ5wV88fWfr4mdj/e/DDdfcUKsCO5lVzwlXN jqeunkdPnKjg84OYnvjBv2d4BTymZOMN/ea81UwuzA6ewc4TG3E3O3XI+jP8n9uQ2Gw5pqN5fF08 2OA9pz6PGQ5arUhQdzhfk3JBOw/KtvDMS6EozBIgq1A2g5ueihDWvZP1YhW1nsawTv5Ol2GOPglw cbLWdOdT9WLtLsAq/zesvcoOe80u06s+eccrfClWwJav8LQeEISP1qHdCsdkIEjUxTjkEaADeiG/ PxpBVUVHGaxiR3RU2wglOVk8gKN2Kd70ZZFAXhQ/W9s2fod0LFAHIDSI2pXu2aXD4oktOWLLP6JK 79V0LW5+qYDDev6m8K0M2cnGQfURr680uPDaWwYjtse9U8roq5m7QkG5dhBBb+RD6vh136GZH3Ct SXp7H0irXDCs+Q4/aMZeJXQnt7IR6OiCCvRnyoEQNJ3R+IdJ5wWBwISECKuiD4rGjFzDNdUnnpaP MLXPJhN1SbLipztGwCafdFhLeoAoSTkdrWlXHXoUrZOetBj/ty1eAmBUcfKxEaUH+L89I+4x2/Zv mmlThRKS7tCoP48QIo7TZYnv3vRw/Z6/JmcTTAkOYXqGxNT3TSh+x+EWShH4GkPwZONnNKb1bW7A m4lVHIU8YY3mBRbmD4R7kjiWRTjQ00ORM5BBqLIHsV1sOc/Zo3N+XtrMi5oIGede0BBmS1SOn2M0 e/+rbYlzaWP8/JBjq0O2RBlErB0i0EpSXpOgfD3Ukk0rnFt3mFo5AxxUf4MSSKhDjI7qGQHK2gYt QhyOBsuvrfZlR2DMjHHGjnEaNZNYSZ2Me6JyAGxVhvrTDQWbpmRBK/GTGLrm5bLQJp5J4SlPxEdP i2ZV9R4OQPMUeULEmQWCPQDRUy2MKM53XJzpwYZLScXU+EAC1L0snFAj5LPyxbGkSqlL8RNhO00l zlLmL0auF9Q56epDEalXQ4TxCEvxfdKd79BX4nqsWjv5yPq7ARbhngNPM7HULr77p25OQghI4Lsb UQzEkWLqolLcgC2BGV1f/Ws9tFI6ToC9kJbDEoz+jVnDWta2gktdIr5zNSQRAPUD++i5GOq0tH/u MUdBhON9RaR2CENpPUtmAZqdY8lPOQB+4x6AvipSDiteom5JIIACDW+AXqIvvx8svOTzuRe1/HXn PGk1+j0E1f+4eRRFBCyKyWF8TN5yxxSZP+rQLmQVcSuXUoicS4HlnFdcPJqAcXc0SZcPXkXy6Oy1 IrylIPaDxqCcyxS8bMCrUK4TujyAbo5dozyArBj8a4uHP/yRVlV7pUxBKN+JVSaa6ZQHyKG6Ozyt p0UOvKPedJP9q+ub8hOQoPunZyeI/h8DA/NCEVJEHAmVTZp22MYXV2kaCnygfbW0oAeKBORqsQgR HxZeJ72GhdvSQXD0UAay//xcWMVjSOXpQyoiL4Nb/E5nQoax1+hirPzVEhIG/DqZgMS/VlAEE/CB IvyUxlcKUgE6g8AthVxYDNIjmNAdecRr0u65v7BzQETZKc6wMjpS3WaihzCUFdgf4E1LAdObBlRY W/bWfSGnQR0w32IfDoLbSOBn/pJOWAT/tPXGY6n1EtqQrEpEWKBktTC84E8dEGjLh4g/8Df+HweL /glmJPK7rm4kc7gTpinv3h903/IYgQhHb+mD0og5hOpDsl/JxLtW4uLCW7UN04Hcb4HNiwVS9X67 4eT8iFecnjqhUDyIq7MdaaSaHmTR647fga/J8uSOb7HztFPnVNt4aXBvSSsfvglU+tzp0jCn4ALb WVQbKgLPSsXwzY7xnEbl1b7i0AOrsnhleJZYdE3XXLxKFkQzdjxBQFUC8roLqPUaNrrl3l/qbojJ EAG9q0XVEw6Gz5XxFBR01shh6AqboTqWTbGUOv8y0p0s9ELGyRpx7nvORCW0PQpm57iWmGGeT6me TtwA5kSkRNuYQG22LFwLFlSrhwGF5E/Oni90oL9TUwmaXFwe+eFfLumdWqJSk2bTM0NmHvksr8nh Uf5hrXthsEcY/DEOZq6AyzXAmIGBR+ayTIUl9Asgfm0fcHEGm3rHn2P7pTy7MGB5u8al/lyWvq54 yPD5JZyVi41RVWsUEBZO2gnuQawjyvuHUxmaUuEC4C0zrG5QLo7l6LWMo1ethNV63PvOy2XlacFx qXsDJVmYaRBHZ8BxXXNryJAZ5KX57Lj8NRugpwQx4MH7bhWVaqtgsNQCn8DdYSmaj2bkTfRGDggq lfOAtATnfkT7re6PehNSvYF210sW5CeuGEz1FY7jDmrojKXNRB/rwzaOZQju9Q+oOcTczVwKA97p AnjxUdXle+PhIMRiw9qvJn0z7OCp7gZiGcfBN+ORrHrHOQYw/Cbm9Rp7c4TMDIR7eWdG0t9V5Q34 W0XPJm7tr5yr9cMPfTdm4ENWnBQV7qRzpwdA1SQOVuyUm+YZ3q6dWKbO1I8zy4ZMSBmPI0ncos0v 7TKvcFbtQHnmykN8jCca9Egum3fjxTGeh2Ev0Ff/xGavX3+gwGcZUC1vC4F8Mtzb0993qWR3RYe/ cmXcx9pu2asiQIzAXMFtALrTulF22tI6gQRWbwbM35ezTWHbMFnCYBwfjCJTejsKNyHo/NuAcMqh nJsr6Vipg5MaEP0lRwuOxf/ySJAZV67Z18dMPewEfYsjXJ10XXpceW+l0d7jkghyt0BonWHE8pnM +vOAfkGaUEZ+duRoJ8VBp7m5staqR3BfO2GKriYOT3lDqXCioRrfDDaSnUoE+a7lWzDsUWI2sN0+ G1H5InaM0Qqo/e1zPH29d5jEmt6g2kEaQYtz3SVt4NUvwtqt1V/yPMbuNbsyJXOVyz9cIsdifR+k 4aLDNrQR+eXaag0wHv5eo6jmn9rwFHVhsaGhnG7eQmNulKeJkqbV9GITt3dZFKQz/+bdTROPegWI 0Ox2Td+HAhr8sRcr7GX9FJj6ZdKWdRyrWTJTaNa5c9BYjT7b0uTT1gapAl2FPL2/dOwrPIE7UVzG oJrGgpVRZbE1DLkGnUmzEgpNogVq7UVhIG3ECbUc0yAZvNfKZzvVAAe2bMHr3ZVDZk58KewuuZ8Z ZQjBDu7tHwctv/5HoxgAoms6FjogkEPaafIzNoFNWzVgnvVf6da42INx0W/mwOWG0IfEppfPQkYK dVmuDTVyhp20pqtfDTZOZc0QF4xirkhOuwdOWwPwsAMll+lbrJENtvSfVwnxtrnljR4PqUlBSt6J 2ZZYaYIXhMaaHC7LDtHoqTHEa4vQa7q7uDuEbGcsMscBbCWva7pj05PbVsvcpRAwnHg0Yra7gecf HHqMJMAwHDR/A2ziakbDPOB1v57eXgRfLiQsbQY7prv2/G9N4msFm83LzatXOPpd1XOMW2hbJ/GJ oII3EMpzLJ1eK1/Nqx2IEcRN7cNvOefa6xA1JBCuWBmic8H75RB1UzRasd2/4JtQRsUgdDCXid8I Q4uIcl89lRiNRBiLQvmASKPg+IWmdNMYT2HzFBiCwSnuHdXiHYb/uTZnfP4iCI9szwOJEMq6ww0e U0BqfmeXH06ISjH77m+rQK4LPf8GIEGFGWr9qYbj4C6kdwBY+TSe0W0X6rq9VCfyF3Iq7SAyB/o7 1GriwMXCzt+RmromNw0LeX0WBp8VwRa1VKwkyE+3G11ZiyTRPVyMtQlZwil+t0PkeeSdaGStgZNz F0ElxKlOCgfh9tesieBfyg3QR9sIN76VAuFjR9ppiZ/aGqQRn+gXiVLOWbyopbhwEBiZGwx4Nzwl g5ePcoTMmo9L+BqW+4ZaXBLFsQBtkmD5j0mN1kc4QsbPjMlted7jRie0CPxB+d7Vtx20McUuz6Pk 4aui40cduMFsHe9zNriisX8Nutl4hw5YDGwdUKdfENGpOUugmwHAqOaa8zHO/63ezh45bWHYlZYf 6GIOHToGktRyXSWWuD4zwFFJPeQLLxqir+kU9nAtyYW3m7ND9JyUyTjioSbsYVoeal6oRf1BlqN7 SsWB03bxi/1UJEQdG/CzhVPUMFT4dhTZ9p+zANZCSJN9pVDYOa7V4a4sVv56o3KAgsPkJfSpEvmL gp6uAsgdOTD8NALVstj6ruz5EEqsemADbrHG1BGF35TqD5EiRqhwQtO6PInTQQX2ip9gZfpn9m1l ai3RVm9F+mk9ZNCr9XSc+peQYEr8CLsh0XPgieas65XbcBxsQ/fefrxGwyEB5RILnFnuc5BLT/ik HULHYGrrU/7qkNM/fTQYdwzyeQp7cGoJiL+4Q2VBH/TwBt+9Ak7sgMj1tGV1gIwgaWzas5l/a47l od9hb3w+xcbPLyzo1JL+efnJ2cefO2lGunYiIl2pvEVAnduyIEqiOS5USjix4I9JS05ULzaeCtjW XneR55nlWVgkyg39ZCK75dJFJFyz6FMhCIZFb+Oc5un96wOKGuA7pVP0fRupTBMkBeyQxG14xCPF 7YDvHrwtvUn9h2gnM/JPRA0Ks1+yImq33JxC4cDQRdma8pMYUISvS43xnU2m3W+B7daka0oyrCEX 8rLgbQ+1mQFM3bZ1f/3y0ejnHg8tSIX4/Y3eiQQbdq2VofYK2pdIPgoKNmHRa8r9Zz+N96iQxCs4 d7TM0jTLEGmW5LPRTicGDdvdMF2UiIrMEvCn3P3qqKhoLH6FPH/FUdN10awTOmC53wKxoO9sJS9J +ls2DFKP26TsGwNTy28nRlgXQhwBsZ7omsP9raEj8P9NU8P8ku9kvqk3D6cn1/qRVLO1wVv7Av4/ x57mv1oANiQps3bY6JF90CqKS+3KMAeUj8Vu8asJ4iVcjb10WxrrhSv0w0BCbx7Sjxz0LBzA6/Px e7ax8K5e5OMstqmdZXSxRnz2JmAyi+ZE/Sbr1AjX9yE6t/dGFLv9y+sOPNTyZyM74L33hoV899jc vJ08+p5NZW8mr7HcfBOJd0O5PfSAr2bmHSBtvtXAnVqwzDVz0l5PpxOY0SS1fflr/DUreP7y+f8O cS/CU9Gn3JvwrNDWRzxbN7o8O1HRw2NP+AjivuJr2R7ZHZNi/lAHKIuSHqvi7JQfGgy8HfB3agGR gJQNsYyKAhFPaxNJzf+E0Sf+edPPfo2/EqRSQAA0RItzewVWpDXLkitpcuMOqj8J/dNq/rRTZpcp KrtJjvzQ5i6bPvGe7y1WIA7Ljvu4gAa41obpzmgXE2chmnyobk2fTLyyDs8WZfl/eLn28lBYCEXg M00SqJyFcwS7zCas9GhCP7g1X0LhiS0D0H/1Ptt7y5xHYX/XJ/bRDJwQ/CrFz51yRApWOqlAyCH3 psc6Gu/od9ZkPvgHk+x0bskL8/BrCZvttD65zJ6jm/cVp5Foux90AXBAABan3xSw1fNqXES2dp91 W6DUJ9ohx//p0E6ct/YTX2If+eBVjxkJczRGQtvhRuk+TdG6vadNWTnmBWEOxH9kQ4GwYE0+gkSZ SHwuWsLf7QC8StbB+lbl2rsO/vkxVyK5MVNGoZYOjrHtOffOPJ0Wr5TD6iyzP/qPp/El9rSI/c5+ 0kdeW/3GNDRQMBhVtdJZ/VdD2cvFT10Y85GAajswiN0eqg5LMuuK897bkd2a30Nr/5eDNMbbTZ3S ji+Wml1O8NhgHlkEM+LI/A3dbPe8ycTHAIQx2U5mjj/pyHOjwRZh/xtw8fH8J0V5IEOOdM0mguHq ugzIfsYQ14erCirfz9xlCkAV9vCJBEFT+HNAVWr9PfsAMyY9oRRm2VHYx+1kmylbOcM5XthNHtzk lGyku+bM8KvNVqRpS7ZhFHXRKo3sVHx3NwvJ4BO8ELfjhbMU9IWwUw1LfJ+i0Cl/6pPkRCm684vy gKVw5SU8Ic0xiPAN5V/jTQkvmSE33APohctEdTlPle3AvuX037PbWC+v/sZz0i18P0NZXVRm0r0Y 2x1bG782xlEphAnHWGQsFhmhC1hfabAoQVHCXDc+0MzurU/wMCUOaf1iyhVkI6HHbDDoq1tEK++3 +O09jTEH4/NSsohaHW3UHqymJhuw3HwjjPLutq0XYsdH6BFj5KfVVyCx5wWXyKNc+QEXcfMdGwBz DgextJ6xkIw+Z9nvjLHJ2vuV3xqY1RZSvoR60KyL+oGlkkUP76qGygAgb1sLHcIL6hSQfxLgNdCg DWqMVUKEUBhoJgiwsOF0OfjtkwLwvZk++YZJTtBnG0RQtz1ZgjwiW5Z3aUn3h61LZr7DrxI5VkIG OqXcYDcaHL+DO7xTUeO/uMa4vcy75nQOmck1OGvtjQNi7Xb3MpBCfFI3SIT8wAOkvm6ueALsSnph FfH9/Fl2nYAJcvXs+jCunAI7umEeG583ZSDx1Vzl0UrXuovubdEdErJfKxNDSGtuhUu4gmpnuxvS seC8Zt2rS5kbPIOu2qorKhUuP/I1E5KamCJFdPLrMK+A4lTf3OsYKQtiG5z4Br6lA5kawz/Y26W/ q9qK9y39OpgBKVnoL7eo9dmu13GgmKqmJVk0Jo0sIdFyGQxYGuu9LhM8zZZucOlYVIL9qF+SUDN+ 45hq9JYY5dWMtgvsZX5CWoXZKB2tvV9BjWnayZB7KTXKEM598+eqSwkULrjtCsCgHQqn5PcMe2XP VUXxI1iVg10ps+Cx6jKPj284iRShVctJwBZhGnhBD8m7OnfbT6SXC2IyRvl5v0bBxTjC7MObOW17 8aR4tHf1Of26dAhUi2Glr4kn2RgBLZXKJJ33DARDRwJSmx31vIqjrtAKyGO6KEsfvt1rJn6+4Pbe 6zEkQo7Vmis/VIg8D2ng40QHZoOrlD3goq0Vu2iAEI8lTmCkOXK+rwgzzKh8YXflZl2KkmMv5okq iKUqh416vYvUKR7DNfdY6OiTM+onOAulFENakWflqEyD2yXlooE6KSon5dCNNsVTcUngPJgE2NRt A9wo7qa00Wp6PJLwTOC/9SgaJ00vtbUGVW3DUervf4GGQMMYdG/qdR3R5SQZw9l75U1kQOpI0I0J lRX+WgGX+4Bg146u+ZFymGDguzw7iVRRacR8mz+2JNi5AkykNFCoFHY5ZUYo7pLzbn/y2VQqbPix FJtuwLp5Z0OsgJ/jnfWw572rOeSbcRIJA2jlVd0ittz2ru12LnAoDzX0O8Sjfk72B4PGeIS/C2wr Ssq0lNb4X8/50Xh0tfqtrdy9Vc1I9y9FA1a5j+X+yFsCwFLVn0HFoRv7ychoxGUpWW+tMfOBDkqj ZYtJhzYCCvv6zaHd9BPDKHbm5g1qFrvoqvAVdkG52XA2VROxNqmiFVp+LMvweOSrXpbEyFZ2J7Qz AXRIggxQ9+//F1qmgFyaJ1XqZSMutwQN9CZUtgUmMA8zXLuiOjKmHsrCrOaLNLUvOdx5aIgU9BCI 66cuUDzgFZnXiWrgDnURFWmLoAprg5DNjICU8vt0LpE8qMPwG/YVVvsxrVIi0xpxQNJ9Ky8LIqx1 y6ZsGumEoigXmapRJX5/Vo/sodEveK74OLth2/mZqTuNzL7EK9NAed3mDcxsmRt1kJ9Q+e7fahWx 5KS+ruwO3BaeVuIIFf6OgO7a4nvbuokC67qima/3jQqjZub1Mfxtf7MrL7xRhvCDX3w1g73hIxEv 1jSmUzLwIUM3z+qT0nWWa1+30s772EkgWqVpiaQJnUGNnlm7Md9AAQZBeA6oHKqrLmsWSPVc4E3+ 0XdGMLuH+NFdvMz9IziE1r+6L1dQz2KmpE81e3X5vjr+0TkqtDNKvWqzwZ/c+QjozIq4Tge5BnRH rq81Wzs9gGaNSys5xEljWxbELmirYFWcqxrb6BYyLPUwybMc7KV1PZk9MmqV+pblAzZpAI9O0B27 eyco/cNPRs3oR2fpj0LA3GhtleuMQhU4C1TZnOMLS3c32efaL6D/STaGZpyomiIWcZ8XYrizbA33 1fr1AlwHfStQ+uENWRJYhDC2DrfMcIo5fT7BztYwf+nJsQ2BN8GllU1Lz0EXZM8ZldAWGy6BrGzM e15I8vdbEmCzstiz94fsuT8/EjJnQWgrj+yQPwNiN+5sNZn2DS5gWIrZDb2w/vvBv9sIhg3l8Zxa eT1XxXi+PH6AstImVdItmNWewaJd05qE+LSPqbopXngckChm7RFRZXI7FYbLWDlMDGowhBEofJCE tIOXnYomFt4TmbYGv3UpL6HcWiutBiDuyzMshbmwjGA9naAL07cj4Y3nDHFZhXlvGe/GRs+NWgZZ ZP4plPH2r2H2YaDHxIcjiVP35YPqwZm4lMraGiQtGq5DxmWolWV2bn87a/1cO26nbfrJuyjHVbAi /aqelRSL9iRryDFbdwboBYELEDjim1Kkr7Pv3CmgdEJhg4F1mD9qdppSbsBVbLFwNhCDvKT3X+fM mMXWjd54QDgS2U4dlSUAZptkeifqWgPPjNuQJKvDwYsHM3FlFUEFkc6t83sSnQocx+LPnMsdDswF eCZsmoZzimMSVCkwMD1zYk+yPKacXgWWPq5cQOB1gv20xAH33kWdiZ6oUAbxZLimwgeU5lacMQ2x Au2V1DDY0y66gkqosbxf3fqmQ8EIF4NfGNPDZqCowtDjfTmqp2oQqfrYUIGotoiJQEHHDFeMfwUN sqlLQtnxe5+7cltIINeNnjVaw1yaH+vxxTMTS3WKAtS12c/dNcfdUIwlI/1G8ipA14wA90hRHY2o hF2od+IenVp3HVJiXZ1HwQRMLNam4e/mfkkV5hVsEKEA0QJSV0PymgX3qkFFdGD29oBgNzEQa5Hw M9WymstIW0G28qh7OQut1x9fnR365uJFzlLg6izOCF5rAls4zhhjtYmgSrTufZdNDwRdBbpRnmNu qZOQUfVk/2r4EOIx5n7Me2Bt4RHULbKwe6B79Rx3Vn3QPFbUNgIWpHQRcyMYtuXF15NDoCaYruKd GJaNBSttw9+wl6mTIud6YVB7MmglTB1JX9b6YkUT06gFG6eUatBTzgtNsdFF0qBXrmHZYR4TEQAE Q/XCNp/f4nBt39Bg1pcsIE4XB/8eYgjFb0NCWJxaE31BzF0CeE06eKQENGIiDRqd64bvenk7uS5T 14I29xvfiSMNeXzosawjzS7Wl0SkYuNl1y3r7FQZzBbCmySLqlUyS8hrtBQqJsbcxaRJTBTqkDk8 QlYFtyS8IHGW4sQATgTcK24swBMb83yW/q6AuWTZHel0vai44vVD5kcK/QsZxJisuOasRC/z9Nv0 lGVT0RtoX9ioYUJHbd+xnRPeizMm4+uWULjyw11XIysIeZ4WUhEkNAuqgbAZSSywJ15Ld8LHElCa WUgWsV1uW0V4sMuOwr1D0pKjS9AV0vH1X6Z6mtX7JyslQYrU7uaMlfJm4nJXMOYkG2JealFRPSBV 39pUoibVvEWcz5OYvbMe/0Mqi6R5zck4PH5sSPFwl5EgvCIkntzxkUGtYQ+UNy0JXWpJwFRqCKY1 kxfwWvmpYZmNKomLUZkkdv2hjdBH1ibDS0hJPY+133J/rouHc2iX349JvR3cDcOeDAaGU3GNEGHL XU2XctC9W1h5dJW8YPv2bJkn4ay2pquO8hHrjXPv7DaXmLdebrP8ObihrMnfRUTpAMP9Cs0l79pW 1WiYYj/XgHThZaVdfoO8pZT8jpO/wUG8jw31ObWoz+kZSjnbOy/4Le3di583J0COskN+E0Bx31ia LA0BIU+F0J84jgoHaVYLgJAHoDKhs1Uc7jrXDWxGTQQDvD5tQZkl8Bu9dqGQctpvE+hTf7ArhJGw koIUmrt7tArnhluzlTMPd89p5oArZlPZyDJcAskaBptBdmgZpoCL3+C7+3ABfhDHsowQ4DiTqCYc 8dzf2v7FNThn6s9AU87lFNu9gRGoHzdaODnRlUhL1tiWQVHV/ceBHW0zu3GbvmlZ0p930f/4W40T k0OVVf0uiffhFAnzxCP+Q3wliZ5LuKUH1ZcFZDtn0RjUYQXGNCaLrWx/ZUSl94oJFe0VJwhgdWVF UaI75nyWVNFDhWSskb6hVxEq95nUVN/p48taiaB9M/YX6XWpSKnWeRIkopH071ZtcAYew5gs+4Mw I8+cvQntFSckC2mdM4g+FMnfJ4oLvg991OFyVoI0t1ed1jeW4wI7YSAF7VYrpGlmZVaGuWj0wIsB CH1ALk9Rw5/Viz5/FY8IwpNwgfn+1HoKpzvChRgrV3i/Q2ae4K+/Ei6TLZJWuRaU1i3VdSNhen/e ZAuLOhiZ20EQygx48JACt31b5f9hSheW3QcQW7JhxRckhu5hfeTOKvkRaaMs6hdjnIAGZ1LBMJun 892RPdPVzerrrkvZldyHcNQ6oqjjeKRQe9zG7QFzBbH8ydFIz3Fad6LYVo7nqFZpaRTjHdpy6sVe UInFKHClX7hT8lPkzh1PrkyT842ud8TBa2S16snhTqfSdNxDnfKMHYTWaef76YCg4P7MsRd2zpdk LoSHIcFIG7s8jdZBEVwkzp6WZgmNZrB90Sqpi437I2GRjUp+lEITiw3VwMH5Kir4qt33I8Id8dq+ 5DZhK7Kh2gUOWhbwnylLE3bAWCcBAmtI+YNqFua7jArFo3MxFguNcOc4jL07FObMlhzyu8YXnIqi gbvUNhpfJNlNaQEs+7NQ4RIIBX8oFfEYF02TyhcWFOMY67Nh83O75XbLRn0luiDzdPrv72MUEBfN VvGdcInY7F5m1GQ147TIRzkAt3mwV2TzDWnaxRXW1n97sXRTf90fOR9z42PnjZozmoBwvXcIdud8 hA4EzfQjKg5F2EZEDs2GubIMO+ZaYl5Oy2YF6RJrh+KjxBlFVov1pGbOBGWJEZhVLmAbEOt0s0cY SILSoPFW8AYd8oT9VxYTj5MAaPsIQCIlOW/dP6GynFte66P9FSDJmiDBX3VgswjAdpJNiR7noqPc XC3zwiiumO7I5+fs1XLPqHrBvdWWCUEDJiz+6r19NiUZAKJfoUuW5AFdI5in1JNTxrtx5rcYdqyv 4CxHfWyHxZjH06cVB3q+Hlh0TVfKuV55HgnMcSLYGaz1QUCcIE7xjU8Z1Wz2qC0EaBwe7EOrPTS0 AoUH+KlEtn0mIGnAu8XDVjtfzVPuTe6YdCGJvF8thluzhfPH/xZy1zfZt576HrOVgOMRyliHH7Ot GRevNmfZje6qO//gPilxcSeeEwblH6Sp3SFWYo5PFyn++VdfYcNtTlWtW1qhI2OW/mN3BxdPOTxL yuYrnFYTx1yH8P9p4gvnEYb4N3XtY9bbEhbCekplWM+gh3unY2qqLv2DVrlIARhAaB8g3bl2/Z8J TuJkKtuJC6zLiS/cxiLfcpBBuUAHW10PswnTiv0MIFLcVuVBvJx4rpxJ1Is3fic0YZcELq780sUw yMRDjExXzGblVQTtd79IzP2nIfQBeD0H++kbJwhA0XWgmTPq0zXPIoxYT72Z/v9CANiaYKh1pN+h YZB/Hk9W+j7zeb8RQ0WqyRXHzL5knRRTKoKWdpbweZ0uXlGJD7Im43qOl2mnKEiOUzrhzDmHHtXa K1ZGPGY4+kO8d5dwrqHEyM2fQ/pmXTQ7OMpQhmolZ0yVAR4fBPe7YjWF1cDYbDjiIn7SoyvvC+pm lodBTy/RstoYzRQkCT6fFSYw31PbmNQgP9HSpiw+FHw8Oz/HZqV6Idp4oJc+L0LTlw9bTqINXdwU pEpLhfDljjBD32Vuf0apxcDEkx1OISqYZel03cNNXoLekN2L2K7XDwkSGjgS7Ksq7VK5hbMQ0+T/ 2hJbFXkBU6Hf2CGiXZ2fj8pxbYMO+epbMLrMEVTe8RcXOllqbsVepRSp/2eWh4IRtKicc+PKz2Gb uT57hy6Oaef/GfnqZgrucubxsr2/z3lTR/YnthoGDC3kFAlQ5J4tB03H0GVmfJaYGFRvJRlY6/WY 1OACemnuqWXQuO74c4JJNhjSIHNy6+zzWALTHJk99IGBljmWUmzQA9SNPvcJrgeyJ6ubBmvvVPsw 8WULxje/2pptKhXC8mu0YaBnxhWHOuURfLMDdZqLKJeKUibPIqrzkZdhB61WaDZwkKuNSbgUoagq P2T2o50InAS5L0RJyPw4OE141Ldji4gyYzM8uRQ8bHSnhBp+cH0cE3BZ2Qtyi3lf/5dMCui3R5it xgHF3TVxRxAv9x63keDjQT9Ean9oYWPNTadhphPtlnbH5RGCfG9qzlp6xf/9WxWft0QoQq8hKWQK WlyUmQ6sAYpo4jsYSsDrgQep2K1UQYq9fE3yeZ4fxp1JBZyqanIGIyYCzSi321W7f4nrUIO0wsoV yfZETwQTypRAc3YR619lYW5myPVecF17OHtxgs3aqNW6FJnxyuhM6fWkJ8Th9boI6gm3KnIT9qcw 2dU7AAWJmrE7uSFXqkXnKm+46hGQkDGQ2Xsk3SLiw7IzM7j2zWFO+iXlb1DUYsl1G/kPI/KxIqhQ mSTkQNuv/YmJC+EwgQ1IcuzPVk5LAMAEkSyvyEv66Nj+652VeOXyCgfWY7D2reN+1zFUNwDcyIsL Gmsv/eocNMqhiIRYuoiFmUXjioF6gI40TFFH7k6qLmbgH6hbg+MTNDRAMVsMmu5EQrjsKGboAXId hlL1697Ramhx71trH0FrqOBfJvJ5B+FSB2QQuccuEtrgpJqlKoP4dMzp0j1OQox/j//xaLgEM7OX F2IfHPaCW/t6DVH9aqnjz+aRvjzhs3AwgiLr7LdSBBKiYszhy+LZAS7LbnIpWpeRZk+E9IFOQk2Y wfeTm0kC4sUJfm2pan4UXXPyOP3asnkOTiVbO2wCWrmGre6bBZVZmJ8dJltddLSPbCKB5ob1BCY3 IrFHn6wORJGDXICkmrHe5GXm14bxyL8ABQaLraq2kcdLDj69JJnMFAwlRpdYKGNBVfezkOEkdZpL NYoWSLjt9eLCHvlLYXQOafxlPICAv3lm/c7TX009Zb4AiXTnhmaP977/jn8WNx1zlTxU2Z02UJO1 LBJgO40H82pnoKd2iCH5BYvCr4qCKGD5EF1xGp6cYd9wgAJ0Xz9Vs2LWsOYiQtlt5KD8SNHBqknu IAKToKFfXoRXVL6nrirCGKa7vdCTCmIJIHzdT0gAkJWWOJiiEISc+E+OUWLR77afdTu/QJ1iSnjk /pWf78kOGI8ebojlg/2ImSXajYnDKhvYvgsqMCa/OfcnEd4IGtsWPeR0CrqSdTblPyLoM0r4b47I 4Ke2czFIw0YeosuHBqr2gliaM8cJDhPLR4ywE2eaeYJ+9+cMrtbuj9z/TUarGR49dY7Mv8Jzt00L tmd+dFG3v0FmymPNQj45nTEQiOCRLsE9F/3BES65LJu3gVaRDlI764WoN59mBTDOtNKpgJ6LXuIF gSxcWGbShY2Lc6uyJjmqf/dDBHVAN6cbna6+sWZKtc8xQolWG4Grf40sioRFSt1SC3TwdaWo3xIA 1AxA3g4eaPNFK6wrikgpml0yvAftXZEueJzVOSXKa+E795kPWWwT8YWwbJo4w/UlUKvTDzClpwYc wKImWWkTqexsJxTvWW6hQTqPDUd/JOFOcQwvMKRxa5zJHYBTro7ICrEdeLTMf+bDQ1R8rmEuh2py NToPahctkKDbGOvWnshNPKmg7HYdLip95vLe8PGPfLZQUIwjUed1bv2bZfWPWYvlco5SW4g63Imd 9ALSW4S6aHBkaKEyYBrt0ZXA1OtozG5GyFC5knDE1Ov5I1GQgrhKnK1doTREMy3fhcUu0KDFXKL2 CRx9kSuF/kW/bpMiZoxf7Eo1oMoLvMMr2QDnwIpmTONP4NKegsjV+f6AebwuAF9wiiiP4TRe+fVB TlCsrbLmB6/vRohjz9d6S3+E1M7M7eG6bl1VanpSn6F+ZrQEy3zLEyRyku19Zc1sNneZQd5E5QFC igMJi+W0j3AFtVvYAR0soMQ00B0BEyl9z+nr/zOaelE0UfpubWHRzL+SPz+u9VyOaphZITonnn5S zFy6mchWOKM7ACy/qWZJBnjUgmIz9B4ittZRhBgnKLGkUycNh10nrywVFR1dd85qQPU4VdKfMnb7 wH41USQungjkFFcqvt9Q4gMkO3Nh62Et0XlvEMd7ZV1uyX/60C3t1ynWQKQS18JMFh8U5fF1duFf HalPI8TGj1ij8QHZhY7S3ZRTwnDa5Cawm2nvR2SG8WiHJdjjq0VRcJRepsoP5h6FOnh954K+VTBZ cfpG77PKul3mVofLmvYcDRvOU4xBnWpOgmaw `protect end_protected
gpl-2.0
b417e27ed861d8c56e30e53cf4fbd885
0.950807
1.82758
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/overflow_gen.vhd
2
8,402
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Py8FwMQy5gTjFWrqFuu7Z69y+Jcl/ZFG3/0OvcRMPdvodKL4eGTXJEd/s6nXCSb8e2R/zBLHJNK/ UUMWM2+7Nw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dgd5c+SjyPB8dt7XH2+8nUGQXPFlQtDXGsg7TL1uBKlMI+zcGJn9AYXGO1ep+8wcUOefqaLeJj2M kBSLwRAtjUbyrRs/ALV2IHN2J/WfXw5AE2lANv4kiVIl2/X94zy+SazoytrRoeztV8GXgX8olvTL DCv8ifeFcCxnyBWaxMk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YAsEqRp+LLoionOzrc9yNhUKqikRMd3yLCmjybraVanopbkR2GbVtfRwRQ/DfRovTNpJzDvdzvIX jW3jHnVbmvNFM1qvnWS6W/qIXYihYjycb7NyBHESeOhPj6X3OV8LkUYqadmTe7dkoLrPk8grSzxK y7qymJzOamFUeMsHnh1Sb7Q6DhGh6ZNDOvusfqoEwo7gz+ubZ1UeBIHw4qA9jUoLPY1UCZrVsBny vi/xxhRDxwEcCIlkIaWm2qvYDjUQJwgSZpecOrsh4p3nSL6oOsjJqRaOLFZwKfBZNZLUE/upwOzN 3OenQabsUuF1V3pM9nP42r9wxeCt48XFbovt2A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block I8gWBwyBpeQYZPOj0wx8TWGrIFJoJjAbpAVZcltbEyPaReNhx82UyRVJvbTBKg0uJxIhjlowEvYd o6U6H8AR9arUnWzxtczDrgF/GjCNkOarvW04xuhflE0RxnVeh32soW/m/kL92tcmw4qosUQyqJDp 8xmb6J7jGhxQ8E2NZ6U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RHUW5UtDVSxsRwgGu2kQyCa+f2AB3ghZ0yjkAENMQCJxfaGpslMIHoT2QfCeoWFRJjw5uT27lYPg IckxQVndhG0dmmdeXTUvHTTVY02nybdrpe3gIv6SBnY/gsOupEfbckkjc3MOvEXk2aJXUEvz2IJk VWH3Ec+Owe0cKKfy7kbVNIHWO4RARiYBLpd3U32ankvSbLQBXvd+rB63gaerBeswBn2tPGLzBPlv L5WC4x3kWyfgsEfsNpvn083niuhrdD7kh/FVpyBlleKOEqDMlgQfdKdzbxd+8Cn6ulkz4VN7xfei 1jFQ7+ZxHEj/cpJm3tQZS2roH9KhbwMNXvNN8g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4480) `protect data_block Bcn1rck0cH7wmglpAD4fm27E9202i0mr2d8DjQg9hKHudUwvDSSuDwR82BCZpGldA43L/qwwJUQM +mg8yInUTHpfL8UZZFUE56AJDYEP87R7uXqRD4ZTzWMs/p7PDBPVioBhPrKaNwAUa+L/+mnLYgj8 zSRfRvNboXSfT7vVe6RR64PfWE1/htu/52RQdtu7/fb/vVXcqMZNqeWRXGmbii4sI+sR63eNcynP XGnQfjNpGrUVimSkkOYQXy3r7QJulwdJuyu5DDD93UoPG0P7WQVxZKkuHnUwWNglU/vYY1bnK2w2 pak4PI+jtQJ/tlHqT37oefIin6ds4T+9BwgztSfPedQGb2ytxFuQPYgencLYsI43igV+ELZka/Rx DpYPwadIgt5QYMoiO2Z0Q1TdSLcoJt0V7npMNETBbKR/lky7unwv0XJ11Oyu6i4Dt6iHDiAoMyIE pcCyzXHgrg1VQaKQaDRsnk2td//6Mb0Tgtc+Aawv9V7GEkuUOm0jwgyHIPW7KQhjeDvW8ZpIcxyD DH4QlsWtC3kO6XagBv936fOESzRMAj2YpT6q85mf90gGrytFCz+5fjneaP3X6xW/2a9isx0oO0uJ 11L3UokueFxOk7N8FpwSwaMdtoDeSJp2+LuaXhSAS5lIRBL1Oc1r6JkZoWh0rVZX/zTWje1gdgp4 3OFzcSKfpGZQwQk/6/Y2LfWXqgK+sf/suYfwQBgRYMkMyt4x+LOBVQexZQYhcFzVf1uiC9rtl1Fu p3+VChXwX8YGQkGgC//GJM52I14ablP7zrE5/lz2Jrn/xppsHUKMqBs1I5Ijwmi5bWhF+xcFzZxT YRI6+rSju35KrnfTlq+gmtOALOXhQxfiR1NuPq6PzKhpUeJ1FiLj4MWViMT5CjTPjIqQ1Zx/UrQx IOxAUoh0yRLNggXBqSRXYdhlULyMZfqd3XTrFeQnXwfLTP221TUYTrjlmNHC+WSAn81pZ8JampDD M2HBueZ6AesCYXvhDSLrS4uu3xSnVnRpdPM8qJ76Yv3GRKbpgJqt1kBZHgRGMiJudM3hDkVbx9HY f6nJZPdtGoFW6HO5n+cQ28pxXSgqARKNaDHQjzRxPEG4nCtbWcdmLus5naU+d+HAubypERRhKgYY NwQ+oKGnvKI71tWTslfuhj6zDnOkuzbs/uvarHl70x4Bau4aZuYsIRytDXhfj72OMZ4EpK3c2egW tsG4WViYqX5TdgY6B4r6HYWbmCJfYXhQxOM4sfFJULCB3/bvyt533OwzihxZmwxT3Y88w5GAU0Wu BW14nYGyL8H0OfCHJjAn9eBxFIEx3fAQ7jMl/Vv5EoEuYwDjOPcDcnHkYM1SigpvhIP5aXCSmgjM AKqqcOrjsB7AGGegMX2VTykmidIQNYyAniHq0yQdiE2CcM0JABBkcJLRs3RXVMIAgEXNWJ60iEny Gk3qvW2RUicu3oLeYf/uFFsN/ML1RdMq6UdTO/Lae5v2fRO8hrfzoiKNrnB8/N3IE26PtfcltHbR R5lXFqKirqS/fUgGNjcjmnBFfA2Cn1p/KGilD3CoFTRIz4VyO51Lk2YTYWS76YOIlIwfPuVL+4G/ +2x1zUPsJ8EJU7ly6m6yIF/ySNL0blxmlWzR0lxC5NxtYO6jWnnuIKgrCBhgI0mgK716ZZMwx+ny nwDAWn2RiOdScadAw7+87D7Qo5n17PnREp+koNtBjp3gL+dtyrELWYnGjm1dtbWPzLLEI2e0R/Og vMU1/XKxd0d++sjHME8V+3I0XIm7N3Zl7B8eSUSfxq9n6aV6M1gi9vUMqprHpvErYGV7dE455Po3 QeXg6j4vC04w4OnGno9/P/NJHbvfHzg93kMmlJrkmiUhDSvutnZQfQy7FYq6J9QRh2EAUWloW2hV d/qvYbpEAjyds/eRDRv9/2VUJjn/Ionnct+VDS5q+SbTUTNfKhu+ufqMsOOtE/Uok8Er7L143h0U OR95iM5PKUPWbkUdrVLLoGx00pTn0GluUXuYggl51JJUaEw3a0d+XPmdBfWpLF2I1rlkypCBuDdZ w7gdqq4cpWzpJY33TpuT8x7nE0rWMLbEBIy1OTvpxLCH5UFstagoKEJqOZPl0hiix87NdsdVnP+U SBglbFZkCeZ0a+JOmmyBIv0PRxjMIZHthCMWB/KIfSa6r0s5Bx8hK8FUmNz2bNFH1cJeey7idv8w WN7UvQltWOXTIxbgqJefpMfWUWx1vK9Q7jhneN+E++vhCBKG7ee4m1OVDyJj+VeiIwWkL3VRALpM 0sb2J7TrD925asHs9z+JIZKkfOfCD44VrhuXJvXcevObiCNwA9Trw/rAP3sTQaxwjWwguqbsmb/7 eKLoypVAbqNzRZ+UE7+SaWpIK0v+WJ6tXLgtJlvkhOf/F/YEtAXJdogOsEA3mN3abnmfjv1R9q9Q N0eVfmO0PsEraoPiVzzSRR2xFBYJahHAczCV5QMWcdy0+mCo8sxmEeL+rz2FoBuN6sKglJJ3h465 2OpRakSz8o8nW0qx8+3uHRUOUDv2MjVcs1M4Gtwjx9JDQJNxeeUgeNUkZk+dYQTzBLxFzvco5c6Q +kuM8fxgNX3/WPwlIekc5dr5yj7BSOstnMwH1EP3skVZxIVHdLa+Yqc+47mEtdRaPfgI7dedBcd4 p09FUP4aAE+r7G29RxpFYoCIg5N9MzKTypGBKKjrPaBRmbOUggS4PzwNIr3xSJnyeG1MmkSjWl5Z Fi9eGdO4mltV5/dRRSAxlyAP9IPffnZZYukYWZURmylMMNZ/mDrynM+itRNMbJzpFTzbsJXzdXMK JrXarl4mWnZJuuAKKHsmzjkKONyhjU3Ca1qmkQNp+g5x0cuhJE9CeiQjRCogHoz19q7GbAxSvccZ YTeVyW8guawqCJXaAN/d/xYGlbJnMdeHhpeGw/qcqmTrqyC00ZGX/QiVg7zAjzfECno3Ke1LJmLE Skoel5oN0LXEnBtNAuw6NmTg+9Op892tEG65Edkh51s0d6oh6mL7pPd/c/HEhopqd23Lj+ehmkg3 2hOekS6XxBqCjQ7/0Pt/1qIYGLpqMrkMNmYtaed1S5d2LIiBrn1NXJMNk1nk71w0al0ugLRHSya4 Co2m9Aba6iiXDl7IyA5hoixCbkaUH6WqQHBgohP1fH7Jg6D4Q0l31+/5ma2SFRLwIRvdPeWtM/75 pPsCcvqJJ43R1j/BsGtEGzheunT85bog7mRcNiYZ/FgOP0c8Mq0B5Ncc1nosjjZ6Vjf2GcARKI4v JR+7dmuG878J/tgatt+1eOj9mAx49BLuVz2/X8gEgOGMtPIW+jIr+Kn7fAC5IZ7XmerOZxbF8qPo 7W61zgoOmUOlD4QG3OSi3L8dfp8djRQwPSVAg94aYDq4teusAz9BaGWpmYt6VEAXTXdf4eNiklow JCON2FU4gSgsPM0srgCjkeF/cxD8+ZE/9fEAHsxb0TYv5mE+lcXarOxMfOSNA5UQWIkqtG/bTEoS iqB/p8lCTO/8zlDMJ1EJtZkAXzhIFjn0SenbNi8/aep5xmckeECjUIb+14r0eMWZztE1TzOZX81R pwessEea9Ode30OmR87SLbMDHTDZuNT+yTQbMA2ZHaEFeuUgIn69WRfwAhITTAfwnKNmyhliMPGd sAUcBla+ny0Y2qh7On47gEBkqfY4TV9WH91K+LmjTf8Z1OxlacZ5pIxG2gXAP4LHfmaqeZEqxdQa NtD6Eda+KFnxQPmNLGtFvCbIiouBpyndRTTJxEqrBUh8/cTeicwFsMrQHTydNQLq3shi9tB8byjh knTWOdSuxXXB4OeCxXmUxgS6yGihsjBpr65pJqclt+YApvDbKMz3IlvjTvuno3xmEl/Bjyj/dZGk KsjH+01kb0XxB4p1hIzcEf+ZQdR9q6ZA2Vqf51arxyauVRk889CE3X6SebGdwrmPbKGICcYcGZlC sy4w4slDO6sdarePXEfswTwA7+fEYcJSX8S1sv8L7vrAIOeERH+JvyrZoTGcfGgmAK5pDKvfkTYI m2a9JaOXRagkzZzk68IQ5QfgW66Ud1Pu1yPw+mMzQNnEgWBQignISUafZop50TTT6k25kSPrG1Hx i3sYhsmjUe8yRH7jXyqeJ1CBnPGiKiQpuPxOdjvSiKtC7EJWgdZZ27+FIK3e7BtNroZATdzISB4F /cO745lR61N0/ho+oiGmboMrsRIfTyn6R5wj7pIQjiQlHLq4By5jqUPdKevO1dpkz4tvawrOl7y2 ZYUdJ/tS8nSMsNPLeA/QeBEF+ZJS0iZxdpvDc3c3WkHycCdluyeRza9WopuSwwbT56mPslE7PRbG QKCZIRZgkuGr3dxQCpXgZ0CuqaoegpKE4ldEDu9ctJG87zXxIIc92uKn3ObE4RNXUysJMRbr781h gC9sPWT+M9S+y1xhx2Vjpo3gk+F3XQe0m3zTp/mRK//9WyicRQu3sKaJY5d71K3/uoXOb0eqYVrK y3toMxl3FBNnF9RamOeLxzsaKc79PLgwTSRRD1WIiabDDfEuTyWokzdcYuqCb+q5j97oshlKcVmU iffhSIy2Wbrd+jr340iOHHJf2DHfvjHCE65wDlzxUrEkZ4BE+puBMKI/8rj8Iw4f86V5z+s/d0x6 f3YeoTVlbBPQgStYahDSW/YShNXQJPayzI0WXN8wkWqSazLgh8U4eq/YOYhT1jEdHuyqnfa5CYEp 5/U0clGo0J3e3XCvp5dJhcX6ccs+JQLJC1p4QX7OKdkgDR1oDafQeR4LK3aqRngZxNSkSG1XOVDN KH5sbn/Q22faUVkbla/kfGXKseSiPq4dolB1rkLeQ4llAi0RHau+uJBFsaNn32rTdya53uB8E6F3 /mBc+CIKUxZj2eZjPrK91xCEfQ0bmi4/ezooUU3mCj010CJLPAYrz8dOwsit94f+8wkQJHLGDm4P zFCJCl1MQSixvxmbZAYrTSF3CxPcFX6o4oExoZq3Ur/e3wKx41+E430brwGveK/+9DMTbhfL4lW5 cBWZsv9nQEvrxnbhhkD8nioKNZRF9gbG17a80p/fLDWPG1mf2hXJkUJ6g1ATnhM2aXe9B0WqD6jZ FWCttCgKLXV9UNn66BRyWA4kE86IwoXyF4CD+qRa817Za8Rivq2D0TTN4TuPSacPPkI0eg6qZr8m tjE6y9I0fqpSYptJxSYnzux0LFWzsL1J6PY1MjspyyXeoXTj3CYxYyf1x39zKerA89t0r77iCjzN G2JKb9VeXxLsTdTvw09/MGJABm3H2jGuf7ifn2fLFR6x0UsMKJhCrIuKiuIDJcl7OA5T6HLq96Up Ob4TUx2G3JScfXgQ+SaXIoc+1LG28dvlfOjuAoDPAqYBD387I3GbCF95hg+vp5YbqpkmNrVOorlj iWxzCLyi3ixBlhReyafV66cpRtp50sfkPoNPWAOM2uMCQuDSJnAPKS/JtGQEzOH7CjxJGXAgm2OV biHspQXWL680WUh/LiYhU40SvnXfjVNywMN4tTWq0KCtUiPb0E/y9pwLsxFIkj5ZegRV2WueS+qK XoJASsk03hg3FzeB329+5fNsbrR6w11fFdscTF8TY2MwrIoBBoBNIrL9zu7Ja8nZTOFeuOAGRT61 /Nf1uUlxGhoNFjn3B0fYqU3ks1zkBWb9lSvL4LRT+UIKhyV/tjaxLvb3BAo6nRnR+XAdNOasRomj vfawYcK03VxZXzw/xSPOBYRo3UbuOPeTv1UgYZUiszSnc1PlG6rpzAZxCw8MF1Mmt2cgPfZRPDJ3 ZTs0XPsdCqgR6O8FpmAb8b0iGzeao0052II9kVlP0wAbnkxJtc6fgtE+bD1O6AFWyA4jRUD6qWNR Syf9EYocNZVlT3n4bPkhzQCMnw8JHMxpH2/VdCOY+qnt8HnYv8YBAdX3ceAJobXXZflHl9V6EL5a QcZXOMiS96vzYmwKcxsUpWukgGVtyOB2QVrHTKXVDztI3Q== `protect end_protected
gpl-2.0
46921ef2d03eef1aa0eccf3dcb7517c5
0.917044
1.944007
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/sub_byp_j.vhd
2
8,747
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block TWoK6qvk5yu8UqEMjkKJq98rAwJVakwaPBRFOjLySbwdchwiXH1gu9McFMtRMjn0rzr5fxtzC9yV ws4I+XHq4A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kOAQ71hKXh000FQVS6rs1cZZnInxEAynEMOJQce5dZH6IkY+9K3RNCsCD/jHQcSU6CLbQgAHdWQ3 4EA5b45ykSBkSqdwy4cySEAwUSRUUJYj+lOL6FyAGVAyi4UN0WFMsnjVq+ornouNivQm3lY9IUYN tsh29bmlEe9pyOXBkgQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block G7KSzsA/zt4odeZeWB8JXi5mCRMvxfVR8lio+wVpUDbxmCijy38mHUNSYGfBlSeQhV+hNOloxMBc kNe2LXnIdIjVOnWmTAYAua+uKootiICQ0UfnivISqK6LoTgoleTQXJbx8UsppdeK7urZw0hQ/m/s 0JZm0LWMmM9vy26KGP2d/smmBZleZWS1S0GP903k4e7DhPGqOeo4iWFHKV6F14lcnkbTZay+tycl YcwEx+W7EZzVFi/BgObR/91IBQI86pzYTuMP8OEN9tdtVQ6ulEtTUdI5JuhX7HiOSbhpyC7xN76Z poUreZyHTiJAhSxmsCYgFRNCFMjHkrQCnoAmHg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ivSR8GhaiHPkPy7dYrrWkd6SGDK5lBV1hTC3/naAf+EMpLSGwzBkWdW2EDTxa7oYBXXXeIcH0VKp RwCkg4A4Q76suVqDeV+IaPS3wfg68YueKZR0aPZzzXODG+T0LhRmYrfOm5tDWJ/T5MUeL8hP7s1q MU07yqW2oKx63VP9ND4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block H2G2nUgkzqPGHcT6fhOcd573T1bX8fXCncRRKoFgdmE8RcHeTQlAFk1QaOIPTiz+dCfZ2y7dDjSw I4eZbkqshmzpMRW5D0cKCTXzzzS8fRt+kipBAckkvBzhFcPsfZ25P2aRkt7pkxqlp8G1yPbu5ikF hhchwxahimYNioTdRyl9TtTiOkJYd8ZKHimwmRozEyKeVEtPU5SuWtdm+UedZLoQtLrGJpaupVHY WTjf8ieInnfNuag7vcZ6Fxn2bVUk5YIRMlRHDpzd2XhZf3lTmAQyJ6aCrQ5OOb1PVVGrtaSsl+v7 uQ0aMY50bwqBhawgn4SgJbR/Ml0vEOFAD+7BjQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4736) `protect data_block X1i1IHWVAjXyzXa5XxmO3wgHxiK6Z5CvHLcV4p5S+DAj+7hrEhSUbAVTxY27jCLRXf9HGubcy8yA CcQexETadSVqX+8cSUZ2j1ggBYikdIt06j5+DEHZM802I3/2VFCMrkgpaVQdF9qe68HHrBdMGDYm Nd5LuAR/0rvOQc5VP2UY3nj0t2gU/odq0rEj3FsLr343JpX5gtxvordahYizKkK4aZdLUCL3l61T L5AJP0vynGU7vDJKlR9pit0pUusaq+xLaBJngeUiDVPoWLjmiPGUulIH0w/GEmvTwx2DEEdbsq6u P5kSpzzLzR3PzI5AiVhMIu5eJjgD3ASZQbeIxAd+y/9QcK156gGUXyBam5Nnn+xgF7XwAE0YC2pQ ayOOklSewIwLtdXXdjKiXNQT0ySMh32bgqOb3Kz1PvQBvCJlth/En2NhbxgzGPU5qt+CdCs2EpHE ZZQDp2oavFiTRpZdW0eK27i+mqjuNC8b3ebVcTiIj6iB1A/P1CigZEKmJLzZoHlj+gcF+TQb8bsb O+YnA54VW7q6pGlWBYZ1mKSBYZjEmpIe0DOtncEXd7zvjz+EiEk9RJ4x4OdQztoveTmKMF3KWQeT L7MPK94dh7DsFAu0VaQuCrBEM1+HyA3sYCQpj9WQQic9Y5k42LB2hv21YHvvKG/znh9Ef39QpnWR /gLlqe93wTq+fTHGGUzZO1/1qLbR1EktICLbzrUhfgD/oZUwDBGCl8Rp8uBhj1nJIt9IETDVT4/S ZetJxoFBQdWh2nT1QEbA+XF16QBkP2Gg2TjmpU4JXSy75TPg43i0g72rl9BOU92go4vcdg5ZTQCi VwKuxqrpydAA8quD5IuVVkXPnxSgl+imdD//gKRT1u4NnO8TzJT79B+qF76DDjQeI1ybdOeLO+qv q8RwteefmcrXQU0w4Zy4Sd+PH5QE6mNo37FGG8y5xGOp7x3rrji6U9Ut7IXwTyAB6PdOWWl8nfZh dyIapQG3KEcdC9t4vjkVm3leYT4UdiGmrNBE7E2VrWTPyVewWqeug0xe+6h7CF1GwqnkWPa2ROhN CZKI+k5dNWWv89zY8lInoUQfxQWgyrV2D72fRPJSVlB+44Itq4ixuKeDuXlLk1rLfaPIvscxlNc3 ufukZ2spvzwsZHX0k4mCA8/8+zimo+OPfjOwdUocG84ObcqxkMJEll9Bmg0cTrB39ASxVj6d6ICS YRoXdXxZquL5I3x39lBFXSWkHV+/wmg8sMRqTbCGfei6GZTxjlQKarVNw44WDxnjCrBj1xmhFemb 68EciCYjRTVfGTzli9fBR3mzdvq3WAcvSUhOregzeFQrhXK7kKF/e4CLY43eqt51zH30bJ8JUrtC iqRFOLhEoCvVMxHbZNx1OlSZN8dnlWiRYHMUNLSy3LUAbz1xn4P/OoqJx4TVjlzqWtxriemldZsq V8Cva+fPyHMPkdXL9mFblDTMr/JPJQE4U9wBe4aWFZt9A96P6NeV0Jqu7Btf9Tbde4LLE03kCgSm b3/zwM+LeT/IXBcF4JT4V3hcQDltatg4/co2gfpy0VFM/WJ0yoxARKPc/3SlOwRJYu3cqT3baNdi 67ussus9Gqr595P7Hjm0BC7ciCtHdR24gH/LVHaXaVadB6a+NmUhmTzvRA/AmKGHtnwuJfUvIYIX 7wxbI03g9AdUINmESpv7vmBMhVd6R6AXmTKNxw5oBlQRx6EIiiAzoU4MGSzcTH4LQMxWD0oSEGRN nPMw3o/3atRRsTJ6Em2mp6FSemQ4t0zEFl56o3ES84MyhhCo28zOcDjWxRKZiLZqlgpV4fQTWza/ gXoc1RNFJrkJHQCENevR7XIMteRy7I+8VqYrhNpBKyKmPboEX53BCCBi3/FK4mKbOdyZeqBKHrrS AIGxq+pS4xZGtFwhdCvCdtXSJ9RJMTCuQAXlnkRmZLu/zBqrdsLAxSoHtwNUd0T2BDgShbL7AKGZ XSVqFx1LwIMTkqQkpZlnBYzYSaXA4C+fav5LpvWh5E1QHWyOAgG8A24YMnQ+uTEohQNtgBBsw5uW WB9lGqb6aePU6V9wHjFKXMYpZI5WiLMDeCklE1boYCdBf1XjqmVHKSeneuDvDCPh6mkTKT0hkabz gklyV4ibzpotUOeP9xNjkbDKmfCeTYRPWjZMxQzCAci/DFBXWkjd5nrFse/7Fc51rOvqNEieynA9 +s5s7at9mS0z207zOTiV15VmWgvGHemZUHYhUWE+Z/DmqmxuNmqeVH5rpjtVu5XD0SxtgT8V+xbS PBGZMexE/0fOLGA3IdpweL153NCpDkL4hNXC2xMcF3S4LBtQ5iPCvYqeYErXQbuRa9wwb1cMIWoa pcUp5Aijy6+kDR4iRIx/Lb8ja29FihofJIgkKXecDqj7Yhm30LN1+/hEQo5bhYPxxktPYvONMhRn RgfUAfcaiP4dzkU/RxeIk0iJRmOdtmybU15YqQFCMX5meXZ6nL3mBrqFlPyUEfD1g+XMnHOlYG7D TnJ6SXCiIDZX2KeQvROsEsOm4fPSn5R3EsqZ5KWfar7I2HJqJGroKNcJF00y5LyPALOoBkXbzbcr cNtcewKueuX/x3WAh8l9sEtvrMuNXzHHbrRjn3kx9HTgVJrprgYGs0u3F2o1nperGF1j6thBsiLg afhbIpSF9t+WD5GpEabZhiwSHeT5bQFFzTMEgOmnyPuFFuv7X3E0YxVKzEnURawnfL+Uec0TQwVb qrIMl/gpStk11Bip1FTe89sgJsPESrz60vA755aaDAdSUgg2QID9CA3eYBxeI7Ti3CE9z78SNSy3 2yKL/0e1zUh0exhyDQIlK6/NgoQ3EqgO4WLDOXGSNAjpW2gBCotRzZrwZrAXX0hbtI88DsR/7zO4 u21yNOaNWDLSL4dgeepNO2vQt1VlrVUGjkgP+JgU1cdJ8R8Hnivs0opwjWLgie0jGgqyEwZx3lvJ X4u7TqX5HI0BYX5Skr+bYdrhizx/URMlMScI+S3h9G1A6JXiG7YffEEwQnE6YUwM1jozIgV6EIEU JQkh2KxLQN//EA+ZzwBY1FdZi7S5KO48SFAg4JHJlsW14vlW7eD3iRe4SFN7GjlT+ngre3GJoaUf EHDA5lOP6qdvf4XQ0zUfukrRyip4qsmvwO6xW1vuU3jH2p9yAENBfEGdgl7Xi/an5fcZRoM8MgcC +FzlBWeejIZ8tTmluYw6ljlXbpKMA7tfQEfWEFHDD7vsQgqGKROBUXPB56toRrWbF3jQNuAGOuub jYa0OsoW1Pi3aR/v+J2XOkgLNWrKT52kF6XGjPH36b1e43t1mEYx7fGSNo3D9Bcs9XdCm33mHLgX HY7jw63PHABTyT/6dB6zleQRG8e+Y4h7lLntBqAzg8/tkcJoyr1Q3ZQmtR1RPZtjo2DV0TNM45q7 XDBW3fug2ME645utOqJCnnl478P7vQYEK4flWgJxYhNwYBWVaWjZexHvnIidgS1c+jU2/LMsXxlL 6zcZimS+dbPyPcK2u6DIvL65T4354Gr7q/aj5hkretv6hmeu5Zpd2tcx9N3/5JDbG7SAYfBEtTNh fAWi7Beh4ySg2+ouMvMsu6oGiZ6VuzeFcwqVsG/hnPXkm5tpraYdZoN+NheSf5/tc0NIr3LZmo+h RK6cbVCymombX/kd3oMmXOHKqOXkzRzFdUgA80i8DQmbvG0z1+A7oJQI6W3vTvSzO9ebFXjOQMbj ugDSwpahiwszfkvrZ/CJeWY2xcKhNG5cDpyQd2nbuf4sSmMNx891neR1Tvr1PbzJ1DrPSwAxXyRZ cVDxIz/Hjlj/JkMV4lyoleECqMq2nMNHGM9BRHFRmsrrrB5UbmW0c2oQK+P1oV+17Fw8H5b8YKmg 50ZBme3JPekGIoiX5rWrtYkn8tW/wylu20uzUmmSpfjxTMisR1A7a2z7OLOQ/9TMTW6ox+HZ1O1J Q2Cau4f15VJmRtOagMWOnuqQTMm0c5xb9t2CBTUaJbG7ltj2PQkx06lcjDOaCJzCa4QemQ6oNrC2 9qEYr4uUm6kLbGsp4HLPQ6B3MvsO0CGq1Oy79BS0VtmBsQnUEwaCizauuOIBlohInaGwSmks5TsB OF7v/h4FbSxF/NWD0hea4pCY7HMv+ALdph9bx/YG5ppdOSndpV9M8RJ+V07zM4kCba4fstgr7Slj zruzHU3M0hC6Ztx4wPGBGbF9Oqt0Shtibq+qllkepS+kRIwdWubgrij3dSkTgzOWYY6I8PWgTPFX ddJzGa2+2WyeMkDAOjN5fJK6/CMsDUsPs+tP4x74B8Zf8lzf8W45kbD2Y8gxn/pSmI/e3sEcXI9/ 7V1jIDl2ksI4xRpkK0iekxDUP9SMKjC4wNGDxjWyLSxYJTpS4GGpigJqlR3oDuPrmmXwHAFqjZ0+ Q5Xmn6yweCky1Fu4oZ0hivmgCDbn9bMKugBotCaUEUGSjW5eDkE/dduNz1DJqrrux9K94LIr7ZHf K1nl7jIsV6G5+zHTpT3d9Vm+xWPxlOSoadB/7wWAPG6/XsYp5FIoTxS9uh5Y2JICEn5LBdwcOOPJ qz7gleVuAkIra9ifQzFEt032oJKmMHOc6Af5aGaFa4Vp1CjukRjSVqQrR/OvoITVS3VovDlctPIK GoixZq+rm5SIStzcA0jSLLv/m0c9dyGPWzp14ZUdsVlyorJMVOCJmF34MIj3UCvAcqXzIUeo5rnX P8v7ljGXNrCIbjpobXn61tiHHtg/Y5gt+rKYPK1FJNP7AFujQZBwg8XUEnSuq2sBtSOJaFUl05mJ R40pqcg1fKS4kEyutypyBwRaTuz2DJOS5g3BGvKnMeHOPB8ou7+kxyBlb55eG3HRmwmWoxXj7vT1 6ti+COXLdyTaoxluYlw+7TPhM9Vos7GMvU4fJukz25llQmzzdsNSXbt3esCSXBo/8ij3l+ULqtmc AEa4s4eoUQCXGB6sOBy8+6LX3H6Kzm6IAOU5CMPMX2pdXxIvBRA6eR+24STbUa/4Jk98l6XHht5y RTDmhOi1SgjI/YXV5B7wjV2gYOlzBXoOmtFz9rR0rVI1eg4oLzezE+kNSdI77h2q7qoAa8sYKp3T 8oOTFizZlJiH7Gc/BAO/gl5A24KEImg19QMWDfi3ZgE/WWsNRRHNFka15CwEkoXq6RD4d09W5nIc BvsWjnqdxWI6Vy1fP6/F3MdYN1ZI/abT2wRkj/+u4BXGWJZqSAfw1trxgCkE+SH9HCgGnhZHgufX D0BalqOshALZG0wE583GpcN8EA7JLbraf5VBBYLIxbfYVpxvocy8dJ+s1qcpocOR6jMPRUr8jMwT aGNaMUBm+8mLrZl4YJoc3pf/TOoUG6Wu490OwMCvDh5dqVzmaU7qhU5vA14PVS7V38lOOw67wjE4 XW1L7pLOXoSNzGPrjv+L/nF3L2rl0BYU4a9sZKQoTBieGZE03sL8dPTtzYrMK5Eq4/AGS6lcfbr/ hf3K64BJAb3MEiKSMXL/wX0uOD0BLmtQb6PNTcc+Zz+RKx64Kmd70J4iG/oJLBebNvqza6GTDcGJ kNkT6gsHGAwe5LN6CmSIYDXZbvoGWrBJeDAMdjaj/wSajrFCvb5LJocoJ7phVHVfKS0uXYXPmoTN vqXR/Cl5O8nKJ4/E6mjiUBgajJhy1QpJ5ysUpwEA74pp68bnlRAWI2IOUBTFmjCUnAeol6t6+53y QRF5mLqBPXCzeC8QiOULLQL1v0BZp169zCSGDv8bpZNv9vPHNHcJF5LLE1ZhZ1bOq2yiXFG+Z2JS qEzZPgLetu8tz/31ehizfo0WoqN/9pCnsdLlwTBnqvzhqA5K5R7q1BT4q21q0fL972QiobfguSwP VFuSjoArIm6PsPvJts2JQisnDfQVbMZgTjox1S+rAKmyJckFh4xWptTEWsU4+Z53chpOdW+LLGil alnB6+kSehkDdElmsL/JXPHtvEYsy+tLY1PuPoinzgNnh9P46lk2gyQ2Q3kFVKyaVBUH6F70rWEa ta5EZWOMd3x2iE0okkDukHvOwKFYN5bt5Ppt8dJ1wLbCjlHjGtsNnj53X7esVw+O2nIKNDrc0hkM XBykYNgshI2xbzDaDVWUebm2rRlLYCLqC2HZh2vAbD0nQ1sMVT5Nxod0cANrp09I0+B61zF5RM95 Y/xeDHuTHv967lLIObqMVIO0mOyYze79xchL/0voEUB0EgQh6CV4JDAOvt3yCHrKyza0WZjC05N+ ZVCM97P+gPRfKNsrOnp6+HPM36ubvSnqSzXR+EcL8pCU9PyB3Ei7DFZNf+4gL5elrgeXAbswye8B 5WkKSNM= `protect end_protected
gpl-2.0
dc99dec1670b5c4e0670b026d45b08cb
0.921459
1.909825
false
false
false
false
keith-epidev/VHDL-lib
top/lab_2/part_4/ip/clk_video/clk_video.vhd
3
4,568
-- file: clk_video.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___108.000______0.000______50.0______127.691_____97.646 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_________100.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_video is port (-- Clock in ports clk_100MHz : in std_logic; -- Clock out ports clk_193MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_video; architecture xilinx of clk_video is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_video,clk_wiz_v5_1,{component_name=clk_video,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_video_clk_wiz port (-- Clock in ports clk_100MHz : in std_logic; -- Clock out ports clk_193MHz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_video_clk_wiz port map ( -- Clock in ports clk_100MHz => clk_100MHz, -- Clock out ports clk_193MHz => clk_193MHz, -- Status and control signals locked => locked ); end xilinx;
gpl-2.0
53961b1f691400f55abe48fec3d18bbe
0.634194
4.214022
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined/Combined_tb.vhd
1
3,441
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:24:50 04/20/2016 -- Design Name: -- Module Name: /home/tj/Desktop/UMD_RISC-16G5/ProjectLab2/Combined/Combined_tb.vhd -- Project Name: Project1 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ProjLab01 -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY Combined_tb IS END Combined_tb; ARCHITECTURE behavior OF Combined_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ProjLab01 PORT( CLK : IN std_logic; RST : IN std_logic; ALU_OUT : OUT std_logic_vector(15 downto 0); DST_ADR : OUT std_logic_vector(15 downto 0); STORE_DATA : OUT std_logic_vector(15 downto 0); CCR : OUT std_logic_vector(3 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal RST : std_logic := '0'; --Outputs signal ALU_OUT : std_logic_vector(15 downto 0); signal DST_ADR : std_logic_vector(15 downto 0); signal STORE_DATA : std_logic_vector(15 downto 0); signal CCR : std_logic_vector(3 downto 0); -- Clock period definitions constant CLK_period : time := 1 ms; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ProjLab01 PORT MAP ( CLK => CLK, RST => RST, ALU_OUT => ALU_OUT, DST_ADR => DST_ADR, STORE_DATA => STORE_DATA, CCR => CCR ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; RST <= '1'; wait for CLK_period*2; wait for CLK_period/2; RST <= '0'; wait for CLK_period*10; -- instruction <= X"5002"; -- -- wait for CLK_period; -- -- instruction <= X"5101"; -- -- wait for CLK_period; -- -- instruction <= X"A10F"; -- -- wait for CLK_period; -- -- instruction <= X"950F"; -- -- wait for CLK_period; -- -- instruction <= X"0050"; -- -- wait for CLK_period; -- -- instruction <= X"2010"; -- -- wait for CLK_period; -- -- instruction <= X"3010"; -- -- wait for CLK_period; -- -- instruction <= X"0010"; -- -- wait for CLK_period; -- -- instruction <= X"4A10"; -- -- wait for CLK_period; -- -- instruction <= X"7A03"; -- -- wait for CLK_period; -- -- instruction <= X"B201"; -- -- wait for CLK_period; -- -- instruction <= X"C212"; wait for CLK_period; -- insert stimulus here wait; end process; END;
gpl-3.0
8037ac5c23603109668ed42b8e9a3dac
0.572508
3.347276
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/compare.vhd
2
12,528
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block f+izW5s6VR5Y1wVzxa12ZVznsTwpp1qUiZ6lRnEaAIZj2RlOVcnObREBtRk/QnbhfwWTaRKGJBuV U8fDCdoSLw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iivuDf4VHeIMRi430adr4gE3J3Hql5KjLr78UlJ07ltpA+Muyjg5VW37yzGVAFBHOdnhTkNP3J/Z UGsgcZcinyglicbUOOcDrjdwlggHNIDUvlei7WS81mS31tXowihrfGJt1kcEThREv4Fxx+f+0Vjn sY+ukAkvvlTNge0KdkA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qG/3Pg4B6L90vvJ84AnnIE1gYs9fOsG4TvZjkLfLLck+iJHvBrkUeENY2YW+/O2RZ/cLiIOOQESK edHOJhHdtyuDT1IFgBbxZkyX3/sMeSVocRt61UGznHhA4kaKQdqrt+DGm/QdOQfCe94z2TxINzDv tnQmc9AMDAlORloHUax/U99QINg59G5RPZPnt8qh8KEUuB7ynkKJTi4PZWfruD322OyoQ/4FNHTW /cXGbSJNh0DttbJG3H5xxvQw8sOKX/rM48rk6MBVBm3TPIswpxcdDYx61U0++XUS4kkIH4foE6U7 Jt8puDLG1dZj49Kni6F9wYpbzKWeV9wcYtHs8Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block yVIx7TPTM+s9sSJ0wAs+AhQof+fqAgwDpNtZOwJM6RpzbFXp0El0KuIuio6qqp468/+23ic+UIwe 2vMYvoqzuYzbiKPYouXPbu8zCdI4kbp1kotB5T6NgG/Oxe2YruzvMFWfrBGBDGeMBqLYJhHY57jz xDw0U9umwEacM9iGp0I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qdTltHuCx+V0bfCu/M/bw0nXc8EcDZGmsDFCHnsuU6WJ2ZCOoACB5Ak4nKDQiw40k5XGWyHtXUJ/ qdMTi9UxTYUFPPdT573+ZeIghLLx/4nfKNkFINDt4BcUMxoYzY03OrgiQIGXVsoLRlRrBvkGIysO wEk5ejpvyg0KOwuwrtuVXQVDRN4uILQoGvoo4Nze/oEkEZpfKgWImBkkPE8AybHrTNtdAEIGdLP0 IYR0uQ8G5SoxfzY9syZA/6qW92EHxoSWMoK5NjEnBSmWR/N9iO17NvM+2kVfmlKI8QlwChK7ucKr nAQDweHYGv4hd7bw38Upah2go14AJkHRu17YfA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7536) `protect data_block 2pO/dHoKlMatdqdZndUL8xlydTXTCrbTAFlAwDR8IV5k85MajAaRon7S3uJHXQerAgwNuVJD+Hfp aGecPw6mpIxXWci1S8NkQik3HP/fA2rYgnxkRPl5+UiQ3Udro5M35TwZ5WDpG42UbjWUwKoA+qmi SDijvZpXT8MIIlj0OaJJWEr/p/4a80b9zgYvFlZ8ZTw+WA7zlzoCg4/kYxdD/6hHMu5NWTeeUtfn fUu/ntUzBf4Z7UbcEXkv3qhxiTmfgS98XD0+LCkwhGyM0y4uCGaCcQI6wv1P21IYzW9rpy1SkHcw B058iHzHI74NYw8I9nq/RquzH5GEaQEYtpprHYHq5x9zUOXJmzeTGR4rOnoZpqwofQe57VFTXZRe Ec+C57LEt11ein/003CrdV234Is38BZ0XFxd51/nF19DX1BHOKMLeCR4TDqKTOgwIF/Umik3iusu BmU0mO83g7Bmfv2MldbbXjub5yRAKKwSnoenwN/b3RnbBcBftXg87IzyV2UEjZbe1JxEL9b3kznx faw4Bz2bjwVvb/DPn3d7DEHyf6rIH3/40p65uBtucFxNZQz+6HE2OZdWgEb8kXfHlCFEcFo7geKi BSU2OwjUFdJRvVEB7n8VDKjKpNA2YuYTT2Y7aMArkFQaOqDeiAGlNI6UfsL4888ROEKanrwnZUrC 4uQ1KYGvyhoPZxbxTxJtY9UkRUNiL3+ZJGLCYURxKkla2REH1FP0zvs0hGdTdURZi9aNnMYy+I3l Cc32JPsrL6DSrBhn3cmQaMMg/U38fcpgNRy/z3T0X3hYxK/03xPbdilri9W6C4Y8lidHRDfrrspT 0DMzGubHMALSFzZLBKXmbJx9deLImBUpBT47236B7DPF7kq8SdM5+teU88EEMjWWeeY/AZIjn/db jzcv192pmXPvteDkdXl9VK19YXfIxUfKo3HgjuYx3TXaRKPtMq+7O5eN+gZLYAJB7Je1ZzxyQ9vz mJfLOKvzw8h3XDTMJHNeBldwR5dZKn1D4eui5HugxUauwO92vmbhWKNreDGjC/aoU8R05kpWKPBm g1WHmMrqJGV+aFHZrP+dlDF0PzxcCvpw3liYP8tZ76BPJ8QThlFHpIExV1XYXLlPAg2pWRC/b8Ut DGBwbUetEZ2DbMtFbi8NtMJPWKHsTV07tbZsYsELJAd4AYgKia8ZKtml+Hr+k78ewZzhE9W23YxG NIibB0jYGuJKdVWQ9YqjAtq9fXpEOTFBlg93w0JIJH1JTbckwuwj6T3BPwl2jk/nyYzfjgQZ5Xnl niLRb0ORt1EAqIFEEDxK5Y0oNhKIF9xy1dMXoJX295a9RDnORnaNrMA2KeO3Kx5lf+g1l+BdY0At T0ThPd2+yduonWTPD6lB6VmV6FxOOvkCRkQS554RjHkPsLzIXpbxH1NMpekU82ZJUEFavuO5UJmu dGrLfQ5zzn6rCOFoauCilykrv84PVMm0rM8o9So/NHN4E2i1riDdUHkAHjRCSdH0JmQe0hiWuqLk DS7U3xmpK15L7/dTEsIafuBRC/3zs4dTUHT26YCdoQ7K/4MRZ6C6p+T2DZNFJmz+GjMRiiGzyvTq 3aFhHJFB2/gL5EbTz5zoqUkNwkJ/I3ww/whOpl9neuMyj3LC3DzeorgyrYSHA82orePB2Fum3M/f NCcyKIXnxL0Jn9ytOaTcwsn9cMUUWhhIvEjMoIpq7k5Nh3yavpx0zR5HWKtoDVnjoidBxL5MZu9x RixlgbLICYH7ZI2g264rC4pO6D+KN4G517ZoazEcDZLI1WYBZ8mQ50nw39/OLZL8W6nbUthiUfu1 Tz7uqhrM8XXrVOhvxjLzUNKqbu3+De1rhHucYE4b3qBqA22XJcONQbvUyOSrUiQizncM/RoalTbF eTfITKr7D18Utbdk3Hryh5KP26Xg3tVM9ZovhDrWJoSFTYN71NyGyUQ9WqxoAXtpHdgWbYSAJBmf UAxOqdaVrDLPvgpE8B7ZNfx6Etkred0lxFAgB2KQ+p36+f/6ebAFgv45HV9txXwTV0jk+fGEx1aH tRRjjzspE4u8sNQIAPybyoiFnG8O0CR8DPsUhspRce3MrejdnGhGTYZkhO3VNTxzdooQojIdRGDT rf39rDqOPuc2pLyCdCcgTDESs/KtZyWG8/WbMj1j7YihEfkdxrwzGEyzULJ1a7Pjmagm8gajbtil n/GHPc5LHm89KnOm6uRSlSERWyelerUVUPyzOt3HQDfjfeQ3y94aAkFM5tav7eLxQcdH37/2KokT ixKmc9Rzb2RrNXWX38L3mxP/DTVfQAfi+nngdf6oxPngwsWUIoOnwW4HeBF5z92xEiCbJ63cS6Cj if9e/h83Jciu96oEjT7FqEuQEeV9mTyX61dl+dwhS1K4VBhXQFT6Dv0fUpvONZaQnlOufs2+4TGT CM/x/2J7VjVzIiYl09k5viKCJdWvw0IL8Ygx/Kl3aFfFhBB8XPhU6fNgx/I3/Ma1Vqkv6dgRNU9L PlIpM1Xxi4zk2tKRNeP4jSVasnbt74P0O6Cp2RXNw4lB6a7sB3l2S+aFdtJqxHNFWYm+eytUOMBO dD70PLITjDcpmC2ktowbyutRV46l5BnDHro8irEwzl2EBDJWuzNYwGGpc+NcafiSR7f0XVvw9EMr +3KmkVF0r1JRDLieB1GVvzaXPn4ZiCuX6SXSWdGTQO6BdM5/cm0DUwes4NxAJoHCn0mb7joKj055 N+Yg0DVQ5Y7LQ6UFgC9wKa3sUYo8XK4ismIQvzBBfvZwButQU80tpzHVXNPhTGp+M4+avNZrWrVy 9Yo+RssDmvu5nADB+4shPxJYQAJpEO9ouei3pzAlEzm9e00EjBmdkkOh+/KYPIUG3Crrth/pKYZI 3FD3FjMINBpndqu+/McGve1tIaHnvCH8sMZ5ODHgNpEegAOGONQqG/cR0wVM7mP+AkX1Xg7S8tDH Z1gj5RDJ+OPTs69D5BCKW0qyFpzBCygSZF/aGQkRu//Q3zr4uUJvN2E39FFpI8l8C7wn4NdHHWuC F+df5Oh0HDjePuVUsoIZLb29QJQPn0jGEyD7Yr0k2/z89LB60BAm3UQ1P+6qPMgAHeG07K5cFoE/ V9RDo+a713lLOjQONIKCB9lRb7DvlcUd1Fxy9VpubwHWcEyrFckZVy6ilPIjei0NG690Ck2xykwu 0GopQE4lKYO1Y6blsbyc0wQ77uiZG05zhdOHymduTJOod825xo4NF+GXmcW3FdXQ8DgpSxi/UueD NHvOK+SvtyMxjhcmmwrm1uRjIp6wDDaIlxP1aNq65um5rICshIzLQ5C8guHOiW1FgsHpo6TqpfJ6 PkNfpdGMLR047maapilVNn4n2dciJ0uutWnyWakZSoZjO1z5B4Ae6wi26Xz+ZuAlFFvrUgfGaijn aVtMhKjTge8KRj1k1zyZLsxPSggFevc29FPrNxvONAKGEJtKYRUCE9LMxteSJ7DqHxSt19xeIsA7 c94WjGGmSRrEBvimBQEnHqNnDwbtq7LH4V8//X6+a2vymouYVKGeCljXwG3spgzNd0+ShenWTsAg oJJjIhOE6JTO3orGbPwyhAUyzjzxlEkPLOP1qPMQB9RWoM6ntM4QbYJFjByyBem0S0wKxJz3VYWN sBBEUQ2M2MkHcD7EeYBoUxCCG40oXpHLFzIP4SsHyw6MyEO8H2A4Yaxd9bdkgvdxu/t4AGoq4OqG cB43Q7vB9g4EUbkksP9KTr0xFmSmxiyBnd0OgNhEb/PhNZcW9pqNVNzzDJzxzOdGuHQELbABhySj 1f/cssUwWiLRrv+NXvziusLmTi+xpdHtOwY8ldwWxW8+MzFOVFr1uTpodc+HjOKGtbyXtkhPsuAO d3DMONwDutAsPwmRNk645XFrtkQv6CyIVxmx7FHJNh27As+U7y0hpkshqd2YOrCztf8NLlFCzdx8 POSkVpCp+pCqxPuOYrYk23pPm0BegwqM/cMrYS0buIybvVjJyEo2z5csLbd7TFCImxDlAVyeH2Oo p4X7tD4JqWD/x9akiJLlP7HdH/MtC2qwWxpiyTmYFdzZQsumiC9gk5o+KP5vrwQQhm+6bXicHpIh HSwfk895q944AVw30HISC62tQu7p7wpgFioR5injaAH6AVUKuFKRD8VYsSO4RpG3GMLSp1DYRjp8 WIXrcptoHDbFjnfyVAZ35h76vuvEVFK15kSE/PY2VgJcbUEW7DNw61NreuMhC7LGskYKDeoMYqJF l8A9GXotgtWYB7ShYlYiROeHHgjhNM2qLcwdLmdrZgT/N/5zRvlbLiA1fbqGHWmaIkLvhguE0PRL IGd6OErsgnQS0clcJBtF291NQnVyACMO3lblTqzNS/3ZqGBe/ITReGl0apFAgPP8txvpxIXZARAu pc7VJx3KrsyDBhspyOiBAjQOwZNWaGnp506g9YW2qwctj2RgbfWDJNrDWqd/S9fx5gYRLSIOZRn/ Hymb3TdWHCsD0CmskbvaN45Vu40jAVHp+90yyVM67dDw1BeM2Jl4rOebMmahDnijBc4rwHtZXVwU Gl56cF3rm9kKlOAL0ZnR2FhFamz1ylUEO++J4vbEfDQPE7mnNmmsy9IuEN3u2iCDUKKIz4uTDaBd tYsVHilMwuwilSqBITPMqdbFMm4yC1TwTcccrBlegdBgl6ZhXzzUDouf6NmowHk+ZnIVhPzP6UFl vXzhNHauOQR6cvd+Nw9hvgoIipCs0FwPwTpkpvl4xQ18scHMfHF4KNQGA8ZbBuGtRdMuO/H5uu4J uTJkqBKeuO8Yox3xO/+rY1AbxCowdgGQiMIsnRN3wHyuyoaqh40wl0F8xkpl3X32E/Cww82N33QL flS6N9KR1jEpyu5MzJrgi8ViSR9l6wI235B48PqjPPd5LeLiqhwUxa3IvsxsoCiGFlr81syuomDj LAKPxCfd+GVNCYMZptfgAbrYnXLArNDIHM96vffuHPVGyYAvZftR7/Citt6F6V9yuSApqqINWOa2 tT8TrncmF5Nr2S7ehWmRq+x7MGH0L9HTLbyVB/XxHJPmERMgMPUncVK/0AjTh8dnQ2OytXMF91rD Vtfl53eX81a6lvwKnZSbH0QqPmk7XqQV2+MNyG6AVXwsCokJTdwn+lo4l+J+PvQ63TdVhnZgO81I izWkvCMqstw5QbAoCAluYbrK5ZmQlpAuj2ERcE2OncZ6VbDRMYG+UvM7Ut5aWVeEoS2R1sHecCwH Q76hZlX8TTlgq/RdDUbNP2k2xdbercLH0d63IODYLKLNDi0msl1hREDj5wgZDnhATcpHZYEbgvDL 3TX4e0ddLUU87emZC69oLmhYOR+b/XkoNqL/3M9HtVy+f916c2bPlaa0/mz6g17yhCHHPYOn5vgH IaXqCK73lTgm/N00QMbrSY42Ji5SoB/1VE2U4bNHmoCbaqr+0XSiuMbh5qoW566BggrcH5t9ONLs uxswca8etKmEQx8lMY3VVqgEv4giCWufu4QVOacqchINuEY7n/rhfe038dUaUDhRxcZspHfJntn8 HID85k1DeHKIy1yAFWC2D9/sUHP0wa+/9/OaPpHL7TvClSH2OdR84KdknMkEWaajbC7Oj37Ubp8K dbMftGagHIYW/5yMvD9QvPLxE3HWAPCtJWUTOaAl+LdATmNoM4unMthiO/zkQOb11/xnPlNQcsQo 08Qy8bNyqpzFAC1yYsTF7gjv2GJ4pKqUGgRacsFiXOql+8HrABtKiFgAkqPhacIG4ZBlGFtDq9P4 CqqjJHYoVBtAnnHdur8mFfBav8qkfgGnd0CWzd6ysMps/I0noiUkJvaV4KVuGzdR5hVVziC5sB88 C0Z38NtbRt8HqPnSJhfr6yXyx/p4jlnY5r2EkUSJWRS/w7QV4UQRY/bKZGyNQBDHskYExFXCqDbU STc7H5RwTfehnQ/FSY8At9RbII9ZI4683cdAtMWpIWFd1gN9vTMg27Zk2lNwryPo6MRIivA6nQud 4XddOH8avnlCUaiSonnDEBDYBz+9185teuBjkuBmJ6dai0VxwTuyH3suUrpxSFfRCILvfr60j+Oc 4DVEFQz+eORA96XTnfg1GNSkUCFOhKy05uqSxt+zICs7qxdi1Qsls1khUQF16sTptbZtAcPosHf+ gNceoidsRX4SRIRKudw4EUGSQvILe0611c29/jfr4dwWzOZC7rgAGSgkn0R079NZmyGJZL9eSy/0 Nuk/UaEVnWAVGEejw5nDnhdryjYxrXoeeAbSC2LgpnLm5Q4BTtV9FkRiJjD4CdCP3ynmAH2JBDRN cZhDQacS4ZqHCdaomLydGZLDFvwN3OKKaBXJ84DZsuGAaags0gfvCQxjPt7PRr0rwAbI06bB9u3m 1+oDLHzVBVDf0noiPwCY5NAgL0ftH/cyeyiCeXYHLZPj3qgEJ2eB6dWwcR4Gmh+/iKmoDHiqP5Ui zkSt6nDniWcZn17ErPL0m1POhKwDCR7mXRkSVKbekaf3w5q+zrprYI44D8G96FLHbvWaKOZIg3+h XXknEOC6qhgV51O8JZzK4XWaKzjDczMTV5wKWHcXRpet+lxI4Y2I1CIe1HQrqDrTPq1VeIo0+f7R UjIfgVwRcJte4mdXHwqFvk7VUEiSAJfTa+c2hQpmhQGCSbWxGFuwKaLQh190sULjCGPfYN3AJC5G ZJzs1ceQYrnT4RtQbd3iC9SNQ05t5WXFcwBXIS4YOkbVkqmw2S2qtf+XQRBj46ySqfQudypCyviV OGmEVFZFDjDe0sXbo42QoAVRVOnQBFIaRI8FPTeL9ET6EdpYznx9ULPnzxmwCnQ3neOC+vB0t91G Wrd2etz8VuoJ4833vHkXLYkrXIXkpDyN0kdB7D7tWjJwYruadeTXXzxxNJSN9kZX0CJZgWkorjY6 4RzX7HiwEzYt5qXSbex2swkUXtewx8A7r8qXuBe9HS0O7vvA9C4FG+Kqe0ivkpvBo6na5CtG1Eae /g/XoV7O2R1u+vc76JyGvfSqKd2M8rKUbyI1McUWzBpIvi9pPYJGP6IQhUgJce0cQ2cu1TurVSug p6vu8Y+eTD8f2rzPzXLYGQ3Rs9/skGJrqZBvp8OaLpE/lRGcZaJM+yHoP+1foDj9CRSKNP4Sq4ty t/PPpJL4hmR+Xc2m3yJOKhNa5m8DC4GvScwkghSdJFUpsmDobNCCbXMhnxoVJRpHqtT0jnYsHD9j QOW/AVqB2XoLCEfU9bMN4/9bqvaMwgA0St+aaBnhud5GNFC9Dkkfzbf744C6c9jgcnT1h+FC9y5u CUgM9qVGenlQJD2Oo//5BVgYnCzgxjFkIdsZdcQEbYdc61Y+3XAhwcU2weY3Tjs8mrWkh4MdqfjC tH7KoOfBTUBTPWJsBDHi2CjDDJE7Z1hCPwbT4OuQosl0+A8Z4w3JmJ0mbjc1G4AknQxqDvbIDwNt PZx6iNsQP2Sm81KG3MOfNIxQ8YIF1d5CDvjTOKDuAW3t3lRVIV7dNA2GWqsbewMuKpYS1CMxqIRy V7xaw7aBx+GnBlDImRDHurQhZCvP8SwFrgziigxqDfNGXJVLmCoLHrVo36zYFt2k8ka9uzD0djmW WfAzB5lcR/iVeF2yrI2KNQoxvw4S2LTdf0HyC+gXbKq5/AGXns7qRDLdF4VMfO4Pg9oSabcqj1xw IUwvrLgJb53ayfJEdLnH4fIR/2s9zOJszncRamFZz1yZJZkgsZXjvE+78pITWwBu3FlOqJANkLL7 blnVC5mkdY3UGixJ6P91HpF1JWNY4+soRv1pOcp0gxTH4dOls9hEeBx2UjWf+vIaClYklHwQNj/O 0gxOwU6JjzptR7dEeJaIRmj/IuTXf2X2BPTF7IBwLa72nJzSsB8vdVptQxTjzrPCQ/HUPLjMQh9B Zt3TrF+L+WmWsJw1aoUzCZ5sukfoQz6Ai/VZL43uVnlJbdmh/D9JZ0wCm3ecT3unB8I72xFQ4Cgj sI2B+64RuKg/rtbeIG9gd67L/AXhmTHg3Leba+6e6QSqYC1iZvF7SS1fY1ubFxik+c8FJe/NlHI6 OnYQ8xI2yMK8t9hE691IeENepjNckp0ACGBw4CMr3oOAix9No7G2VQm5qnrS/wmht44ZIyxCCJTv 6n89WQZA++8oLUdot4GSfXky9GI4YYaxutV6fbW+H9Y4yQF4U6r3+L4aHuEi2qhV7lbLfdLPy0/T Cvbj3W2obJ6uJhIOxfCZa5/Fhy29tETfahDH5+jNfeYkWEqNL8MhRMdUPQmMFRBD9UFLu17hDmzg NGqFT6ZExUOOyDfrffjsZYzOEFwiFgcTcWDlxIISRnBfUDg8sh3GRT2PunPKfAzBUSEXhYrtScjZ jVhGBt6AdXO7Aq24VuWZe6TGwen+rkeuid7wQOiVuoC1miU4px3uqpk7BB0Xv0Jso0+OkQh5H37o gO2a+rISuJGjtA4HY9Xpk1U+tLpr6gyXNdDldyBsSn0a72ajDod/FhBF76Im1vSiPl54QSj52WYp xaoiwj9iyvsRv/CLkQMoKWk07SYFiA9vJJg0gNsm60FcEeF19mezmj1XOt4PJdeiIOcAXfttvFt0 b9NXLIPOY93M0DHr7wkaYpDKc7LaRnwfgl+LB3ky9CgGJkWZeWn9fSGCQJDrXeT1OTQKFuvhSMBZ Hvz7HJz1v5XA9R7z0NbKMZXEe0HcndMen51idFgX1pfJ27bgpJxecbRlZMcvwjVIse3szpPjx7N4 XPTYrJNTMfG6AHIbbtRywMG4PtAHvW1u0eyZAFLtYBgu+JVqyf6j/sQPEIIfar3f+e+N1eeNS9Dk g6/oWtKTBpcycHH2EB1cvJG0dVKtX9pp5g3VuclklWIX9Qana2Lpu4vfjc58of3p3Q7VOcCjqn+e EaVFQKNN4I/EN63b5iRak55DzD8v0MMgJBRZXdi+PpFIe/zAclccmWAU/btzGOKgbxROUhhkAGNP MmVNekgrMpdnrejpTO5qvcTItbQQGxHnSNw4VUqzHMJ/pOSIRqvQHDJ70XKfCzZ59REDaDulH1yi jfaO7qrCnn+x2JoHoU5EB4N7NyfWg092dfBypfIkcnNwC17N+MaUtmFcRSyZY+wvsMIR+WDnO30m LWW8t7PY3wYvLU/OwbVZAu51dnERMaJomGfU7No7wpaSkXEtpE3dLmty8UqYpfhDGPsjl27A6sKn TV9H/U8GOl+30YEP84Fl+o95V3FJju3ZVKi0yrlC7MKP7D4h+Y8Sl6/jdSx/IYQRTIG0qWoXD2tl c9dYhq9pzKetdnOdlYOq4L3lf+6PhyyD0m0bgG9qiVao7ycVP/2I5Z63UxOGMrvrNLY7CzdXZ5Tn HXley0JdFobVUvJSgqonC3ua8c23nNlcp0qUdaLfkJr0eASC/pqLgmzE8t5ehpeJvk41PSbCmO4J HQ6KrK1hg0wmG9WfE4cWjXQzrgUGQPh5zlvkI+ImocbjOhYX6Nf8K1TsWFPJ4oada1X9tIqwoSuW 5iylhfYURg5/P5aEyrWQRjeqbkBxsG3smSkONOoTWRpPOIhW1EN/V6joztykxZx5ELavkQc0dbUW W1sfKNKa62U4xqztNuYXQNbRivFxTnXbXyUfYy/X6Z034P4XqDRLLqKpqe02H8x1duaAtcyLzBLl 376VvwhcuikXap9y/pYO9nCVfy/bv9SwKeh911viDYz6sGPUsNTDbnaSjcri4ABFWEkTNWePFRfV g8MVMx3YAIx/aiZHloWvhX16BuNqC+TeHqLj9p153EYrbcPdBsbhlYNW2ExTa7/KHDzbrekHzzMt NF87dasIJiCvVhyYVjYhdQBKWRVzMXxxmPJB5lV2jQmsYHRiRkjWvFPH3rGh4GRfzGQKdWTD00JJ sVN/lQqWcB9xI0wsNqAs/T8iT9+xq4C5wFdeEeaHXy20kc4N4NEnbGb+o4JLSC2vE3E4ZvyxFumn /RyTl2j/RE2kNQ0oFxsajh7lKBZBlbXOMbcpiGFLNNJ/LPhCyh0J5wFwSEhw3Y/79xBMHoeJq4X4 bQdU2TYtI4u6JxU0 `protect end_protected
gpl-2.0
a3e933bb6302ba9a7988ee5f4e2bf832
0.93295
1.895884
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_v3_0.vhd
8
10,258
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MrQ0yiYOwxFDY0aMLsfvu4iRp2DAN3SUeRQNeltdYBKtOch3xmdkh55blwHKoBz4iXUIY8QYwz0l h4wIWH1n6A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block caCevkm3wsdZd0xZ0YZuiAYQ/5JIxEMJblEt7q9luSk90rRvt0MBUZDi61XkEksgPJUVoFs4+SKs oAKGxCBwK78JXlifhajM7HfU2CtwpC8b4+jEJUo8zJ+xSNP4Z2twXISZ4zn7NmFQQvM/cTjn51JX 4iq7Z3NXlU6RjBJYQM0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 2NZxZpWjn5/1gfgHfFTJ/+M/w1idP8osl0VXGzzO1YjRIIKUNmzyaSyhPwJDKcHK2SWfaA+xumC/ TUws5Dmz8dFZ+nebKTZkRjXSa51CiRiPrSboFh/1iyxP6k1FYXncdx2sRPOnznSXGT9SGCCHY/oI WaKGZk95OaZQ/lh6GAQJdmbmrSSPJRlIg+qvnWFhj1bQFfcOw4Ti0vLwgUB6E1no/RKAlo6K8Kr1 XYzRpbAYUtlVf28ynnK1eLQ7aj9fxhV43C3t0YKPRBvF5IYSPgv+pccFZFLzmDsOBpviWNtnUBhC gxEqfQsUrYyLOPD6MIWHWfwZSana3VYHShT8lQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block rjqFNsi3f1zhmhtx5KJuUQ/cwJUxMSda0x/RJsbBP93eHBZfTSMWnAK2OPsAsdeEdveXYGXZG1b+ 6dhYx8iySYnvTTxPfZPh4RLjrsjtnFA1xuPzflLil936B1gi5W00cUL81UHQVmMkecxLBVsfh4RU CaLW7KYWz3GoG3xvEZw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bIk6eujWQA9PMrWBmltzmhSx8etdIIOmOz/U8xUQU9+Rn0C8EbYXfRjBUDUx34ZQt9bJ+TJ2aCUV h5s9G65OrcDAUDGf8mcZHZauzlOwNNLbCM0wj3YhXV7a7yjrSgxZXLa69fPXmfK0Mhv4VoK7b2Oc 9kIbcVawRivyA70+UI62ds2VGUK9ZNGUc5zzO1KpKF3HTqR3c9ilUNCn9+Drstgi2zqwQ7soiQNM QU4hsIBeBKGOB71RFcA279iLZgEqbpfzdcFDORhCuCfnzg3kGNxov6G9wxMLbQXMBcFtDRk79wDU AQmyTofC3xCQ6b1ZAE1NfQiVLOdmASMv11qTFA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5856) `protect data_block SLNwtKaz4opOuDQSVLKlOc65j5m3c0d0eRmc1O6bcHilHqA3V6bd579ZjWBXCA/XQql+9DhTtaKA jgnEYYF8Gx0FusMyIvm7x0Qhbq8ROgbECWPKszq2YxaL9pz2iZMr1n4/dUWQvw9ee54SgzlpU25r wum3E3MKJ6UXU1L+hw6ad1pEZeZ1Zyok2ecm6TLV+L/kp2efIw+QGIQSzqfh5e9eUWM53A9cctro ilkA2RVPHK+82qWA+zBB8bcUe04nD8OkB7QJOcp38d2wknRdQzmz97H0XfkTApWESl7h5CeSI1Nw 1sd4ktl4upEl4DUjg5kSKIjggt5wrUH2a5SDAeSZ72837acfReZu6+YVUtb9W44X/Zz51tQ392pZ u4bhSbzBrCMBDXaUio6fY4EOcg+qFcAJodsJedB9eITXHCiEP7Cntw2hp51/o0v8d2x1IKFkiB5k clcVOxV2QC3XSa004SvTaXHlnDPwf7ahh15B9YWHJo84D0ZHBoiFB/C1Zxqt+HUcZTFqwitSDDQn ofOQpRHaE1qW7b6rO3mXCGm+sLhZME1Oo9nD8HvrG4H/U2CsDJpeIpVPnitKC027HqA71GqHrBjz dQS9g9BrM1UAEHNDYWTx10kiBOlkVMPQpB4xQXh++elpdu34jcwnnmDi1Xh8uFLkvuy4G3JkQhXL TPWl4u2Rrd0n4xwEMMrQ/uILhQIdzWw0EfvoZ9yU0OIjNoEXsQLYV0clGuZsMrLTenXtZJPfehNy 2TyrH2HmOPf0CTuZ3G9IP8US/5GPbXWhEATkaM00gNp/2P/qD6vaHMxaOrqjsLeLO1NS2Pg61Iop JOJuV58M6hy4Ix6NH0i27feM4tuma6T+8J+yLcDjWl/oOaHBj1O7RE3hBYqZZUDtG69+gD2RyNIt 1v1T20XTtCN2+xHy6YZNOy+PxES3xksy/8MtV6JjjiAqiDWcRfabULJQ/qTnX8t8LBOuPNsBVywH E4yjloVfKfnSVDhFkM3DCpihEiS5PmwvyEQEMm46FtsYji3R8nzcJ3yBvkm45gieer/07uHeZfPh ouOyQGz107Kp7tg+zUUrik8d7CrFVYgADPi+YNnPRW99Ue40qePgv0IvUsq+KuM5fyKgXrMl6Gmy fCUi6yJ84W4HBDfqHARPkyYrKEs0zIR0QFAImQlQS0cwrKfA+flDhi513p9kJwAr+ZNVNUrcXJDf 7H1lgLpuI5Wv8THwUobm9BYIXl8h+1aj6FA7IXbU3JYOnJSevOaq+i2O/lwHLPk5vxSEN+ycGbdJ A6OSXd6vuXqG+lasCmM/x6Pr6fPl7qKSgL+jzKWKPiuITyeosr26uUGH4zveWQLwQ88w/uDlIKpm E9PGFXhGiB06M3VPb4fGgVby/RxT8/SwPFKJFplmlN2iMzjnip8Qm1vLtRhrTiRFihDxTVed4AZv 3AR5RPHqs33KHtwa/tNONEikmoE70pbdc0xZGZN4OSJbz3fCokCLmL0DES0Hrxe+EzzV0rRINi7u cCDXLbm7cCco+vWbH2ogD/ixy6oEYpZbTPDanZFmhuaCpSgDI6FdEoOlecc7BVi3s1KjCSQvC1XR 3evoEyL7HdsA717A8AR7hDJrVq+zEnnIPTfwBDvCmgicnaXKmlDR8CPvmWRvr9QqpRc+BXYD6v6F n0URsThNHXzWXUBNz2Kq7jAvZFTIeZLuojns6RAcsmPNKK6uKB8ift7oNpklfQv8jMnxX8ywj46P Mi753wCbATl2yDwKvOrRUVY/iPryTOLHxxq76e4QOahvVUmSEPBLr5jZQgpQNxwvhMzOPtqQ1UOq aAuRJFa9/N7mGf4Sf3dsW4RnlAhNbHBFHsoM7cAKAs9lRJsCXIiPyLtoMlsIdK7ErN0S1Y4gMib8 MjP70V5yw/NjVq8szdnrYnBNceVA97nJ2k0pDz9LhAz8yM54Qr8UzI1hkQ9yn3hZQbr8ORc5APLe T6UpgzN7EmmzeKNhO0HKPr4lVGtqbl4mmDt5N85DtGLOKHBarvKdRgAf4pDke4wYGdHVjGP3Em0O Sjl//y1ZizUGHZqIuhtKghMP02y7J1dsVo8sBL2TLiydeuYWvg5C97WBC9DycYlrvxUqb4tAT7Si M/qffTGiXhjd9ve37Hj+2XsbXtcozdFLR+jtcUGFGYtMykjRaJHV8F6zBphrH8WltgAMn00CILQ+ 96JgwBDm5CKPXRKjq9sU7DDZ+lyibq8YAml+xoS6QHYlGw8CH4NbUhUV6EL/UyPUW1+Wv5i2shhI MqOjZN/hBUYhATqJ40CHibyRxzgSSmCT5nEHFUHjhAHSFSR++Od50UO7XZBupbk7BlamTrL8xpr0 4v1wA5lPhePvd7DqwcJ9r7Ghy4GEsMUikqRnY0SQmit9IxG+cmcgo12M8CrMNExaCbuJo1QlIYd9 iRyYTnkcTy7hhZPnX7ZhWM7iWbCsk1uFVCO1Eizoz0zM6r/NvuUlZv0ZqsuhwrtHPdak55ppXO97 z6neHThP1Zwgv5wAHTdi8KpkxJPqF0bL67BANrpwQnbOuZk8IMkDa8WcXfB6brF1idBEx7jOLISX lg7JTZQ0IfJGFC2VelHLMcs2Qj3mxIdn2p6jQxqPv+K2bHqRTgi/bOwqX1PuNcYdqnAYEongeddD OkMXoUkc2n5aHpcORzc6CHIa2Cv5Xvi+9OnWr1d3/fCDMvDuybJu4i6EKFcw8b3lfbENJZundzZ6 IK51qyps9x79U9mw6CgJ0r94Ui+DTdA/1/nAiI4GgkP8T2tBpHOvKnCFIN9lWQ5m7LRVTo7E4WEW gTTi1pmlEV/c31b7FhlSs2KSoJN6Kc9fPV0GsGM20UjZG7PMHpUyKiUiF/4Qak1zZwtsGQTm1B5N pjQ7K6J2nG9gpblIS74kO6P0e1/E5sYDcZP8BaqDCF4XwtBszn5G/XpaWYd3xT87SOa2QR548wlJ 53FR8TiT6cR7jEj+DTLfiooRWH6D2dVKBnyW0nR79kDNadmeqyOtGu/TqD6LZkKuQXHYrXRPoagT rEPR13xiOh7qpwK809sd1oEgFTkhTqY1/irt4rh2O/z8/IZsHT5azH6t+TPJ2CcSJysGcBDzUyu9 c0XpuRkPxGyw/jNiL1PtkyUp4AJIVr6ktsixt5iIsch7DMcyATsscgYFKXHrutcwrY1liHFcLpuE 24zkNI9uo+VyzyYDqctjvFaYQGcL94QYaRd5imB9yon5RZO32XsxP0TfVTbgsmzAA6XT55CMroK3 ZRoAuFgAieWyZeZ6wfC20BaNR7itl+/X5oECrRlOPp86Qbg8Ek9539g2X7M5NG3GE+dsU/rjbztV 6P8z0K6hTyX2g7X0+5pFcgyvSmQjDRLwVHZ+ctHsckE3ZWL+xso17JXMJx91UwgW/6cZ/WxWFKcM 6AEKzEPx6hpzgnc6cxoIegDpitZ7K2qjDRWuy5m3OtHpaA4GSSzuGDNkjLugs36czjQ//KfpQFV3 52LPAqa+sc8mDElYv3pm10rR0GLvQRm1nOe6hzVF3JAyuJCvly3r3I+i4yTyrbvCHFXDZUjjdX1L pREwgkMomFrAMmN6mtPUuM1tY7e32P6rrBen5PbgWwHO0MvlxSagAmOhleM4AGDqzFYWSSfB6HyM UVOww89fS9Sqvsa6ZjwtRgCOXTnJr+bf3l0NzTzJqQP3Q+aj8nT7QhOM+KAjvtMZfVE5KYClbLgO zqrf9auIUr3F/HtkqJWTfjMygqUwRn4bI5mAO5oZj5VdkJlVej6aQWINA3gAUBpM5k7b2CRvrV2i 42ja8NEfZjgErdVxlxxL2NZk1M91vDCrla9QYbp0gyyS6zuUghzIXRMqTCeRhY2BMrJDzszufftJ 5wTsmvEVmHI/fwOP5+4yzlfvKwH9XgSrlRaFuYTyU9iifyNNeRvbahSbJtq5Lts++4cruIjZ3IiI ELto9qMNdficuEwh2RBbYYFoWBNcbKlOsEgEpLaz8TxAuyf0hlPE/S5Ew1V6nj96nyYGkUBW/GKQ q4Effau0XKh1GXR61J0HvhsXzjgB+cJT+7WxBP4h/rwda7knJGMvwNPFTNNTnJWKzAhutPXMdjgE BU0naYBcv0NgML1W9YOMLnS0Usy51G2Lw/l5GwneKFi1UQXgsyS5S8S+DGzWA8rnC3iessE809Cv /A8/5dxGhkFTZ3QPmcc7uRyVnvekcJ1jC2nKQxWd5sKhWnu+oDVF8HKyvqs+qgTj+NDRlbQWzZed BmagIJAMTiX8MsrsLQX48xJYqtfBNsoFmEl1KP4tZ78ulg6m64nodBPipWu8/5LlEmm6HVh8TmV8 ln03tmg7zlY1Mz0XfXODIWayrqkYk5ObZ7DrREDUcX+PIeKqW8mIjfH7hrebyRIeemHMUO1tmKSw z0X2u5jsPXzBfotzb3VftXOBrnQFa7qzXFwPvbyQvmOOrVkS7FE0PcnpBBOIAxnarDQHMr9FpbMt cQYjVQ+lmUJRTuuXrG5Ahek9HUTD/c8j3/e9eDshWpD5YMpZp03y1qVHEQz/ZH8KiVv2WSbPwSAX 3ZjoEEV+cLXNwngrpAaUCCc7OCogSNVLcmNSxedD6iKStL0uUdD+N3VGMLZuQBfq1DC5fnYGqewc wjL9mbODLs+v1KDz2vmTT2740pdo/BNWbe4ZUakd4IgFGNs99EWwZ3DhxeqBaw0VdyvtmoF3yFdY C8wkUvWU+hq0JGe8KmtHiBj8MqsXt7GpOAvOz/MHYOKBtAAReuulgcnnGZRDKcXrE5yVQ9uofFGi 3ahBAKHp5nHiBVfC1i4Nfg4jceUZZ6qCHOPtJnln4XA6AWfLAFwhkcfOz8zAhzkREeGaxVIrUcNG zIw426sl58RYamwmyMk/T+N9PjkYPfrkRT6Ve3XbMm9lhbDlKiJdi3jLb56Hn6bkP6bmaQN/9xmv 9V9HH4RUn2xRkoIDZaQTj2bO4hYY6MuKXVrGprPRdNUQNTtHOk15QAVk20Qa1R3sOUVG9o5kpklq z/edBZR/15Lc3kP/hZjmiyroP8CdBm77s+1cCHzIs2BVHZrBl0SuiJHxo+ROc02jd2RN2Gk1qlSV +RxBkrYnrTRvKl7ojXQT0q+sNWBWZ1jjVh5pw4sWTfSuT43QAhCpKNRKYX7hLdnVttjX4STSeA+D okMnE6l/UGX+piSsJ/3O24dV0YQmMH68E6Bw/rsMshe438f9sDaQ9M8tRKSAG4DD8n5pdVmlbASG TLVCZndXpo4mlpDvKVrpatDgOmidf109DiKeiCKpaGG8hEQgZOhcyadPOT9R1l2p94g0GH9FGhUp U9t4pCW7loUuCykHqdWMKApGrl6HEgPI+PE/tjXONEx5kuwIK9emtDrEKdhXwyWAmXpsjtJ4wZHv 38tNWTegusoaTGhsOHGE1DBLgyM7wEl7MV8PF/+PIaqULkCJX+JmgCVlyfIi6W28wg3hVhuCizW4 DruTfGOGn0HyF0MKGX+FsRAuUOERztp4vLyS28lrjfo0qzg5D49gZJoPVBFPX22xMTWlR4sAaYRe dhNdXv7Q+w3nHy3eYy+gOEWNJxnr+t8esGXTxSbSP1Acz45u4apaCLyfkWXoSskmfeuhx3hK60qG xyKidXOjOtgBl4TG+X7ngM1rOqA4zyqEuBVgf6No8bwWldtlpSFPo2Dm8P6oe8UXtK+UAR3vRHeM 2TGWiFShDKUOwXShmw0RwCkzU/51Jp25JE1pAoFBCa10YwuHgPYWDwPnQ8F/5GzuVxCNd8KsmBFi Qjmfdm2XVnyttJHZ6zFDT1hkSbMPNl3kU9sPNV7WqXDBpWlUhEnzTTJtkpE2P0PhCV91kwpbYUXq rafarfHfciqibBqwd9hURC2oTzje1c7KKQOVkKtP69VZeZl4leyfBE2MQjyFK/zLQM6KZQ7qZl8q Jkp/d470vhFdETwgJrpIjjczr7W9/78RTSDd7TCc5ICE6j39LnFbYDN/2z/oDwSGWkVJj2shb4rv gtUTyiaPLHkNAPwBg5WdMHacMvxomVahjWJSPNTxHUgLHk9hSth/vFnU6IbtB3mv75kGqBE24hvB euDa6YvZpeFhNE+QAUaoBDmZS0i5rIM4mPB7TsJ5oBH968Yjt2JiF33RWoClHp589UckeIUy+SiH D+vUe+bAET16IQgU1+CKmbzIyfX0bGgdiGeQIsHwFp5n1A3ekJpWq7L5lccMs85PXdy2W23DXweO au1By784TA/m0dps+mOIA69SRysfAzvX6nlZplELEgQFMXWtvT/cbMGn3yadrpfSfB0Fg4hJfMFE kslXqhPx4nkWwIBjdvDPCdChH4f33GZSX86FwU32Y5yD6WyI7BAmz3crM0lYFgxDWq/7TmzNOl4d qwHExZzjftaEsHKc4EGPyFXETE7ScUuRwCT/GclYxxVPOhA4mCpXaO4bM3WtYQ38ek9aQlzRAZJO sLj3rm5Ldkc3MtmHm5doA2BpSbr56ZA+Al0F28Bkki8FsQFlva5v1iPLzNdrS4s120EPrviosemi +uaEhVHr2B6J+grzQxz0G2fAMsZ1uowqhXGdS2BCn2NebG/68N5IAxo7FRVer0EB5GJmPCjcitqj 3LBHhqUc2WP34kxt3LAkzh5OKIc6+hvpkCCJm1hWbopY33y8aPxbhneKycTVni6JGi8sbf6jBCX0 EYfK/ZAb1SYla3ri1S2YjPaP08UpV8nCjWzqxGl4Wf2Ezxziiyr9CADnb/IvctuMNRBkxGa6gZm8 z2Kr75LvEaLSv1pseXBlu2cyUfvLdzj8WQuRgs66+tzHqmBTLFM+q7puYug6qDD5UMfNZTPBpQ8p urC834+CQncen2V4CcSQFAAFy0wVPYv/IiuzjplkDkOaLmfaPEN6iIAHEvmMuw7jZT28+c540/Fo 0B7/jsbgzgfMM4+nadWJZ0PpstnoV4y8s6Qw+1ODV/bHXiSX5YNO0I2q+k01evD5t+nNenKHkhwE 8WJL6zRmbQZj8by7AyYI4W+OPN7WNnwxoTxgj/AfnBTj24LywsGBxmiKUo+DSi5O78QCvtaM6dPL 5Iclf/XVJOafu7RxjNRm0kB4lpdxpps994Frq1PdcTvp/hLyeaJwRupEV5s/DO36RY51nduni48p UqoMNm6iPFvBr7SQr8WhMBeAzv2MZP2u7vnhKy5IG8z2I2S6xpM6NMVD0mpLIRB+cEUb2yUmxnXP q/rmJJrX5cQJOkK+rjWcFLYL8VYHTsHgot/xGzwhBY6Ml461iB2bgrAUhMX6s2g3NCn3o4e+1s6R sfIahFtMG5AyILTenDkT9yqwmOjLJZw8kY62+nN1KBVIX58D1WJLb2NzCzH/55i+t9t2fH5B3GKc cU//z46hKwG/U0DaKYyXIVv7DOiokMoG9PS0ywQeba9rFPsGvzyheArc3M43yw1uGhAIbzUWRA0y T+PIj7vOfKQ46QCZuN9GlU49vvMh/yM0npW2LYDW8fUzb6K4TCdUF4EvBQYHMVjWyiO4B0AKQsch YXAF0rJf3YDLsNHzIkqyxR3Ob68hThhS1KiB43vPb3PA1qekw/IRV5CAaXEC3R4JSqvWZzbMVvM9 +1MtUCHuNr17FUXr8WPGVZYvz8TorwIX1hsyHOoWcgZFzXCWHYY2/Z3WGYm4QiHWwrQCDMbZNDAR bzQP/+oIV0Dw/9aOtPBWxAVYp+XLLHoLl2vYnLmKqg2pa9DYNASzO0mdF+oIUffcwh+egaOcvOKL tOyuGRrmEDBMf1MthcP3FI2yxn+mcCz7oFroXJbndM7dt/m0vzca4olo `protect end_protected
gpl-2.0
94fa9e145cd994f7e252413dabdedde1
0.927959
1.916667
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/multi_fft/sim/multi_fft.vhd
3
4,787
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:mult_gen:12.0 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY mult_gen_v12_0; USE mult_gen_v12_0.mult_gen_v12_0; ENTITY multi_fft IS PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(28 DOWNTO 0); B : IN STD_LOGIC_VECTOR(28 DOWNTO 0); P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0) ); END multi_fft; ARCHITECTURE multi_fft_arch OF multi_fft IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF multi_fft_arch: ARCHITECTURE IS "yes"; COMPONENT mult_gen_v12_0 IS GENERIC ( C_VERBOSITY : INTEGER; C_MODEL_TYPE : INTEGER; C_OPTIMIZE_GOAL : INTEGER; C_XDEVICEFAMILY : STRING; C_HAS_CE : INTEGER; C_HAS_SCLR : INTEGER; C_LATENCY : INTEGER; C_A_WIDTH : INTEGER; C_A_TYPE : INTEGER; C_B_WIDTH : INTEGER; C_B_TYPE : INTEGER; C_OUT_HIGH : INTEGER; C_OUT_LOW : INTEGER; C_MULT_TYPE : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_CCM_IMP : INTEGER; C_B_VALUE : STRING; C_HAS_ZERO_DETECT : INTEGER; C_ROUND_OUTPUT : INTEGER; C_ROUND_PT : INTEGER ); PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(28 DOWNTO 0); B : IN STD_LOGIC_VECTOR(28 DOWNTO 0); CE : IN STD_LOGIC; SCLR : IN STD_LOGIC; P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0) ); END COMPONENT mult_gen_v12_0; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA"; BEGIN U0 : mult_gen_v12_0 GENERIC MAP ( C_VERBOSITY => 0, C_MODEL_TYPE => 0, C_OPTIMIZE_GOAL => 1, C_XDEVICEFAMILY => "zynq", C_HAS_CE => 0, C_HAS_SCLR => 0, C_LATENCY => 5, C_A_WIDTH => 29, C_A_TYPE => 0, C_B_WIDTH => 29, C_B_TYPE => 0, C_OUT_HIGH => 57, C_OUT_LOW => 0, C_MULT_TYPE => 0, C_CE_OVERRIDES_SCLR => 0, C_CCM_IMP => 0, C_B_VALUE => "10000001", C_HAS_ZERO_DETECT => 0, C_ROUND_OUTPUT => 0, C_ROUND_PT => 0 ) PORT MAP ( CLK => CLK, A => A, B => B, CE => '1', SCLR => '0', P => P ); END multi_fft_arch;
gpl-2.0
7013504c94e409bb53e5469193f34b84
0.665761
3.615559
false
false
false
false
fafaldo/ethernet
ethernet4b/IPv4_protocol.vhd
1
1,159
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity IPv4_protocol is port( data_in : in std_logic_vector(7 downto 0); enable : in std_logic; reset : in std_logic; clk : in std_logic; protocol : out std_logic_vector(7 downto 0) ); end IPv4_protocol; architecture Behavioral of IPv4_protocol is signal address_counter : std_logic_vector(10 downto 0) := (others=>'0'); begin process (clk) begin if rising_edge(clk) then if reset = '1' then address_counter <= (others=>'0'); elsif enable = '1' then address_counter <= address_counter+1; end if; end if; end process; process (clk) begin if rising_edge(clk) then if reset = '1' then protocol <= (others=>'0'); elsif address_counter = 24 then protocol(7 downto 0) <= data_in; end if; end if; end process; end Behavioral;
apache-2.0
28211dc406ff289fd792408d4797ef26
0.691113
3.228412
false
false
false
false