repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/carry_chain.vhd | 3 | 21,153 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
mqMMwuwihGR6UeYKQj/60B2AWf9clX8+kFsa2isPlH6+2kxLIuDt7Rog5tz/0lfUbeoT+pRbl+FK
EFuPUDT9UA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GQNkFBuWBHbpOmzURG02aJpZ7XKog6XNa8zAE2eQ3xj6PMygKXnkH5nn5URP8M9zfRVrWMk/ENZe
OrfxqKkMYOO2BHpEVUo3Bysl8N94qsiFvMaZpx1aJs3h44WmFkcc5XmHHZzhuvDZMlvkL+NyI0Po
kVi/UVZXCA0LAFo+kas=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rF+726hcpcVvxumQD/VPbCg8OFhPAwhb+Ul/5yt3t/Y1dDwZTrWppNHnLd7vmAtAYPyIF9pMUFUi
G3mui52lmlfF3iqJ/GdKjDzuLAy8lvBhpXuTmK+qQHV7eIdpy0vjzZmPRLup1PQKZeB0M8XENMdB
GkErIZrb4Ee0++t6GDvZKJmokpnSxRpxXqwd3YL+vn+wDME5+EIu3CiA/RlyOJ702F5TvIno7XYn
GZWlK5VFOi4ZO7mokHWasx0j7y2kCik+7zlGTZRSBPLUHxfSfUScQU768U9/S8TWH46Pcc90rek0
BlXcDEWGA0+dPlrMyZBFJ1B7DaJImvasBbrAtQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
x1gF98cy/K84iVqcjCIppYzn0b7EKIDTECh3SrVpndzv98JZp5lbsMBz14Yww8sb3Izl6j0UQ00A
FlIUfOrZq6kV0QyUREmP9Cvgb5uZpeox0nZ9ALThxHbxA/2BuwpdefU1+2M/Jku2Tf+qiFX5nV/y
iw2ehkDuNOx/ZSvhRaU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WBR3rarbr8o9Z9/IjwYqKM1+Ju6cRZUMAYs/BMJgccT/rO8QU6q0PGwSGVFASfTroNwC9xuaU9WW
lzzoJsBD5UNJ83X3kRSkSYOGUlhb2hWBwTp3B8ME7zpu9Li21BSn0JVbUlxJpJYJM5cJO8yY7A0N
5tps9qrfQRpmM3Rpql6m2gzaCGTNKTzHMsYX3RuOxZqVwSZb6QmqO3wbYEeI3fc/5q5gNCK1GD5Z
JwpFNxuUNr3JLMJpwtYHP+DUulxMruMaRvupFJQOWjMj0ihk6TZNl2dHMGLvwFop4foQXoFL3jKW
auopUbvx1BRfHytRSc6XV99/k3rS8+MlNYs5rg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13920)
`protect data_block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`protect end_protected
| gpl-2.0 | b7719b80d9e69a4a6eb3341ec45f72bd | 0.940529 | 1.842917 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/c_mux_bit_v12_0/hdl/c_mux_bit_v12_0_viv_comp.vhd | 2 | 11,016 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ceIiCOk6wsg0bTz2AUh3fwuNEkdPdyKPPX3GAWEmmuzCsxsFfpzIoAw8W49JCnol4CRtn/FUL//x
vbzoFmEC4A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OCJ0jgPtAb/XiT8LO/icBtl52azCDP8hTh9EG4i3rR3GEAJmIIPsoC2TSrb+662XuTBsmNPZcFdf
0dqIi104SBru0/uojGGsQkvmKxFihQ1/Q9svMp45G0YeFaKsPBgDW8e/Muwsu++E3h6KXWE+9SLN
8hGg0W4V7EqdAIGvu0k=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
1DzCvyfghQ0V0MQ3l2IXMJUbArbq9r4PZir/AjAeMQpzM7MfJdyaeaoENhXhEfG19y/RfLJ7Ho7d
ZvWIIr2hvaTn4/gsfIVcJuTPGptA7CPDsXxov6/bZX313gnRxsTOCYKQ0TQ71XOy3+6kw1jms/gr
VyFDA1b4m8DNRbGvoV+LGDqcc4/Ic4fhFRrli+4pCCB3hMTPZ7yeZHo040auB4qpXyk6cOcO70Ih
NTm4LD9KJ+qi99jgMY7akx2g0TIS94r0h6KtgDg7r7xrQ741bpGHjkIqN2BzdWEw0WTwwV5gudqf
alVcY0rhBjijxNYcUhyVi1vbFoWqmlNRhk5Wyw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
R8zj11hFT85G4UqEFuub3TEFLbxCxnfKa/5CTUJDwec81dud87j/83dF6KkY/607B44z7LsUlmmG
m9Ihd6Bz09oNSG0ie0rtQSpXiIEfLC6+jUZ0OYf/D2QsjDLyB+mDVpRR7n9yeEVcjfTcKooOpeW+
DXcsX+J9ErKpN9Tr1IQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
e9B2LgIcVnCgj02c6biafesB8DOxSfMlr6gvG6BLv4sMRz7x6crjWzQfKK2QO39pk2rz1ylSoSSy
0P5+bUlylu9RZE/iDhrWDe9uj+njiA2Uy+bto+eecC2IXuwJJyZiluQ9cW+CcHjTyWcHM6CCCzrz
fHIIIjjm+TOLJO/kOg36Yi6O2xdYvSYbP9VYzOHk5Y3w/oMV8HbGKFxU/s/TZ0eNunB4mFUfIBsD
O6oJ4B8+ezuwMiDqY8feDGik7CMNH4N9Dw4Xa4FXXLIpQ6nMZxoahIM767swQT1tugeyyt9iIbut
bf/gFx0gaUZZ/oPrPzE8nvdnVDEOEgJZD4ypZA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6416)
`protect data_block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`protect end_protected
| gpl-2.0 | a87be392829d4f9c77993fc3bc705c52 | 0.924564 | 1.911173 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/mux_bus2.vhd | 2 | 10,562 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FgukBxP4hKVVKPLXF3iUnGsw5T/KKACw9eqUO5CcvPSanJTPB9mPbNvt4I9qCIJh32UhCoXYtMrN
CBZ79oqPRA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PNwq+6BQXIEv6pNRveZUBLbQW1ipwE1OuIKW0R0VROV4B0gwwspNlHnJCUN++1jHSlr9ln1sf3hk
F9fKaakoO0AC0ctlOqb9QgdXWIcERzBO2c/q4rpaaZSt9SHSZlPONyqB7UJCoq2+GAxTjSgIEmNV
xn00va5J/Em6hMScSXg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
2NiDH9cMx1NcjubGMbiR+kZPQ9nNuHn7edzlj+IqbneOpA2b6iSpcahoEdT80cblDukUanEbNZX8
JRld4grwTkqpvC5dn16PR7xzkpsnrnWQvCWogKueJ1VGDY5IP8mi+dzNVcGA9gtF8h+nKi5MEiTi
flU40rghk7NL/a74TSh1SKmJdcfVKmMyWaK0tqAOpbAU5lg2mS6hrVQQLIKsOzAxhkOKTXowLYWJ
rxD4AGTHyAN0p9FsiNgBKRkAGKh/egp+xjJYlx0anh39TCCXksV9wByRb54fOJP8KdlhDUvMZN/u
pVZSscuv5/NQPHzf7hq8VQYsAi/n9Eji6GrACQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eLdrmxETCSpOI7PCmtL7+Suxb5v9mcNmGqlY66azVdCG9hrfI2hnDQb9TvorNS/7WSccxZYDFPnX
pSiMAlgSDH7lkgSwJIFZ8pg3L6k3pycPEe2W3VnZ7JyHE8Tmf5JjJq1XcV4Y25r39LNcrC8LKBzK
xkl1VF1avbMNTPWtEwY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
E9lx2IJDiV+TGrHNgqmr7Yk7h0PYyrmleY3Jysy4KbhKI3kG4lOFV/MrSuk80dAv/iKLVPtM9nYB
LN4GIHBJlTby7f/HPCK4K0dfEcHeOdG7v6FZgenCifC7Ar4jQw5GEaDz3ADFlOVdLoS9m3NoZ+eu
ej7FbGhm1YxZvU3w1Kpc3RE1JXw9kT2VdzHUaXPlM4u1K9dOFAgBWvfLuro2YfJJ3we9wBMmQ8rL
OZIkrcarSJ8mEvNaIeGkxHjKSHnjpPAnz8obPNRtf7EhL49Py+wP0q5pUmUpd5tn9WxxYFLTUrN7
lbDeX8G+wAPPXaS5dR8iCObaMaXuvmTSMWENrQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6080)
`protect data_block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`protect end_protected
| gpl-2.0 | b7afc905b892d48b0a46981d58a83d5a | 0.923973 | 1.895549 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/rw_addr_gen_b.vhd | 3 | 64,362 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
L/EVvDp+BpBki0Nhy1Ara2X3kOHF39IhQOvxIxUVXw6HFeV6il9PCH/FSDgPBZhmKcrXlwApwX0b
q7h0adpmCQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Nmx/XmekdXDn0W+mZW3gxxACRsRNO0SFeQEvrSgRAL1psNThjE9Ah++39FUBRvlVzeBCtAYE/jkx
AvLGEKYmIX8yeyhnPsJlhG2+LlHFzzQB1cxN9wAJFvuZHmg7/srQEtINZNYRG76zsNWRrXU+1Yf+
QpyOjZ6ZFyVcl83eQe4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Q2APNR5RTNKmiCqZBq+shKpk8fhqTOYE6DPJtTwFYH9FQmneSUacghSzfuDrvA75Bhkuc8ELCLI6
MzX5A0yGwIl0ek1NgDTI9sZoHXyzbBMFlnZopogyy0HlcHNJ0qOoUwj4BfCJ3BfBN/tXad+dMk8w
Y1zjOavQa5V0fz1s94uI+Q2jQHOnD9NThFYsxbG7doLXuzIVGwIAdUKvAvieDoCZ1Gqb4tgaIvKM
yNa3kqO64Ko3R69j+FSN4FKFkEKYDgMuOCgasd2Qi5085Soh7kx0OluK0lvrLUvqYU0pp8sf9cun
r1e41l6qZS8N+2RzEzCEHrFMA+g65U1io/cJiw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ThDmDjpzOWuYmzv60ZRAGEiZSxwZ5YgCttcaMAbN+3DyUZAz4b0vKcI9zKS+XV2GwJIr/NUhUOd6
c9zNyezEj09f7fHMvG16Anbgzt520NTCQcBuAiR/2DGtNZyOXNs2nWfCLtH8F4P8mfM0QPsJanWd
VWo7HLeNc/eKhZUIFEg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lEvd9VeCHHdyJdKbcUcWQy956zMTxfvSXy/KtiucKOp2X1pElksKNgIkFSc6vv4Oty/QcVYs9En2
hYHYBzeu2/kW2jxKLlYQuh9GhMpSjHU0R8c1XugwV8wh0A9UwYdQSB8RA3PPiTGnx4CAVgZ37kRJ
EGPDtzw5p6XuBjlH2mZDooy4+HgUkCPsOmoaC0htslXdG9Kz1Ayi40Ad5eULLfJqZYlKZCadQ59M
jbFWdwe5ohSpyOjw4rtnGmf8L6QlPHBX5mbfRYGTPTxtMYpUp1Ibql3VsYwAL+OdT4ZIdTBo1gg2
M3zqMvz/IZXAK0XBWgyu6fFlNfsxdVVGV2pJhw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 45904)
`protect data_block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`protect end_protected
| gpl-2.0 | 00ae3d0db7e044fe2bf3db9df36733e1 | 0.952332 | 1.826339 | false | false | false | false |
fafaldo/ethernet | ethernet4b/SMI_RXTX.vhd | 1 | 6,354 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 22:25:02 03/04/2014
-- Design Name:
-- Module Name: SMI_RXTX - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SMI_RXTX is
Port ( data_in : in STD_LOGIC_VECTOR (15 downto 0);
data_out : out STD_LOGIC_VECTOR (15 downto 0) := (others=>'0');
phy_addr : in STD_LOGIC_VECTOR (4 downto 0);
reg_addr : in STD_LOGIC_VECTOR (4 downto 0);
write_read : in STD_LOGIC;
strt : in STD_LOGIC;
busy : out STD_LOGIC := '0';
reset : in STD_LOGIC;
clk : in STD_LOGIC;
clk_div : in STD_LOGIC;
MDC : out STD_LOGIC := 'Z';
MDIO : inout STD_LOGIC := 'Z');
end SMI_RXTX;
architecture Behavioral of SMI_RXTX is
type state_type is (IDLE, INITIATION, PREAMBLE, START, OPERATION, PHY_ADDRESS, REGISTER_ADDRESS, WRITE_BREAK, READ_BREAK, WRITE_DATA, READ_DATA, FINISH);
signal state, next_state : state_type;
signal latched_data : std_logic_vector(15 downto 0) := (others=>'0');
signal latched_phy_addr : std_logic_vector(4 downto 0) := (others=>'0');
signal latched_reg_addr : std_logic_vector(4 downto 0) := (others=>'0');
signal latched_write_read : std_logic := '1';
signal busy_in : std_logic := '0';
begin
SYNC_PROC: process (clk)
begin
if (clk'event and clk = '1') then
if (reset = '1') then
state <= IDLE;
else
state <= next_state;
end if;
end if;
end process;
MDC <= clk_div when busy_in = '1' else 'Z';
busy <= busy_in;
OUTPUT_DECODE: process (clk, clk_div, state, strt)
variable counter : integer := 0;
begin
if(state = IDLE) then
if(clk'event and clk = '1')
then
MDIO <= 'Z';
busy_in <= '0';
if (clk'event and clk = '1' and strt = '1') then
next_state <= INITIATION;
end if;
end if;
elsif(state = INITIATION) then
if(clk'event and clk = '1') then
latched_data <= data_in;
latched_phy_addr <= phy_addr;
latched_reg_addr <= reg_addr;
latched_write_read <= write_read;
busy <= '1';
busy_in <= '1';
next_state <= PREAMBLE;
end if;
elsif(state = PREAMBLE) then
if (clk_div'event and clk_div = '0') then
if(counter < 31) then
MDIO <= '1';
counter := counter+1;
else
MDIO <= '1';
counter := 0;
next_state <= START;
end if;
end if;
elsif(state = START) then
if(clk_div'event and clk_div = '0') then
if(counter = 0) then
MDIO <= '0';
counter := counter+1;
else
MDIO <= '1';
counter := 0;
next_state <= OPERATION;
end if;
end if;
elsif(state = OPERATION) then
if(clk_div'event and clk_div = '0') then
if(latched_write_read = '1') then
if(counter = 0) then
MDIO <= '0';
counter := counter+1;
else
MDIO <= '1';
counter := 0;
next_state <= PHY_ADDRESS;
end if;
else
if(counter = 0) then
MDIO <= '1';
counter := counter+1;
else
MDIO <= '0';
counter := 0;
next_state <= PHY_ADDRESS;
end if;
end if;
end if;
elsif(state = PHY_ADDRESS) then
if(clk_div'event and clk_div = '0') then
if(counter = 0) then MDIO <= latched_phy_addr(0); counter := counter+1;
elsif(counter = 1) then MDIO <= latched_phy_addr(1); counter := counter+1;
elsif(counter = 2) then MDIO <= latched_phy_addr(2); counter := counter+1;
elsif(counter = 3) then MDIO <= latched_phy_addr(3); counter := counter+1;
elsif(counter = 4) then MDIO <= latched_phy_addr(4); counter := 0; next_state <= REGISTER_ADDRESS;
end if;
end if;
elsif(state = REGISTER_ADDRESS) then
if(clk_div'event and clk_div = '0') then
if(counter = 0) then MDIO <= latched_reg_addr(0); counter := counter+1;
elsif(counter = 1) then MDIO <= latched_reg_addr(1); counter := counter+1;
elsif(counter = 2) then MDIO <= latched_reg_addr(2); counter := counter+1;
elsif(counter = 3) then MDIO <= latched_reg_addr(3); counter := counter+1;
elsif(counter = 4) then MDIO <= latched_reg_addr(4); counter := 0;
if(latched_write_read = '1') then
next_state <= WRITE_BREAK;
else
next_state <= READ_BREAK;
end if;
end if;
end if;
elsif(state = WRITE_BREAK) then
if(clk_div'event and clk_div = '0') then
if(counter = 0) then
MDIO <= '0';
counter := counter+1;
else
MDIO <= '0';
counter := 0;
next_state <= WRITE_DATA;
end if;
end if;
elsif(state = WRITE_DATA) then
if(clk_div'event and clk_div = '0') then
if(counter < 15) then
MDIO <= latched_data(counter);
counter := counter+1;
else
MDIO <= latched_data(counter);
counter := 0;
next_state <= FINISH;
end if;
end if;
elsif(state = READ_BREAK) then
if(clk_div'event and clk_div = '0') then
if(counter = 0) then
MDIO <= 'Z';
counter := counter+1;
elsif(counter = 1) then
MDIO <= 'Z';
counter := counter+1;
else
MDIO <= 'Z';
counter := 0;
next_state <= READ_DATA;
end if;
end if;
elsif(state = READ_DATA) then
if(clk_div'event and clk_div = '1') then
if(counter < 15) then
data_out(counter) <= MDIO;
counter := counter+1;
else
data_out(counter) <= MDIO;
counter := 0;
next_state <= FINISH;
end if;
end if;
elsif(state = FINISH) then
if(clk_div'event and clk_div = '0') then
MDIO <= 'Z';
busy_in <= '0';
next_state <= IDLE;
end if;
end if;
end process;
end Behavioral;
| apache-2.0 | 62419e224d0c6d31b22d7695b5dfd7fd | 0.554611 | 3.207471 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_exp/flt_exp_specialcase.vhd | 2 | 19,078 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lPb6AMATHoat47iYf7xCd8VNBHxyPQweaNpw2WG1t1Ug/ySl69SXf60znVjZKP4874RkVV7p3dtE
l/TGhAJWaw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pWRqrMKFSPyWMjFZUsr6v4izX+X7YP8+KQCNdWMBRp+XlTjcltx3Wj3kjMOZV/ZC8InIPC+uPXWx
ntpq39K50ocE17XwkTRwh7MrN9gKnSK6EDxDfgfmPbKV5K2af5kav27T7ejhVwGxnnU0IAHXPp5Z
oY0sLA/B2vZ3LFP+FP4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QxUMmVXT42HmUCB/q/kJ5j017FB2bZ9qUrQN6cEQrtWThFG8aKssm4/ab5oalAp9LtbSOEOZX29g
xSGgCP9MKo7kU5HGH82Z0VtRYPUpKeHyj3oySBtvVXYdY+qb9+NBxqFP3Ejwpkro0eBM6Rs9mYqr
MtyMOybNMqi74as4mWa3KWufbvnzzMHsF4Fms3DVLKx8x7TNMg2bkQQYWkmUhA7MonvGg6uMVVhL
pQKWa5+hIV4c+x8q3od+T1xW4VNZtrNc1NktLjf9GyFFQOQE1Dzb5qXOKLzRGTrGSoLdwJgv38tm
O5rPykmxdEUar7x7tH2+5ZlfhCt/Zg+Y3cqjTw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jlo4tuX5lQgGAgcF9K7CJuaq0c1W+4TnkuWZcd2ClKeM2d3A+k+vOI41oFnpZ+l8qxwdbv4Vyl4j
HqA9SaEFoNoaw9Y+MQeCAYdQsXntCT6Ehjbiiwhqi8nU4NxOuchcEkYGYxs7nVrFnnFX2xF0RKOm
SF66Dxl3T5SC6kDg0nM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WFj25dCn7xDwtlwH8++2KX6aziCLJ6PdJM7Vw56NA7DAJyt8u/1gsBx+wnI2rIg2D3MmNl5z4UQe
witBd5AUhTkVBcfNd85dqSdMFGevBRyj2Jpqn20ddlRHUyfrUqOpuBVlgSDyIkDN3DRHQD4DznJt
0zZ0f0L0f0AyycX06gBM48m/B3888gGdQt9v5mUlpsB/Od5CH3DoZC0XeWTpjUCSSsz23KnS3Srq
W77USVY4osdtZ2FEqowobhGg4oCGgFIIQ0AmlMXiXKKFndVdmZzt2rcSnI3mRDeCfCSpQWA866hn
UMHvMCeiaoMCHBPRt3KWKMqY310FVhiuwi6MGw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12384)
`protect data_block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`protect end_protected
| gpl-2.0 | c7fd625555210ce074a2c1f3469779dc | 0.940455 | 1.852413 | false | false | false | false |
notti/dis_se | vhdl/simple_alu.vhd | 1 | 1,155 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.all;
use work.procedures.all;
entity simple_alu is
port(
clk : in std_logic;
a : in t_data;
b : in t_data;
op : in std_logic_vector(2 downto 0);
c : out t_data
);
end simple_alu;
architecture Structural of simple_alu is
signal arith : t_data;
signal logic : t_data;
begin
ashift: entity work.shift_ra
port map(
a => a,
b => b,
c => arith
);
lshift: entity work.shift_rl
port map(
a => a,
b => b,
c => logic
);
alu: process(clk)
begin
if rising_edge(clk) then
case op is
when SALU_ADD => c <= std_logic_vector(unsigned(a) + unsigned(b));
when SALU_SUB => c <= std_logic_vector(unsigned(a) - unsigned(b));
when SALU_SAR => c <= arith;
when SALU_SLR => c <= logic;
when SALU_AND => c <= a and b;
when SALU_OR => c <= a or b;
when SALU_XOR => c <= a xor b;
when others =>
end case;
end if;
end process alu;
end Structural;
| bsd-2-clause | 50e9f3464859343596fe8ce7f749addd | 0.525541 | 3.181818 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ALU/ALU/ALU_tb.vhd | 1 | 4,571 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 13:48:55 04/01/2016
-- Design Name:
-- Module Name: /home/robert/UMD_RISC-16G5/ALU/ALU/ALU_tb.vhd
-- Project Name: ALU
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: ALU_Toplevel
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
USE ieee.numeric_std.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY ALU_tb IS
END ALU_tb;
ARCHITECTURE behavior OF ALU_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT ALU_Toplevel
PORT(
RA : IN std_logic_vector(15 downto 0);
RB : IN std_logic_vector(15 downto 0);
OP : IN std_logic_vector(3 downto 0);
ALU_OUT : OUT std_logic_vector(15 downto 0);
SREG : OUT std_logic_vector(3 downto 0);
LDST_DAT : OUT std_logic_vector(15 downto 0);
LDST_ADR : OUT std_logic_vector(15 downto 0)
);
END COMPONENT;
--Inputs
signal RA : std_logic_vector(15 downto 0) := (others => '0');
signal RB : std_logic_vector(15 downto 0) := (others => '0');
signal OP : std_logic_vector(3 downto 0) := (others => '0');
signal CLK : std_logic := '0';
--Outputs
signal ALU_OUT : std_logic_vector(15 downto 0);
signal SREG : std_logic_vector(3 downto 0);
signal LDST_DAT : std_logic_vector(15 downto 0);
signal LDST_ADR : std_logic_vector(15 downto 0);
-- No clocks detected in port list. Replace CLK below with
-- appropriate port name
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: ALU_Toplevel PORT MAP (
RA => RA,
RB => RB,
OP => OP,
ALU_OUT => ALU_OUT,
SREG => SREG,
LDST_DAT => LDST_DAT,
LDST_ADR => LDST_ADR
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for CLK_period*10;
RA <= X"0002";
RB <= X"0001";
OP <= "0000";
wait for CLK_period;
assert (ALU_OUT = X"0003") report "Incorrect Result" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR;
OP <= "0001";
wait for CLK_period;
assert (ALU_OUT = X"0001") report "Incorrect Result" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR;
OP <= "0010";
wait for CLK_period;
assert (ALU_OUT = X"0000") report "Incorrect Result" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR;
OP <= "0011";
wait for CLK_period;
assert (ALU_OUT = X"0003") report "Incorrect Result" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR;
OP <= "0100";
wait for CLK_period;
assert (ALU_OUT = X"0001") report "Incorrect Result" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR;
OP <= "0101";
wait for CLK_period;
assert (ALU_OUT = X"0003") report "Incorrect Result" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR;
OP <= "0110";
wait for CLK_period;
assert (ALU_OUT = X"0000") report "Incorrect Result" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR;
OP <= "0111";
wait for CLK_period;
assert (ALU_OUT = X"0004") report "Incorrect Result" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR;
OP <= "1000";
wait for CLK_period;
assert (ALU_OUT = X"0001") report "Incorrect Result" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR;
-- for i in 0 to 15 loop
-- OP <= to_stdlogicvector(i);
-- wait for CLK_period;
-- end loop;
-- insert stimulus here
wait;
end process;
END;
| gpl-3.0 | 0f39f56eb4dcb30d91e596ed3b8b4e72 | 0.61037 | 3.510753 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r22_bf.vhd | 2 | 42,356 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Wdy9iCgUYPSSwuOhPrStlAUr+IhalEz8LVCLcNs+/6mjLnnIFD6GA3rKQCFwJ6AKblNcoLaQd3s2
HMNbXf/MmA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
T0RN1fvAvqk0K1z6mO+TEtVtphzt4TyCl92eyNNcvfqDjaqZXceU1poKtG8tsqtWwMefUzEnE7cH
A7VnM13xMuKRpBi/RpTXWmQ4IKVZVVukQZSmDoXQiTfRXu2r68xq04bkLoxce1kYs0MmXI51iRiX
FsVMkYuT8rfEEXIC+W8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
6KSTTEnV907zRRD1ocRLC2AFzPWn6QNNBDkJwDxG7TjrAkj9VlhkUMH4p84FmfiIuB3SswA0x/OE
d2hAxaP1sW4moJ1UV2NlJBtLLB4d42gOXLYV+K0EHcpLTXpONyUnLX0qa1JATVDW9KAGiBD3TG2q
KYNH4WL5sQoyljAULssAPnGchFNS1xStwQl2KAOb7YiX2+VCNWlffjD8Ih0W71S1LB5HlmCNy2qL
Cv6leW4KSyEKPeNQ8ofTd55cQmXhyVVeNQl7qN3+WAuqv81UoTWlhmxeDHYumt7RqBeSQQVYJPao
3KPjEQssSd3HML/IUvFJ80Gh6k3btWYYQFLC/A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
y9IAYM/LGvJWMYoAL6VnWxf/WkvAtEqAnLo5lwHQ6UBmDdBl/d75qMm0dnkHyoOuJtIxHiWkjRG1
QeWDs5eRnAiwcqgz6VkiXEIbV+p/d07CFVhpL+1npWyKUVXsPK18ZNmRHkIjDnEoPD7OoCOvdkOV
MbeFgIUcfH2ZcO3QNLY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
X3JJ6hX/bDCXjVOc1/SoMoBXfCViO0vkuCnzz5K5xuVuGT06mbHVVj95Ze75T7THqH53NGMHimBw
8qQ/gKRGFDOgKAdxRIdCoHg9W2STGl4wRkMz1Bg8vFCjo/yIBm6P/GWSWaaXAKdHbe2iO4Y8AYWP
tgLX4KLzGXfEcN0PM8UcWywaakIxw8NH9lwQe9U3dCMbUY7Qps0AMJp5hzUEgjheB1rDjG23E8ZD
f1HewAwXJd4PnR36pCkWCfQkACerQJEsAvZSPoWwjWkc0oc8N0u16fEh9kce8CycNICguJF6NC/2
YWTU4StLilHw80YHWwkSaJcu1clCNQifmQ8T0Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 29616)
`protect data_block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`protect end_protected
| gpl-2.0 | 0dd14e3f7725e02a86fabb0eec7ed598 | 0.948697 | 1.832403 | false | false | false | false |
skordal/potato | src/pp_decode.vhd | 1 | 3,947 | -- The Potato Processor - A simple processor for FPGAs
-- (c) Kristian Klomsten Skordal 2014 - 2015 <[email protected]>
-- Report bugs and issues on <https://github.com/skordal/potato/issues>
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.pp_types.all;
use work.pp_constants.all;
use work.pp_csr.all;
--! @brief Instruction decode unit.
entity pp_decode is
generic(
RESET_ADDRESS : std_logic_vector(31 downto 0);
PROCESSOR_ID : std_logic_vector(31 downto 0)
);
port(
clk : in std_logic;
reset : in std_logic;
flush : in std_logic;
stall : in std_logic;
-- Instruction input:
instruction_data : in std_logic_vector(31 downto 0);
instruction_address : in std_logic_vector(31 downto 0);
instruction_ready : in std_logic;
instruction_count : in std_logic;
-- Register addresses:
rs1_addr, rs2_addr, rd_addr : out register_address;
csr_addr : out csr_address;
-- Shamt value for shift operations:
shamt : out std_logic_vector(4 downto 0);
funct3 : out std_logic_vector(2 downto 0);
-- Immediate value for immediate instructions:
immediate : out std_logic_vector(31 downto 0);
-- Control signals:
rd_write : out std_logic;
branch : out branch_type;
alu_x_src : out alu_operand_source;
alu_y_src : out alu_operand_source;
alu_op : out alu_operation;
mem_op : out memory_operation_type;
mem_size : out memory_operation_size;
count_instruction : out std_logic;
-- Instruction address:
pc : out std_logic_vector(31 downto 0);
-- CSR control signals:
csr_write : out csr_write_mode;
csr_use_imm : out std_logic;
-- Exception output signals:
decode_exception : out std_logic;
decode_exception_cause : out csr_exception_cause
);
end entity pp_decode;
architecture behaviour of pp_decode is
signal instruction : std_logic_vector(31 downto 0);
signal immediate_value : std_logic_vector(31 downto 0);
begin
immediate <= immediate_value;
get_instruction: process(clk)
begin
if rising_edge(clk) then
if reset = '1' then
instruction <= RISCV_NOP;
pc <= RESET_ADDRESS;
count_instruction <= '0';
elsif stall = '1' then
count_instruction <= '0';
elsif flush = '1' or instruction_ready = '0' then
instruction <= RISCV_NOP;
count_instruction <= '0';
else
instruction <= instruction_data;
count_instruction <= instruction_count;
pc <= instruction_address;
end if;
end if;
end process get_instruction;
-- -- Extract register addresses from the instruction word:
rs1_addr <= instruction(19 downto 15);
rs2_addr <= instruction(24 downto 20);
rd_addr <= instruction(11 downto 7);
-- Extract the shamt value from the instruction word:
shamt <= instruction(24 downto 20);
-- Extract the value specifying which comparison to do in branch instructions:
funct3 <= instruction(14 downto 12);
-- Extract the immediate value from the instruction word:
immediate_decoder: entity work.pp_imm_decoder
port map(
instruction => instruction(31 downto 2),
immediate => immediate_value
);
decode_csr_addr: process(immediate_value)
begin
if immediate_value(11 downto 0) = CSR_EPC_MRET then
csr_addr <= CSR_MEPC;
else
csr_addr <= immediate_value(11 downto 0);
end if;
end process decode_csr_addr;
control_unit: entity work.pp_control_unit
port map(
opcode => instruction(6 downto 2),
funct3 => instruction(14 downto 12),
funct7 => instruction(31 downto 25),
funct12 => instruction(31 downto 20),
rd_write => rd_write,
branch => branch,
alu_x_src => alu_x_src,
alu_y_src => alu_y_src,
alu_op => alu_op,
mem_op => mem_op,
mem_size => mem_size,
decode_exception => decode_exception,
decode_exception_cause => decode_exception_cause,
csr_write => csr_write,
csr_imm => csr_use_imm
);
end architecture behaviour;
| bsd-3-clause | ba96783d08fcd1f66f9bd83d9dc3eb68 | 0.67545 | 3.240558 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/dds/xbip_dsp48_multadd_v3_0/hdl/xbip_dsp48_multadd_v3_0_viv_comp.vhd | 4 | 8,897 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aEUWLGQ7ygk+EHuQraP8frbvAcdQhmhPWvIMW6/4YW/DBgRChkbTkbJ6su/7EOUC+xHLB/TAVH/G
90MEFti2fQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A5ZA9IVC1R8q53M4bF61vqcSZZuS/oMHWvPHE1hGAII8EcXIJvIxdhUXy3KWlikdG7w/AQFrwM4F
OC6ERowx2KY7gpCt3UdUxlbRrLFcZutUqaMuY+Uf0rXZM9AeM/CHwLvbkpy8fhEFW4ogyhgJogp+
T2m6WmAoRlEa2KSjM0M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BACfdvovrwVXnfxVyfNUSU//jZZUpdrL/4dmPWNG2lQM/icNDrvE1vmTWsaC8ND6Xquft0oeraWu
sbWudtbjDP//Wxdv7wjB3LW0/qSSF3onRLLZjoJyQIFk72w8T+WdZ0EOsuHh+PcZFIJ1hWQv3TK2
HpKr8jiGkEqWWtdUZwzJh+3569suqu4cpQ8P9cBIgQHuJ3v13AnbOA9aOsDsJ+EHM4ekCCt1Zaiq
PdP+j/Yt7BueifE4GmHBv/XGtVX6Swp0SREDJzp9APcTNgrD6vPCF1/AHqGi5Da9itkhzdDb/ax3
O5b5Ohj9YzQ/U2sMAsIkmZVKIwKUHP4yhhq2vQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HjuL3r/ZSxkpCnYoB9+AQpNm7N4BO/Pty+ZAhFuy66uF61SzyOoy/9R760Gx8rYI/KIBsa20VfRN
GZBHyz/m50uvnvlol9tqbMqPtTggKey9c0qM7hUxPOI6J7r0b6VnFveC6KSWRGLpE3uiOLnx5jI8
6aRs7rFoDPmwF8oqC9Q=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
B1WQJIJ616YBgnqJ5UnNUdz4lsHkG6ZsM03YEK/YDlY48WjlP42tLtWNQB0nkj+OzUi9NbYVvDX1
Gnl/usaSNWgqtD8riZsjRvx9aIrmAhbMcAp3XpjFwkU8ENsfS6GECjXkqSM+h4Q1VkAmZUGeOU+V
zJMvX9JXxQBim6Vwu88GwYgAQNerpa4GedjFdJUYUc/sE2YuDom32byhd89puaXCqiF5JydiKrcT
YTKGg7rmnPO9Z7xNt4g1lOB76j2lt3qoYcvg4wW6qiABK0wZpGNUU8MtmlppAwlSvyT+44dKK5n0
cMzd6JKtTuwa5hVKTxkVTLwTWThQgXLEjwzf8A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4848)
`protect data_block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`protect end_protected
| gpl-2.0 | d56c7cca8ecdd699811b678df9c8dee8 | 0.91795 | 1.922013 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r22_flow_ctrl.vhd | 3 | 60,342 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HW+Tccxyxo60e62yvRftvRU1qDk1FZTSq66rtj94TOOo+7365H/N+rV9dLmBw0VJPDTFQLbLzTxO
gCoP9q1njg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
W70a755CB57QT5782e3zwftEWx10WILHUutLa63J/0LM7M6fxfLVYdFHfbXC6gw1BnhccSikRIj4
4pvXket3JQ+Rr8s1eUYqkZwUSoZeqxMsZgNYdZIPruRi0fyopiasqMhltnzF3nEYehKH1IL7PAjw
6nzp6s1IN2EbFUGEGkA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ry+E+BYsMxF6J+pw1emQeG7Iz9JEtzQzzmndjfqJd3T2KfShdI0TzzWsY0+0xK2wKzugSceg4F/F
DoxzApK0dIVqV4/AqPCoUIzOipi8Z3nkzW5stwDiVquTO/RTPBoVaxwcne0lW+ld4qkKThqwyl3s
zqnCH1TaYq12TUQIO7n5lUoy+fn6knWA8UsmQ0/yqYtKv38Bou+cwvvV4fgmpT1bXeZ8qFjdwhPD
nI9Nuhoisrdor4YMkHpuUhnjbCwg40hIF2duEcrcqvnqNbUQsGngZo1HGjrD2oNsP8IlXIg4ubDr
a10GVYe7qKp8otBMB0WfuumQJ7N0jmIXFml0Kg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ko5WgIWnB8xN4O9RR/JYY63nc/nmcIbUG7j8hgXPdPmw08cgmDbTGttt6fFBje5KpiOmq7Z7gjTQ
zeJn+ChjuBAgb4S8uB4WcHOi1M5B7O7szc+uEOavcm+qyBZXiR63LG/VDMIcdKg4Evx10tdBjcY3
LgASH1Ry0IUnjxvR5cc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WfaHxgaXeGuPJNXSWynn9/KB/q2HhzH74K4K1q8gwjYQ3Pe/5iG3SdA7EYPNiL0Omm+SBVtslIv6
cWueaVLKZwfV8dgcPV2BtKuZXetz/cunxy2ZDvSJkXhuWPPznqlcMuwXb4Tcahdw2ynr1Aqj364T
BmXbtUTpmWME66yR8sIQojEB3vCp1OpzJbgRUqgPSbNsFZMCiB9tlkoDO0CwiVP5Ap0Zlf00ER0+
D20Kp5mc7Hijs42/NubPWIiGB4bfvyhn3IzpIi+q1li3NFhBLcKA/N83yBqwXqWAP1vU6K0u1Ui+
aQcVod6W5Bl+Cujo4VwPjWP3bCZ63I5ANEYH6g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 42928)
`protect data_block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`protect end_protected
| gpl-2.0 | f5fe2d5e1b4fd782be2d4bfa1738582e | 0.951029 | 1.818406 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_add/flt_add_lat_align_add.vhd | 2 | 28,958 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
NOPjfGfJnS82Y7q/OhGDrGnE4kORjf60uVSqXV7dKKesnG2kZNjSJYGkxBA+MSU5Yjf8GbAX8FVb
4fFN/9xCWg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ZxW+QM+MpYaeD0Mm5Z9Dow72zSglEBDYBHSv8K/32yYvvj4a0k56yVULZlHYXl4PcH8PgZ5h9/Yn
obxixV9x4TKOMyyb5usBXE8/tFnw54moIOo4L29gvLNGKqI1zPp3ppk55JKprBsGC/p5EwWoTVOU
3RlnifmwCHYkujGquY0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
1A97LQLXDY0s8uyBCpUYsVuZUqtaJ/c2rtKqPQ6G12T9a0t/zyVkhkgWvd6XkvIcZds3to23E+fg
dZ3ctSixyZtJX8qXhnxLXgx5x6cJ2542KCosx/XDfcJT7vBdWoTzCNY+uYithlQN/q+Ckheg1luT
V/Goi5I78koGirmePpEcvf4pjhHatRQbT08b7X7svRaKdJXFssKOJVWraYk5C7V+Ur9PtTghIoyv
PGMxzI51PYJfRDCapcz4pU0Bh77TTzuYECTh/nPg/GPal6a11MKm44iTwiwpbW2rxM1jylIlYWbH
hIWZCheikD0IImOC40sOLGiEdPKeasxGHEk+Xg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
09WMkKlekTBQIMo0CWMg2DTlliEama3ktm0Mit/GCBxO3vnPF065XK8FOIysN4MyGBrO8CrIaHzB
TS2Jsm3CVqMStlG2LFDb1yyFgT++u+90Wme2PqEQ/Zlqa85Ti8cUyOGGEXVg7JLBPhUQxHABqel5
nfJn6iWeXHQUEB3qz94=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UlRGTTEaRxc+b4DGz+64emKl/7WCKpjBxpLzY2W5TIT+rTohmsyeXc9XEmLIWI3tnoMy8D1/diVB
lq+kS1UWvPmV/0bmWOfhUUhrJO2x7Z36jerJK/uNjdNS1on1l4hUdjYt62+LivEZ1F0C+3vT60CG
b2b0ifu84eZjjEWCon/q0Rn6IGGxpP6Zi6Fh4xmPcef/zIw/B4LARtzRBfk9Oxbo8BBpXOSTu9C4
envLo+CdEVic3YbWoX7joOeLBLuX6zuvLjEK7OyD/QZ3P3tE3OdH4aymFdP2MKsoeE9yUB0KzpkA
+Q/X+KhiyhFGquzjkwfQ9qMsyu7sSPe325igpw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19696)
`protect data_block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`protect end_protected
| gpl-2.0 | 25c6bd230a29157f3346e94e585b73d9 | 0.944437 | 1.844106 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/clk_adc/clk_adc_funcsim.vhdl | 1 | 8,055 | -- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014
-- Date : Mon May 26 11:16:42 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim
-- /home/keith/Documents/VHDL-lib/top/stereo_radio/ip/clk_adc/clk_adc_funcsim.vhdl
-- Design : clk_adc
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity clk_adcclk_adc_clk_wiz is
port (
clk_in1_p : in STD_LOGIC;
clk_in1_n : in STD_LOGIC;
clk_250Mhz : out STD_LOGIC;
locked : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of clk_adcclk_adc_clk_wiz : entity is "clk_adc_clk_wiz";
end clk_adcclk_adc_clk_wiz;
architecture STRUCTURE of clk_adcclk_adc_clk_wiz is
signal clk_250Mhz_clk_adc : STD_LOGIC;
signal clk_in1_clk_adc : STD_LOGIC;
signal clkfbout_buf_clk_adc : STD_LOGIC;
signal clkfbout_clk_adc : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute box_type : string;
attribute box_type of clkf_buf : label is "PRIMITIVE";
attribute CAPACITANCE : string;
attribute CAPACITANCE of clkin1_ibufgds : label is "DONT_CARE";
attribute IBUF_DELAY_VALUE : string;
attribute IBUF_DELAY_VALUE of clkin1_ibufgds : label is "0";
attribute IFD_DELAY_VALUE : string;
attribute IFD_DELAY_VALUE of clkin1_ibufgds : label is "AUTO";
attribute box_type of clkin1_ibufgds : label is "PRIMITIVE";
attribute box_type of clkout1_buf : label is "PRIMITIVE";
attribute box_type of mmcm_adv_inst : label is "PRIMITIVE";
begin
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_clk_adc,
O => clkfbout_buf_clk_adc
);
clkin1_ibufgds: unisim.vcomponents.IBUFDS
generic map(
DQS_BIAS => "FALSE",
IOSTANDARD => "DEFAULT"
)
port map (
I => clk_in1_p,
IB => clk_in1_n,
O => clk_in1_clk_adc
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_250Mhz_clk_adc,
O => clk_250Mhz
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 4.000000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 4.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 4.000000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 236.250000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 1,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "ZHOLD",
DIVCLK_DIVIDE => 1,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.000000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_clk_adc,
CLKFBOUT => clkfbout_clk_adc,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clk_in1_clk_adc,
CLKIN2 => '0',
CLKINSEL => '1',
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk_250Mhz_clk_adc,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6) => '0',
DADDR(5) => '0',
DADDR(4) => '0',
DADDR(3) => '0',
DADDR(2) => '0',
DADDR(1) => '0',
DADDR(0) => '0',
DCLK => '0',
DEN => '0',
DI(15) => '0',
DI(14) => '0',
DI(13) => '0',
DI(12) => '0',
DI(11) => '0',
DI(10) => '0',
DI(9) => '0',
DI(8) => '0',
DI(7) => '0',
DI(6) => '0',
DI(5) => '0',
DI(4) => '0',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => '0',
LOCKED => locked,
PSCLK => '0',
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => '0',
PSINCDEC => '0',
PWRDWN => '0',
RST => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity clk_adc is
port (
clk_in1_p : in STD_LOGIC;
clk_in1_n : in STD_LOGIC;
clk_250Mhz : out STD_LOGIC;
locked : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of clk_adc : entity is true;
attribute core_generation_info : string;
attribute core_generation_info of clk_adc : entity is "clk_adc,clk_wiz_v5_1,{component_name=clk_adc,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=4.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
end clk_adc;
architecture STRUCTURE of clk_adc is
begin
U0: entity work.clk_adcclk_adc_clk_wiz
port map (
clk_250Mhz => clk_250Mhz,
clk_in1_n => clk_in1_n,
clk_in1_p => clk_in1_p,
locked => locked
);
end STRUCTURE;
| gpl-2.0 | 2bc11244ef5907acac7e0af3019efe77 | 0.622719 | 3.241449 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_fma/flt_fma_norm_logic.vhd | 3 | 15,146 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
cZU7NWKPDqX2s9M66zOjK/5Rw2qz+b8QcFUtsacwAKtd0BEnTwtVPVReO2cHq3K7JE1q9vyQDL/o
TVbNUs/tJw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PxaTJM+SFlfdT5XSydYG9nBHh3UUWm7xqX9ByAT9AoPCY/YUbpwA+/kHhReAj25EHabf6wkBV7M+
WJzKHsCeHhq5vD/lYnVlZJxSkBoMNrdGPw0Y4509djPIbTGyeQElmop9J1Dkqr2COZqC9KjbKaof
Ptp5bPgExNBcGmE0gK4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ArfGxK+iZ5V2O07FjnZVXSwAZMKUO4jSOzElmwS/Gsu/kVviWf8aNnBgDb/RjWlGyFkzF2zu+Ugs
/GhtOH7THf2cHMYMHbbgXZerKEixhz4tp3NCcd94tc4m7H5kvu409Pcs5g3R26dhI/jv2DNZbfNW
LWzl7ksz9L1QDMnsIr7N5sYn11z/s7l9P4YBgQyfNriEeFoDh/QYM4Bw/721hIyamd61LvnaAjeY
x+CHvzgC5cWJX/JuUyZpA08JR9ZIThBD0/MBjP7JtYhf1Yw0OorNg6oeR46fWjCkgDm3ikHuoHHt
5NMLsF7kQcjir7tHXgTCGqOSp5itNM4OxN0PZg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uJD7u4P2ZFOHbki8NCfw1bNJdhQwyHAvIBAKKgrUnKSz7NXmuhntXsEdB2vcoXvLsXAC5Yj1YuuH
9jHyzdR5qp30FVh00uflPwP7zxDSrPDEMi4GlJYI/MdAA7kfwCVoBkUb5fTqPO+xUT10d9flEKXf
H/gbKDw8U9DZcQZGlDU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HcSEP/ZOfFzqPDqqrE9FLf52nbDMY7cUXZQ46HOcAjOc1REY9uu4n3L/zkP5GG0NGfGvchhBGPNL
v9/0JuFpGQGJgFqAszc8X6QpTAv4e/z1MWAz2lqtLaFSd0V8pc+MV3wKnKZ+mzJhxYoSSknkPvaL
Q15okVQpqY0xbtMBg3yE+UwEt5rlae13YXmKlJMF0oaS1MkSCM1m1vwVJy/YXvuCH5qjLCX4sd73
XhJoHVVndILMimiY63819ANL7qWZBH3aWUUNezol/PJ1MBb85KeIMEWs7/Azy1iK84gXNeJL4vbK
4i5sSeOuBj3jGmHXx9DGEFY2BY0cn7XZdMIlyA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9472)
`protect data_block
552GMcs16Pzgp5uao6mHRHOSzAk5Dr4O4tuZ2Ip9VTwGTMqsRA2MqcogFQIiUNx+Nip0gsSJ9U6/
MjdIStL08f1wleMgb8slI+OSfNjj8EKUtjWgRmPY/N2EhxsBNaUwNztxUiboLy0rA+JBpmvx2jOn
hKAYk6G9MpZRxpuEKU35faFiW/uCcXc0XEQOAjl/7VzlpB8JXrwgSoMqhCVvWyFTr05TME+kF0Ea
RLQCAzc0Du04u8oQ9QPNST2j9ffiOBgaTgFudxD5uYc44pCDAXS7hh3/m7GdB62GnNemNdTIYOxz
vFGmyJa9mHyHeBb01y0XGED5jeea0NRX2ERk5i/7cEPLezsp+qC6kq5J6vD+7HGv9OMnCZ5daILu
gsZUPReXRR9rnJlpxtNNA3is7BhxBg3cAHUnDLF4MTSsbjhRl62jw3LsdvUVCU8kiiIfqAUqOGrg
h3aC5ky4lT+td8ALC501xq2lxdMdXht2t+PIly4uRftoxVtb1MxC9IfNm7Yt/k0NSyebMdf5NcZl
rE0zFcLJNB9XAMfoTQVXvx1MyEcR+AoLaVI7r3DjbsUyoNYKIefs1VuigZiLg/qkqFQ3hA75gBMS
w0ly3EzR06ho4IlLh8iyMoIxbDukXqENHqFmQPsPpP+ilBdi8BrxgSoZLBjxoHH9dXNHHvLc34Na
6gZYUDLEFp6CFGoStIFmEnLMBg/RQ3SUA5ZakZkIq7mRnHFrl7lbyTGhlhgK57uy9dolWJAoR832
uQ3Nk5f8PlnjBH40hKH8aTSL88JI4W9fnJoCwkN3DW7MwcT07rqMEkulXiKJGZ9kYuEG5bcZTjBD
pd0N0tFz6VuI+WXBn0TNl+8HbrO2YBKfda+VnyZfaxOErf0lO7B6gNEk7dVCcOHiL5GPH+iP+l/a
FAws/Ls5l1IweAYflEEFUUEfPWABlMHDBEFETHulq9ciDQl9k6/0zamIvks2TtOWlxzWru5j85UL
cA6WVb/bxpxaKSGRBnTBIJkq/4qrSPQIIJZcyHTHv32lFY9LoKgrni8O2dHwvS9StsRV4aHOEAne
thFfGctfD296OVSjUAWBCD5Bhk0X9FbtVro0UzHgIT2dAFQhUQ0ALqwUv+4ikzmVRQmwuuOMh6nD
Z9ofRNGA6J0oK/WfmrNyQjxEi2kMy9oIzq7GA/B8Gni3Y0TdYAMqmZjuB22CHEBcxbKEWMXgcDqS
greoyp/uOoaUv3rKRvysyL024yAtsPNpxzwpWZhuMdY6eJMCxtuwnqlV4ITUMEBqqAHWmt6fwJ06
JqYMNYMP2GRz8XSUBGVIE5zoz5fkk8/LzwsLKWrcr2yfbf4dna8DhNUFLe6TdIxc7XEtPgTh+/xd
PL+y42Bmzr/ykbzuKA5uUt5dpZqxBUY8Z5QzqfuSgqREnO7vkJvvGZ7yk3ShykkAx8fBA+4WjFso
aJo4Rc7wpWKu3+EQWTZ8EPetjqHfByatmo2VCPPSX4hxZz3DtLJQqrrkB2ttbPCZduPjj4UcZcz2
OX9k433EebncLvcob1JBo0CUszpkhkDoqaqatERqb2BjZtNh/SL5MLrIYRzsPW0dcDohQ1Zs3c/F
KknB/ivL1E0MTZEc18vzEf9P0XlxVPFv+U13gYKUucG9jFDGFeeFOas8XPrxrLAuxKgBOoFDliWB
IJJN1ybfau1eeSlcz1zvHHYZwUCRxlH/J+M9LRC4Pey2HzUY4iTt4hoipcJm2YraraIZ6KrD3dkZ
eOKIaAaoV7cF1mgQdz+NnY2SXQ1ejgjSzPYH9ivVTjKwPtqkJJ/QR9b0atYFcFeDjUcO9vQyExnF
WyS4oFfjNUcvI81u+LBIlZnJmtnE1O4ca7/1/93bVgZF5GwH5gL70ejY0C5JGh7ScfNdh9QPr0zY
3Ed733gSJJPaE6orGBuUCQQrS8Zd7vNTQzGidVHSpMxMADzrVwvBiXgLjw6sSqjqLV49/yCP43Nz
Nj3QAwYokw3bwdS5U7pdnbK7SRnQyM9nh/ttvb7CVnRwhcYJOAuRVMAOjA3gQK0/x67gjRv1tnQj
zRr4bxFbfYd+hk3nMcM4oNNcFVLML7YARGswQ5l/02xyXq1cRp0cpi4Ak3bmA96K4zM32Za7ymyx
Heqludl2SsBCb5udjfomrwsd3dv9PMq4wPrHVlksjxPq1SmX4978jiVbV6sdK7fHl77IRlDGvRe0
jNqQSJCqrmG/EoV+ix2v9Va1EA2GbkPD6yJgozwHaD/1Kmr6u6gdJn1cO6+XmsQv9nbhK+AMnrCv
sqhR9yWKxTSb3gxuA9rer8JBNEbP1MOW/zpg/KH/dI90vdC0xCsGHQWjB775KHRC34w+cfP67ktl
aPzhAKxxeOOwrEwIkqE/3d3mz8EbqhH+HsWT1rKD/eGiyJyt6ta/oV8gDo3phYx7wCTJj4mj8caa
UB7TgMADd0VufO9oSfaWtx4zGw6kSKOYGYvuOID6inyPsUNGmIKmIVHv93VboE/Pf2ub1v2AXutY
njdHBzNTzqvlJEHMMNrUuuBcqbAF/C4mVY65umYPoQhdRJuQWt9LO97t/KXyHRE8JmkBf5TtQPEr
VZFMmRA5RI3L88YhkTjeJuUYH/6wN7TnQpcb+BzWZaisu/8jMLJijvf7Bt+z0JVx8Dw9nNWeMWz8
Q8CkpTCymAC6+7FXR/PG3pV0ONKWIdf4nMe+oB6ndnQqpB6xo5ubElXvR/2wc5+EUgi9WoGNMzOQ
Hzs7DQBEmQaXgvxF/fh1NCmJc4PEimcTcUKJ7mvdHWIMpsnaliX7F+zHgQOJF9rz5P3+kN7y8u7h
+6G96IXi3ivA+B3Pk3DR0ljuCIvXucdQaD5h6P0x/QwsaGhMcoOueqCyhu38wSOjZiOhJIReVJ1c
Slwg4Zcfpsj2XGuhdT50FJ2tvEGEBMtJ/K9VSXGof3vLOcvzGnQOBP1vvdJalBzQeYSs9pZVGZWv
WflDJ3NkRf0YpLuEBGN8cTZJ4iNR44LnoDCDIktHXVoIAk+ifssxk7oOsi99kbqrjyuL5y3T5AqT
AGAdhdYvk0/QziDse01wQDBm91yBZDAuNOkAfYiiKkrxH4X3U1bWDQrBxeWnaobQ3Lw506MJG0V3
x5dQKW1nQuJJbDEGaP+Kr9SnGtAcJW68aBWBVQZzXXdhIRcTkSZzta0plf7Y+gdlnkcTlTj7Z61o
XUqpB7XRucU8FW/xGTfNXSeOkaGii3JFlXfq/iTjDfGH4ygGF6xA/vBZfbc1knCwzj5t3ICdeJ06
kBGXUWhhkKmn1fSLclovPu3HFn1mr/WrHjn7Yc1SESnfgfxH3pUIdpHkdVFLZlmc+nh6LdyDdhdi
e3CEdag+ZjkaYmfb93tAxHpuwioWNKAOT/NIWp40pxCKd2/pV49/i+sQu69Z4CDi1FPjaP2pRuhz
63KIGv+8+VOHqFoxaFenWjKwAUvEioS6kiWNI2IIDyCyJhOvm6stoyQiWIfnedTjNYbcTDOV+Ggt
JqqnAorOv39Jltx6cl/acIVkzdAGMsVwzgUH1IOHOwdA6lMoF/VREzSZ8RJ9gGn2vZgwxgcUQ5DP
iReTj+tqT1ugd9E/LUNHCKpgKsBDbj86rrvR3s74/9HDy3EW2matNfZxHRZKsN+Cz0oZ3X0YkMQN
xNzhPYnPgSRXOWE9gPMpi1Bjxj8YuZIPPYNnPiWa+kSoSo2kmTNAN3WO/w7SQcanNNue3kMhRN73
m1RHe/S4JzHap9QBRmmloai77lNSskkYfUi4B3N68QwPX6WRCFTxzO7g3oM3gMKIJb8n206rX4L/
zw/EPxXGcNBj0QI1Slo8kb/0ldQso9RpKdbNrBXpIlKHDSoxAge7AMWYjUT1182Tfme8bs6p4Zj2
+ueCtFF4YSSMnbVvkpok7s3uhECOAHAeftYrEsRoXP5qwyDhk+2lLfb7/u7lgHJjuZuKonZ0x1Wj
wv+O6sK7d34c+hUibpfWgHuo8ymRvTrlI100rpHOpY73BIOgMJPKdoDIvKEAAVSe0iZOw50huKgc
K+eEME+buY6mmtXSnDwOQlzHVUUGU8+YVYi67neVixBhuFAUu6CDrzK9lxSpEFK1SxzrhhkoTtdL
w7RKsvpLnOW6KkQvBl7sFH1nDJyGceSmHqAgnEgAfP3nohDZv6qtVIKs4ZYHCTN5KNbBgQE/j/qX
25cbUk4LlRsShRNbKW3cjEb+cozDLuxCzF2PiXV9tKm85Tl3MZoKMD+Sb6pIc5OV0qAG+f39Nno3
lp9oybUyaW7Q5tJI7ba2RjY9aHNjdwBUQS3b01rNSFnWZKPYC8bof6YqVVfr+3x6f9Og4QtGuw+u
hd5T9m9tQ/0BnOIs0TTwX/moGDPW/0D8mwe1BXgd41kPjlxTy0sN1Vpv3fjDEGoiUNmEKV2P+Xa7
t35YLeITOQQY2G1HlTybOoa7+cChqMAkTnGYlmCBMstiVt2GMhha3egMDTl8CPnV0C1cYVThUiWT
quaVeoWK/Nvxk5tOG2Zg0a573Okb2IEKfqsyTwmSjxsOLrqhFXMqGY0pBhYFF+Tq3yaNibK8wiy6
14vMyhRakGKXFWRLbclK4LDnwXwxSyWPWHi8vjaKeFpjR+QHxccmRbKv8NwLANUBEB2BCtZZ7gbA
c9/lHE1tDW/p3X8XbCq/XxpvoSTi7/SBj5yluRatlyrMt/3XWWP+wYF+13QGHOHDkb94+cAVXV53
Pl3zeWVZq04x5+loNX8LRwxvKMD5iOUTwA+mhLhTFoz0kf1JKCORXvdP0vhRoHXPHsryYh7GKa8F
v9QTvSXpOkEknB3Lf4KPYtdqLxx/u5CsrA9VjlTzE8RFQ9H0f6nZ6wBHXzgLamrjLyMNFLcyCNQT
ApJCD9eFLBzBXXYY+NQal/3bjijtRpN6q2zLYVwYs5utlYjxMe2R+tQ0p6cT6//ce4OTqzweD8Wb
1MBtrp8kpjBY2kWDJqUHKz8T9QUz8LFfL1HDiO/CBLiE5vV+OoK2CIGpt0FNRsVYYQPAwTx1ZRxw
f485Rnf8zmhkdEOjkIxISc4tOIMJ3YTOt9s89SZB87N2qeoLey0CPTGO1dyhtpEDmbcEyjRdZab1
ScPa8F7E1bhCKSr2hMaSEWE86SgeNxBgT51+8iddcVJNAgIleu2KjvnF9hbLRv/buzt+VSChqo6w
/SPU589pXnkSRjotPEgfn/IfR3vHJ+n6lreNgCb47epGi6vpxhuN4R2Ld48clQjbR3knE2ahN9KP
WRSbnjlmiz2TF1BF+QcbzxJiWcHAo9UYr4XbVt66GPGtcIj4UzYjiXbfRFpJeN+PLigREYzU55Wi
K5VVUF+4nELWg5TY6X+vs+6puqEA2Ia5VNEM5HAvk/U5Xfz7h4eqmOhsvcmlEd7aZ3UB4133JV3M
/5HVbBRBAygVngNtK/ewa2guCxcKJhVTe1K5COGVwpt8AMSbHGGuR7wBT12QLMNJhGBbVE+wMear
PfoGH+eQ5VZE1vZQtJ7kspcA3yDwb2shQVuaPxRUIl8iv88t3L6pHFAgtNJriJlswpFqW8Acolgl
26WO+7RhJthnKaIDamWbg5HkK0RdviK2hXjTs/zuyL2izCbOMoN6U+OUSVbmFCA7Zcto523JrMLt
eqGOxntQI/5R4W/umIa7R8YaTmbS3v7/nTl6Ty9woXL3QXmOsZGfR3p2+Z65t1Tj0FhtNmMrFOrW
Ojc2D/nPnlJgjVbtLcCLXrBgHyLm46Wl/WBl9/fvDW0BoBFPqOExOA4t5MqIeznLEYxy5UxhRh9q
mCkkq/bC63YBvElSV5oR+yjqulLKUuuERCYypp6y9C9r7/0B1YjDXjdSQsDOXcwZ/9kEZbD81jHv
Wvm1isoNWcGC8YtQMm9QZ/D/1ujNpILrL/fXsu5gxDVRdsXtEPip+t6NCUDowBYmig5m/1dVkMD9
k2AEkyGZozLUCGKH9qBDbAKpEIDMKFnqYqysKKVD5QaptI2s4nJ8LlHrpvINsKVpqqNw6srFt0nP
erzh9PTX81TJH9MIquhAXei5Uw+9JfUNkGh3bheGZBF+hgLl+Gi80Ip9xsfimxSVteeWtfqxJskS
oAMfFfmTft8z6EdRi33ZCTDeXOppW33okaUmNNUyvWVdW3RMZb/5fQjZEfYN0VkAXXT0+kcgEryr
VDSTcNeXuJhdTuyaaUzX5Dmz3D/fXxri963AluUCp0shrcF06jZ2aABxjkDMeHo2HdvIk/E+xbu1
+He1AuFcy0mYyiD6H4Ry4Qdkr59thm9btl5q72CjRr9c14+Srz2jf0HizFLD98nVdUHZO+miLtNj
UfsZNNMg5fu7YbbT8jpGlaEM5OerRPvetNxmc2wsdVZr5WSKwaBSqwveGvRVtfFSf0rkzDOPMOI4
5yDGqQMZuogrIiybq4wFaC2sRJN+8TuRjMGdFSrMoGhpOJLl11dSnc4iz7dadKxnuLf4nZAF/+7j
ainbFqyoajz/aTw9rJfFDCXxTUyvfs0DEjkxp6do4GvLOyQVQt8Lc631mSRfqAogNnNtzsUf86IZ
hcMARS+FErm5VnRJmpZID9lX8WD6YC+MrHwYmSK2DJxMIp7I27FANIniyLsTB3MtNEvCySfI2Np1
plMkUJDt78xLuUQxn8wmqROIjBas4kv4XFpKz4ZSzVbibEGT0VSe4jq4Z+er9z10f1wV4zrNVRHq
Aoooarhkuos+/thnwj2Cr9/tWI1Ms2MfUIbb70YZg+IXQk8uMujeQ7C283OCCgtcHhGRZTe9CCBi
q4k/ZPT2QolWj4p6uinxBtGWnRjXAT4ia8yvI9+P7qjklqgs0jAexWxnzmn0kOEhl52cfySJnPhb
GpWtS038F2MEyngITaornc6oZgQlkdX4hDvjbMN3AvbRnqwtjbIrWuP8DE0XVB02wKI04qR1jZVP
z2oP8hDrSeABzWG52eLTWahGcQWRXhCM+laAk6R8A2gFtli8GDWMoH3CrnVyENZDHN+zIkyYbw/D
yHbApSV2xhujrFGDRVx+PJyOpdH75p7r8m2v/THBk/b8klTENXdCaQfdjVFVf/l4yV/6TLX7b/ze
qeHLy8f3AZ4MHfPXH7mhS/FGIMbnFtGNPB3rCkmjEv1vxbnBiaiHRIgHjrCDGjS6NHM3eADqixpq
d+d2zzABJ6F0fSwTyui/h9AZRN7ABl+/ZOtIz2VveaywVHfL9uW27GMbbUaN6gkFnym/YnZf164Z
iK8VO8/pYZfD8Edop92P/drOUIBwPQphExCtzQ1ALoWWTEFIlXiajFAzNpEA8FKRe0Ew7UvS+dO0
qdSdeM9bOd+gzeaipcCk4MAmWaak5WIDSmNxTDbgSzp3Yx08oN9P7FmC01VeNCG2XJqYQN/gUFgM
xQHOST3E44Dgu0898VJAzOeWZzZ4TXva73hef3V57H/BNCiIvC9cpxphbJ4I9leC+dZXQOISTIdx
c2MElDW1onbq201YiTJr2ON+tA4weDEpykWypx8GIIR+gHjt53Z5A7mIXUkXoz03PR/7MaYUt1nq
p+zidUC4rhatRF9jXm6LUryqR/bbsOK7NW3YJEkPrLLa17y+iLe30rpGW4xTUlV8PRjRkEJ+T0Q9
/syvPJc5gNR3k5vfCCZ3w8k0fDuTUT436VPbhEV4nSU1HLVNPsmVi5ugqbFF0KKiwmZU7Kccbo+m
RswPBmokxxpoATEogbGRKdmk4LLZ6gyl+E7AgviwMq3Cf5IEk1YVfHIBrnI7WxZSwHvZbFRqBLnl
/5T+qN3rCQnUg9/hSMsFu8GXVRB/SRWA5yuAztPHohVPJ6bnxRWa/MoPhOi/RigCMwtLkIWF5Why
5NRwYU5Fag0oA8N/Zaz+bIR9A/jOORLqjw91e5+nHNzgqDeQYkE+xtXwRv3aB/Bw174zUU/NdiQ7
juZNqrc+WzE+tJN10+HU3vywgvBUFCfaDan4qWdiU4K9vuibtnP9UiKkyKLhNp4mTaLbkN7gBDju
xLMlm+jGHfg98QcL9rvVhA3N1G5DK/zh7y97lY9l1YeSKtECRT1cr/ypoNkSofVWSJ0pSDIP7mCb
vL1JtfEIfXW7/qdqSLjR4kn/pcf3P/YI1zAx0Nj79yZIUTI1hWPCrNOhaKyNMDoVcxO+Wj1fn//0
xejPe1VJ/RjnGo7+XSb5B+8O81z5uKPiauaveK+y5phSB9yCN2kOdClIdsFnJOM3zKHo6vI8pMDR
gG9VHT9Vy4ovZksWVsKTWY+g2920RsOg/xdrmKTMcLUJcY74IOGqW1filbju5dUZLwlEmzPji6mH
T2vV7fSHU3wrta6m5eB8d4mYbhjfbJFcQaLyLyMLoBaprfdb5dVjJKLL6LrE1dHqMK7nYgG3EUbi
zMar2OKyrdkYen2gzVX/14e4+hmeD985hd3evSRNdGTHGmI+AHA0x0HmMYHwCoh0B76e6FfpbCZ5
KpHliVcg42fcgC5Y6KIr5Q+bX7bp6IRZ2DnMEnnQGskjczEBRonTOnzne53jMGwG7ZpqGOHNpiCz
xwf49E+fpKqMMrUzyFyOAMUlxMamYwQKhgqrgHQBOu5lz7Y6UvE2ZzXT5+ZGQ/l2gVIBcG0UNZo+
LwPeYR9VrW6yiSL3atnWsxcz0++a16+g/SliNCdlhAEbmNe4aLaYVEV+kM4ieoe30r1ZrZItHNr0
f6YrZAqV94WwmkbfBKuU4R5dLLG+LagppOQnfUMA9zVcuCjIEtYgw07OUUJI034OsXIQLgZ0d89o
kEJjf4tkDxvUJmD5/wszxb/3aLPFO1/QqKZB3YOclrEW2DPeVSzqmDp86oB80+C+0OlCNbsEh0he
dXknCFbqbT3mRgrnoT7DMxmV/glkGB3SnaMFrzqOhaHCBV5Ext/x5Q4KWdaa3QteBVoY/ZpYA2An
f4qdwHlFWCwH+9Los1HnFo89I9pBM0lPdpLS8e3MhO66nYuLo5BQdsmGeB3eDqLhgadGLyFJfmYy
NLyL4xrLBjrzrhghiTTtDHrpbPWLIC6cxe6ytrVrz6feiSPiXuJTtSfUK4c4P755D5lwla3ScF6P
87YqQG8/T+CLF9rt4/qvDrYXs52g3qEfwEwWE+E/r5WeDph2wr/pKi1HDoVUNWeQo6tyXKS9rvwf
ZIEnCgpy6PwnyucO60uTO7ej3QvyVsyoDifBJkRTVkJXvzVqDavqmo0UoEanhCQLsajprNZtut2t
D+0Xhc6LR3Hr+Jxp2s9nh/SmnN9oHQaL9DhUKr0jManio0cklwpQLDKdj3HP6sgi4zkpIFTBU7JY
LVQCdUaP2zeMTW9LMJINf9fNbt0795AxplBmOOHPkyPq4h2tsSnVCcnV1UpVCv3tfEMle3svkXJF
ZEK7uj/ZmiAyaVq7MT6o7s8V+63XiHS1iaTgKaPxB1VI0v8u+jMV8vQfQJtpABgmSf83gbRKeqR2
F5HDZT/FB+QiI8fYSlaOqucF9ftC1vXP9+HTb5qA9B5IXWSdZAp9KyY8nPsMqYFooueR3PC8BtQ/
rVfCdXNHD3GrzsC7GEZSPUDWS6W+uiwUC60ApbUcHWntaDS+KF4QWMOz5wSgGJD4FdohVyKt/Fzi
18RKr+XK5N0WqcFQq8/0m7Z0RtoSPLsk0sTmERmMohp0jkY4CoYSazINEofvjAPa/x0VyRuRU31v
sw70fairlg9ij2Kkrr3SSUkmHdHdFVrA5MN60Y03LPKKDWl+3vxafdmMxOd8cZj3u4S82HHrBew5
JIjcQ4l/jW73/PYNafIokMZg1XF4Fsn20V1Qe221aJ0KlmTybTT7IREBezMSldC/Hh8iFc86qyDe
mXtgWyAjn30ZOoa9Jju7I+9kXoWUASZKT1Ww+xRfjgDwjlp2QTTOCM7w1hGa1t3E3ljeBr4a4Duz
uQmdEGTKIczamQqunI03eypaCFjro1HMW4xTLiLu1jWdnP8JiF2zsbKqBksOB9CMCS98XRCjY4sI
J2ZuksT2AywVbdbaRsMDOLxYCG4CBMB9zr0jiHhqIoN58572cADJ51Hc3f/8ISPS+qSPZ2yc4AL9
WjaBv5lCByuDz2TpDeTXE26B7jKNsq9dmCjVDmvJuvR0TwizmVrU5QNOzCMiA2nXJDT8jFpcnjyV
oj5MmTZ5PLTG14Ze0XDzb7Qy+X/BeggStcNAksL6ZRiv8nZ3eTUAX3WviMF9orgW6+vP/k+Q7w38
rMHa+DAMTudzP4kpeXP/nZLZ/oYZXzTbSma3jHl/s391FAhxY92KZ9dC4n33BT5qYSNhQ3z5NVz9
D/VGqPuRp145KkxzEVEQUYXkSVsXGrL7DjmfKFBXpeiQRdY5nMdyPuPa1yIys1xb0x5CaR2V4mYa
551JlJ0tgp6OuWMDu8HyjkY4ktrD4TmXSLkIptbj2Lfi5VGrhTMJcQITJWMMbeXYAaYk/i2HB6HG
R2kOOv2Ul+r63WHULq18jSMY9y8IuRnMndAhn1rBr4zdRxP8ZKg6Nco3KYmLxOu3PcNErbCuuADE
eaRymrh4+6mDiqAkvdWNkOZdoBQEHnXTZY7KTcQQq5W5wgxkDSYfQpPL7QETHQUZXHdEJSIGGw06
XFzbj0y+lE8H3ysH6vzbyO88aqDfrJE8AwrMEEEy/SCVlL0h4gzgyoRiPPQf+ZBHRSzMYUHgj1Q3
/DlM0N7hHoB1fYPL+3y8Ha7fmykSxtrah+g1FFJ8NL2Xk/jkvGd0zuBphhm7wfFRYHxJ6a/R666U
rIRPMgj30K3KiK6/HiBezrolJ3Q2BeWeKuUf8QgTqGgXxlTHknQsDqgFQpFCYj/CAjCB0X5nrfQO
S4L46uVDWDsNNRRBTU6GPLoH8mhvBWLkdCXzyn0aYJZDQUpE9CHH81m4+JddxVe/EGk3tLaxfrfN
Ds7CMQBYTgPL8M6VGIImuKoyAsutYVM7kvC18gj1rP8qwS2reVErXQdihp/F24N99mfxmQecFP9O
chswfdfdSZUzGVPtB7Ptw3Fd1b41G/gqEKYUWF+fbEhafRvTe5Ntg4xqM6b082F5yNmuuRroFc46
QEu3rjfpTUB+4C0EAYoAMwT03WEUPnee3ZKg2+O9qOyFU6x5z8A63IIDHpz8zVpqWOfF3/yy4td/
b9KVKXIaANKNY4z4IGkbZHdGvGiLaZ3lOpGfBn5l280zs7kBR4wn9TDxDQ3YyuP7TurL8B/vfnMk
CLtle6YuNM/ymGghQLMJrD/g1o6eH0ymed3b4RufZB4xSegwjHun/QGz7KcxrB2iEbtIRXwxCqi6
W6xXzTWocwhQppbj1D29VcJ06APEl9F8yM3xy0GrU4fngOQ/TsVAXawwMn4voCoEJ5Ax9cV83lxh
Ub1OuXK1CXE/2zJwBQbTueDfsTdqmhgoxHC3gXeddxO8Ft37dwCIiovrDb0HV9qZdVhZ08U0cQRb
Wq3Xz02SjanzRdlMbLTPrTI64ZJTrTXFkNmtIT06QuIhinWdo/WfXLRFa8K5Qd2WONmaHaaCJLnr
EcgLoZggVv+nRHEhIbCye/VBeEEEgtuRGkuGicsOXaIVY01HN8x29gG68bgvdf4hIDQ/G8dYa6D/
7LsGfvIfurJ/qkQtf+idVwHQ5pgH4Lh+p8FeVQmnpeDmnHXGryFuKjeFNssHfxAxpE6v0isD76/E
PCtrJuixI0dkBFdOCceaiPmBV64otPPHHgBJ3tZNs1WxAroULtjPcosjFXqPkiqEKZONO1nDkYlM
cUGjEfu/fccREgPTp48hdjAr8AAxBSdqyzxixREi1frWkhyBJL3VBRMXH5a8YEHsyQRuXoEG55LR
t1DiJnccRAQRuQcg0S9esDUKOKnAt4HdSyZF7X5XYUt2I1pHe3ZSr4oT84Ig3VEYkY452xFgN9JY
P1Dl/ayrWibn23j1KVBtenUyl+3G00IyUoPxhOI304tJssHJlsUVG6QvTQyDTdbSjOux2qMVFAgQ
sZCWkdCop4CxRVqjbfimv5xCxar+P22kf7z/Ie8PisOX7MEjLi70OIaIvFCmlclSi/fQmJ33xs/p
zsADKvzkP9NtdByR/x+a4o8AEQfp7HTPGkXdu65HoUHVDL5Hy998XbVfjQt3NGH9ZretyDtKnWgn
IY5sZf9li8dUPlWUm0UcDAzNqXB0JQ13p2ik28F+Zi0A2UuaKTaeyvlM+o648fZM0L5aN972/wkK
d6JwxqRnh/lkkf2F43EGReY5TvkPC6mj6jsjiYEFlTBUQy0Sczah6s9BI8ydu4Mrbj0zQ016I0C4
Gc9Ht97hVADU5Tz9sLIkykFk17op6SPd7bC3OO5RuKwfPOxDnBbYBXi/BgB5wLAFB6DMetnU6MOu
onsznZ1w+sM7GQOhGErbE3qAYqBKUV2E207G9yd9sHpbicI7yWepyVS1B/+KQj3+JnSnZngXFPs5
QiuUAz/2K31t8mZ6XFKTB2PwioWAFI1FwiDWMk0f3LlJx+k76YvVPsIBoTZS/TN6SDYC2VPKQ0at
Vw33PVxZYdntkD9w+ubg2q6qcGGqMOiMgmIXHHzvkf2OGrpn8HXD3+t/eJYtCFNiayKmO5vdBZvG
f2zzaksycl/FtiJANMsapxeVIn34+qyca/uFkWFIMvMwETUmTh948WxlVZ2rHJuGwSh1FPVDgFcv
RRj8aVsyh6MbRA==
`protect end_protected
| gpl-2.0 | 242913a7eaf66652a38d938ddcfcfe87 | 0.934372 | 1.876363 | false | false | false | false |
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA | System Design Source FIle/bd/system/ip/system_HLS_accel_0_0/sim/system_HLS_accel_0_0.vhd | 1 | 13,861 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:hls:HLS_accel:1.0
-- IP Revision: 1605192151
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_HLS_accel_0_0 IS
PORT (
s_axi_CONTROL_BUS_AWADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_CONTROL_BUS_AWVALID : IN STD_LOGIC;
s_axi_CONTROL_BUS_AWREADY : OUT STD_LOGIC;
s_axi_CONTROL_BUS_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_CONTROL_BUS_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_CONTROL_BUS_WVALID : IN STD_LOGIC;
s_axi_CONTROL_BUS_WREADY : OUT STD_LOGIC;
s_axi_CONTROL_BUS_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_CONTROL_BUS_BVALID : OUT STD_LOGIC;
s_axi_CONTROL_BUS_BREADY : IN STD_LOGIC;
s_axi_CONTROL_BUS_ARADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_CONTROL_BUS_ARVALID : IN STD_LOGIC;
s_axi_CONTROL_BUS_ARREADY : OUT STD_LOGIC;
s_axi_CONTROL_BUS_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_CONTROL_BUS_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_CONTROL_BUS_RVALID : OUT STD_LOGIC;
s_axi_CONTROL_BUS_RREADY : IN STD_LOGIC;
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
interrupt : OUT STD_LOGIC;
INPUT_STREAM_TVALID : IN STD_LOGIC;
INPUT_STREAM_TREADY : OUT STD_LOGIC;
INPUT_STREAM_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
INPUT_STREAM_TDEST : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
INPUT_STREAM_TKEEP : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
INPUT_STREAM_TSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
INPUT_STREAM_TUSER : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
INPUT_STREAM_TLAST : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
INPUT_STREAM_TID : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
OUTPUT_STREAM_TVALID : OUT STD_LOGIC;
OUTPUT_STREAM_TREADY : IN STD_LOGIC;
OUTPUT_STREAM_TDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
OUTPUT_STREAM_TDEST : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
OUTPUT_STREAM_TKEEP : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
OUTPUT_STREAM_TSTRB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
OUTPUT_STREAM_TUSER : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
OUTPUT_STREAM_TLAST : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
OUTPUT_STREAM_TID : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END system_HLS_accel_0_0;
ARCHITECTURE system_HLS_accel_0_0_arch OF system_HLS_accel_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_HLS_accel_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT HLS_accel IS
GENERIC (
C_S_AXI_CONTROL_BUS_ADDR_WIDTH : INTEGER;
C_S_AXI_CONTROL_BUS_DATA_WIDTH : INTEGER
);
PORT (
s_axi_CONTROL_BUS_AWADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_CONTROL_BUS_AWVALID : IN STD_LOGIC;
s_axi_CONTROL_BUS_AWREADY : OUT STD_LOGIC;
s_axi_CONTROL_BUS_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_CONTROL_BUS_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_CONTROL_BUS_WVALID : IN STD_LOGIC;
s_axi_CONTROL_BUS_WREADY : OUT STD_LOGIC;
s_axi_CONTROL_BUS_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_CONTROL_BUS_BVALID : OUT STD_LOGIC;
s_axi_CONTROL_BUS_BREADY : IN STD_LOGIC;
s_axi_CONTROL_BUS_ARADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
s_axi_CONTROL_BUS_ARVALID : IN STD_LOGIC;
s_axi_CONTROL_BUS_ARREADY : OUT STD_LOGIC;
s_axi_CONTROL_BUS_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_CONTROL_BUS_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_CONTROL_BUS_RVALID : OUT STD_LOGIC;
s_axi_CONTROL_BUS_RREADY : IN STD_LOGIC;
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
interrupt : OUT STD_LOGIC;
INPUT_STREAM_TVALID : IN STD_LOGIC;
INPUT_STREAM_TREADY : OUT STD_LOGIC;
INPUT_STREAM_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
INPUT_STREAM_TDEST : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
INPUT_STREAM_TKEEP : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
INPUT_STREAM_TSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
INPUT_STREAM_TUSER : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
INPUT_STREAM_TLAST : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
INPUT_STREAM_TID : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
OUTPUT_STREAM_TVALID : OUT STD_LOGIC;
OUTPUT_STREAM_TREADY : IN STD_LOGIC;
OUTPUT_STREAM_TDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
OUTPUT_STREAM_TDEST : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
OUTPUT_STREAM_TKEEP : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
OUTPUT_STREAM_TSTRB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
OUTPUT_STREAM_TUSER : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
OUTPUT_STREAM_TLAST : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
OUTPUT_STREAM_TID : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END COMPONENT HLS_accel;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_AWADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_AWVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_AWREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_WDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_WSTRB: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_WVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_WREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_BRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_BVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_BREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_ARADDR: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_ARVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_ARREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_RDATA: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_RRESP: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_RVALID: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_CONTROL_BUS_RREADY: SIGNAL IS "xilinx.com:interface:aximm:1.0 s_axi_CONTROL_BUS RREADY";
ATTRIBUTE X_INTERFACE_INFO OF ap_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 ap_clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF ap_rst_n: SIGNAL IS "xilinx.com:signal:reset:1.0 ap_rst_n RST";
ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 interrupt INTERRUPT";
ATTRIBUTE X_INTERFACE_INFO OF INPUT_STREAM_TVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 INPUT_STREAM TVALID";
ATTRIBUTE X_INTERFACE_INFO OF INPUT_STREAM_TREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 INPUT_STREAM TREADY";
ATTRIBUTE X_INTERFACE_INFO OF INPUT_STREAM_TDATA: SIGNAL IS "xilinx.com:interface:axis:1.0 INPUT_STREAM TDATA";
ATTRIBUTE X_INTERFACE_INFO OF INPUT_STREAM_TDEST: SIGNAL IS "xilinx.com:interface:axis:1.0 INPUT_STREAM TDEST";
ATTRIBUTE X_INTERFACE_INFO OF INPUT_STREAM_TKEEP: SIGNAL IS "xilinx.com:interface:axis:1.0 INPUT_STREAM TKEEP";
ATTRIBUTE X_INTERFACE_INFO OF INPUT_STREAM_TSTRB: SIGNAL IS "xilinx.com:interface:axis:1.0 INPUT_STREAM TSTRB";
ATTRIBUTE X_INTERFACE_INFO OF INPUT_STREAM_TUSER: SIGNAL IS "xilinx.com:interface:axis:1.0 INPUT_STREAM TUSER";
ATTRIBUTE X_INTERFACE_INFO OF INPUT_STREAM_TLAST: SIGNAL IS "xilinx.com:interface:axis:1.0 INPUT_STREAM TLAST";
ATTRIBUTE X_INTERFACE_INFO OF INPUT_STREAM_TID: SIGNAL IS "xilinx.com:interface:axis:1.0 INPUT_STREAM TID";
ATTRIBUTE X_INTERFACE_INFO OF OUTPUT_STREAM_TVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 OUTPUT_STREAM TVALID";
ATTRIBUTE X_INTERFACE_INFO OF OUTPUT_STREAM_TREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 OUTPUT_STREAM TREADY";
ATTRIBUTE X_INTERFACE_INFO OF OUTPUT_STREAM_TDATA: SIGNAL IS "xilinx.com:interface:axis:1.0 OUTPUT_STREAM TDATA";
ATTRIBUTE X_INTERFACE_INFO OF OUTPUT_STREAM_TDEST: SIGNAL IS "xilinx.com:interface:axis:1.0 OUTPUT_STREAM TDEST";
ATTRIBUTE X_INTERFACE_INFO OF OUTPUT_STREAM_TKEEP: SIGNAL IS "xilinx.com:interface:axis:1.0 OUTPUT_STREAM TKEEP";
ATTRIBUTE X_INTERFACE_INFO OF OUTPUT_STREAM_TSTRB: SIGNAL IS "xilinx.com:interface:axis:1.0 OUTPUT_STREAM TSTRB";
ATTRIBUTE X_INTERFACE_INFO OF OUTPUT_STREAM_TUSER: SIGNAL IS "xilinx.com:interface:axis:1.0 OUTPUT_STREAM TUSER";
ATTRIBUTE X_INTERFACE_INFO OF OUTPUT_STREAM_TLAST: SIGNAL IS "xilinx.com:interface:axis:1.0 OUTPUT_STREAM TLAST";
ATTRIBUTE X_INTERFACE_INFO OF OUTPUT_STREAM_TID: SIGNAL IS "xilinx.com:interface:axis:1.0 OUTPUT_STREAM TID";
BEGIN
U0 : HLS_accel
GENERIC MAP (
C_S_AXI_CONTROL_BUS_ADDR_WIDTH => 5,
C_S_AXI_CONTROL_BUS_DATA_WIDTH => 32
)
PORT MAP (
s_axi_CONTROL_BUS_AWADDR => s_axi_CONTROL_BUS_AWADDR,
s_axi_CONTROL_BUS_AWVALID => s_axi_CONTROL_BUS_AWVALID,
s_axi_CONTROL_BUS_AWREADY => s_axi_CONTROL_BUS_AWREADY,
s_axi_CONTROL_BUS_WDATA => s_axi_CONTROL_BUS_WDATA,
s_axi_CONTROL_BUS_WSTRB => s_axi_CONTROL_BUS_WSTRB,
s_axi_CONTROL_BUS_WVALID => s_axi_CONTROL_BUS_WVALID,
s_axi_CONTROL_BUS_WREADY => s_axi_CONTROL_BUS_WREADY,
s_axi_CONTROL_BUS_BRESP => s_axi_CONTROL_BUS_BRESP,
s_axi_CONTROL_BUS_BVALID => s_axi_CONTROL_BUS_BVALID,
s_axi_CONTROL_BUS_BREADY => s_axi_CONTROL_BUS_BREADY,
s_axi_CONTROL_BUS_ARADDR => s_axi_CONTROL_BUS_ARADDR,
s_axi_CONTROL_BUS_ARVALID => s_axi_CONTROL_BUS_ARVALID,
s_axi_CONTROL_BUS_ARREADY => s_axi_CONTROL_BUS_ARREADY,
s_axi_CONTROL_BUS_RDATA => s_axi_CONTROL_BUS_RDATA,
s_axi_CONTROL_BUS_RRESP => s_axi_CONTROL_BUS_RRESP,
s_axi_CONTROL_BUS_RVALID => s_axi_CONTROL_BUS_RVALID,
s_axi_CONTROL_BUS_RREADY => s_axi_CONTROL_BUS_RREADY,
ap_clk => ap_clk,
ap_rst_n => ap_rst_n,
interrupt => interrupt,
INPUT_STREAM_TVALID => INPUT_STREAM_TVALID,
INPUT_STREAM_TREADY => INPUT_STREAM_TREADY,
INPUT_STREAM_TDATA => INPUT_STREAM_TDATA,
INPUT_STREAM_TDEST => INPUT_STREAM_TDEST,
INPUT_STREAM_TKEEP => INPUT_STREAM_TKEEP,
INPUT_STREAM_TSTRB => INPUT_STREAM_TSTRB,
INPUT_STREAM_TUSER => INPUT_STREAM_TUSER,
INPUT_STREAM_TLAST => INPUT_STREAM_TLAST,
INPUT_STREAM_TID => INPUT_STREAM_TID,
OUTPUT_STREAM_TVALID => OUTPUT_STREAM_TVALID,
OUTPUT_STREAM_TREADY => OUTPUT_STREAM_TREADY,
OUTPUT_STREAM_TDATA => OUTPUT_STREAM_TDATA,
OUTPUT_STREAM_TDEST => OUTPUT_STREAM_TDEST,
OUTPUT_STREAM_TKEEP => OUTPUT_STREAM_TKEEP,
OUTPUT_STREAM_TSTRB => OUTPUT_STREAM_TSTRB,
OUTPUT_STREAM_TUSER => OUTPUT_STREAM_TUSER,
OUTPUT_STREAM_TLAST => OUTPUT_STREAM_TLAST,
OUTPUT_STREAM_TID => OUTPUT_STREAM_TID
);
END system_HLS_accel_0_0_arch;
| mit | 294794860ec8a24674b2a764c22aee78 | 0.707236 | 3.382382 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/flow_control_b.vhd | 3 | 82,842 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RusoJfeSkZwImUUNnBfzD66SqqZ8B5RirhPZXtdFBYhPByO1gjKJ5HsD7HQnZ8bn/KFwHwJTzUPV
w237YLdDmg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gILYAIjgyMaPu7UFjtuoIHEzKQ4a3k2tEgCNMOsDuUW+Y+xwOjBq34KEsJ9mUYRZSRcpTIOKc1TD
Pcxve0zl4ktXsBKvPkCZ1xut8Zv27PgY61JLIfqL0UBaTc8j8lT+HDV5wN3dCJuMXVX7mvbg3ulz
cfxSm4KfocoJDorc5Fw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GDJLdufsnbTg5Jv+vyrZCs6q6uzkvVrwV7p/eLwmDQuDz1u/3spjNHzX3RLDTwUf4ZhV0cf5KDUQ
aYkPwRStMl37B7Ae6XGcSln+sYj/p5QXgNd/bnaY8PaJKFYIPcRSuQhNJuYakcCV9dR5xjkcGA/M
syiHtwUmejZNqCS68lotI37rULlp80Gvibhj0SI0k8Z7546g5TQjfNTJIFnLQ1pdkPGKUZCUwYP0
gkujL5MY+RRtoFsIP+6Jkgbao1wisn9klUitj8XZn2+FoAe0oGcB1kXgpvfZ/s+47sN/l2xjM6G1
0hIu1E/r/4eupvtcxVRsJeH2LlHYNS79sFaUMA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
v8gFTfca7cTU35FGRT0CALGZE/m4k33WOK3yIbLMViOXMN2bAWsFcg2kwYd+pZKdolAAH7Zhifdt
wz2QAA+u1qvhX1WBExa2xELl2eyy+VE3sO5BIhZtAhw+cSSb7Y+dxLJ6Oa/G9i6aj9LGKOt1JDVF
LTLh4O1VORLIi+Ez0Ug=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pwfidN48gsLfCkLqCfLfSS7Mb84brlpH2ggzvaPnTFcVPioEIPV6gHaPt7Wo8RxEjILrOhxf3CXh
miP4oaSjNlUzE2WiZVqX5UUECmGBvMAIO5aiqLf5oQtqDmI4IZjUpqk9NzF5ZrZldclMntBMPXHE
l/Zv1E8DP1SwHcvJRdAXqq1Ncu411d5tpWDkzzqeFPH3+F00ymVtZQaId6c7bjs8/h+rKpS9RpA+
ZaP/SShmQazUHjjKq8O55shrwsSesw1pI4jgTSNQuagGMaB/JpQb/EoqpKcSYb9hLksBKXt6gvj7
L8axq8nuukkAqi0fF9uvwZ00ycwX7WCaQIk/xA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 59584)
`protect data_block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`protect end_protected
| gpl-2.0 | 548df092e06c389a916ca6018ef76a46 | 0.952367 | 1.813926 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/c_shift_ram_v12_0/hdl/prim_wrappers_v12_0_legacy.vhd | 2 | 11,470 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
By6j4FQkmCHJXPRe8Dkf3HKKO0pdex9X8DauJHJBVIymWNE67MHcpmLbiVRHo9D5Tc1Jjut9fFG5
KHs80TfMWg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
H3vi5K7G763njMuEIsxeO/l32hfeYnr3ZvRJVN/7qCpQLv8gf/KQ5eriFLuXRmCzkjIqo3Bpzylx
f1hyzhKDGZ8CIKX1vqwBvhrI5xcOjFYMB0Map7/0kV0LvPKX9Wd3o3UYBWARIKg4TNBnHQQfMuCF
OZJo6T14e2vCHCVH9O4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dv79QoEC6DRGWAzKSK5a/0JjVs0jSc275JdYoc6sQ9+EM8DKt19gRRkz/IUEu+gc4zrWcdfuDymt
Rd64X+bZZS8zAczyuvnwqizmb5IWFK2vYGQQ1SjQbQ2YG8k1AWbIA92NqQpt1pS/292+eBMa7Hiy
W+OFjtcf5gMMSTGrMcYB3r4y5FaIgwwqmvJROPC0J+xjAkJ7nZIGVQJhC9TWM9xXld9576WdINDo
cLPujKIE51WHUPJxCrODAUB0LPLHUAdFHaaiNng0ouq65SWbSU1HMHsOe2hV/Hy1TgtQ7Jtqm1HI
6H6e2iOZSIUai0xVMA6D0uZkO4Ki8Uwcfs68Jg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ymrmp5OxWRyvq6F49M/88zy1W+YjM5L7tSzwwoke/lqdUbQKRG2SQHBGAJpeFcgnxVWsl1QQzviB
ZTXu1vBdFM1O19gKGl/cGxbZZGNrDfJzgBRGTwX2SI9kjaJKfHdUBRDogNDnMzN61aFdEWugEZpm
ZHGJb8ZUVKuXNDuhTRY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lFVVd/iN0iJqj/k0L+PiUBCKo+/x758T9detEdlKdF1PhSE4JomaDPXepCv1O2yGBqku4xjAX4KK
nU1FpYIxiKfLo6gVlS0yBgstjKU9nkj+UjmbrYVFACDgDDYEXYkMsHibRXG9ZalyHFEF6AvxjHzx
IMVDhPB54I3HGUNIlK6Ugv4B9Dx7iG1vYygR+4K13l2nyqEqlM3QGbUXPcyV0ZElYa+z10OCinWW
xzgkEG9CRfJOWJwWHFOcOSMyaeAUITY9L3LmDGXzOvEEQZR38iqQsUfVGIhD3Ux2TTNJwxwuD6bU
kvOOvhtQEEQzdYt1PwI9bZpRnSTiEe8EwQhDpw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6752)
`protect data_block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`protect end_protected
| gpl-2.0 | e2c5971dccef0f384623a22ca2d635dc | 0.931125 | 1.892115 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/NewCombined/Instruction_Memory_TL.vhd | 1 | 4,084 | -- Company: Team 5
-- Engineer:
-- -Timothy Doucette Jr
-- -Robert Mushrall III
-- -Christopher Parks
--
-- Create Date: 14:26:47 03/31/2016
-- Design Name:
-- Module Name: Instruction_Memory_TL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Instruction_Memory_TL is
generic(PCWIDTH:integer := 5);
Port (CLK : in STD_LOGIC;
RST : in STD_LOGIC;
BRANCH : in STD_LOGIC;
BRNCH_ADR: in STD_LOGIC_VECTOR(PCWIDTH-1 downto 0);
RA : out STD_LOGIC_VECTOR (3 downto 0);
RB : out STD_LOGIC_VECTOR (3 downto 0);
OP : out STD_LOGIC_VECTOR (3 downto 0);
IMM : out STD_LOGIC_VECTOR (7 downto 0);
PC : out STD_LOGIC_VECTOR (PCWIDTH-1 downto 0));
end Instruction_Memory_TL;
architecture Structural of Instruction_Memory_TL is
--Program counter
signal EN : STD_LOGIC := '1';
--signal RST : STD_LOGIC := '0';
signal INSADR : STD_LOGIC_VECTOR (PCWIDTH-1 downto 0) := (OTHERS => '0');
signal MODE : STD_LOGIC_VECTOR (2 downto 0) := (OTHERS => '0');
signal STACKEN : STD_LOGIC := '0';
--INSTRUCTION MEMORY--
signal CRNT_ADR : STD_LOGIC_VECTOR (PCWIDTH-1 downto 0) := (OTHERS => '0');
signal NEXT_ADDR : STD_LOGIC_VECTOR (PCWIDTH-1 downto 0) := (OTHERS => '0');
signal ZERO_ADR : STD_LOGIC_VECTOR (PCWIDTH-1 downto 0) := (OTHERS => '0');
signal INC_ADR : STD_LOGIC_VECTOR (PCWIDTH-1 downto 0) := (OTHERS => '0');
signal OFS_ADR : STD_LOGIC_VECTOR (PCWIDTH-1 downto 0) := (OTHERS => '0');
signal POP_ADR : STD_LOGIC_VECTOR (PCWIDTH-1 downto 0) := (OTHERS => '0');
signal DINA : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0');
signal WEA : STD_LOGIC := '0';
signal DOUTA : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0');
begin
OP <= DOUTA(15 downto 12);
RA <= DOUTA(11 downto 8);
RB <= DOUTA(7 downto 4);
IMM <= DOUTA(7 downto 0);
PC <= CRNT_ADR;
-- U1: entity work.programCounter
-- generic map(PCWIDTH => 5)
-- port map(CLK => CLK,
-- EN => EN,
-- OPMODE => MODE,
-- OFFSET => DOUTA(11 downto 0), -- OFFSET,
-- INSADR => ADDRA);
----> Components <----
PCINC: entity work.PC_INC
generic map(PCWIDTH => 5)
port map(CURNT_ADR => CRNT_ADR,
NEXT_ADR => INC_ADR);
PCOFS: entity work.PC_OFFSET
generic map(PCWIDTH => 5)
port map(CUR_ADR => CRNT_ADR,
OFFSET => DOUTA(PCWIDTH-1 downto 0), -- OFFSET
NEW_ADR => OFS_ADR);
PCSTK: entity work.SH_PCREG
generic map(PCWIDTH => 5,
STACKDEPTH => 4)
port map(CLK => CLK,
RST => RST,
ADRIN => INC_ADR,
EN => STACKEN,
WR => DOUTA(12), -- '1' is Push, '0' is Pop
ADROUT => POP_ADR);
-- OVFLW : out STD_LOGIC
ADR_LTCH: entity work.ADR_LATCH
generic map(PCWIDTH => 5)
port map(CLK => CLK,
RST => RST,
ADRIN => NEXT_ADDR,
ADOUT => CRNT_ADR);
U2: entity work.Instr_Mem
port map(CLKA => not CLK,
ADDRA => CRNT_ADR,
DINA => DINA,
WEA(0) => WEA,
DOUTA => DOUTA);
----> JAL/RTL Controller <----
MODE <= "000" when RST = '1' else
"100" when BRANCH = '1' else
"010" when DOUTA(15 downto 12) = "1101" else -- JMP = '1' else
"011" when DOUTA(15 downto 12) = "1110" else -- RTN = '1' else
"001";
with DOUTA(15 downto 12) select STACKEN <=
'1' when "1101" | "1110",
'0' when OTHERS;
with MODE select NEXT_ADDR <=
ZERO_ADR when "000",
OFS_ADR when "010",
POP_ADR when "011",
BRNCH_ADR when "100",
INC_ADR when OTHERS;
end Structural; | gpl-3.0 | af29f4e4f3a97543ee3df6fab4446bb4 | 0.600147 | 2.836111 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_eff.vhd | 6 | 47,179 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
N/NOfDbpwe6RlpQh2veqAyN24wgo/6C5CAKzRUqeVOVPVkYlbs/di00lgLNxB8PnEV8EHIVo+hAd
0melCbo+kQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oes87UZgu81OSzH01ut/D64bl7v6o85Sw5B2FNSgY651MENG6WYmcfTpDLuU47+PvEN/3z64Sl3g
+spvVMzGO5VIuNC564Oh14jcbDHQl1QC3yxlNqeGjbYcxuqobAXOGy1onsBmX0gbfX6453Jq+nrQ
loPZPf/gK3YbYEbDyKU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
51ISRMicyU+cqxzdtOoH4gu/VH3Nmi4+iMGbJaoyO1uve+wc5bp+pZNeGTBseLdmghswcMAr2Wc/
SaLMK09cvqC3KXXcXnRRmCbe67WfyN3fb+ZznweikqRdcaW6shv0Ae+hVRoA7mjI3p79l0Swp4Ae
DuxkykkA10p1xediAEMnhGR1PFG+WAGjIKILP9jaiPxUMpt3NS/LGiB1WojW94+GKR8Z3WCW2Jfa
/a3Ex+UALubMlJgqVycfCLVlz0qAKGCUjprITR5YMsZ7xuV+lgJBgJN8qsIIv565O98aK5KwULNK
d3FfDqL0UnPsSuo5uzPz8dlC4VrH0/pbjj15aQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yCdR20yk7kR8ssJxY9eg8dRDH2hItzoD/OcBlbBcthgpbzIA/j58S5AAAx84w1fmQHzot+m08lVP
XTzNfkm5Pzd/7xQkGfGwYLclasFtzqI1ldPu0Py1OYCLzCaKe2vUbqGiNl+/2cuvLmU2jsIF80mE
9mp2E0Ah4WyY0NsTN+A=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UR89Bb+u21BB1K76xqVdo/ifvJ+6goCPoNLSq/4pzULRq4KQgSUiwbj61rmXmjhheI49YNz7nDpf
pKAbPQYDLMuDBxuhEQRBaQE0qkAyBqIhgyrrP5oRNzUVz45zC8XuAvdlS+1Fw54zKvgJCNCF6o1Y
zWASsiRA9ENdEthlj+7AMaTumjrZbanWCQEGsSRxOUvr+ZCzb94aG4kwBOkHVdgmOEqXPmpx7Y2W
cQh2lzhairMdzK1LrXzEg6Az3QsThbTylLhvRrhUfPGdilKWpIqvpCK2qYNyaOpG4oxus/zhNQFW
zf3KdQ868sosDL5tgDES1HxTVZH/TPhIDWIyxQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33184)
`protect data_block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`protect end_protected
| gpl-2.0 | 167b8ce992a03a8ee455b4916cf61d9d | 0.950444 | 1.833547 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48a_wrapper_v3_0.vhd | 8 | 18,409 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
BedQ10AlhBiJ9+wHKMh33oFFv8J/pIg2ChO10VrIqA4tXWG9/q9/X1Wq8TukomdQ7ULbMnkFO0xR
4WsGkvYgAQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BvQkA/XacdAFoZisqSwLX0a2GJnEGCGhG2AQX+CZ73wFHGcHodLyp7YND6lUM3T8RQvs3HXNwdAf
KwKM/2+3Lo6xFwheHws6p6zq40sS/WsYsNqkp9tMtZrCGRnrwNxxNnU00nE0k/YX2SCCxLM2F3C1
uP5n6492ToN+w2MD7ks=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OPGbU7NOwsOrrU9FiA0UB70o8CZzmp1q1r4J/c6lZja2VDL7QFx2DYO4Z039CIqQegZRxV+5Ptgl
q9zTk/51LYtY4tsXgxG0tPH/24S8SpGYm39CQNK97tarJvjVQbnhLgatePBPh3vexc56UVyXKoQD
vo3WDddG/ZDaXRW/7OzsZl2Y8bRAOgTUNOlZDqWtSR48d2lDJa2SlTsR4iZqkMApnMqr4gprRdTM
+lLO/Ef/WQyv7lAKu5HnWeKFQqVYRiCg2ruoKnAFfwXVWeUrAvNhTB34PASv1aB5/1c6J3vEohAy
M2xrkRffATjMdDMu7q+HRHtGBOVfRHpPFtY7hA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OnJPzj4BsYvusR9nFdO650cc4iRatIdCRLwOVx366vaNR5/cFvaDk38bN7DuNcJIfBbWsHndQbUw
VKzgMGNSW9NXyXUbL99UGOvYxgfgTwLI8c6Gdr1YjC+q8WOTUZXO7HkYVm+3kwmru8sZhLnfOEUL
wbd1QzI7WL/aKNxcFDk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DeR729koYASaEbZ88eheDitJwsihdDv3NFO+OSkLDglFmxHd9rWmqEqz6Nxr0qn3tYSzcVw59kxU
o9nlgCGkIF31+27lWWAdHsvasetvW0qXXaP3Lm2FEXhwcvGM2U7wW4+QVH3xNzLTGsSP3rHiloq4
TAaME8LprIwh0gBSekP9PCBc9hmgiC+rt5Zc5Psy2oAzcp9M7/AegZ3f/ckWy0XfVdilqHB9d4lq
PAM88fEjHkORutlz2F7kYWTxz1IhY/sr6NOFDfaSQNw60BZfR93jHvc1aAwMi3jhrfb8G0rd/fqn
xNGo0NjphXHz8pZxsbFJTvvhbSXKuXgpVCJ/Ig==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11888)
`protect data_block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`protect end_protected
| gpl-2.0 | 1dffede67a2516a139b9e251c9c3e749 | 0.938889 | 1.861187 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/fir_compiler_v7_1_viv_comp.vhd | 8 | 13,565 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
D/VWfm6ZBjn86ozXdzBjRrbUz+/n6d2/r4/OPnLMSbq8DhmIKxxaOzkedZ/CNNjQRRHc7HQS4qaB
atyC5+iVxQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DVJVVdcRsZgNYdmsAUDRzbQm3fbQ3ubnUuhYpxXk28QNSAv5kromUTfxAcDFeZh2Fr0nJ5ijmDFz
pUZrLW1naLwJ0IfubHzt93urvm+7GobIw/vHekOaz463fD722r8a0FX5aHnrCYcos+8M5YMRbYVR
IQheIFzRJHAJ9VHm9Fs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hqzl22xGj8cZXPpded8NWyAeQx5IlNAPd8eyuoUq6X+YOKKKQ7r56rfdXtAo7g+A4UblOoCdI+hF
iSF+YEuo2+4Jy381cYdne3eGSPe6XE6Y/+JPojDY8P+yer4IROyjzPrgtfhQkH90DbjJd771JzpJ
VB7gsZYmvGP1MeCr16fO122UeKLO9KkDy9Vk2nF3xGH0eVJM1nySmkl/fgpffyyca7AmDi8HOm2x
zmnSCn+J0pLGbrc+uz5YMMrVTxiymf+vi42wnCyW3xHQKbbhZCLT+OT7jIsVVgGSaXeO+rCMtaId
3wWjl16hn5A1GmhKoYoQYRB67DvumSOvCQFbBg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tD5+AOj40vxQIu9ghnCOny3tKDj/ljcxbsNTmlg8qTl1ogc5b63QDzlmYoCp2EQDNqQT52q/KM8a
kMHI28T9+blnGT8bhf+6sArMIz8xXn7JXMJigyDdUG1GxWPgb60LxSwxzh11vWNCAYOS+4CL80Ut
6DdP33DV2KK8nE5YSz8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wj74YSSF0qHwi+Gjgy/Wz6ENHpjYi68TvhyX5r8Xv5FT5LigeTcVkAd59RWDg6JRIRHz8/KjmE7Y
/B2rl7Jfo3syck2RCMjF6SLiLxOz25HYteh5TlYKb/YlAkA7jEbCaBf3s2xuuERSlaBEai+kv8Ta
2vyQZIYPE2am2oaUVCVzwgrbmoungaPYbuJldi28Ejang8y/DBUlKS/sMHYNmQKIPKf6Wu3PK6jo
umIOVTyKXYd5pHyJTQS+2FtBYMOXMxFP8QeHb0Ua7T7CHb/dgOppqOPMsGQGIpdJqnqgdoA1svUq
bmJUpFf7zPP6fSThi48gXStoKriEVxXMgfQPPA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8304)
`protect data_block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`protect end_protected
| gpl-2.0 | 6d9ff944e3f3b968eaed4b49f339b329 | 0.929672 | 1.86179 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log.vhd | 3 | 95,032 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
bX/e7HKXt5fB+Vp/no5snmR+Y6bAR0gZ8eOu3RjMWMySuG4mXkvEOXNCMaex7kZCNF3SJFo1a3Pn
m6EtqQj4LA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DaE0+omCn38Cw3I3i1/jiq8uL3Rz0Ja5LKy7ybMjb6phupUzKGzs9M6KvlPul6XWm4YvTfNKO8hH
01RqtOPSZTDe0FOGXWx+m/M1d8qMh+sQ+EAZk9p8iVnSVRmIQmWA8Win9XtntLm+lo09sr5lJQ4p
edPOIeqHc69Pffm5EDc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fjfIFxZLcdJcOCBuls/T4XWS7/PfAntYBtrz/GHgqfPnkNFzLVEly8zNJIHziQtbMu/kDBU4zazB
guJzJaEEojtlEIVz2a5xOFkNgFJ81VcLYJ6+uayRClvCBYV9RGEl+YUi1nBLh0lz6xukVzaRcWg5
sUMoxEwKlepaiXCMKwA9ZmiGNb5DeCBhr4Idyohgt0U2/fiDxHyYwe5k0wXUaxdo/4rFCxdRLTWd
o26hpf9PZ//M/oOcUibfyS82tix6Ei/2cwcDvnCJ3MhAtaLaxCV6PKFXg327fKgN2Iz8XQN8OTIG
utfw1dqZ5ivk4HBbUQdnCqEY6qKPg/tCsOz+Pg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Nf+0z41D75t3Bs2sakAG7W+75TxyRwm5e6r+E1esHRZLkYdy8dj47PYsT9hqZh8M88fBLOdcaelo
AfgrAlOtXG3xFneVLtwq/RkcYe/zAFu/ndKDdKp/Fd8h5ZIuM4KhSApgrPy0iuTlCPj26zVm03jR
zWUalXFDcZB3M7lxqDE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MbFcTBCjRb10u3WNKyLoegPmHGry4fS8H7G84zIIf+WnFRmQyQUZH/NOEhVZU3dCRYBA5aL0kpZJ
IoVJfFk+p12ly3tg0T+n/cvYABYhXeegKlYt0pphgqSskgypJdGASSMUKE2WTa12KDiJ+yQFDlSl
un8tlsKT+pISfuhXyfi+i1aCGNzOpCrDRYY7nxkXC8LMxjancXFCfwGi2lCHAFwhtguHu9NreL4M
LxpBpawTfBDaMUYrjv6JInU3rtMqyuYzyUueiLDdH9U3i5mRgVWqGye0SfM57dlMGKdrvXtRQFFt
T8RN30s2P+qQF2l4m/sBtli3DiQCGLphCz+/jg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 68608)
`protect data_block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`protect end_protected
| gpl-2.0 | 5a3ca5afa11634a728e1c26d57726495 | 0.953668 | 1.813276 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/normalize.vhd | 3 | 17,457 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FpzFmER7vLmBWiIRffBXE4S71SPKG5mtFLtJBQhOjsesKPaU/T+y72m/x+mxcqSj7czwUhiV39To
H06PB9bjAA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
W8L1NE6hMOv/k3EYkdq6wB+bYpqgyUbWLasF229OFhZ4WvMnaf4M2in0yThKD2r3BSuowl0f8iMy
K9h5vsJ6td6n0D5TRjPx8nL/yoRHwj9dy4Y+uzvGeUrFfYLQq4n2FBg071jzQGKg12ZW+B2Kxw47
z1JM+uUaBJbNoHxq/Eg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BLZAZ0IcyaAb71TwJiWMhXIb9hqcpKlVl67nX4oA184Z3LYGrtm6DuFZi9dmeyBDusgnpR2noIP4
1Pe6mgonql94Mz620j/jLoUS0X20LL+uxza97tGB0iiJoDvDfb0g/UvVHgFdS8xMvp05XBwHrdbm
0qHxk5bhpfZPjRKT5ap36IrKt7LbO7IA12HeuSl4h9Fb+G3OXli8NgIwm1rCMcugDuhId1G1I4CV
k/oSiSkSQtTli3t6G2YOlLirRxd22N+jhJS47NYtoT5Su1gJ+++wG3DiZH+4UDsCVu/4SmfRz+Kz
NOCnYGIdvP5PFURuHXfM6r50SrURHQhpk0ZV9w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ucn24gdPnN1kYbUbqIy4Em0uatkaUaGpAaMeU6upSU3bBzYvszdz89HsDLfasQGfQfIHp98nYW7s
SMXN8piHsKVGCDqmRtRSKk8AtaHhAqZ8zLHtl23NuZ9FGidO6VVHZ8jUeom32GtANmyn/keFwf2i
IqntbzMoaZijeqFJOUE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gKcHldk4iFiERv81GkDoDL8qunPDy4rrcK6lhG9tHQepsqxMWPROrjDJjAxGEZUD98XGq1CAqElQ
pbKR3spZ7YGnk1EWNzxw/tFcQSYrIrhjBzp4NhNMYU4hbn0pRoMzyL1EopnYCr3qdL+VB435jPMm
wUrEtPKCOpyEDmzyhHO+IzdDnSrSms+JawEtz1yRsfNRIGUTOre0D40J950YP1sM2HU5RAJnSeX7
/NgW4IFgVYv2pV9PjSNRmKa6e7KmrINnlELv8y+8Jo9i2JGf9S6P7KP6Ps/u/gd5PUn/Dn+LPSNV
/fCRnDTZvpEsK+NgMAZ8eCEBuEPGRo4yOsFr5A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11184)
`protect data_block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`protect end_protected
| gpl-2.0 | 957c47a6c6d309311a580daeff6ad222 | 0.936759 | 1.852202 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_recip/flt_recipsqrt_sp_sqrt_r_rom.vhd | 3 | 9,979 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MVrW3iH1zuJGrb0LaxOZfWREd6ahohJrHPBC6cTQIbnlTuiKWK2HW0ixBATydpMminmUZ9o8c+hL
+ULE6mqtbA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Su+fdr7iLmwio+vYILVk6UZsrLxKMznntzIMqRTPloyj/ktq2DNEtMc8inYpZ3+1DsJGMqZH8Nv9
BXtRouEXu+ut8d/KMKq2ZJeLfYegP6vkuSn6VjLDTTw+a23UOy0KLDVDzVYWNwlK+lL7cNABRzRO
0EahhBqHzAhZDH5KAHI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WwPlYBbDXDzenyPcViY0CZW0dq0KNuAJu5ErSb3mBnA31Uo2qvHMTmC0G3+N46Xq4PAQW0a6l0ud
PnISnEa6RXxphsziPFqlRUBpVq+OfS0gfWagub+83hZdpnZXV8txo9E0Go6LeP2ocguMYy41URR/
/szX/Q4SInrUFRes51lfDKVZ4JkuuBDBDdTyd7kxHXO2S4TFTFCanM1b3USubtDwbqQlFmKkJ/ig
0lLLFdMWm9hSX9YUqVg21rOrrNaKaVH/zXCA4218MwImJ1nYkc0b5qsJ/Ve3dAaXC/uyybt0RdvI
T0m1xAAPkaZ87ZHh1Rj+KJE/ynrneGYe0Tye/w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mm34ytP15m8qswuPYaEJSWzGavW4BWN24CbEdOE/hvrU3jNidCHc7b6fSurzksKvieXv/sLpT2hd
gu2Ack21ma/huh9SRIVydqiyf67llpTVTZv1rckmTcNd5C1mDHhX0IJN+nnLtvoVGzsTvrv9u+pY
zOx5Fez6UuPqDQWrvuc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Mf+ouAEOoQ8uMP084TLgBHEnQ6wgksNLBmRPsdAzZqKs/imLoMjJ9o27nleGH42qT0oTlwUJ1VNu
t5EiNghAs2wLRz7xPAcXS8WgAQM0rg6WTqFPUt5uU3GZwCyf5DzlmO1FI2V+/25fYLrsYf7lIIoY
xcOSTeqXUMjuP5/3F+mS5+3fVxcQnfwTHi+7TauFX9+TCuj0Cv/GRB58OG7jxxlQxy9AgzDC43KV
XXlL+wS4c1W9svCkd4LPS4uH4lExwK28fcoVvUE03T5+k9bVo6KeNhcgTId8w09ITLMphV3GTs3+
mNlKgeerTpNzkpZq3VI3LAjIHwqzzcgvR+5prQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5648)
`protect data_block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`protect end_protected
| gpl-2.0 | c00b56c863ab376ae3da7a00cc7a4e0f | 0.923038 | 1.897509 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/c_mux_bit_v12_0/hdl/c_mux_bit_pipereg.vhd | 2 | 18,928 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jZKOlq2+oQ725BGzk3r7rKKXCeglAjEy+2xqS0Vdit8SfFAXi9jj4vFKRUE+JVhhxfUbTTKtiOyJ
PeUJM/rYAA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CEMOWNQ0VzKSOHCAOZmEB152SCQ46PsqmnEYqOZUwoTjy3MO/ts0GeZh4lrRNvvaOYFi6Yh10VKJ
I11ZvBIzkwxgZnEE0nj3NdcjFUbtD5b+1g1MYXFht8DP7kFBZicLOER5AWqR3qgxxvx5GMakCZBt
+HI1ZwqL8cqjE6fLY0A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vWtKtFMzKE5A6zMd75UMqwTnkslaY2QTXwYOECMyBhL5F6dcFRSt309F9AB41oBoL9EUcfAgDCkL
YJ+g9C8g9KRWIpomO11Ns1qPI1O9nt+Cz7+wcWa1rjXGF/c7uDN7pAmi4+HrAVehjvUZfTyf2DUp
PQWkv55l3SOSZYHSUTpHVlOkAsFU2wQTdZgZ93L2DBj/UuX8NuV//biD00DOPWldWEiouQ7mYjY2
qvJ63a8CEghn4S10zlvq69UT2ejkin+7atsn7rHLCLJn+podWbuS6gU13GmoclLY8k+rXY4RiyeH
9IHKyzumTX6h9a8K9lHCoGSEouhLcPsqex53mw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2XbBaIWjQMoiJhLhqeK1x3XbS8u4LHVgO5t+MTGU5puQZa2qmuUlR1Fr9xr0gJASUe97XU/CLG87
djYe3luIe7cIW70+9YwXWQrXFrQGRSVGfDC4gtf3bI6p8i8zGcF5xFOwhOhPoizADIqQMa6n2sy4
q0rD8f2Gow35sjEnqhA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
b0+tSoXa00Ex4EQ5lYB/VoGYg2f6DeqEpDoKlmH4g7I5aiOMBpWR1b/d+OWLtkUdOjYDdM3Vkevx
0ucrI2hKOCQbAE04yMy8xlRJZzwa+9n4Q7LmsNl+ADlefnC3Tc0Lg26foU6H+gdrbP09tQaXi3e2
6hip/VnW3L8jQYJXa0fpaug5FOKJU7oLnZ4rctvMm7HJr9+dSOKCGDR7ncui7ji+yLMPKr9QAVk+
q8RthKmeh2I+3MmtGzwEmjzgL+3VWHEXxiLFiupkd+I9d97eHcZyZ1og9lJ22tRXTmqu3YbD87yS
81hnQuKW5pHFvpAlomY18Xs2PNMKRXGxeOGngA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12272)
`protect data_block
1iQtLO78lCbO2jGXLCWJyhTvryLCBJ/S+3vR7cyQ/TAdt6X7GS20ApIFeACeoiNj4aIVtUt9eY0Q
WGazxvP9QS8y8NmkCVztordV44c6xJ6ENuFSeD3yZkrCfd9XPGNlqXtQ0BYAYUV3rN7SGb6NJUkY
HJDJWyoEZOfg5zOHCVtMX3iJkwOZgaRIGWXjVKsuxJdsTHywS/Zo7o+1t25G0WOS4PEfnjEqIv9Z
qsDslDHPMchgs30dvsYpAKBCL4vPqmL0pHK9xl1sxWGEfLwkY6gFzrMSEC1OiCZ5ewEkhIexsI/p
ubpojoRkVBJ/c9kXici20LDYklg+kDPdYNNdxuZSkgSzR2oLetQ6fDEElBKZDb2xHWWUsnx6tuhV
6BuxZ2KNOQ/sniz3/gIAhJn6Xc8b7bbwMql/gSFQ/+T0mgogauK1IEnoP8tQSumA2q49B6rBZebO
LHOZhEKe4k1bKmVPx5/kwVUU+pOrzQEeljO5+tBCBT8YVtnTfTlUgar5RUwAR77u9Z8dXWB12HtI
SHFvw40GwvV4+PC5eHUA0A0NHAR5pgqZ7QiskxJKKicFzjpHjjdaxN3IcPx+DeIUeDFR47nR1owv
amB/46+wdnzziEG6wWcU4+M0pvsGyBBBXxDNayJIQod+jkic364rzbZtvcqqgy1MGQcUqJkrsoj3
RY/tBS72226aTZHMGye6zaJ2u/Prr23zM2WXLxZ47kiXduYDL07J5w12QgweQW6pqXgZ3y0p0AFn
YuwplSI1r6+51ZxhPXGBO4GdhtUPwvOPKJKMPq6CJl/JpIfOKeE9rqYuT2GrrXaTmKeD5OEF3Sze
CYcCu2yjFDAh4Wu1CFky2nfZjP1j+EJkLUiGUEwmuShjE29gNmfSVy3sIC2ppS3B87WTuXMy9OCd
DJGngbn7Ha6/Y8hilyRteuZeVs+UX1ObP+Wi80Tu3wIpFhnn2t90CR+Gyb6E96q2ujLGOZSN//iP
zwYzfrZagxHAZluJ5aYtTR5nWruvTGh9WXvfHF3wuufNxUDIA0p9A0eHRDx2Z/m4UtaH3fe5Pb8N
R8BKgX3UTX2eaRXfj0xX68nGbllGHVwCNQggS4ydD002v/3qC4zF6PSq+2hsBet2apQbb2G0LbBd
/STNxR/tJj5Kj7xFVfeH0Kjux9X8QWigACqKACSL91E2Qaskk+c0RAQoH9/i67AmMMKn289Fk8oj
mGnB6033Ahu4UHzuuKii6ySw/DuYvJOsyAIe2Udq0h8uKw3i7V0Ayxk5NoVEbP1vFdiclSI1NXdx
srRB6gHjY7CGocKXPfY8Vggzd4515xDMpG9llCfjGUKRFCNYAOwuPJaFown5IyfUg4EU4d73ebok
kVKVkRoNxXdzD02UgWTUv6KEiAsywx0JvrV3j67J1dc8P1w0b/3ysyAzBtvM02mUpVyb7qbkcgkq
yBdf59PUnpEzOdYxvKaT7+MIAouJcGDTWCuvofPJvDYM9HQ6ZSLR57Q2QjIWELGCIvWm8fz5TdB+
n836Uy1bcc4T2+D1N6RkobLOMC2eRkzGAX5p+xnecXx9wajPfG6o4uCVmX3YQtWNQx6fycaqT7wf
2QDjcI7xl28gNFkXLU6qXLSa/NV2o9qwgTg00SOoUEoJqwjBMsrKqU/dbJGFkFb8copI/t5z0ryb
mVejEhtvZ+Ozr3PMofHPiv7ouJlFfHbYU/0aO8jQpz99CkpLJIaXTi+zByziybK670FjYM6RFY2B
LzEKVv8GRrwyl0ZRcNiGjr5MP05C8w6z/rlvO+8AbBVQVtCV/NnkUaFhJ9bKRel9lgC/RtMmCL/d
Ey12mLDCvTVJE/FnLK+/vFYit2jjz4fP9MpladD16P7fh++IK0Ncuy2PSFquX2cyPeF+0P4bzBrq
6acUxPfDWX85oXXaSqmZINLCTgPu/RR9yWbSXU3Fw42BQWTBW6a+I4v15eLsLPQY4L5QrxXu1/D6
WUfw5bT6tdfU0t1IR6JDytBr+/pA+499wJW/sfynYuc1KMl+ky5u5qYE7hGEQSt81Zu9Ii3jpEG/
GRElf3QeHl1q/gm7It5aEHMjuNQWy7VNeGe8Gx2bNbOyD/Tr39OVAmghdPVyBlfD+P3/+QG5AoUa
1ltKCdE3qZosXA0p1Yfp3cnaUY4gJg2k+U47jGuN8sObTP0me/3TrVU8Hb5P41lxj78tG02XScoq
yGW1FEmwxsJvLXFLs9595WPhEorDB63OsUQG07Z8rcw88b7XEtyFhx/NwT0eIfMJ7gU+DCJIvnFD
h2YJbCI59wZp48kcrodOGsvfoJiq2w4nL2BxogkSISwHupx/qe297ZAPKRMyUaalM7EUo9+3V5/L
ObcIIc6MinmesdsTGLgBXjj8Gtiy5+g/cPz3kDA2p4RuTRtjilMvKHft3P7AmhxDiXowSOhFZRHy
EL5uHfmAabAZfqGeO0FqwkmzwoL3EFEChBPzou9Nh9Xee6aP9WfRmznLtldgzJMmDDvLJMNgzoce
gg4I/xwaejvwqO6DzgA8QbYHRvzSoF4evED5j9reeOkIY/dAB5a6UAsyGofHwXag6Kgu1NgLROpT
+rkMc17nRF/2Cvee7JWO56bf1JKzG1TqMKTZsQPejmd8EVKSv5hnSO6CMWZpw1Vwg/zBrsRloNM6
9sZiYwYFKNQufoXttpRurfX9MC2+wTqARg//CgB1O6YyQRJEyIVHoYMjaQ7gsdbPbLqSBCm+cTJS
1qndzQMuaZcshny2D8IuwEAVUvuhlG4h2xeL8JIhseavQCjcRiN2alpzICpQVeuaTZvZAW/4EO+T
MH+8I4D/mwz37UQGkTKSHlOk2veRbxnBZ9aFbmU/GdcqHz0P2T+DezSoXHfGYFuEoqILWnDLsvJh
mt3rvCSkNVMGTgp2A6GiucyK9AVtPC4eXEcXXMEUsKX5utpXF6v2/9YspJgeo/y/+CQZ2uJlMx71
U3mYpTDEIuxudJX9JGMsB5pfOc1qIQH7ntX5/El7fx8kybNJGZvNj9Fhi8RdorGSrwfmmmVexTjK
vtxE0R7KnF1tN+vLXIts3VW8tj9xfYNkWqx6Ue3CM9TxLucVRuy1yyiI+l/NgUyVIHPNiEQoCtrj
YPQBN8/ftTcMSkZJa87NHkbYT1AOD6EUGDIF32fuI9o1JSzEUkQITFykbAT413r0tNWRLjtig5XD
3sA52+T6UvWlzbTycQonxdVwZCEVeHbwBF5cfht9HGJ0v7bmyNN53nUx9UCHqj39drEDIXL1jkl9
O5zBbGxCZu5kKKrJKU8cuV5EnHboq7DWANk53s2zbDVuJUPNZdGs1SwJ/kFR4lcI9kGY+O2ekeiw
hTnpdq73UOyAlmrljvg70dC98ttjurqzajpxu/8Hyfzs3VKI6ey61Dpy41gLmG67xnS12PQ4hOlE
Y0JOfo9auqFeeKke7z7iVLfirqmK9wHS36MSrp5nFGqqBk2PIX4nFJB6Bx+GX6Wky5b44JqV1bGC
Pc9W7Wexl2Z8lJ08SkxZ/EyUB8NN77Na+1VTY+jV/x/KJZGeIKOovfWS/vM+/QgF9Nk3C+2B/n4o
Ek6A73CBSM+Lek7wO79HYIEUO2GorKcQ3ihc38Vkk5MTyxCmHifVht6R7wcbkk1adlPxOYoLZcat
lx4RtVSOd4j3PH1pZLj0EGX6UYjp7X6F091FQCQBF3NK5Ni0ZZV+x5bnIVL9Ii78a1DVBUNJhkAR
eogfALZefrH/Kq5/HXAmM8BueY7SmNkqw0HlTpdH4YGj8SDGlkGTJuPEvPq/yJpt6aGzFcNmIXki
vSWHnDIWJff+/OMmIhI6FfhWAM1gDiLSSYsJr4XFNbXTdIZbnJwmW5tKi4+oyOPA8OTp4vXQJj0A
A01r1YGZ0JzoIQO8iCCGtuUG+pO7yzF3zTFjVM5m8g2RVOKgdy0GOJrBXO2pmdoyYnhZ70RNw4lh
kNSyJxBLDCsWIDetgzYVodt75zaTrM6rlkxx+K2U75N1cZDtz3Y2iJcyIrX6f2QJmpUlpgm3FmTN
FFict+ntO73NC++txSKQOY52+kwTp9eLXG7+IZdM2KnTtrJwaOpRSrS748GikfP0QhniFfmz0+uG
DOLQvy0tIF7CYRMKjeKEfnPVDNmZGaWed9HOkTuVmYopeIMFSFe3WKFBD384UpZG6+jOh/edCLw2
Z7ochZBl89UYuz1p6Ss3ZqWu7TO0lKRSLh8D52Bb6D3xhfgApOPfFNfxP/Kl8rHGRp16TThOQs2M
1jPs4BHEhsxyCVmSgiiPWMUrjuH0NoGStZSxxr3OlcjMRG4hlhd41C9mmX9cvGzZXp619zTBS73n
GdanlIjviLPKcnKYVIP7lWbDEYlErUadNpHiQPEoeK2FDOuzNNYLoo2KQF20zd3BJ2NyP5k5FWVC
8cIDw0OV+EdCXrs5aEApkD9xrQ8ZDanvlNEb1LoyDcuZyzbcPQIU6T6if87KBVAlFVTLMyFCQDWa
7NJGZnFIcQFyLkYSlEytYo6vgqtZZonazr8iEaHYPzYA9bedYXDuL6wbW/5rM8j6jdmYJiDq0anp
T5Z0Re32guKh+w70HAJPNDMdYvHagOZrG/BDrQAuBCt6KeGBcgHdH46Hl5Se46MRYGmJs52lP88K
9GuPZNLNUzrmJTW0odcksRYIgwFplAhUVGKiW5CIiWr2hnL0dTGOFDDtMo/kgLnMyaHVgLulw8WC
XUyMXPNU11tKCXSC0BpoSOcdpDT4rcLg2S6B65VF4XsYZWhJEiIz/W10mRCjExjZT4M7Oq+THt/E
LudPh9QhF3XVwTfM+nOHgOkcxyBJ6CVCzFVuYVGcRlIlm+V0ftMaFxzbRaPsonBvdxDmMttSBEh+
P9TQbADJ/kUGo23OcYhsgfpneDcbmvOrhn0fGxXfox5zznpayA77PS0Bpy8IzRky3xp/nOo9IvkU
HIHzxLZ1COoiZQu++5dm0oq5WVZZT4EJqGPUH7q8RPjAeGPGMMYgKRR9z5FCS1y56QIX2w/W7OZR
V8acvW6qpDTVpg+bD+LZX7iHC/khrZ97wquZl+xSZvmNK8Sjc2Bxc4f/RjjXV/Me/grWmcccOYiH
/XbqcPlY87MblkF02vi2/JTnGN8K3hftBsl//dIE37zQZumRenn+qg+boT/6tefxAvpgWGbL+gzb
sUuGgfFpLFkbuWdWhZfyrPrK+oz9CgOc+bI2oo8hssWUafjNKSNhySSPjIl0mEAaXoEyXEMnJdwP
PSdhMR4Hh0MVxu92F87gs7IiGZX27BmOgGICvUNtV3UsyLVIme8mB9gZSuHHl08WUwXbGvJ8ohqL
1T9hTEaR3NN3mCqGmBYC+k/EmOUBT4ttCr9RcVq1UvIsWao7W6T0OkL2jY22NirPrgbjUOm3AsOj
sxJpdovHmvXyNuRkFhvuRnqxXGhp6OzhsJ2NQtjffV08VCdAr8qjDnLqGptLy/wHT8ywn2OdlFo3
zxiaBIwFqLJV93qi7S6yOFqBJW7FblJt9QVRhRmk0KECB4GwIsGl+NOkWoU+KLM6IvHvLZQlwXCB
vqCNTGVheZ2wYQm6V/4UAyYM8WsNZqM0aaDSa786KQKf4pZhhbuZbpm0hStbxFrNuELgr7nBLqg2
X1xCUP1xBLNQkaYq9VESjNIgjX/ol07ZgTkMQgqvTx2Qc++aYge/OAylPYkTuwVNd40fs50DjbNk
f7pEOPnCMyzLwy7c7h+lWDeh/vm0WiMveAD97s5R39bD9n1PaAwH5x6JqiKCqR8hik/gR9N+VAqO
mhK1qjjXUIXRJKBHJHSeL5JnOf80Ou9mAdyZXGEBSEV03DfIA65nP9ExKakGXtGgdy1X5JU+KWS2
GHlIm8m4x8hAaaw8MnDMsetl/wwNreJorLPvb6iWwQ6HWySCCeCCFrGi0eLFdhPZrOqRN8JdlL4f
IbXW1vBCyofSR7li4GPPh2ySPslb+Kk4vrfLnQ/OarQ22DR9xPcRn4E/33eUWPlw5FNN0aUE25ns
eXnuMWIb2B8R7NtLwWDjTG2aYFapjdXJRnTSJBLQHQZv7naJjCGqBIS/GrZ1C9r2giKTxpY/Rirs
q93GPzCmTacDRMyCxJ5T485FhcRsehkOrp9Y4IqMQmsTixCISFBjroBGxSeWGQ6i4Tr3Q1PAOCm2
z+VEDeXbgYWvfRSi9Lq2QQ9XzU0RArtk9qajpvzHZ+X6IcA0Qip7+wfXh/fdPzuymDc0iPNsjKBg
AU8DMaoJSP2MKcr8lF+8/uA9+08ne/9zQGt/hcJz9Xzg54wWo+s+l+fN2GAZnDDNcnIn2xJbsnfo
Xl8KsuAbrcPwmXnpPqy8uCcrUQLyEsSaVplAlYrJ909FgNzxwpglJpQco57CnrZQjXtJ3fUwRdIh
tgZBJ4qEaQRDsSB2Kb1jWNEfyCcg7uYmNmEgsx80wJY8hb4iClDxhDzai2gGaMKK05eiFvJ6eRRG
jPtlsWAHSFaUUEDefUnqazRknDVPhLc3VV9iExYqKM2sWxjb+JQM8Yb01RNmRlS73MZuNKGod7Wx
5wlJwjTtfA9TvJhomBbQSO+00GimHLmt3Daa9iZL7hi90yWRXph7avr7tLSofmavagw5iMsHi+E3
HvTMGmDjUfRT+qK+ekT3yYK535uoC/tk02k2DTAPYQGDC7vKhcbBRSHnm5W/pbHqyjYhD0bY6zV3
fir6z1mtfMyGAlunV9kYOQZpx1K1CK/nWr7tbDi6kVOG8jrBnY0iVG8L2xae/Q/ST8QMgEQMBJRf
O612NlMp8vKbO714P0ebnLnThE9+SFZSkL2HcPLawkvRlcqvTQtP2VeMx8uSFjQODYrcj6O0SzTz
lUpi5edSEpPoo2hLuDSHLWi0ZBPA1GIFJtcAPTOA1sFBYTJXopSZdamin1/bi6+8JgmecQtfT6Y9
V8ScM6XTc7NiNFr+xPAxVE2Gqyz2uq/ingODENrJNcCk4Rm31grRF0zUKylZbW91Kzr87J8wlson
pKoQzzjJ9sMnvauYOMoL2lyFCwdTq22ueP8ncKZCpOKEeHY3SlUAsjfgblOIN3auKO603OO8Uewi
d1J+hDMlgGdYZQe+8afu9fiyGhdAqbygqH4R7M77vxtqkVGZAHnm6AUTyo01JHabgODeEJtEPrRQ
XuPwBRbQai6JeKTRhQJWykdgpTWnSHqqFUq4mvdhi4KHjJSsUCkyZUCrnvygBshMIi7fdvmQnyNH
oU3jeZjKFsE2blb3zLghMpCEUJetob+LaJm218KUQ17d6Dwcm2JttwjMyFIOas3EFm3HP5KrB8tI
tVfCsnA82LlVbE011Xk+AFmf3dFWb7AGRXeVKuHavB08k0edO4u3Z/1t3BQYNcv4ppgXp9i6+V/z
Fv/vNJInesFxMo7yzbDQmZ4DruOGg+HWbvfVvCljMyTOfcVtlPGi4MyFI9g5WV9oZx3BSUSUFkrD
WxH3OlSX21ZHe4pfxJ+7x3rtpDqyXDGvF+jWiJcZssTN3hwyHMO4jmyftm4Yhvj8s1T0Y9jYhmNz
j380xIvFCFFnoJE6XOWCO2MhsoGx4VgvgZO7xwITeIt7LPcVpRYPV4Fe1SxPblS4GviMh1YmqsoM
tGVuAuv0722bOE/X7Si9zLim3gDys00mt71XT4wK091f3ElsjXfQEKJLjzfUzA4vbioO3joU0i0L
vSc7ndbmt7m4VcnlQL9MZGyy//6LvGRvIbSb1aMXytPr/Gw74QJy6v6F+29S+nUtXCc7ei6cws/P
G6q8NarzSm5yUUp0AzMtuq7MOhQyOdzWwu2iiI+VdtXanVkfEPXIxrxfqgq1o4aq+4ughHB/KtQx
Iu08X2viL13dkzZJCR6coN58z6fbhT5TL4UWmZ2F23SHsNusBDwPMFXa5L4Oi/HuDlNI4T2cm5LX
1/X767fy94iR11zLqT7jf96oD2+t/1C58sI4jRBemK48MEA5HhTlLgEyrBGfdkxc/WNnnqGlPm5C
YI7J+FypN8ucsDFtlBqj5IFUqXMG7oleVy246qwTPeSE9bX6wqrxcdwn7GqWGek1VjFDucpQHusP
9r863FnZqkcQALcCZgWKEncajVmDVrqp6QDinzTAQfRFEwlCqirWLT4BdWlAC5ltQejphC9vZlEE
/y8N10Tqzk0lz+yvKWkzKb8XhcrNAhlPtKu+tL9iR5GoOKMMKDyGHuKhvf8ZBWooSp5kOTJZagxL
BYIjPti77Z7qn2EcctmLEB5c304veoWwq9xU7IXGsUyLMaL6d7tfJppNNte1GMSKUQ5FwVn+iRSY
sjP1t1YbI5XJloOEdzJ8NKlHMrLNEhLtY7h04hJCpbA/GU9OZgwQLHeF2lMR90kj8CQtEj9vLBCl
dDP1sXcQYu0JL3xpHrN7JFzjZMEqjE5dCZ+yzSK5uNraoqhgqpD8R11KoXbnhi7QA4EenhFujueW
DwlEFVBoE+8QsQ6ARoU50J0B41sv9M4j4aKfQkoQOqARqg80swCAHtCVmpu+QZBfEnMiiwBmgnFp
t11gEnRXNRoQlx38k6Xxyd8GaR0HP1aI/87t+bslAE2IWA+WKoGWQ/2m5zduipjxUa/4rlyO7cim
pBpH4IXWknlyqlMNEv9o0V09QYw4NmRGQtFxYGyfdbiAip72w1I9CyysghatjtUtCRer3Q8Te4l0
uYMJylazbftmSLtXRN040K24CrgQ6ta2QcH9LrorCeo9rqYWvc+nx548F5+kTj4NTSfCIshQRulv
fEbXrVSfwGSRtyXqwJoTlKdBgz3/cCRO+DZuIod9pTeI0T3kOHRsSP+ra3mC2Cye7Pg13dREKa7P
dxAZEfQ9pRqN/n4gMt8d54vCNP2icB8DjaKbsWTiUV9ieGxcU/3LrjM05oNJQZ1wXNPGUlxS80DR
o1a+1GBp4Kv69Fzw7ch66xt3iPyPwHGStZXVrW3Rrpgu53M/hx8tq01UhMgEM3utqN/Sw1LXng9f
8T1Fxcgw+uy/rla1BGdGp0CG22TL3Prs4qk2sA73/4vhZuBmph/qFO78SgvV5nWSEkPeyBMmEmT0
HiosgYQzt5G89qWtd7270gP0lVaQV2p2vLEu9Ol+oT5VLI1ZzOxYBWHxcsSXMPshC+p/QpP3T/By
XRksrUM2XXuJR7ENLgJmtCtuv66yHziQx2Nswo4W1dFBSwcVBgXB+LEQEFRganmQu0ydFBxkNEdL
W6n9rRkZXXIVeQpm+EHmBUEVomOW/HsrIA8Ze0PGPJx1CO/dz0c5J93+ijZFbWngDGn6phZfoGwc
JNzp4KqP9Dj2zqaA7Tlo68wbK65SDO/UPaSlKgl6GRetQbycPgykapf3hLAse4iksJ1rDzJhQjb1
qkZe9ldQUpVKL4PtvsZRWM/l+/NaikcFChkQYzDEh7dMO5nlEqOdRwjVpAzOEmLKkOptluDxzrzD
Wq+XPdvESrpwkV3PLO0PR+ADcPf6CI/RWA+hsa+VLiTqY53LWECV2HRpwwBuTBpwIID8OP6VlafT
NbdcjkBqslevTqO9OxIhy7eL5msty6Lvt9ygm7r9TePH98nnsSHL7Yo8Bj8OXHiNntXsU6qe1Imd
GBJiyz4UgOKaHS9LSFlEfvuOqd3Od6fzorYOR/XgwYn6BCVRd60czBfRE/tkAjBMLdZHTJe2Ciuf
/1MZLfb6Q03gqxUay7FJYrt2ujpNWtTDtCfcwWN7f57jdbIz0UghilXSLPT4p/oCRylDqeWOx71j
Kmpb94ybVg2rAMg1c3wgzOLG0HivTJEWPLNltrlDU3sPejR5FtKt8zVPzLIlcLNQp3+PSmUvPdAh
DteDofTC+QTypKdBz6/RdYQR+iQbwFh8gyo41C7PALc8uKPcFQrKOjkq98+7nYq2yh1sg61YmjBV
gNd+N6X0ZZjZBarz85lyOlQzooTTlDxFxPyX1rlm7xhNPXPAIrFnXnAEicXQlUuuwigQ+9hD5tlm
+G3RVVKQetsmjRTvCH5xkTmHUPMAHWx8vul0tZeKJBQbSHFnz5esfRwIvWDD5jzsrh5xn4CKuT8k
jgz6NJfwUlQaP4z+Y0O2n3fHDogIuip92ACczJDw0PsNX5VwWqnjHx2zrjDnoxkvnCG8XdUiw1ip
tPRVnoKtV92zBOtjCjKEI9XRPuP/c0oN+/oJ0uH4KvbFKBgOqPCHU4JABsD9SHThcSvXbyIRFq+t
6/Neq3ipAML425m7KhOmNUnFafzCTVesQny58GCEAPPoxM4X7EegEM8/M28mTCBUDmlL1lYmZHxC
qqjuroJThDL3X4e4JpPdhyPkL5Qa3ReFTsM+zOXzgfKxAez2Uk8CXE5RJ3uBThA+i+f9z9d1VgmN
A19Ssxx53/0wEbmU2unSB9JxHn+MgG+Xu6th0RJ84aulhfClFmrkFmkww0fH+Q8btduvKnaOGopr
ZdGdCshPyWXSDwIIvbxGjkKa57X35S7nOvKyBqXnUapZADQjDXH3i2S93qZuT9xk+vXSpNEi3B6V
eedjgaAgb+QWtUf3OwYls23f2deoKBL4TONafCmhs/L8oxVkKilJ+RF91TY6O3OV9vP71eBWbj5n
s1W7ndCi4ZM1Ps/8Fzo8BEcw8ZrR7f0hsUMUXVTbPZlD7qBgBLw8ipFV1qERkg5H4aJcVqQqmANQ
Azx7P7Pxuu2PhFPsto1NBbnQkUD+pnNSIh2y2SPlBlSQNkceQ9WJ76L3fwZ0Xvr8SvTEdDvkBFYc
OSWua3UqchDXG1RHXoT7EVqcQB7BHR1WRg7VanlUFp+EN+3wcXN4wcyUcJoCI+pVx3gFfdeeG/ix
4CkONnKUP9Ap1/UUSaaS+DBVsmdSqYmz+WqW+A3hPIMNsASrE42mtqjS1hBq9xANaIZnMQsi/BBq
HvrD/uW/9ZjiLLyNKPDKSagsyILXQZuizmxRN+2ZmDEjmDK7H7LHw+i0afvFTadzzdVJXglQbgvJ
hhIYBZB2kyLD3VLDyshfanJdVLJyl4UYAe36CPBiIv8mvoJOuxoMZBTPJdUmru4Tw5xvF6ixnFF2
Bz/UIfQMwAgKryx0ioEJUs0SLRq4xIGK8miavG/PwEk2GjVohHRx2Jfp0u8iUMBaejvALnwMiL0E
BE/OsdtrtcVLteyFu1HswySA9XSiPrOgnU093xYhgETRJKqPnV8HoFm50vM3ke5UOolwviaOQmL9
6kLeowVQa10Zn1xPYRHOKwrYjDSZADk5sMKnWKIiHXkllmvPUgvZtnS3arPEPP1mmjm4W8Dcd18o
oOcBPQDTI3yp6tzkJumXbmB3GvJunhkVb5cBlQHVVSVunsZm2Fu9Qp56CDRHz4/hH8sZJ3/4KEqP
7UjzX21BrKotfKj/qsDcg1A5S8YAJkJrpK4F3mhWHcAeuXSIQxo4/J7dgvzi1GXuGPm3Fjd3DRRK
An7nr9tJLFqUXZ4f9NwaINRV4OBm+PLYUf/Gj8Uuq8UQVKJ6zUwmVTztGQbtHRB7w/MNQls2NRn9
fkXjQy0TEA7rEeUOy0jiFe8G5C2mafTkbAVXDdS61Ucfh51+6kDa7ohwQ9VMRYJHoCzBIpC2uWM9
EbQ6JMofd89RsHslq4aeqLaA8vP315BOzT8uiVpP/BFXUUcoIAsVaClAxbKd1MbKodYm9O0yF05T
YoIA8EQTnQjczAjPOsX2VUbwMbZliFrgj24KJ6GILHMxnize6UOVCzbgqyqE0K1VuQ88eBapBIhX
4kY0EWnzh/fFo96Pf4VSCFp2u7BiR0SIj8MNNHR3e4nhmzjw/5POXfsmoswWlGrfR26fFFpL5yyW
/5iME783QwtjzqqaW3dBOPNOn0UYT1a4iAuLP+iQtY9WsBfBdQ+Zf7gSL6o4xov/u8m9lwOqIgKU
4G5NhrVrJ/qB+L/tieons2k4GrJYEQqsh2pvEjZ6KJX59c41JYJDaxPwv50xBxtkNrblp59rQQ4u
L0p84gzlxOa9CscvajGaVNBh1eNLXkknrjKgC+b07viys0kBYz65XLFwdvx4hEgvsDM2JYCaRUq+
FSVOugZRXtyFf1tVojEKPLOx0xD9UcPRB/9wGfMiMEAONr8NFhwkymHLDUWRBlClpzM7Ah2fHyRL
TLjQlUVLxQ+r8pyzuXcy7MLe9JPLnT8z3Br9EpLis6MQaDAocvUyB3qh9J7Hod2I3Ykyn8Nv1igP
3s8MFEx6m+8uLXhvfDkzJYwdqCCj94CrysoVUiNdAismIEJ+fTZ/DNYyAog5jqahfjGxu61Q9pum
2egigt3Bv0O07khIxRq9LxkzJB/FLSmy+hU/9Y8PJUIJxkgzCTuQXjSLnsLGxGffa4PHdXzVD0dY
wZnmfT8n8X6V24J4A8mA0BLW/JEh/enemY3KdIR/CbMYiBs2qzsLxTETMcrgBmbkVqTSIpuTRiOI
u1Dwd8I/Vaq8XIXTcFOqSIaMtu/GC0dpSO5Lb8iBdWSn4PtQHRpiS0/v4Lz3e0fBJ99YF9fdWINh
gtcoyX6TpR6ouIbtAX2CJ/AOGaZpiCpencmLRtVDmUkCdaZyg9g/efrNQAze5EZHhglvQ3b711uM
AnQo+L0kFyiuK9WG9opGj0/1oTTwYFvxXdTEU5LEG8wH/7m4fXF9+hsuxLyIFrw2xpBq6dE0UIMx
jbl6frC3BR7BMvcBYmaUeqClZlWyKCz0XnTCP2fBuDsAAUewFtdP/qnzyRCmhg2mwF30Ttwn7LhP
mUwnHHn4dTYC0N8xZM23eHPjYS7ap2AseSG/xeJ056k2lzl9fPpFFZJYyqkexRzBK5/TLBhCNrgr
Ukj5zbE+QdwXTvImriAwU7CLg9EJ3y308b3WepTnKNl14qdy2AslCkbhT4MK/WDBbSodCW+KeeDp
+VSXX1w209Kn1L2rHOG5UPTFgceQKB3Da2YFXy8vsK/gW79AU23f2aOZhdzWkn/YUFicrB/7WAyb
EvEHka065QarlDy9SlcL8SGGBIUw7J8Z1eMr2DzETaBiI2ZR7QD9MTtZSre56Mu9W6vQZTRnMGtW
1xyuQdLNPcdZ74Qd1QPiLgLl3n+Gxsyj3Pt2kvD7U6HLJG72C7z52Ih0DZYPuxsmqTUKXVa6pU+l
wP8cw7QrR2Fjl1fhrIisBfsTr5KzJUyUBNJGwF/OsPIYfl/TqwL6CSQj/HKFPHSIKpjqbsj3pnr0
WF9xt7Sw8OOXneQFxSnwunvC7/innMsp4I/8z+9PmTkxAfKoLf9lMiMZQw06uPTXpBrDkzBs2QzE
+BQ+++MraDB4naNn4+HjhBiOkO8hW2wVLZrX0f1jCV+RGW8qhZiXynRzYpToUHxRQWpTREKHw6Js
dD8tZ2b5T5nOTOqWmZlmAqcdrg37vGLlGUqyD6RXwv4rVW9l4DQ9aXoTxOdE0eqHNxXAlEFU6QxI
h3Sbghpl+pWQyFbsjnOWxXV8L3nCIeP8A972hAeOs/pEyRSV9IiY2wCsUX8xv0qU2HOsf63063O8
SeVPhDBz5VM5qtSxOl1zC053W3bLxGyfAbej/fJaSUXvWxC4AA9vxWK3rU5TPmGkNJxoMAn+y2vo
X/jazMyrFoo215GfLzLOctUb0EmkQhpgQHmN0lEyScoUJivin2423J2nQf/VMe5Wvqx4cFjAimsU
Te7vn19jXRnP6JuhcYXgxzk+lRi7H+2VRUJz/jlVEuD22HgegX/cVbvtOwTtzHGNFJejlHidTty2
S/v3xPTjVFhUevTHec8Ik+6ge3DiiPUiCWY4PimvO+0Xom51gWgbmwcuC3lOpGaSREf3plf4ecdE
8PAUPCW+uN8osKRxIzFoXKytEQOn+bseWIddiE6Qta9J1PFOm3feYXxxvxZ5U+uO5U2ZADB5QcJf
kkO3vxfQk9WX4Q3FfBcIPjs8/CrGfhB1d2bmH3cfBg4Elfctstt+0vKjt7c054kfGW9Waa4Dz+WG
oWJFE5gMJ52k0wFTW4nLjw/OYrenJ6tMCjbLqKHz081ZOAfqgOus0qIozXJwQk2/GAqaCopkLk3t
+gjUdVkQPim51XxLzg09PruWWETaX45C5NXxN9xx3n5EiCaBnbXCEkw7aQ7IvyqPY/LEJv0VBXIS
PLU2maNh4g9VPJ14To/kyBYO3h3w2LkKWNJBejdBzzkgLrdUVhrcoCjCy10/XtSiKYPDbRmiiD+T
20sgVlqSby3bwBXp15pV7gBnpqZwh8pv0g2NkXKE8C5/E6RSYziJWmu7790RRr/AeN+C+o8e9xjY
xb2UOvu6exi9+qeOgDe5mXvNhpNY0dzGlB6TYXnVFS8i/W8F8kGrY0pr4nf53yJ62JZ9tpiAla3k
sD17siX1ljHV2hz1g2xTDD3v3uVVgVe4k7jMV9DTNb1mhaRYi5rIRTJrf3agIUpjcxSprNqRpLqc
1kBAYzoMomvV0XyRlQXnVuWaygoXKF5Qju0N1mSmtdfme034lnG2GY1VXNxo9dao7+jdM+DhjEUh
T841cS2DwbihwVeURRh8QLgf6+8KPvK2DVdhUFlt+Ev9Q/c/ApJga2+mk1kVNYjCFPUEGGRZH8fo
SpfBIQuHW4LFh92FGUGWaG2kYfzEKp0sfrlPW/Ua9PaWotRmKqsOPv4Z7c2mSbMuOkgdX70mYlEZ
n+/iiDHpjSagb5wTI3katQqbUhnO9IJnQDT8ILAK9fpbclNwtYbOOGWSVdGU15vwVb7SDT0zK2hx
JCplmxK+/60YYBt38mcv8u11aFS0AKBcSOcQ56bX9youn+75b8AysFK7py/9aMzIdP4Na+e5XwyY
3EmElQdwF6et1/O+oGahcg595pT1bPb9SnPWIR765/SQpbRXfakCdcWrGJKJGTZYHTUOnhVreHyV
6SuJWF1lSNI6r6YpX0mQ7qun8xEpDLPW/0j37wAtXqkiUbwWr2D/dnn/5GSFfl6EmY7vj2TCkKL7
LSnxbQqmxBRVty5heicFcSIlS8zMayQFIzxjDbVBjQ4BuoW5/Yycq0JlRk+EecfV80N/PSMlpwx7
TF91dnZnPcin5Q6GMcfdplrEpXlri70bj4R0T2wkW6RGQ8wCPr8CBb8V3MTfRlB47DVqEih1cdB5
wX6z015mREk7//tYDJN6hoV1MADnWWS6ujWGF3lH64o0DZEg3xxI1Ih6j0W+QMAwD7va6oPoyye1
a1JWjCYWuHesYz/MW20rGehKEIcASNH97rTWjhzDpJyqMcy4p+OI+1PJNr2oSHITKYg2n5aYzw1B
Z6XJVt80ajQh7EayDP+EqetvxbI+RV3Hjm2aVSbH6TM84LMeB8Ng6fpudGp+aRaaKVEl+IK7KHOM
R3rjJFb1EGu0sqeoMXNKfcPIvyIpI7BC5Aob+jeBQWd8DYFIGWpevQgO/aDoT9J46NlX2o2k0Cyl
3g1mVMNbY0NhieXk/X1Uj2kA2Yx6T8hbnMbOd8g21OoDspZo5C5BsxNDKSQBVQEXx8jK3EiwB3wg
1402d69eko7lV0AaeodHtaXJMNXY+RDdYhRCGNec5j1AcqOexsiBU65svKdDC7Z0uZomNzox+AOB
weRkXaQIoZXg8NW9lPAI9gtjAh8QF/SpFbmGIBTflSc60p5IRa36ek2UHYC65bw1xbCHdSjJ246M
7ytpZpLCzxXA0ARBeEktvIu22NEWTcxUePLJsdf4C7eATPKJKelXEfgBy3QPtZWECetG9MLRuOYD
Ycg2jtSZwabK1HHgmhVcgnCwu9uvUvyDgBgYk98sqZeF15fCSrtGIBPaB2mQA2FHwG3jyYiQpPBl
wDF6Xgc60FKn6fZWoBfVy9e4ceBbivg4qI18KpUbVoA9LBCnSh7cOlHtmoJAW5Z2B3FVm1N9A6qx
4AFz27y55qA3mxXelIJrZfEb6xEnQ8JQ58O62atMSOk2ihF4F7ZyFJVZ6Zh8V14j/ybXtyLJZmjy
ysoShIIqMA0YAts+4QUg+KVlR5Hh3dVdBh/TeiysPs4eK3NqDvquB8YEqX3f2Rgif7Jg01c/PWBv
z7Lpt+8cLdC7V75yN1QIF34mQVE+VqlGeroTXWGa7Qdwgp8Kpqu9tCKi0iH2hgjFhQR6uTUu7A0S
xnnxOhbH/5r3b85zxR34b4qSn7K+91q65Aet3dMmR1AQPx8idAce1+A5sgg9TcfgTDqM4bKo8WC3
/3mDIudeSaKg5YDCPdsQXcT0K9l3nQQ7G8yvj9J9NuyNnaJKRMSFwPC6Kw53nSjI+OyED7aMIBl+
zmOXXrNmdWfdapgFMscBCwYB5qXk/1wFRi/REU9crchKR5PhGKwttIDRMu/yrCrHYn8slkloYmbk
A6e/U6Eo8amyxUECPhWDuG1icQ0QqpcSktUtIITI3fuE/UNpVp1LTw64w8te60PfTzTj3S+d9c+Q
ZZ5nBmr32OrRwkGX+TOtt/I=
`protect end_protected
| gpl-2.0 | 69581aab967f68deee2c4159c8945db9 | 0.93993 | 1.856414 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/c_shift_ram_v12_0/hdl/c_shift_ram_v12_0_pkg.vhd | 3 | 43,807 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ezxssGhSHQpKfV0uX0n5dhR8JZBaoBWRUYZfNU5CRx5cYeZHgjirO1aWdOZwJtAP/p3bpj12/pvv
6/KlK3A5OA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oS5FRsvnb26BKrgtVVf+i+a42Si1d8YSPYqti4nFyxo4MQoSQGnKJ6hI5zX8U2H+Zanu8fhgYOrQ
X4pC8ExQKyy/8Z9egUJ/k80ECb1r4Qtv2wshaDeaWTxCwM072mTH3KXL5ysi1EGUemjpR08vNplH
jT8y7Ss+vxqC+vFiwp8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ipYSd0gvmazPsIYc3cetG8huOhJmO2dtndVwhk+CqAB7vCOMvC4TEaWPTo4QYbO9LsyPJydF0M48
WNB/C7pR6EO+Wv3J1tLYswE6KJL5RzewQLJ5AGBeAMu07O7pEKDq0luC3xafTPznyKRtCK64vRBw
doCjjT7iUYtHvxRClUMurdO2KabyycMuQbCcpth2K3PGJQjaqMOXyebIDBFZA//xUMlev1iWcKTL
kE7G8rJHXpoKt1H5FSIE96ILcKX1J76N2iFXDuG+wBhLWgCUG4VO9ZDV+Rzd0DjDSXujQz4wEYnp
wRebgkMyWnKt52qKucyvHIp7E7NwMnGE6XZaDw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
M3yuIyFEtPyA0FHwRpxr8QhGgdREt3auD6VhaP1YhCsxn9z0L2GREyGhIugvBKTvbZQ4USNnMW3V
CeL/mxUSNEGfpEgV3JSgripUWsOPrmxiGZJOfb68Gi/L/DmsEoVLmezu1/zO4pWk+ULESSsoWV9t
mCZdLa6Cd5vlYRm4BIU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KYpsE5JuRwhNUu+LHOEG8YPRm+EdfnitnbG68hqwWGNQNybiuOCamVW0lr9rEFdEyyw1XfFS+vCp
jl2q0dwc/OqK0ieaytpvCO69V09boMNf98chnjlKveiyuQpuLCzhD9YktbJVNWBE6tOSxsAPefyH
vD23cUJ1IlDDN0lwz2kYMDy4oLZp9olY1dKgbjAM1hL67pI3dd+JjfuFsDGB9VAhyO8WncMt39gJ
H3HTk6LpZK0YlwGx7LS5qbyNi+FzEmB9nctZozbKbU7NhDJuYj+tTxa+06it3HH4m2hxZzIBu2Za
ACpb1L2uxSbTcUCbHX0V73uxjKCR0+L3GP1Tow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30688)
`protect data_block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`protect end_protected
| gpl-2.0 | 2b301e45fa60c978acf31dbb170ad58a | 0.949323 | 1.833006 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xbip_addsub_v3_0/hdl/xbip_addsub_v3_0.vhd | 3 | 9,026 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LaIRPUMV6P09iOn7RK6wN0XI3krsnNEJXZel5TOOGboUZapDNHyLPsJLe5ZpGMtjFX9+Pz34GX4h
WwaypQGYFQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
doBXszPYYENaJUIXHJAvppGaOCKdFQKyfM8gL78NWyxJ1nxpI7CnLAbs89+pfKZncJCBdBuGetlc
XgQEuhIu6lHhJxFAWD6VyPQx5qIyMhacTs0AR/8uO9pl7zW01bKJyIR5m8T39Cw4KweBT6qR0vk1
Jy5BbVfdVRhARphnzcs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gZ0PMyU9byZU6hT+V+VnEU+qUsz0IAvV2fD1FAhwmTlL0LZALNg2rge8TPlcwifXNdaak5IgQMzu
tNfEDcj1PMjCQNuBofwtK3gUlwWm73bdWup2G7o6Yo8IaPfscoQ1+zITsY7JM1/xOPU7Jvh3VxVp
rgFSOAE5y6HAIZnWFu5dMs1gGSca3FyIguwBiKuVFe/BXpfwvqfKOmOrpVBzHf+X9hXCRaW1EOwJ
StZHGqpQ0ens/5ivNKmE0qM0ATYpAlBqY0WO0iTCHdtBVInGE2QVS4BEY9wgKkhBiTGGdNOd/jPK
S48EdxQjrv/4usgibAINCvebIy7tDZveB8bV0Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
F1zD0AiSLklEuzE82lBW5imdEt1dy87olD/TaXuaq8ntHciD9SdvQ3u67QLHD4VzUZQI/03tJb0r
yveIVbdQApvXRe+cka74DkqdW9w1vkNQPciBRSaqjAXZexmUXvEWc1ivaKN+hHLsvW7zPi0ViJlD
jzO1MT7rekVVLrazr/M=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
H+W1mlzIk7OsdJI8Ayj0j0nVFX+uPfEsESen5Qd7HBfLyKzxCfIE+PkGElDdf3LKg2pAmRaSJBTE
/0O8HXEXYTilbZcmHsCqSeOpHz3SUIy/Cc8lyby2v74+XROend7ss7SV8SIx7b/+lmtapn1cQH7S
gAp7IMyOrMWMwkeqMBRUXjoiDQZw67W/gHJhKqa4BYE3P28SR+jEZjYi9tKFRzURMD4tT2mATw85
+GljcZHbAayt54KUifUOW3mGfutfwXucE9QD8UMV45c5Bf4498LiooPbczYA/IHDAktc6DzhMQP+
V4PKLLwAe6dKBdam5n/6qhRbxhhpUA6nGPaqag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4944)
`protect data_block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`protect end_protected
| gpl-2.0 | e68b03703c4d5414da20c23eb128a487 | 0.922114 | 1.921652 | false | false | false | false |
amerryfellow/dlx | basics/wrf_nomem.vhd | 1 | 6,875 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use WORK.constants.all;
entity WRF is
generic (
NBIT: integer;
numWindows: integer;
numRegsPerWin: integer;
logNumWindows: integer;
logNumRegsPerWin: integer
);
port (
CLK: IN std_logic;
RESET: IN std_logic;
ENABLE: IN std_logic;
CALL: IN std_logic; -- Call -> Next context
RET: IN std_logic; -- Return -> Previous context
RD1: IN std_logic; -- Read 1
RD2: IN std_logic; -- Read 2
WR: IN std_logic; -- Write
ADDR_RD1: IN std_logic_vector(logNumRegsPerWin+1 downto 0); -- Read Address 1
ADDR_RD2: IN std_logic_vector(logNumRegsPerWin+1 downto 0); -- Read Address 2
ADDR_WRC: IN std_logic_vector(logNumRegsPerWin+1 downto 0); -- Write Address
ADDR_WR: IN std_logic_vector(logNumWindows+logNumRegsPerWin+1 downto 0); -- Write Address
REAL_ADDR_RD1: OUT std_logic_vector(logNumWindows+logNumRegsPerWin+1 downto 0); -- Read Address 1
REAL_ADDR_RD2: OUT std_logic_vector(logNumWindows+logNumRegsPerWin+1 downto 0); -- Read Address 2
REAL_ADDR_WR: OUT std_logic_vector(logNumWindows+logNumRegsPerWin+1 downto 0); -- Write Address
OUT1: OUT std_logic_vector(NBIT-1 downto 0); -- Read data 1
OUT2: OUT std_logic_vector(NBIT-1 downto 0); -- Read data 2
DATAIN: IN std_logic_vector(NBIT-1 downto 0) -- Write data
);
end WRF;
-- Architectures
architecture behavioral of WRF is
-- Suggested structures
subtype REG_ADDR is natural range 0 to 2*numWindows*numRegsPerWin+numRegsPerWin; -- Number of cells
type REG_ARRAY is array(REG_ADDR) of std_logic_vector(NBIT-1 downto 0);
-- Signal instantiation
signal REGISTERS : REG_ARRAY := ((others=> (others=>'0'))); -- Registers
signal CWP : integer := 0;
signal CWPPLUSONE : integer := 1;
signal INT_REAL_ADDR_RD1 : integer;
signal INT_REAL_ADDR_RD2 : integer;
signal INT_REAL_ADDR_WR : integer;
begin
ADDRESS_CONVERTER_RD1 : process(CWP, ADDR_RD1)
variable baseAddr : std_logic_vector(logNumWindows+1 downto 0);
variable rCWP : natural range 0 to numWindows;
variable vCWP : std_logic_vector(logNumWindows-1 downto 0);
variable vGlob : std_logic;
variable INNOTLOCAL : std_logic;
variable vRealAddress : std_logic_vector(2+logNumWindows+logNumRegsPerWin-1 downto 0);
begin
vGlob := '0';
-- report "Converted " & integer'image(conv_integer(ADDR_RD1));
-- Either OUT or GLOBAL
if ADDR_RD1(logNumRegsPerWin+1) = '1' then
INNOTLOCAL := '0';
-- Global
if ADDR_RD1(logNumRegsPerWin) = '1' then
rCWP := 0;
vGlob := '1';
-- report "Global";
-- Out
else
rCWP := CWPPLUSONE;
-- report "Out";
end if;
else
rCWP := CWP;
INNOTLOCAL := ADDR_RD1(logNumRegsPerWin);
-- report "Local";
end if;
vCWP := std_logic_vector(to_unsigned(rCWP, logNumWindows));
baseAddr := vGlob & vCWP & INNOTLOCAL;
vRealAddress := baseAddr & ADDR_RD1(logNumRegsPerWin-1 downto 0);
REAL_ADDR_RD1 <= vRealAddress;
INT_REAL_ADDR_RD1 <= conv_integer(vRealAddress);
-- report "to address " & integer'image(INT_REAL_ADDR_RD1);
end process;
ADDRESS_CONVERTER_RD2 : process(CWP, ADDR_RD2)
variable baseAddr : std_logic_vector(logNumWindows+1 downto 0);
variable rCWP : natural range 0 to numWindows;
variable vCWP : std_logic_vector(logNumWindows-1 downto 0);
variable vGlob : std_logic;
variable INNOTLOCAL : std_logic;
variable vRealAddress : std_logic_vector(2+logNumWindows+logNumRegsPerWin-1 downto 0);
begin
vGlob := '0';
-- Either OUT or GLOBAL
if ADDR_RD2(logNumRegsPerWin+1) = '1' then
INNOTLOCAL := '0';
-- Global
if ADDR_RD2(logNumRegsPerWin) = '1' then
rCWP := 0;
vGlob := '1';
-- Out
else
rCWP := CWPPLUSONE;
end if;
else
rCWP := CWP;
INNOTLOCAL := ADDR_RD2(logNumRegsPerWin);
end if;
vCWP := std_logic_vector(to_unsigned(rCWP, logNumWindows));
baseAddr := vGlob & vCWP & INNOTLOCAL;
vRealAddress := baseAddr & ADDR_RD2(logNumRegsPerWin-1 downto 0);
REAL_ADDR_RD2 <= vRealAddress;
INT_REAL_ADDR_RD2 <= conv_integer(vRealAddress);
end process;
ADDRESS_CONVERTER_WR : process(CWP, ADDR_WRC)
variable baseAddr : std_logic_vector(logNumWindows+1 downto 0);
variable rCWP : natural range 0 to numWindows;
variable vCWP : std_logic_vector(logNumWindows-1 downto 0);
variable vGlob : std_logic;
variable INNOTLOCAL : std_logic;
variable vRealAddress : std_logic_vector(2+logNumWindows+logNumRegsPerWin-1 downto 0);
begin
vGlob := '0';
-- Either OUT or GLOBAL
if ADDR_WRC(logNumRegsPerWin+1) = '1' then
INNOTLOCAL := '0';
-- Global
if ADDR_WRC(logNumRegsPerWin) = '1' then
rCWP := 0;
vGlob := '1';
-- Out
else
rCWP := CWPPLUSONE;
end if;
else
rCWP := CWP;
INNOTLOCAL := ADDR_WRC(logNumRegsPerWin);
end if;
vCWP := std_logic_vector(to_unsigned(rCWP, logNumWindows));
baseAddr := vGlob & vCWP & INNOTLOCAL;
vRealAddress := baseAddr & ADDR_WRC(logNumRegsPerWin-1 downto 0);
REAL_ADDR_WR <= vRealAddress;
end process;
--
-- Handle CALL and RETURN and WRITES
--
-- This process handles the three cases concurrently as they all need to drive the MEMBUS signal vector.
-- Because VHDL creates a driver per process, it wouldn't be possible to create a different process per
-- task as the drivers would conflict and force the vector to the undefined state. The solutions available
-- were to instantiate REGISTERS as a shared variable, or to manage the three tasks with a single process.
-- The latter is the choice we made.
--
PROCESS_CALLRETWR: process(CLK, RESET, RET, CALL, WR, DATAIN, ADDR_WR)
variable index: integer := 0;
begin
-- Synchronous
-- if CLK'event and CLK = '1' then
-- Synchronous on double fronts
if CLK'event and CLK = '0' then
-- If 'reset'
if(RESET = '1') then
CWP <= 0; -- Reset the CWP
REGISTERS <= (others =>(others =>'0'));
else
-- Is RETURN active?
if(RET = '1') then
if( CWP = 0 ) then
-- report "ERROR: CWP IS ZERO! UNABLE TO RETURN";
else
CWPPLUSONE <= CWP;
CWP <= CWP-1; -- Decrease the CWP
end if;
else
-- Is CALL active?
if(CALL = '1') then
CWP <= CWPPLUSONE;
CWPPLUSONE <= CWPPLUSONE+1; -- Increase the CWP
end if; -- CALL
end if; -- RET
-- Is WRITE active?
if WR = '1' then
-- report "Im writing " & integer'image(conv_integer(DATAIN)) & " to " & integer'image(conv_integer(ADDR_WR));
REGISTERS(conv_integer(ADDR_WR)) <= DATAIN;
end if; -- WRITE
end if; -- RESET
end if;
end process;
OUT1 <= REGISTERS(INT_REAL_ADDR_RD1) when ( RD1 = '1' and ENABLE = '1' ) else (others => '0');
OUT2 <= REGISTERS(INT_REAL_ADDR_RD2) when ( RD2 = '1' and ENABLE = '1' ) else (others => '0');
end behavioral;
| gpl-3.0 | 51a881c7b1631d337c0e329edc8a022b | 0.664727 | 2.859817 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_rgmii/src/rgmii_vvc.vhd | 1 | 4,287 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
---------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
use work.rgmii_bfm_pkg.all;
--==========================================================================================
entity rgmii_vvc is
generic (
GC_INSTANCE_IDX : natural;
GC_RGMII_BFM_CONFIG : t_rgmii_bfm_config := C_RGMII_BFM_CONFIG_DEFAULT;
GC_CMD_QUEUE_COUNT_MAX : natural := 1000;
GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING;
GC_RESULT_QUEUE_COUNT_MAX : natural := 1000;
GC_RESULT_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING
);
port (
rgmii_vvc_tx_if : inout t_rgmii_tx_if;
rgmii_vvc_rx_if : inout t_rgmii_rx_if
);
end entity rgmii_vvc;
--==========================================================================================
--==========================================================================================
architecture struct of rgmii_vvc is
begin
-- RGMII TX VVC
i_rgmii_tx: entity work.rgmii_tx_vvc
generic map(
GC_INSTANCE_IDX => GC_INSTANCE_IDX,
GC_CHANNEL => TX,
GC_RGMII_BFM_CONFIG => GC_RGMII_BFM_CONFIG,
GC_CMD_QUEUE_COUNT_MAX => GC_CMD_QUEUE_COUNT_MAX,
GC_CMD_QUEUE_COUNT_THRESHOLD => GC_CMD_QUEUE_COUNT_THRESHOLD,
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY => GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
GC_RESULT_QUEUE_COUNT_MAX => GC_RESULT_QUEUE_COUNT_MAX,
GC_RESULT_QUEUE_COUNT_THRESHOLD => GC_RESULT_QUEUE_COUNT_THRESHOLD,
GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY => GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY
)
port map(
rgmii_vvc_tx_if => rgmii_vvc_tx_if
);
-- RGMII RX VVC
i_rgmii_rx: entity work.rgmii_rx_vvc
generic map(
GC_INSTANCE_IDX => GC_INSTANCE_IDX,
GC_CHANNEL => RX,
GC_RGMII_BFM_CONFIG => GC_RGMII_BFM_CONFIG,
GC_CMD_QUEUE_COUNT_MAX => GC_CMD_QUEUE_COUNT_MAX,
GC_CMD_QUEUE_COUNT_THRESHOLD => GC_CMD_QUEUE_COUNT_THRESHOLD,
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY => GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
GC_RESULT_QUEUE_COUNT_MAX => GC_RESULT_QUEUE_COUNT_MAX,
GC_RESULT_QUEUE_COUNT_THRESHOLD => GC_RESULT_QUEUE_COUNT_THRESHOLD,
GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY => GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY
)
port map(
rgmii_vvc_rx_if => rgmii_vvc_rx_if
);
end struct; | mit | f6b58445d361390cb1ed46fbee8e346f | 0.489153 | 4.619612 | false | true | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_axi_write_wrapper.vhd | 11 | 66,283 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
X9tqRM1Ldp3veD5JR6nib/Yah7rNuzujWVoEJ/KsnuK+H3a3VbjPwpRdvRjGEEncOkQuCMKvc1Rz
qN/qA11OFw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PoeesUIChM6pr56WGy27Ub94whBuJL8D1tA0f7JuZwGYyEMs06k5StsVd0EoEKG7z1AGJ+tg0B2T
kzQ0c7+n+ZJ2P/bRGyu514RCetYFq3UF8Mv6vrJYj/Pgk+aaYtPaz1H5+KNAOGQOCQuoanvrrXDy
JUg5vbMZL4tpy3r5n5g=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lqTssHZksaYsGTxPtHCnsfkKAf/ogIUdNmDA6xEB3w5vibYgk0/dSpi6IDDPdvjkRXP/u+1yrm16
+YPK3caH67BdQxujJde/5wqOxELwT03TerxDcl/90UZeVOr8OhM+hKu49ond9B4/iSmu3s1tXXnL
ti+c3hkm0k8aNzuxPYM90Q55P105XIeSzaajLMinx9SpmAXG4q+Ejh+WwVK10qmLtb0jWMFZjRw7
RJZeiiZ/ZEm/jewKU3km+vZlNVyJQqvP6atgv8diGGekUTTfOSuDYD9SERNxR62a7r0TgN63tVrf
ihRf13doegqFKtUuOfO+L1z14sO0VdlFzyCohw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3n0mKG+c8a5rAfH38kljmv0sHJu9mH4qK1bFANq+x3cPePHohsazGbxedmTfsLxvQv66PmA/LvIh
4Dr01v/9QM3+zV4pWHls3DfTgpqMPa6kTqLB77SOqEVCZm3lKvNzrCMTRfxX9/24zyPOLCwcZz9K
Fdg7fJ853OwdI6iwuv0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dLJfzkou4RwNfYaZ96WPGKCHnbE04rtkw0l85srAPggz9EJ7X/Y9m77gcc/iUVsRyhXfDLiNjY/u
kozxXMDckZLER3RPrWtjxORYqQnFO5HbnV3CZYhcpiRjVjeqhQ1t7kJ2/usNJB32TiNK6Nh+j4W2
M62jJ4dgv8umIAnbLE86vgZslFXArNfnSiEVTG4zyeFP0VpBygLFcBg2A6u/nCoijDbQOGfweFAy
kkef0Z/fdGYMKyFWaQtf8/3cImNDYqmsd/NcK1bXmFzbHGhDsyrv7/4Qdtz7hT0TLCLFHvbc0NUK
6tflOAhoxymvEwHsCmTVcQWaKKGeAJeN9wp3jA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 47328)
`protect data_block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`protect end_protected
| gpl-2.0 | 6f06f30665fe31d9805d28c7886c73a5 | 0.951119 | 1.822664 | false | false | false | false |
Unrelentless/FPGAProject2011 | PatternGen/pattern_gen.vhd | 1 | 2,973 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY pattern_gen IS
PORT
( clock_50Mhz, reset, start_PB, start_inc_PB : IN STD_LOGIC;
write_data : OUT STD_LOGIC;
sram_address : OUT STD_LOGIC_VECTOR(17 downto 0);
sram_data : OUT STD_LOGIC_VECTOR(15 downto 0));
END pattern_gen;
ARCHITECTURE behav OF pattern_gen IS
TYPE STATE_TYPE IS (idle, start_gen, start_inc_gen, stop_write);
SIGNAL state: STATE_TYPE;
SIGNAL pattern, pattern_xor : std_logic_vector(15 downto 0);
SIGNAL pattern_inc : std_logic_vector(15 downto 0);
SIGNAL clock_count_500Khz : std_logic_vector(7 downto 0);
SIGNAL clock_count_1Hz : std_logic_vector(27 downto 0);
SIGNAL clock_500Khz : std_logic;
SIGNAL clock_1Hz : std_logic;
BEGIN
PROCESS
BEGIN
WAIT UNTIL clock_50Mhz' EVENT AND clock_50Mhz = '1';
IF reset = '0' THEN
clock_count_500Khz <= X"00";
clock_500Khz <= '0';
ELSE
IF
clock_count_500Khz < 50 THEN
clock_count_500Khz <= clock_count_500Khz + 1;
ELSE
clock_count_500Khz <= X"00";
clock_500Khz <= NOT clock_500Khz;
END IF;
END IF;
END PROCESS;
-- IF (reset = '1') THEN
-- clock_count_1Hz <= X"0000000";
-- clock_1Hz <= '0';
-- ELSE
-- IF
-- clock_count_1Hz < X"17D7840" THEN
-- clock_count_1Hz <= clock_count_1Hz + 1;
-- ELSE
-- clock_count_1Hz <= X"0000000";
-- clock_1Hz <= NOT clock_1Hz;
-- END IF;
-- END IF;
-- END PROCESS;
PROCESS(clock_500Khz, reset)
--PROCESS(clock_1Hz, reset)
variable pattern_temp : std_logic_vector(15 downto 0);
variable pattern_inc_temp : std_logic_vector(15 downto 0);
variable address_temp : std_logic_vector(17 downto 0);
BEGIN
IF (reset = '0') THEN
sram_address <= "000000000000000000";
sram_data <= X"0000";
address_temp := "000000000000000000";
state <= idle;
ELSIF clock_500Khz' EVENT AND clock_500Khz = '1' THEN
--ELSIF clock_1Hz' EVENT AND clock_1Hz = '1' THEN
CASE state IS
WHEN idle =>
pattern <= "1010101010101010";
pattern_inc <= X"FFFF";
sram_address <= "000000000000000000";
sram_data <= X"0000";
address_temp := "000000000000000000";
IF (start_PB='0') THEN
state <= start_gen;
ELSIF(start_inc_PB = '0') THEN
state <= start_inc_gen;
ELSE
state <= idle;
END IF;
WHEN start_gen =>
pattern_xor <= X"FFFF";
write_data <= '1';
pattern_temp := pattern xor pattern_xor;
address_temp := address_temp + 1;
sram_address <= address_temp;
pattern <= pattern_temp;
sram_data <= pattern_temp;
state <= start_gen;
IF(address_temp = X"3FFFF") THEN
state <= stop_write;
END IF;
WHEN start_inc_gen =>
write_data <= '1';
pattern_inc_temp := pattern_inc - 1;
address_temp := address_temp + 1;
sram_address <= address_temp;
pattern_inc <= pattern_inc_temp;
sram_data <= pattern_inc_temp;
state <= start_inc_gen;
IF(address_temp = X"3FFFF") THEN
state <= stop_write;
END IF;
WHEN stop_write =>
write_data <= '0';
state <= idle;
END CASE;
END IF;
END PROCESS;
END behav; | gpl-2.0 | 745ff201dd4d1ac1b6915965bfa527d3 | 0.656576 | 2.725023 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/c_mux_bit_v12_0/hdl/c_mux_bit_v12_0_viv.vhd | 3 | 93,561 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
F+trgStxorOzUBG8UeXOQFrsV2vMKKE+WCKcidAi33IGimWzUUo10AN//9ep1ZRYKmQ138AvLyXW
7WD8Wwyl9w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hBRIOOxzuUR2Hu+rbvqfFTsF+V5EueU+IaIlFApKZodJuJxGunO9pC+nuTZXnRHP784NoMh4PDik
vP0obLS6M9Sdsc59Y1ixBa5EzuYMwFnUJhpLbDoKm31tv4X424XB78+ik99urMHLE/gCqQuhF6Pf
kFrhSK0ZXmc7RA3Ypmk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
i0i4sIRKKDU2+mDTWudHuTiB4y+17vqZWZ2yh7XSg5L9Vc4aimb+gN2yBFrBcGYjIuhbQwzYcv/V
x+qLMobK8MwEKPqyaaio7LA8nlv4giA/6+do2RnTmXmIqsW1a6P2pKikHmVIlvOlx6Co/cb7ecCB
jdqhkZf6k81FWf0iDTcQt2qDFu1oiPBgd81XpvOvUpukjN7y3SpAe/bSc74N9PF0iyPyiznJ5yUb
2RkGArzJjy4Rk3mZEIw/cSzGqxB2KGNfbe+0xjjMIM3CEhWeeLGOFufk6t+HVGSJV6aGxl8SLQGM
S8twnSlUhcsLuQdJ2zr6wOYAM84Qd5OmboDPbg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eBIZtY+rSldr1Mstorre28xytwyst6nr46Ik7r1pW41NvWL0YLVN3cqr3UnWGzZrlunlh5ViyrDG
mzh3+Fa3/aVME2XUho0uInkVVLmYBz0/0+29/5gpYxdKmzgpA8MSOr6+p+a8ONo0foV9st3vGlrQ
5Xvv2niMhDGx+exJqIw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZUQNqZRJk9hWjv099DF9W4uOfP1aCvpvqpyQNqScVVhbggh1EULLUIIItx/M5OtMVmo19NUN2Nxx
yA2yTP0cWJ3vlomMwYmcx/sNycXedBiZZEW6O8Uw7X6TxFvNygeCQCFlr/pnbxBZ9oHh73bBvmH1
JNbAKT6/utsbSKDznPg0yDNMQQj6DXcB7YiswgW59IR5/0aDp4PE2E5QmWUp9T6cFgCjulcL0JgT
LCefBVNdvvNfAXwkx+T6T+dJ9V5ifARVTK5bhzpdMxI4HlGzdHxZouiRBD62NiItWdDMOLR9XoJs
HyBF0ROARWGmjpWk7r7mgAyW+u5mBWzJHFHnMw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67520)
`protect data_block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`protect end_protected
| gpl-2.0 | 74406e187aaf8d24f16e3ba27985409b | 0.953025 | 1.81806 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/unbiased_round.vhd | 3 | 15,340 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
csf2oHL74IDgT0Wevad74mc8ZVFZnj59YonhFAZjnG1poDxHXhi2rKZt451T8LlO+54xBqcUvfcP
hLqSHE4VoQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FSDnHko2trb3T/0D9dvUgKnWYY9iLdFR1eoyIxEEGELyp+KOVEmIvFt5Kb0VywdVjAXQ4XL6718t
/Scd7JWCJ2UmhSHSiWctKTTldxcUVDjClwfiir2+NzRt2KdcL5+dVaGm4AzcRypWseK2b9dqKvCh
cepfMo/E/iw6B5xAr88=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jDfMM6iNCXliATB26t6zMWG8kouBXar0KS/6PM5K4dybvoWR5OD0CPUwZvc/MGw0EBHp+sEzNih3
Gt2UoDXDd9Y9E60VAifanPJdZMkbmcqEWvmUizBdeDSVa6Y1ENHD92FmlV1qPK+z8NsfOrsjKBeA
jbAOllU662xH8RhueFHYZ26SfqlnrdVnlZ+VjQvxXuMNksp6w1p1vwJHW4dBP+Vuyw0cPuyQW21o
G+M3l8aajFea/Fjyvb9Jzvt1EBckYhGGWFhran2lvfXPbwuP2Dxlw8t/5mzy1EVDMBaF6Smuh7iJ
i9YSNOouaIJnzAsV6S75aS6BNsZrE3NZHSHuiQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TxD2sITztcT4n1Vu8XOejz7cv2LiMAq/QkqvL8Wc/iEaZj0VemxfbFvQoirjm9rMbuq8ByCiRmFp
wedPjGr3cptNG8kVaQkqc70JnU6AaHmuLko7T0MXkMxEw43OxCvURMXSyp707xAuO32ICIkN0LJF
wkrbu6sJSuGP9B6FSUM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fv/6MxjZV0AboxbVaxgqSGSQ0UkY/74H+XHUeihT5zUwJg3hG9waqMk8JbwGjo/PFApVj4sSjua/
0tVaPrjVF3JeCHWFzWvCTYqr1hynIeCfmRCeZRJHpEWeh+dEvcdSfZ9uQYt2sB5c6dGVJ0kEjiNt
9ifrlMLehJxoDeg0EesC4vv6mkVtF8TITGZCzxUJ8oZb5SVBzz0LH6xaNjYBMIQlgzFJg5GNb7y2
NQZGojCqHN4hZpo6pCtHSi7syZBSA9opr+f9mHJuzVyhfe+YPTu0SVnTNG2gkyRVI63WvSTayHh5
bTC7SpCvXJDiOk3EgbhONz3LkvPaOojU2eARUQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9616)
`protect data_block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`protect end_protected
| gpl-2.0 | 9716c7d8cde42c70ed367e6061a9b154 | 0.938462 | 1.882209 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_avalon_st/src/vvc_context.vhd | 1 | 1,800 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
---------------------------------------------------------------------------------------------
context vvc_context is
library bitvis_vip_avalon_st;
use bitvis_vip_avalon_st.transaction_pkg.all;
use bitvis_vip_avalon_st.vvc_methods_pkg.all;
use bitvis_vip_avalon_st.td_vvc_framework_common_methods_pkg.all;
use bitvis_vip_avalon_st.avalon_st_bfm_pkg.t_avalon_st_if;
use bitvis_vip_avalon_st.avalon_st_bfm_pkg.t_avalon_st_bfm_config;
use bitvis_vip_avalon_st.avalon_st_bfm_pkg.C_AVALON_ST_BFM_CONFIG_DEFAULT;
end context; | mit | 2b5f42168ce70710df1ef2176b7475b0 | 0.521667 | 5.217391 | false | true | false | false |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/common/axi_streaming_dma_rx_fifo.vhd | 2 | 1,699 | library ieee;
use ieee.std_logic_1164.all;
library work;
use work.dma_fifo;
entity axi_streaming_dma_rx_fifo is
generic (
RAM_ADDR_WIDTH : integer := 3;
FIFO_DWIDTH : integer := 32
);
port (
clk : in std_logic;
resetn : in std_logic;
fifo_reset : in std_logic;
-- Enable DMA interface
enable : in Boolean;
period_len : in integer range 0 to 65535;
-- Read port
M_AXIS_ACLK : in std_logic;
M_AXIS_TREADY : in std_logic;
M_AXIS_TDATA : out std_logic_vector(FIFO_DWIDTH-1 downto 0);
M_AXIS_TLAST : out std_logic;
M_AXIS_TVALID : out std_logic;
M_AXIS_TKEEP : out std_logic_vector(3 downto 0);
-- Write port
in_stb : in std_logic;
in_ack : out std_logic;
in_data : in std_logic_vector(FIFO_DWIDTH-1 downto 0)
);
end;
architecture imp of axi_streaming_dma_rx_fifo is
signal out_stb : std_logic;
signal period_count : integer range 0 to 65535;
signal last : std_logic;
begin
M_AXIS_TVALID <= out_stb;
fifo: entity dma_fifo
generic map (
RAM_ADDR_WIDTH => RAM_ADDR_WIDTH,
FIFO_DWIDTH => FIFO_DWIDTH
)
port map (
clk => clk,
resetn => resetn,
fifo_reset => fifo_reset,
in_stb => in_stb,
in_ack => in_ack,
in_data => in_data,
out_stb => out_stb,
out_ack => M_AXIS_TREADY,
out_data => M_AXIS_TDATA
);
M_AXIS_TKEEP <= "1111";
M_AXIS_TLAST <= '1' when period_count = 0 else '0';
period_counter: process(M_AXIS_ACLK) is
begin
if resetn = '0' then
period_count <= period_len;
else
if out_stb = '1' and M_AXIS_TREADY = '1' then
if period_count = 0 then
period_count <= period_len;
else
period_count <= period_count - 1;
end if;
end if;
end if;
end process;
end;
| gpl-3.0 | 296515af556abef76ab15bbea610ce88 | 0.630959 | 2.613846 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/multi_fft/mult_gen_v12_0/hdl/cc_compare.vhd | 12 | 10,907 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dqq2ej+LHAswGLNpyi2BwMJ4URtm/h34HwSY5qyFGcps40U3/VN8WKFwHX37+XfGZChHdZC401n2
ZJyf0uELfA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RShplIv4IYGmj4hmPadlbhdpa4eXDDQJnDlnCbKU8o5c8V67SplZMW55cCw83AgbV+E4+0de3dh2
OewneR7qBBfHbEaasIMiCU+zicwJbNM9VmcXiohAYKq3Jg09b21wgUWnQjizooGjaKEjrwAf7l5n
0IFKkSATJTBklshviAQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
doH6vzjo8znCTuVASkkAHdn/+Lqszo8VV/fIaCg6/PKdzVlWSqotQ8QksPb17wveo6mrpVhle1T7
Ab/aaE9I9n4vMXfI/FWx1z3lglwq03QllPp4tizM/2losc/kPKWIjsGwAq1KyC1e4r3jOXEn5vSW
wAG6t+dDeOAro7RHFvJp5WNrqaw9ZsPGHES5alp7+i1zKM2A5fW3oszndsJYrbNt2o0DhzKvTBJe
pWACtYic/6CWArHvZ1hBW+NeExIHbF8agw6nValUyGbrgAgoYKvgt+O2td2xISQDqanZU5ezYx0k
TdAWuo2F+ptoHYLgvzlbDnbpaYfltlwCiHeG7g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
csfgTxr/fVeKtQdd3D1aAHu2gzw+CL8t29x2K8aPw9uZ+LarpUYk7LqFUy6b5Sl1OdJTAvVuQG9n
5euFlEghCMUBQ5Nd/fPjuJkThGKoBDPPfcptYVqHN93OBm3eZXgxire2pFol7b2/KhoVoBckFmFu
z+xA86qPFh0t/6hOrEU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iWrYYlUsjF3sHqYa1YvLKfZWmztT7d+xNuYY9994lyI+KXcZqgZrwGg41h1Tjrz690obWegSp1/g
FB0dDXfIUiHiYD2/yK1JWR09FXSTykMHQFAgkUCT2FR64CxYjKEn4kixQsxvzded+m8oQLtN/sKO
huWNyOla5moYPLYi3ONKXIqIpiP8lBsvjVWrmrNv5LE3TVAC4aypQQK4UiWqxM5N8C6AsQZbsh1D
wOuA6RwWzU11ZwG/y66u76tMNNdkDF55Z85dtQpn9re7X0RzPcoTUqEAT/dYJI6s4KqEqLik5x7W
FZIXXtdazPlz9KjsPwx1cFU6reG0ILErlZIf4g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6336)
`protect data_block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`protect end_protected
| gpl-2.0 | cd8297082bc0c39626012a080f5467ae | 0.926378 | 1.880517 | false | false | false | false |
mcoughli/root_of_trust | operational_os/hls/contact_discovery_axi_experimental/solution1/syn/vhdl/contact_discovery_db_mem_V_m_axi.vhd | 3 | 126,134 | -- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2017.1
-- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity contact_discovery_db_mem_V_m_axi is
generic (
NUM_READ_OUTSTANDING : INTEGER := 2;
NUM_WRITE_OUTSTANDING : INTEGER := 2;
MAX_READ_BURST_LENGTH : INTEGER := 16;
MAX_WRITE_BURST_LENGTH : INTEGER := 16;
C_M_AXI_ID_WIDTH : INTEGER := 1;
C_M_AXI_ADDR_WIDTH : INTEGER := 32;
C_TARGET_ADDR : INTEGER := 16#00000000#;
C_M_AXI_DATA_WIDTH : INTEGER := 32;
C_M_AXI_AWUSER_WIDTH : INTEGER := 1;
C_M_AXI_ARUSER_WIDTH : INTEGER := 1;
C_M_AXI_WUSER_WIDTH : INTEGER := 1;
C_M_AXI_RUSER_WIDTH : INTEGER := 1;
C_M_AXI_BUSER_WIDTH : INTEGER := 1;
C_USER_VALUE : INTEGER := 0;
C_PROT_VALUE : INTEGER := 2#000#;
C_CACHE_VALUE : INTEGER := 2#0011#;
USER_DW : INTEGER := 16;
USER_AW : INTEGER := 32;
USER_MAXREQS : INTEGER := 16);
port (
-- system signal
ACLK : in STD_LOGIC;
ARESET : in STD_LOGIC;
ACLK_EN : in STD_LOGIC;
-- write address channel
AWID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
AWADDR : out STD_LOGIC_VECTOR(C_M_AXI_ADDR_WIDTH-1 downto 0);
AWLEN : out STD_LOGIC_VECTOR(7 downto 0);
AWSIZE : out STD_LOGIC_VECTOR(2 downto 0);
AWBURST : out STD_LOGIC_VECTOR(1 downto 0);
AWLOCK : out STD_LOGIC_VECTOR(1 downto 0);
AWCACHE : out STD_LOGIC_VECTOR(3 downto 0);
AWPROT : out STD_LOGIC_VECTOR(2 downto 0);
AWQOS : out STD_LOGIC_VECTOR(3 downto 0);
AWREGION : out STD_LOGIC_VECTOR(3 downto 0);
AWUSER : out STD_LOGIC_VECTOR(C_M_AXI_AWUSER_WIDTH-1 downto 0);
AWVALID : out STD_LOGIC;
AWREADY : in STD_LOGIC;
-- write data channel
WID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
WDATA : out STD_LOGIC_VECTOR(C_M_AXI_DATA_WIDTH-1 downto 0);
WSTRB : out STD_LOGIC_VECTOR(C_M_AXI_DATA_WIDTH/8-1 downto 0);
WLAST : out STD_LOGIC;
WUSER : out STD_LOGIC_VECTOR(C_M_AXI_WUSER_WIDTH-1 downto 0);
WVALID : out STD_LOGIC;
WREADY : in STD_LOGIC;
-- write response channel
BID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
BRESP : in STD_LOGIC_VECTOR(1 downto 0);
BUSER : in STD_LOGIC_VECTOR(C_M_AXI_BUSER_WIDTH-1 downto 0);
BVALID : in STD_LOGIC;
BREADY : out STD_LOGIC;
-- read address channel
ARID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
ARADDR : out STD_LOGIC_VECTOR(C_M_AXI_ADDR_WIDTH-1 downto 0);
ARLEN : out STD_LOGIC_VECTOR(7 downto 0);
ARSIZE : out STD_LOGIC_VECTOR(2 downto 0);
ARBURST : out STD_LOGIC_VECTOR(1 downto 0);
ARLOCK : out STD_LOGIC_VECTOR(1 downto 0);
ARCACHE : out STD_LOGIC_VECTOR(3 downto 0);
ARPROT : out STD_LOGIC_VECTOR(2 downto 0);
ARQOS : out STD_LOGIC_VECTOR(3 downto 0);
ARREGION : out STD_LOGIC_VECTOR(3 downto 0);
ARUSER : out STD_LOGIC_VECTOR(C_M_AXI_ARUSER_WIDTH-1 downto 0);
ARVALID : out STD_LOGIC;
ARREADY : in STD_LOGIC;
-- read data channel
RID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
RDATA : in STD_LOGIC_VECTOR(C_M_AXI_DATA_WIDTH-1 downto 0);
RRESP : in STD_LOGIC_VECTOR(1 downto 0);
RLAST : in STD_LOGIC;
RUSER : in STD_LOGIC_VECTOR(C_M_AXI_RUSER_WIDTH-1 downto 0);
RVALID : in STD_LOGIC;
RREADY : out STD_LOGIC;
-- internal bus ports
-- write address channel
I_AWID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
I_AWADDR : in STD_LOGIC_VECTOR(USER_AW-1 downto 0);
I_AWLEN : in STD_LOGIC_VECTOR(31 downto 0);
I_AWSIZE : in STD_LOGIC_VECTOR(2 downto 0);
I_AWBURST : in STD_LOGIC_VECTOR(1 downto 0);
I_AWLOCK : in STD_LOGIC_VECTOR(1 downto 0);
I_AWCACHE : in STD_LOGIC_VECTOR(3 downto 0);
I_AWPROT : in STD_LOGIC_VECTOR(2 downto 0);
I_AWQOS : in STD_LOGIC_VECTOR(3 downto 0);
I_AWREGION : in STD_LOGIC_VECTOR(3 downto 0);
I_AWUSER : in STD_LOGIC_VECTOR(C_M_AXI_AWUSER_WIDTH-1 downto 0);
I_AWVALID : in STD_LOGIC;
I_AWREADY : out STD_LOGIC;
-- write data channel
I_WID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
I_WDATA : in STD_LOGIC_VECTOR(USER_DW-1 downto 0);
I_WSTRB : in STD_LOGIC_VECTOR(USER_DW/8-1 downto 0);
I_WLAST : in STD_LOGIC;
I_WUSER : in STD_LOGIC_VECTOR(C_M_AXI_WUSER_WIDTH-1 downto 0);
I_WVALID : in STD_LOGIC;
I_WREADY : out STD_LOGIC;
-- write response channel
I_BID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
I_BRESP : out STD_LOGIC_VECTOR(1 downto 0);
I_BUSER : out STD_LOGIC_VECTOR(C_M_AXI_BUSER_WIDTH-1 downto 0);
I_BVALID : out STD_LOGIC;
I_BREADY : in STD_LOGIC;
-- read address channel
I_ARID : in STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
I_ARADDR : in STD_LOGIC_VECTOR(USER_AW-1 downto 0);
I_ARLEN : in STD_LOGIC_VECTOR(31 downto 0);
I_ARSIZE : in STD_LOGIC_VECTOR(2 downto 0);
I_ARBURST : in STD_LOGIC_VECTOR(1 downto 0);
I_ARLOCK : in STD_LOGIC_VECTOR(1 downto 0);
I_ARCACHE : in STD_LOGIC_VECTOR(3 downto 0);
I_ARPROT : in STD_LOGIC_VECTOR(2 downto 0);
I_ARQOS : in STD_LOGIC_VECTOR(3 downto 0);
I_ARREGION : in STD_LOGIC_VECTOR(3 downto 0);
I_ARUSER : in STD_LOGIC_VECTOR(C_M_AXI_ARUSER_WIDTH-1 downto 0);
I_ARVALID : in STD_LOGIC;
I_ARREADY : out STD_LOGIC;
-- read data channel
I_RID : out STD_LOGIC_VECTOR(C_M_AXI_ID_WIDTH-1 downto 0);
I_RDATA : out STD_LOGIC_VECTOR(USER_DW-1 downto 0);
I_RRESP : out STD_LOGIC_VECTOR(1 downto 0);
I_RLAST : out STD_LOGIC;
I_RUSER : out STD_LOGIC_VECTOR(C_M_AXI_RUSER_WIDTH-1 downto 0);
I_RVALID : out STD_LOGIC;
I_RREADY : in STD_LOGIC);
end entity contact_discovery_db_mem_V_m_axi;
architecture behave of contact_discovery_db_mem_V_m_axi is
component contact_discovery_db_mem_V_m_axi_write is
generic (
NUM_WRITE_OUTSTANDING : INTEGER := 1;
MAX_WRITE_BURST_LENGTH : INTEGER := 1;
C_M_AXI_ID_WIDTH : INTEGER := 1;
C_M_AXI_ADDR_WIDTH : INTEGER := 32;
C_TARGET_ADDR : INTEGER := 16#00000000#;
C_M_AXI_DATA_WIDTH : INTEGER := 32;
C_M_AXI_AWUSER_WIDTH : INTEGER := 1;
C_M_AXI_WUSER_WIDTH : INTEGER := 1;
C_M_AXI_BUSER_WIDTH : INTEGER := 1;
C_USER_VALUE : INTEGER := 0;
C_PROT_VALUE : INTEGER := 0;
C_CACHE_VALUE : INTEGER := 2#0011#;
USER_DW : INTEGER := 16;
USER_AW : INTEGER := 32;
USER_MAXREQS : INTEGER := 16);
port (
ACLK : in STD_LOGIC;
ARESET : in STD_LOGIC;
ACLK_EN : in STD_LOGIC;
AWID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
AWADDR : out UNSIGNED(C_M_AXI_ADDR_WIDTH-1 downto 0);
AWLEN : out UNSIGNED(7 downto 0);
AWSIZE : out UNSIGNED(2 downto 0);
AWBURST : out UNSIGNED(1 downto 0);
AWLOCK : out UNSIGNED(1 downto 0);
AWCACHE : out UNSIGNED(3 downto 0);
AWPROT : out UNSIGNED(2 downto 0);
AWQOS : out UNSIGNED(3 downto 0);
AWREGION : out UNSIGNED(3 downto 0);
AWUSER : out UNSIGNED(C_M_AXI_AWUSER_WIDTH-1 downto 0);
AWVALID : out STD_LOGIC;
AWREADY : in STD_LOGIC;
WID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
WDATA : out UNSIGNED(C_M_AXI_DATA_WIDTH-1 downto 0);
WSTRB : out UNSIGNED(C_M_AXI_DATA_WIDTH/8-1 downto 0);
WLAST : out STD_LOGIC;
WUSER : out UNSIGNED(C_M_AXI_WUSER_WIDTH-1 downto 0);
WVALID : out STD_LOGIC;
WREADY : in STD_LOGIC;
BID : in UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
BRESP : in UNSIGNED(1 downto 0);
BUSER : in UNSIGNED(C_M_AXI_BUSER_WIDTH-1 downto 0);
BVALID : in STD_LOGIC;
BREADY : out STD_LOGIC;
wreq_valid : in STD_LOGIC;
wreq_ack : out STD_LOGIC;
wreq_addr : in UNSIGNED(USER_AW-1 downto 0);
wreq_length : in UNSIGNED(31 downto 0);
wreq_cache : in UNSIGNED(3 downto 0);
wreq_prot : in UNSIGNED(2 downto 0);
wreq_qos : in UNSIGNED(3 downto 0);
wreq_user : in UNSIGNED(C_M_AXI_AWUSER_WIDTH-1 downto 0);
wdata_valid : in STD_LOGIC;
wdata_ack : out STD_LOGIC;
wdata_strb : in UNSIGNED(USER_DW/8-1 downto 0);
wdata_user : in UNSIGNED(C_M_AXI_WUSER_WIDTH-1 downto 0);
wdata_data : in UNSIGNED(USER_DW-1 downto 0);
wrsp_valid : out STD_LOGIC;
wrsp_ack : in STD_LOGIC;
wrsp : out UNSIGNED(1 downto 0));
end component contact_discovery_db_mem_V_m_axi_write;
component contact_discovery_db_mem_V_m_axi_read is
generic (
NUM_READ_OUTSTANDING : INTEGER := 1;
MAX_READ_BURST_LENGTH : INTEGER := 1;
C_M_AXI_ID_WIDTH : INTEGER := 1;
C_M_AXI_ADDR_WIDTH : INTEGER := 32;
C_TARGET_ADDR : INTEGER := 16#00000000#;
C_M_AXI_DATA_WIDTH : INTEGER := 32;
C_M_AXI_ARUSER_WIDTH : INTEGER := 1;
C_M_AXI_RUSER_WIDTH : INTEGER := 1;
C_USER_VALUE : INTEGER := 0;
C_PROT_VALUE : INTEGER := 0;
C_CACHE_VALUE : INTEGER := 2#0011#;
USER_DW : INTEGER := 16;
USER_AW : INTEGER := 32;
USER_MAXREQS : INTEGER := 16);
port (
ACLK : in STD_LOGIC;
ARESET : in STD_LOGIC;
ACLK_EN : in STD_LOGIC;
ARID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
ARADDR : out UNSIGNED(C_M_AXI_ADDR_WIDTH-1 downto 0);
ARLEN : out UNSIGNED(7 downto 0);
ARSIZE : out UNSIGNED(2 downto 0);
ARBURST : out UNSIGNED(1 downto 0);
ARLOCK : out UNSIGNED(1 downto 0);
ARCACHE : out UNSIGNED(3 downto 0);
ARPROT : out UNSIGNED(2 downto 0);
ARQOS : out UNSIGNED(3 downto 0);
ARREGION : out UNSIGNED(3 downto 0);
ARUSER : out UNSIGNED(C_M_AXI_ARUSER_WIDTH-1 downto 0);
ARVALID : out STD_LOGIC;
ARREADY : in STD_LOGIC;
RID : in UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
RDATA : in UNSIGNED(C_M_AXI_DATA_WIDTH-1 downto 0);
RRESP : in UNSIGNED(1 downto 0);
RLAST : in STD_LOGIC;
RUSER : in UNSIGNED(C_M_AXI_RUSER_WIDTH-1 downto 0);
RVALID : in STD_LOGIC;
RREADY : out STD_LOGIC;
rreq_valid : in STD_LOGIC;
rreq_ack : out STD_LOGIC;
rreq_addr : in UNSIGNED(USER_AW-1 downto 0);
rreq_length : in UNSIGNED(31 downto 0);
rreq_cache : in UNSIGNED(3 downto 0);
rreq_prot : in UNSIGNED(2 downto 0);
rreq_qos : in UNSIGNED(3 downto 0);
rreq_user : in UNSIGNED(C_M_AXI_ARUSER_WIDTH-1 downto 0);
rdata_valid : out STD_LOGIC;
rdata_ack : in STD_LOGIC;
rdata_data : out UNSIGNED(USER_DW-1 downto 0);
rrsp : out UNSIGNED(1 downto 0));
end component contact_discovery_db_mem_V_m_axi_read;
component contact_discovery_db_mem_V_m_axi_throttl is
generic (
USED_FIX : BOOLEAN := true;
FIX_VALUE : INTEGER := 4);
port (
clk : in STD_LOGIC;
reset : in STD_LOGIC;
ce : in STD_LOGIC;
in_len : in STD_LOGIC_VECTOR;
in_req_valid : in STD_LOGIC;
in_req_ready : in STD_LOGIC;
in_data_valid : in STD_LOGIC;
in_data_ready : in STD_LOGIC;
out_req_valid : out STD_LOGIC;
out_req_ready : out STD_LOGIC);
end component contact_discovery_db_mem_V_m_axi_throttl;
signal AWLEN_Dummy : STD_LOGIC_VECTOR(7 downto 0);
signal AWVALID_Dummy : STD_LOGIC;
signal AWREADY_Dummy : STD_LOGIC;
signal WVALID_Dummy : STD_LOGIC;
signal ARLEN_Dummy : STD_LOGIC_VECTOR(7 downto 0);
signal ARVALID_Dummy : STD_LOGIC;
signal ARREADY_Dummy : STD_LOGIC;
signal RREADY_Dummy : STD_LOGIC;
begin
AWLEN <= AWLEN_Dummy;
WVALID <= WVALID_Dummy;
wreq_throttl : contact_discovery_db_mem_V_m_axi_throttl
generic map (
USED_FIX => false )
port map (
clk => ACLK,
reset => ARESET,
ce => ACLK_EN,
in_len => AWLEN_Dummy,
in_req_valid => AWVALID_Dummy,
out_req_valid => AWVALID,
in_req_ready => AWREADY,
out_req_ready => AWREADY_Dummy,
in_data_valid => WVALID_Dummy,
in_data_ready => WREADY);
ARLEN <= ARLEN_Dummy;
RREADY <= RREADY_Dummy;
rreq_throttl : contact_discovery_db_mem_V_m_axi_throttl
generic map (
USED_FIX => true,
FIX_VALUE => 4 )
port map (
clk => ACLK,
reset => ARESET,
ce => ACLK_EN,
in_len => ARLEN_Dummy,
in_req_valid => ARVALID_Dummy,
out_req_valid => ARVALID,
in_req_ready => ARREADY,
out_req_ready => ARREADY_Dummy,
in_data_valid => RVALID,
in_data_ready => RREADY_Dummy);
I_BID <= (others => '0');
I_BUSER <= STD_LOGIC_VECTOR(TO_UNSIGNED(C_USER_VALUE, I_BUSER'length));
I_RID <= (others => '0');
I_RLAST <= '0';
I_RUSER <= STD_LOGIC_VECTOR(TO_UNSIGNED(C_USER_VALUE, I_RUSER'length));
-- Instantiation
bus_write : contact_discovery_db_mem_V_m_axi_write
generic map (
NUM_WRITE_OUTSTANDING => NUM_WRITE_OUTSTANDING,
MAX_WRITE_BURST_LENGTH => MAX_WRITE_BURST_LENGTH,
C_M_AXI_ID_WIDTH => C_M_AXI_ID_WIDTH,
C_M_AXI_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH,
C_TARGET_ADDR => C_TARGET_ADDR,
C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH,
C_M_AXI_AWUSER_WIDTH => C_M_AXI_AWUSER_WIDTH,
C_M_AXI_WUSER_WIDTH => C_M_AXI_WUSER_WIDTH,
C_M_AXI_BUSER_WIDTH => C_M_AXI_BUSER_WIDTH,
C_USER_VALUE => C_USER_VALUE,
C_PROT_VALUE => C_PROT_VALUE,
C_CACHE_VALUE => C_CACHE_VALUE,
USER_DW => USER_DW,
USER_AW => USER_AW,
USER_MAXREQS => USER_MAXREQS)
port map (
ACLK => ACLK,
ARESET => ARESET,
ACLK_EN => ACLK_EN,
STD_LOGIC_VECTOR(AWID) => AWID,
STD_LOGIC_VECTOR(AWADDR) => AWADDR,
STD_LOGIC_VECTOR(AWLEN) => AWLEN_Dummy,
STD_LOGIC_VECTOR(AWSIZE) => AWSIZE,
STD_LOGIC_VECTOR(AWBURST) => AWBURST,
STD_LOGIC_VECTOR(AWLOCK) => AWLOCK,
STD_LOGIC_VECTOR(AWCACHE) => AWCACHE,
STD_LOGIC_VECTOR(AWPROT) => AWPROT,
STD_LOGIC_VECTOR(AWQOS) => AWQOS,
STD_LOGIC_VECTOR(AWREGION) => AWREGION,
STD_LOGIC_VECTOR(AWUSER) => AWUSER,
AWVALID => AWVALID_Dummy,
AWREADY => AWREADY_Dummy,
STD_LOGIC_VECTOR(WID) => WID,
STD_LOGIC_VECTOR(WDATA) => WDATA,
STD_LOGIC_VECTOR(WSTRB) => WSTRB,
WLAST => WLAST,
STD_LOGIC_VECTOR(WUSER) => WUSER,
WVALID => WVALID_Dummy,
WREADY => WREADY,
BID => UNSIGNED(BID),
BRESP => UNSIGNED(BRESP),
BUSER => UNSIGNED(BUSER),
BVALID => BVALID,
BREADY => BREADY,
wreq_valid => I_AWVALID,
wreq_ack => I_AWREADY,
wreq_addr => UNSIGNED(I_AWADDR),
wreq_length => UNSIGNED(I_AWLEN),
wreq_cache => UNSIGNED(I_AWCACHE),
wreq_prot => UNSIGNED(I_AWPROT),
wreq_qos => UNSIGNED(I_AWQOS),
wreq_user => UNSIGNED(I_AWUSER),
wdata_valid => I_WVALID,
wdata_ack => I_WREADY,
wdata_strb => UNSIGNED(I_WSTRB),
wdata_user => UNSIGNED(I_WUSER),
wdata_data => UNSIGNED(I_WDATA),
wrsp_valid => I_BVALID,
wrsp_ack => I_BREADY,
STD_LOGIC_VECTOR(wrsp) => I_BRESP);
bus_read : contact_discovery_db_mem_V_m_axi_read
generic map (
NUM_READ_OUTSTANDING => NUM_READ_OUTSTANDING,
MAX_READ_BURST_LENGTH => MAX_READ_BURST_LENGTH,
C_M_AXI_ID_WIDTH => C_M_AXI_ID_WIDTH,
C_M_AXI_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH,
C_TARGET_ADDR => C_TARGET_ADDR,
C_M_AXI_DATA_WIDTH => C_M_AXI_DATA_WIDTH,
C_M_AXI_ARUSER_WIDTH => C_M_AXI_ARUSER_WIDTH,
C_M_AXI_RUSER_WIDTH => C_M_AXI_RUSER_WIDTH,
C_USER_VALUE => C_USER_VALUE,
C_PROT_VALUE => C_PROT_VALUE,
C_CACHE_VALUE => C_CACHE_VALUE,
USER_DW => USER_DW,
USER_AW => USER_AW,
USER_MAXREQS => USER_MAXREQS)
port map (
ACLK => ACLK,
ARESET => ARESET,
ACLK_EN => ACLK_EN,
STD_LOGIC_VECTOR(ARID) => ARID,
STD_LOGIC_VECTOR(ARADDR) => ARADDR,
STD_LOGIC_VECTOR(ARLEN) => ARLEN_Dummy,
STD_LOGIC_VECTOR(ARSIZE) => ARSIZE,
STD_LOGIC_VECTOR(ARBURST) => ARBURST,
STD_LOGIC_VECTOR(ARLOCK) => ARLOCK,
STD_LOGIC_VECTOR(ARCACHE) => ARCACHE,
STD_LOGIC_VECTOR(ARPROT) => ARPROT,
STD_LOGIC_VECTOR(ARQOS) => ARQOS,
STD_LOGIC_VECTOR(ARREGION) => ARREGION,
STD_LOGIC_VECTOR(ARUSER) => ARUSER,
ARVALID => ARVALID_Dummy,
ARREADY => ARREADY_Dummy,
RID => UNSIGNED(RID),
RDATA => UNSIGNED(RDATA),
RRESP => UNSIGNED(RRESP),
RLAST => RLAST,
RUSER => UNSIGNED(RUSER),
RVALID => RVALID,
RREADY => RREADY_Dummy,
rreq_valid => I_ARVALID,
rreq_ack => I_ARREADY,
rreq_addr => UNSIGNED(I_ARADDR),
rreq_length => UNSIGNED(I_ARLEN),
rreq_cache => UNSIGNED(I_ARCACHE),
rreq_prot => UNSIGNED(I_ARPROT),
rreq_qos => UNSIGNED(I_ARQOS),
rreq_user => UNSIGNED(I_ARUSER),
rdata_valid => I_RVALID,
rdata_ack => I_RREADY,
STD_LOGIC_VECTOR(rdata_data)=> I_RDATA,
STD_LOGIC_VECTOR(rrsp) => I_RRESP);
end architecture behave;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity contact_discovery_db_mem_V_m_axi_reg_slice is
generic (
N : INTEGER := 8);
port (
-- system signals
sclk : in STD_LOGIC;
reset : in STD_LOGIC;
-- slave side
s_data : in STD_LOGIC_VECTOR(N-1 downto 0);
s_valid : in STD_LOGIC;
s_ready : out STD_LOGIC;
-- master side
m_data : out STD_LOGIC_VECTOR(N-1 downto 0);
m_valid : out STD_LOGIC;
m_ready : in STD_LOGIC);
end entity contact_discovery_db_mem_V_m_axi_reg_slice;
architecture behave of contact_discovery_db_mem_V_m_axi_reg_slice is
constant ZERO : STD_LOGIC_VECTOR(1 downto 0) := "10";
constant ONE : STD_LOGIC_VECTOR(1 downto 0) := "11";
constant TWO : STD_LOGIC_VECTOR(1 downto 0) := "01";
signal data_p1 : STD_LOGIC_VECTOR(N-1 downto 0);
signal data_p2 : STD_LOGIC_VECTOR(N-1 downto 0);
signal load_p1 : STD_LOGIC;
signal load_p2 : STD_LOGIC;
signal load_p1_from_p2 : STD_LOGIC;
signal s_ready_t : STD_LOGIC;
signal state : STD_LOGIC_VECTOR(1 downto 0);
signal next_st : STD_LOGIC_VECTOR(1 downto 0);
begin
s_ready <= s_ready_t;
m_data <= data_p1;
m_valid <= state(0);
load_p1 <= '1' when (state = ZERO and s_valid = '1') or
(state = ONE and s_valid = '1' and m_ready = '1') or
(state = TWO and m_ready = '1')
else '0';
load_p2 <= s_valid and s_ready_t;
load_p1_from_p2 <= '1' when state = TWO else '0';
data_p1_proc : process (sclk)
begin
if (sclk'event and sclk = '1') then
if (load_p1 = '1') then
if (load_p1_from_p2 = '1') then
data_p1 <= data_p2;
else
data_p1 <= s_data;
end if;
end if;
end if;
end process;
data_p2_proc : process (sclk)
begin
if (sclk'event and sclk = '1') then
if (load_p2 = '1') then
data_p2 <= s_data;
end if;
end if;
end process;
s_ready_t_proc : process (sclk)
begin
if (sclk'event and sclk = '1') then
if (reset = '1') then
s_ready_t <= '0';
elsif (state = ZERO) then
s_ready_t <= '1';
elsif (state = ONE and next_st = TWO) then
s_ready_t <= '0';
elsif (state = TWO and next_st = ONE) then
s_ready_t <= '1';
end if;
end if;
end process;
state_proc : process (sclk)
begin
if (sclk'event and sclk = '1') then
if (reset = '1') then
state <= ZERO;
else
state <= next_st;
end if;
end if;
end process;
next_st_proc : process (state, s_valid, s_ready_t, m_ready)
begin
case state is
when ZERO =>
if (s_valid = '1' and s_ready_t = '1') then
next_st <= ONE;
else
next_st <= ZERO;
end if;
when ONE =>
if (s_valid = '0' and m_ready = '1') then
next_st <= ZERO;
elsif (s_valid = '1' and m_ready = '0') then
next_st <= TWO;
else
next_st <= ONE;
end if;
when TWO =>
if (m_ready = '1') then
next_st <= ONE;
else
next_st <= TWO;
end if;
when others =>
next_st <= ZERO;
end case;
end process;
end architecture behave;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity contact_discovery_db_mem_V_m_axi_fifo is
generic (
DATA_BITS : INTEGER := 8;
DEPTH : INTEGER := 16;
DEPTH_BITS : INTEGER := 4);
port (
sclk : in STD_LOGIC;
reset : in STD_LOGIC;
sclk_en : in STD_LOGIC;
empty_n : out STD_LOGIC;
full_n : out STD_LOGIC;
rdreq : in STD_LOGIC;
wrreq : in STD_LOGIC;
q : out UNSIGNED(DATA_BITS-1 downto 0);
data : in UNSIGNED(DATA_BITS-1 downto 0));
end entity contact_discovery_db_mem_V_m_axi_fifo;
architecture behave of contact_discovery_db_mem_V_m_axi_fifo is
signal push, pop, data_vld, full_cond : STD_LOGIC;
signal empty_n_tmp, full_n_tmp : STD_LOGIC;
signal pout : INTEGER range 0 to DEPTH -1;
subtype word is UNSIGNED(DATA_BITS-1 downto 0);
type regFileType is array(0 to DEPTH-1) of word;
signal mem : regFileType;
begin
full_n <= full_n_tmp;
empty_n <= empty_n_tmp;
depth_nlt2 : if DEPTH >= 2 generate
full_cond <= '1' when push = '1' and pop = '0' and pout = DEPTH - 2 and data_vld = '1' else '0';
end generate;
depth_lt2 : if DEPTH < 2 generate
full_cond <= '1' when push = '1' and pop = '0' else '0';
end generate;
push <= full_n_tmp and wrreq;
pop <= data_vld and (not (empty_n_tmp and (not rdreq)));
q_proc : process (sclk)
begin
if (sclk'event and sclk = '1') then
if reset = '1' then
q <= (others => '0');
elsif sclk_en = '1' then
if not (empty_n_tmp = '1' and rdreq = '0') then
q <= mem(pout);
end if;
end if;
end if;
end process q_proc;
empty_n_proc : process (sclk)
begin
if (sclk'event and sclk = '1') then
if reset = '1' then
empty_n_tmp <= '0';
elsif sclk_en = '1' then
if not (empty_n_tmp = '1' and rdreq = '0') then
empty_n_tmp <= data_vld;
end if;
end if;
end if;
end process empty_n_proc;
data_vld_proc : process (sclk)
begin
if (sclk'event and sclk = '1') then
if reset = '1' then
data_vld <= '0';
elsif sclk_en = '1' then
if push = '1' then
data_vld <= '1';
elsif push = '0' and pop = '1' and pout = 0 then
data_vld <= '0';
end if;
end if;
end if;
end process data_vld_proc;
full_n_proc : process (sclk)
begin
if (sclk'event and sclk = '1') then
if reset = '1' then
full_n_tmp <= '1';
elsif sclk_en = '1' then
if pop = '1' then
full_n_tmp <= '1';
elsif full_cond = '1' then
full_n_tmp <= '0';
end if;
end if;
end if;
end process full_n_proc;
pout_proc : process (sclk)
begin
if (sclk'event and sclk = '1') then
if reset = '1' then
pout <= 0;
elsif sclk_en = '1' then
if push = '1' and pop = '0' and data_vld = '1' then
pout <= TO_INTEGER(TO_UNSIGNED(pout + 1, DEPTH_BITS));
elsif push = '0' and pop = '1' and pout /= 0 then
pout <= pout - 1;
end if;
end if;
end if;
end process pout_proc;
process (sclk)
begin
if (sclk'event and sclk = '1') and sclk_en = '1' then
if push = '1' then
for i in 0 to DEPTH - 2 loop
mem(i+1) <= mem(i);
end loop;
mem(0) <= data;
end if;
end if;
end process;
end architecture behave;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity contact_discovery_db_mem_V_m_axi_buffer is
generic (
MEM_STYLE : STRING := "block";
DATA_WIDTH : NATURAL := 32;
ADDR_WIDTH : NATURAL := 5;
DEPTH : NATURAL := 32
);
port (
clk : in STD_LOGIC;
reset : in STD_LOGIC;
sclk_en : in STD_LOGIC;
if_full_n : out STD_LOGIC;
if_write_ce : in STD_LOGIC;
if_write : in STD_LOGIC;
if_din : in STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
if_empty_n : out STD_LOGIC;
if_read_ce : in STD_LOGIC;
if_read : in STD_LOGIC;
if_dout : out STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)
);
end entity;
architecture arch of contact_discovery_db_mem_V_m_axi_buffer is
type memtype is array (0 to DEPTH - 1) of std_logic_vector(DATA_WIDTH - 1 downto 0);
signal mem : memtype;
signal q_buf : std_logic_vector(DATA_WIDTH - 1 downto 0) := (others => '0');
signal waddr : unsigned(ADDR_WIDTH - 1 downto 0) := (others => '0');
signal raddr : unsigned(ADDR_WIDTH - 1 downto 0) := (others => '0');
signal wnext : unsigned(ADDR_WIDTH - 1 downto 0);
signal rnext : unsigned(ADDR_WIDTH - 1 downto 0);
signal push : std_logic;
signal pop : std_logic;
signal usedw : unsigned(ADDR_WIDTH - 1 downto 0) := (others => '0');
signal full_n : std_logic := '1';
signal empty_n : std_logic := '0';
signal q_tmp : std_logic_vector(DATA_WIDTH - 1 downto 0) := (others => '0');
signal show_ahead : std_logic := '0';
signal dout_buf : std_logic_vector(DATA_WIDTH - 1 downto 0) := (others => '0');
signal dout_valid : std_logic := '0';
attribute ram_style: string;
attribute ram_style of mem: signal is MEM_STYLE;
begin
if_full_n <= full_n;
if_empty_n <= dout_valid;
if_dout <= dout_buf;
push <= full_n and if_write_ce and if_write;
pop <= empty_n and if_read_ce and (not dout_valid or if_read);
wnext <= waddr when push = '0' else
(others => '0') when waddr = DEPTH - 1 else
waddr + 1;
rnext <= raddr when pop = '0' else
(others => '0') when raddr = DEPTH - 1 else
raddr + 1;
-- waddr
process (clk) begin
if clk'event and clk = '1' then
if reset = '1' then
waddr <= (others => '0');
elsif sclk_en = '1' then
waddr <= wnext;
end if;
end if;
end process;
-- raddr
process (clk) begin
if clk'event and clk = '1' then
if reset = '1' then
raddr <= (others => '0');
elsif sclk_en = '1' then
raddr <= rnext;
end if;
end if;
end process;
-- usedw
process (clk) begin
if clk'event and clk = '1' then
if reset = '1' then
usedw <= (others => '0');
elsif sclk_en = '1' then
if push = '1' and pop = '0' then
usedw <= usedw + 1;
elsif push = '0' and pop = '1' then
usedw <= usedw - 1;
end if;
end if;
end if;
end process;
-- full_n
process (clk) begin
if clk'event and clk = '1' then
if reset = '1' then
full_n <= '1';
elsif sclk_en = '1' then
if push = '1' and pop = '0' then
if usedw = DEPTH - 1 then
full_n <= '0';
else
full_n <= '1';
end if;
elsif push = '0' and pop = '1' then
full_n <= '1';
end if;
end if;
end if;
end process;
-- empty_n
process (clk) begin
if clk'event and clk = '1' then
if reset = '1' then
empty_n <= '0';
elsif sclk_en = '1' then
if push = '1' and pop = '0' then
empty_n <= '1';
elsif push = '0' and pop = '1' then
if usedw = 1 then
empty_n <= '0';
else
empty_n <= '1';
end if;
end if;
end if;
end if;
end process;
-- mem
process (clk) begin
if clk'event and clk = '1' then
if push = '1' then
mem(to_integer(waddr)) <= if_din;
end if;
end if;
end process;
-- q_buf
process (clk) begin
if clk'event and clk = '1' then
q_buf <= mem(to_integer(rnext));
end if;
end process;
-- q_tmp
process (clk) begin
if clk'event and clk = '1' then
if reset = '1' then
q_tmp <= (others => '0');
elsif sclk_en = '1' then
if push = '1' then
q_tmp <= if_din;
end if;
end if;
end if;
end process;
-- show_ahead
process (clk) begin
if clk'event and clk = '1' then
if reset = '1' then
show_ahead <= '0';
elsif sclk_en = '1' then
if push = '1' and (usedw = 0 or (usedw = 1 and pop = '1')) then
show_ahead <= '1';
else
show_ahead <= '0';
end if;
end if;
end if;
end process;
-- dout_buf
process (clk) begin
if clk'event and clk = '1' then
if reset = '1' then
dout_buf <= (others => '0');
elsif sclk_en = '1' then
if pop = '1' then
if show_ahead = '1' then
dout_buf <= q_tmp;
else
dout_buf <= q_buf;
end if;
end if;
end if;
end if;
end process;
-- dout_valid
process (clk) begin
if clk'event and clk = '1' then
if reset = '1' then
dout_valid <= '0';
elsif sclk_en = '1' then
if pop = '1' then
dout_valid <= '1';
elsif if_read_ce = '1' and if_read = '1' then
dout_valid <= '0';
end if;
end if;
end if;
end process;
end architecture;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity contact_discovery_db_mem_V_m_axi_decoder is
generic (
DIN_WIDTH : integer := 3);
port (
din : in UNSIGNED(DIN_WIDTH - 1 downto 0);
dout : out UNSIGNED(2**DIN_WIDTH - 1 downto 0));
end entity contact_discovery_db_mem_V_m_axi_decoder;
architecture behav of contact_discovery_db_mem_V_m_axi_decoder is
begin
process (din)
begin
dout <= (others => '0');
if (not(din = 0)) then
dout(TO_INTEGER(din) - 1 downto 0) <= (others => '1');
end if;
end process;
end architecture behav;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity contact_discovery_db_mem_V_m_axi_throttl is
generic (
USED_FIX : BOOLEAN := false;
FIX_VALUE : INTEGER := 4);
port (
clk : in STD_LOGIC;
reset : in STD_LOGIC;
ce : in STD_LOGIC;
in_len : in STD_LOGIC_VECTOR;
in_req_valid : in STD_LOGIC;
in_req_ready : in STD_LOGIC;
in_data_valid : in STD_LOGIC;
in_data_ready : in STD_LOGIC;
out_req_valid : out STD_LOGIC;
out_req_ready : out STD_LOGIC);
end entity contact_discovery_db_mem_V_m_axi_throttl;
architecture behav of contact_discovery_db_mem_V_m_axi_throttl is
type switch_t is array(boolean) of integer;
constant switch : switch_t := (true => FIX_VALUE-1, false => 0);
constant threshold : INTEGER := switch(USED_FIX);
signal req_en : STD_LOGIC;
signal handshake : STD_LOGIC;
signal load_init : UNSIGNED(7 downto 0);
signal throttl_cnt : UNSIGNED(7 downto 0);
begin
fix_gen : if USED_FIX generate
load_init <= TO_UNSIGNED(FIX_VALUE-1, 8);
handshake <= '1';
end generate;
no_fix_gen : if not USED_FIX generate
load_init <= UNSIGNED(in_len);
handshake <= in_data_valid and in_data_ready;
end generate;
out_req_valid <= in_req_valid and req_en;
out_req_ready <= in_req_ready and req_en;
req_en <= '1' when throttl_cnt = 0 else
'0';
process (clk)
begin
if (clk'event and clk = '1') then
if reset = '1' then
throttl_cnt <= (others => '0');
elsif ce = '1' then
if UNSIGNED(in_len) > threshold and throttl_cnt = 0 and in_req_valid = '1' and in_req_ready = '1' then
throttl_cnt <= load_init; --load
elsif throttl_cnt > 0 and handshake = '1' then
throttl_cnt <= throttl_cnt - 1;
end if;
end if;
end if;
end process;
end architecture behav;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity contact_discovery_db_mem_V_m_axi_read is
generic (
NUM_READ_OUTSTANDING : INTEGER := 2;
MAX_READ_BURST_LENGTH : INTEGER := 16;
C_M_AXI_ID_WIDTH : INTEGER := 1;
C_M_AXI_ADDR_WIDTH : INTEGER := 32;
C_TARGET_ADDR : INTEGER := 16#00000000#;
C_M_AXI_DATA_WIDTH : INTEGER := 32;
C_M_AXI_ARUSER_WIDTH : INTEGER := 1;
C_M_AXI_RUSER_WIDTH : INTEGER := 1;
C_USER_VALUE : INTEGER := 0;
C_PROT_VALUE : INTEGER := 0;
C_CACHE_VALUE : INTEGER := 2#0011#;
USER_DW : INTEGER := 16;
USER_AW : INTEGER := 32;
USER_MAXREQS : INTEGER := 16);
port (
ACLK : in STD_LOGIC;
ARESET : in STD_LOGIC;
ACLK_EN : in STD_LOGIC;
ARID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
ARADDR : out UNSIGNED(C_M_AXI_ADDR_WIDTH-1 downto 0);
ARLEN : out UNSIGNED(7 downto 0);
ARSIZE : out UNSIGNED(2 downto 0);
ARBURST : out UNSIGNED(1 downto 0);
ARLOCK : out UNSIGNED(1 downto 0);
ARCACHE : out UNSIGNED(3 downto 0);
ARPROT : out UNSIGNED(2 downto 0);
ARQOS : out UNSIGNED(3 downto 0);
ARREGION : out UNSIGNED(3 downto 0);
ARUSER : out UNSIGNED(C_M_AXI_ARUSER_WIDTH-1 downto 0);
ARVALID : out STD_LOGIC;
ARREADY : in STD_LOGIC;
RID : in UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
RDATA : in UNSIGNED(C_M_AXI_DATA_WIDTH-1 downto 0);
RRESP : in UNSIGNED(1 downto 0);
RLAST : in STD_LOGIC;
RUSER : in UNSIGNED(C_M_AXI_RUSER_WIDTH-1 downto 0);
RVALID : in STD_LOGIC;
RREADY : out STD_LOGIC;
rreq_valid : in STD_LOGIC;
rreq_ack : out STD_LOGIC;
rreq_addr : in UNSIGNED(USER_AW-1 downto 0);
rreq_length : in UNSIGNED(31 downto 0);
rreq_cache : in UNSIGNED(3 downto 0);
rreq_prot : in UNSIGNED(2 downto 0);
rreq_qos : in UNSIGNED(3 downto 0);
rreq_user : in UNSIGNED(C_M_AXI_ARUSER_WIDTH-1 downto 0);
rdata_valid : out STD_LOGIC;
rdata_ack : in STD_LOGIC;
rdata_data : out UNSIGNED(USER_DW-1 downto 0);
rrsp : out UNSIGNED(1 downto 0));
function calc_data_width (x : INTEGER) return INTEGER is
variable y : INTEGER;
begin
y := 8;
while y < x loop
y := y * 2;
end loop;
return y;
end function calc_data_width;
function log2 (x : INTEGER) return INTEGER is
variable n, m : INTEGER;
begin
n := 0;
m := 1;
while m < x loop
n := n + 1;
m := m * 2;
end loop;
return n;
end function log2;
end entity contact_discovery_db_mem_V_m_axi_read;
architecture behave of contact_discovery_db_mem_V_m_axi_read is
--common
constant USER_DATA_WIDTH : INTEGER := calc_data_width(USER_DW);
constant USER_DATA_BYTES : INTEGER := USER_DATA_WIDTH / 8;
constant USER_ADDR_ALIGN : INTEGER := log2(USER_DATA_BYTES);
constant BUS_DATA_WIDTH : INTEGER := C_M_AXI_DATA_WIDTH;
constant BUS_DATA_BYTES : INTEGER := BUS_DATA_WIDTH / 8;
constant NUM_READ_WIDTH : INTEGER := log2(MAX_READ_BURST_LENGTH);
constant BUS_ADDR_ALIGN : INTEGER := log2(BUS_DATA_BYTES);
--AR channel
constant TARGET_ADDR : INTEGER := (C_TARGET_ADDR/USER_DATA_BYTES)*USER_DATA_BYTES;
constant BOUNDARY_BEATS : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0) := (others => '1');
signal rreq_data : UNSIGNED(USER_AW + 31 downto 0);
signal rs2f_rreq_data : UNSIGNED(USER_AW + 31 downto 0);
signal rs2f_rreq_valid : STD_LOGIC;
signal rs2f_rreq_ack : STD_LOGIC;
signal fifo_rreq_data : UNSIGNED(USER_AW + 31 downto 0);
signal tmp_addr : UNSIGNED(USER_AW - 1 downto 0);
signal tmp_len : UNSIGNED(31 downto 0);
signal align_len : UNSIGNED(31 downto 0);
signal arlen_tmp : UNSIGNED(7 downto 0);
signal araddr_tmp : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal start_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal start_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal end_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal end_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal sect_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal sect_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal sect_end : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0);
signal sect_end_buf : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0);
signal burst_end : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0);
signal start_to_4k : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal sect_len : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal sect_len_buf : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal beat_len_buf : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal sect_cnt : UNSIGNED(C_M_AXI_ADDR_WIDTH - 13 downto 0);
signal ar2r_ardata : UNSIGNED(1 downto 0);
signal fifo_rctl_r : STD_LOGIC;
signal zero_len_event : STD_LOGIC;
signal negative_len_event : STD_LOGIC;
signal invalid_len_event : STD_LOGIC;
signal fifo_rreq_valid : STD_LOGIC;
signal fifo_rreq_valid_buf : STD_LOGIC;
signal fifo_rreq_read : STD_LOGIC;
signal fifo_burst_w : STD_LOGIC;
signal fifo_resp_w : STD_LOGIC;
signal ARVALID_Dummy : STD_LOGIC;
signal ready_for_sect : STD_LOGIC;
signal next_rreq : BOOLEAN;
signal ready_for_rreq : BOOLEAN;
signal rreq_handling : BOOLEAN;
signal first_sect : BOOLEAN;
signal last_sect : BOOLEAN;
signal next_sect : BOOLEAN;
--R channel
signal fifo_rresp_rdata : UNSIGNED(BUS_DATA_WIDTH + 2 downto 0);
signal data_pack : UNSIGNED(BUS_DATA_WIDTH + 2 downto 0);
signal tmp_data : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0);
signal rs_rrsp_rdata : UNSIGNED(USER_DW + 1 downto 0);
signal rdata_data_pack : UNSIGNED(USER_DW + 1 downto 0);
signal len_cnt : UNSIGNED(7 downto 0);
signal ar2r_rdata : UNSIGNED(1 downto 0);
signal tmp_resp : UNSIGNED(1 downto 0);
signal resp_buf : UNSIGNED(1 downto 0);
signal tmp_last : STD_LOGIC;
signal need_rlast : STD_LOGIC;
signal fifo_rctl_ready : STD_LOGIC;
signal beat_valid : STD_LOGIC;
signal next_beat : STD_LOGIC;
signal burst_valid : STD_LOGIC;
signal fifo_burst_ready : STD_LOGIC;
signal next_burst : STD_LOGIC;
signal rdata_ack_t : STD_LOGIC;
signal rdata_valid_t : STD_LOGIC;
component contact_discovery_db_mem_V_m_axi_fifo is
generic (
DATA_BITS : INTEGER := 8;
DEPTH : INTEGER := 16;
DEPTH_BITS : INTEGER := 4);
port (
sclk : in STD_LOGIC;
reset : in STD_LOGIC;
sclk_en : in STD_LOGIC;
empty_n : out STD_LOGIC;
full_n : out STD_LOGIC;
rdreq : in STD_LOGIC;
wrreq : in STD_LOGIC;
q : out UNSIGNED(DATA_BITS-1 downto 0);
data : in UNSIGNED(DATA_BITS-1 downto 0));
end component contact_discovery_db_mem_V_m_axi_fifo;
component contact_discovery_db_mem_V_m_axi_reg_slice is
generic (
N : INTEGER := 8);
port (
sclk : in STD_LOGIC;
reset : in STD_LOGIC;
s_data : in STD_LOGIC_VECTOR(N-1 downto 0);
s_valid : in STD_LOGIC;
s_ready : out STD_LOGIC;
m_data : out STD_LOGIC_VECTOR(N-1 downto 0);
m_valid : out STD_LOGIC;
m_ready : in STD_LOGIC);
end component contact_discovery_db_mem_V_m_axi_reg_slice;
component contact_discovery_db_mem_V_m_axi_buffer is
generic (
MEM_STYLE : STRING := "block";
DATA_WIDTH : NATURAL := 32;
ADDR_WIDTH : NATURAL := 5;
DEPTH : NATURAL := 32
);
port (
clk : in STD_LOGIC;
reset : in STD_LOGIC;
sclk_en : in STD_LOGIC;
if_full_n : out STD_LOGIC;
if_write_ce : in STD_LOGIC;
if_write : in STD_LOGIC;
if_din : in STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
if_empty_n : out STD_LOGIC;
if_read_ce : in STD_LOGIC;
if_read : in STD_LOGIC;
if_dout : out STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0));
end component contact_discovery_db_mem_V_m_axi_buffer;
begin
--------------------------- AR channel begin -----------------------------------
-- Instantiation
rs_rreq : contact_discovery_db_mem_V_m_axi_reg_slice
generic map (
N => USER_AW+ 32)
port map (
sclk => ACLK,
reset => ARESET,
s_data => STD_LOGIC_VECTOR(rreq_data),
s_valid => rreq_valid,
s_ready => rreq_ack,
UNSIGNED(m_data)=> rs2f_rreq_data,
m_valid => rs2f_rreq_valid,
m_ready => rs2f_rreq_ack);
fifo_rreq : contact_discovery_db_mem_V_m_axi_fifo
generic map (
DATA_BITS => USER_AW + 32,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
full_n => rs2f_rreq_ack,
wrreq => rs2f_rreq_valid,
data => rs2f_rreq_data,
empty_n => fifo_rreq_valid,
rdreq => fifo_rreq_read,
q => fifo_rreq_data);
rreq_data <= (rreq_length & rreq_addr);
tmp_addr <= fifo_rreq_data(USER_AW - 1 downto 0);
tmp_len <= fifo_rreq_data(USER_AW + 31 downto USER_AW);
end_addr <= start_addr + align_len;
zero_len_event <= '1' when fifo_rreq_valid = '1' and tmp_len = 0 else '0';
negative_len_event <= tmp_len(31) when fifo_rreq_valid = '1' else '0';
next_rreq <= invalid_len_event = '0' and (fifo_rreq_valid = '1' or fifo_rreq_valid_buf = '1') and ready_for_rreq;
ready_for_rreq <= not(rreq_handling and not(last_sect and next_sect));
fifo_rreq_read <= '1' when invalid_len_event = '1' or next_rreq else '0';
align_len_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
align_len <= (others => '0');
elsif ACLK_EN = '1' then
if (fifo_rreq_valid = '1' and ready_for_rreq) then
align_len <= SHIFT_LEFT(tmp_len, USER_ADDR_ALIGN) - 1;
end if;
end if;
end if;
end process align_len_proc;
start_addr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
start_addr <= (others => '0');
elsif ACLK_EN = '1' then
if (fifo_rreq_valid = '1' and ready_for_rreq) then
start_addr <= TARGET_ADDR + SHIFT_LEFT(RESIZE(tmp_addr, C_M_AXI_ADDR_WIDTH), USER_ADDR_ALIGN);
end if;
end if;
end if;
end process start_addr_proc;
fifo_rreq_valid_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
fifo_rreq_valid_buf <= '0';
elsif ACLK_EN = '1' then
if ((fifo_rreq_valid = '1' or fifo_rreq_valid_buf = '1') and ready_for_rreq) then
fifo_rreq_valid_buf <= fifo_rreq_valid;
end if;
end if;
end if;
end process fifo_rreq_valid_buf_proc;
invalid_len_event_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
invalid_len_event <= '0';
elsif ACLK_EN = '1' then
if ((fifo_rreq_valid = '1' or fifo_rreq_valid_buf = '1') and ready_for_rreq) then
invalid_len_event <= zero_len_event or negative_len_event;
end if;
end if;
end if;
end process invalid_len_event_proc;
rreq_handling_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rreq_handling <= false;
elsif ACLK_EN = '1' then
if fifo_rreq_valid_buf = '1' and not rreq_handling and invalid_len_event = '0' then
rreq_handling <= true;
elsif (fifo_rreq_valid_buf = '0' or invalid_len_event = '1') and last_sect and next_sect then
rreq_handling <= false;
end if;
end if;
end if;
end process rreq_handling_proc;
start_addr_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
start_addr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_rreq then
start_addr_buf <= start_addr;
end if;
end if;
end if;
end process start_addr_buf_proc;
end_addr_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
end_addr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_rreq then
end_addr_buf <= end_addr;
end if;
end if;
end if;
end process end_addr_buf_proc;
beat_len_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
beat_len_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_rreq then
beat_len_buf <= RESIZE(SHIFT_RIGHT(align_len(11 downto 0) + start_addr(BUS_ADDR_ALIGN-1 downto 0), BUS_ADDR_ALIGN), 12-BUS_ADDR_ALIGN);
end if;
end if;
end if;
end process beat_len_buf_proc;
sect_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if next_rreq then
sect_cnt <= start_addr(C_M_AXI_ADDR_WIDTH - 1 downto 12);
elsif next_sect then
sect_cnt <= sect_cnt + 1;
end if;
end if;
end if;
end process sect_cnt_proc;
first_sect <= (sect_cnt = start_addr_buf(C_M_AXI_ADDR_WIDTH - 1 downto 12));
last_sect <= (sect_cnt = end_addr_buf(C_M_AXI_ADDR_WIDTH -1 downto 12));
next_sect <= rreq_handling and ready_for_sect = '1';
sect_addr <= start_addr_buf when first_sect else
sect_cnt & (11 downto 0 => '0');
sect_addr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_addr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
sect_addr_buf <= sect_addr;
end if;
end if;
end if;
end process sect_addr_proc;
start_to_4k <= BOUNDARY_BEATS - start_addr_buf(11 downto BUS_ADDR_ALIGN);
sect_len <= beat_len_buf when first_sect and last_sect else
start_to_4k when first_sect and not last_sect else
end_addr_buf(11 downto BUS_ADDR_ALIGN) when not first_sect and last_sect else
BOUNDARY_BEATS when not first_sect and not last_sect;
sect_len_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_len_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
sect_len_buf <= sect_len;
end if;
end if;
end if;
end process sect_len_proc;
sect_end <= end_addr_buf(BUS_ADDR_ALIGN - 1 downto 0) when last_sect else
(others => '1');
sect_end_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_end_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
sect_end_buf <= sect_end;
end if;
end if;
end if;
end process sect_end_proc;
ARID <= (others => '0');
ARSIZE <= TO_UNSIGNED(BUS_ADDR_ALIGN, ARSIZE'length);
ARBURST <= "01";
ARLOCK <= "00";
ARCACHE <= TO_UNSIGNED(C_CACHE_VALUE, ARCACHE'length);
ARPROT <= TO_UNSIGNED(C_PROT_VALUE, ARPROT'length);
ARUSER <= TO_UNSIGNED(C_USER_VALUE, ARUSER'length);
ARQOS <= rreq_qos;
must_one_burst : if (BUS_DATA_BYTES >= 4096/MAX_READ_BURST_LENGTH) generate
begin
ARADDR <= sect_addr_buf(C_M_AXI_ADDR_WIDTH - 1 downto BUS_ADDR_ALIGN) & (BUS_ADDR_ALIGN - 1 downto 0 => '0');
ARLEN <= RESIZE(sect_len_buf, 8);
ARVALID <= ARVALID_Dummy;
ready_for_sect <= '1' when not (ARVALID_Dummy = '1' and ARREADY = '0') and fifo_burst_ready = '1' and fifo_rctl_ready = '1' else '0';
arvalid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
ARVALID_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_sect then
ARVALID_Dummy <= '1';
elsif not next_sect and ARREADY = '1' then
ARVALID_Dummy <= '0';
end if;
end if;
end if;
end process arvalid_proc;
fifo_rctl_r <= '1' when next_sect else '0';
ar2r_ardata <= "10" when last_sect else "00";
fifo_burst_w <= '1' when next_sect else '0';
araddr_tmp <= sect_addr(C_M_AXI_ADDR_WIDTH - 1 downto 0);
arlen_tmp <= RESIZE(sect_len, 8);
burst_end <= sect_end;
end generate must_one_burst;
could_multi_bursts : if (BUS_DATA_BYTES < 4096/MAX_READ_BURST_LENGTH) generate
signal araddr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal arlen_buf : UNSIGNED(7 downto 0);
signal loop_cnt : UNSIGNED(11 - NUM_READ_WIDTH - BUS_ADDR_ALIGN downto 0);
signal last_loop : BOOLEAN;
signal next_loop : BOOLEAN;
signal ready_for_loop : BOOLEAN;
signal sect_handling : BOOLEAN;
begin
ARADDR <= araddr_buf;
ARLEN <= arlen_buf;
ARVALID <= ARVALID_Dummy;
last_loop <= (loop_cnt = sect_len_buf(11 - BUS_ADDR_ALIGN downto NUM_READ_WIDTH));
next_loop <= sect_handling and ready_for_loop;
ready_for_loop <= not (ARVALID_Dummy = '1' and ARREADY = '0') and fifo_burst_ready = '1' and fifo_rctl_ready = '1';
ready_for_sect <= '1' when not (sect_handling and not (last_loop and next_loop)) else '0';
sect_handling_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_handling <= false;
elsif ACLK_EN = '1' then
if rreq_handling and not sect_handling then
sect_handling <= true;
elsif not rreq_handling and last_loop and next_loop then
sect_handling <= false;
end if;
end if;
end if;
end process sect_handling_proc;
loop_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
loop_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
loop_cnt <= (others => '0');
elsif next_loop then
loop_cnt <= loop_cnt + 1;
end if;
end if;
end if;
end process loop_cnt_proc;
araddr_tmp <= sect_addr_buf(C_M_AXI_ADDR_WIDTH -1 downto 0) when loop_cnt = 0 else
araddr_buf + SHIFT_LEFT(RESIZE(arlen_buf, 32) + 1, BUS_ADDR_ALIGN);
araddr_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
araddr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_loop then
araddr_buf <= araddr_tmp(C_M_AXI_ADDR_WIDTH - 1 downto BUS_ADDR_ALIGN) & (BUS_ADDR_ALIGN - 1 downto 0 => '0');
end if;
end if;
end if;
end process araddr_buf_proc;
arlen_tmp <= RESIZE(sect_len_buf(NUM_READ_WIDTH-1 downto 0), 8) when last_loop else
TO_UNSIGNED(MAX_READ_BURST_LENGTH-1, 8);
arlen_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
arlen_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_loop then
arlen_buf <= arlen_tmp;
end if;
end if;
end if;
end process arlen_buf_proc;
arvalid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
ARVALID_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_loop then
ARVALID_Dummy <= '1';
elsif not next_loop and ARREADY = '1' then
ARVALID_Dummy <= '0';
end if;
end if;
end if;
end process arvalid_proc;
fifo_rctl_r <= '1' when next_loop else '0';
ar2r_ardata <= "10" when last_loop else "00";
fifo_burst_w <= '1' when next_loop else '0';
burst_end <= sect_end_buf(BUS_ADDR_ALIGN - 1 downto 0) when last_loop else (others => '1');
end generate could_multi_bursts;
--------------------------- AR channel end -------------------------------------
--------------------------- R channel begin ------------------------------------
-- Instantiation
fifo_rdata : contact_discovery_db_mem_V_m_axi_buffer
generic map (
DATA_WIDTH => BUS_DATA_WIDTH + 3,
DEPTH => NUM_READ_OUTSTANDING * MAX_READ_BURST_LENGTH,
ADDR_WIDTH => log2(NUM_READ_OUTSTANDING * MAX_READ_BURST_LENGTH))
port map (
clk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
if_full_n => RREADY,
if_write_ce => '1',
if_write => RVALID,
if_din => STD_LOGIC_VECTOR(fifo_rresp_rdata),
if_empty_n => beat_valid,
if_read_ce => '1',
if_read => next_beat,
UNSIGNED(if_dout) => data_pack);
rs_rdata : contact_discovery_db_mem_V_m_axi_reg_slice
generic map (
N => USER_DW + 2)
port map (
sclk => ACLK,
reset => ARESET,
s_data => STD_LOGIC_VECTOR(rs_rrsp_rdata),
s_valid => rdata_valid_t,
s_ready => rdata_ack_t,
UNSIGNED(m_data) => rdata_data_pack,
m_valid => rdata_valid,
m_ready => rdata_ack);
fifo_rctl : contact_discovery_db_mem_V_m_axi_fifo
generic map (
DATA_BITS => 2,
DEPTH => NUM_READ_OUTSTANDING-1,
DEPTH_BITS => log2(NUM_READ_OUTSTANDING-1))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => need_rlast,
full_n => fifo_rctl_ready,
rdreq => tmp_last,
wrreq => fifo_rctl_r,
q => ar2r_rdata,
data => ar2r_ardata);
fifo_rresp_rdata <= (RLAST & RRESP & RDATA);
tmp_data <= data_pack(BUS_DATA_WIDTH - 1 downto 0);
tmp_resp <= data_pack(BUS_DATA_WIDTH + 1 downto BUS_DATA_WIDTH);
tmp_last <= data_pack(BUS_DATA_WIDTH + 2) and beat_valid;
bus_equal_gen : if (USER_DATA_WIDTH = BUS_DATA_WIDTH) generate
signal data_buf : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0);
signal ready_for_data : BOOLEAN;
begin
rs_rrsp_rdata <= resp_buf & data_buf(USER_DW - 1 downto 0);
rrsp <= rdata_data_pack(USER_DW + 1 downto USER_DW);
rdata_data <= rdata_data_pack(USER_DW - 1 downto 0);
fifo_burst_ready <= '1';
next_beat <= '1' when beat_valid = '1' and ready_for_data else '0';
ready_for_data <= not (rdata_valid_t = '1' and rdata_ack_t = '0');
data_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if ACLK_EN = '1' then
if next_beat = '1' then
data_buf <= tmp_data;
end if;
end if;
end if;
end process data_buf_proc;
resp_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
resp_buf <= "00";
elsif ACLK_EN = '1' then
if next_beat = '1' then
resp_buf <= tmp_resp;
end if;
end if;
end if;
end process resp_buf_proc;
rdata_valid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rdata_valid_t <= '0';
elsif ACLK_EN = '1' then
if next_beat = '1' then
rdata_valid_t <= '1';
elsif ready_for_data then
rdata_valid_t <= '0';
end if;
end if;
end if;
end process rdata_valid_proc;
end generate bus_equal_gen;
bus_wide_gen : if (USER_DATA_WIDTH < BUS_DATA_WIDTH) generate
constant TOTAL_SPLIT : INTEGER := BUS_DATA_WIDTH / USER_DATA_WIDTH;
constant SPLIT_ALIGN : INTEGER := log2(TOTAL_SPLIT);
signal tmp_burst_info : UNSIGNED(2*SPLIT_ALIGN + 7 downto 0);
signal burst_pack : UNSIGNED(2*SPLIT_ALIGN + 7 downto 0);
signal data_buf : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0);
signal split_cnt : UNSIGNED(SPLIT_ALIGN - 1 downto 0);
signal split_cnt_buf : UNSIGNED(SPLIT_ALIGN - 1 downto 0);
signal head_split : UNSIGNED(SPLIT_ALIGN - 1 downto 0);
signal tail_split : UNSIGNED(SPLIT_ALIGN - 1 downto 0);
signal burst_len : UNSIGNED(7 downto 0);
signal first_beat : BOOLEAN;
signal last_beat : BOOLEAN;
signal first_split : BOOLEAN;
signal next_split : BOOLEAN;
signal last_split : BOOLEAN;
signal ready_for_data : BOOLEAN;
begin
-- instantiation
fifo_burst : contact_discovery_db_mem_V_m_axi_fifo
generic map (
DATA_BITS => 2*SPLIT_ALIGN + 8,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => burst_valid,
full_n => fifo_burst_ready,
rdreq => next_burst,
wrreq => fifo_burst_w,
q => burst_pack,
data => tmp_burst_info);
rs_rrsp_rdata <= resp_buf & data_buf(USER_DW - 1 downto 0);
rrsp <= rdata_data_pack(USER_DW + 1 downto USER_DW);
rdata_data <= rdata_data_pack(USER_DW - 1 downto 0);
tmp_burst_info <= araddr_tmp(BUS_ADDR_ALIGN - 1 downto USER_ADDR_ALIGN) & burst_end(BUS_ADDR_ALIGN - 1 downto USER_ADDR_ALIGN) & RESIZE(arlen_tmp, 8);
head_split <= burst_pack(2*SPLIT_ALIGN + 7 downto 8 + SPLIT_ALIGN);
tail_split <= burst_pack(SPLIT_ALIGN + 7 downto 8);
burst_len <= burst_pack(7 downto 0);
fifo_burst_ready <= '1';
next_beat <= '1' when last_split else '0';
next_burst <= '1' when last_beat and last_split else '0';
ready_for_data <= not (rdata_valid_t = '1' and rdata_ack_t = '0');
first_beat <= len_cnt = 0 and burst_valid = '1' and beat_valid = '1';
last_beat <= len_cnt = burst_len and burst_valid = '1' and beat_valid = '1';
first_split <= (split_cnt = 0 and beat_valid = '1' and ready_for_data) when not first_beat else
(split_cnt = head_split and ready_for_data);
last_split <= (split_cnt = (TOTAL_SPLIT - 1) and ready_for_data) when not last_beat else
(split_cnt = tail_split and ready_for_data);
next_split <= (split_cnt /= 0 and ready_for_data) when not first_beat else
(split_cnt /= head_split and ready_for_data);
split_cnt <= head_split when first_beat and (split_cnt_buf = 0) else
split_cnt_buf;
split_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
split_cnt_buf <= (others => '0');
elsif ACLK_EN = '1' then
if last_split then
split_cnt_buf <= (others => '0');
elsif first_split or next_split then
split_cnt_buf <= split_cnt + 1;
end if;
end if;
end if;
end process split_cnt_proc;
len_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
len_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if last_beat and last_split then
len_cnt <= (others => '0');
elsif last_split then
len_cnt <= len_cnt + 1;
end if;
end if;
end if;
end process len_cnt_proc;
data_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if ACLK_EN = '1' then
if first_split and first_beat then
data_buf <= SHIFT_RIGHT(tmp_data, to_integer(head_split)*USER_DATA_WIDTH);
elsif first_split then
data_buf <= tmp_data;
elsif next_split then
data_buf <= SHIFT_RIGHT(data_buf, USER_DATA_WIDTH);
end if;
end if;
end if;
end process data_buf_proc;
resp_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
resp_buf <= "00";
elsif ACLK_EN = '1' then
if first_split then
resp_buf <= tmp_resp;
end if;
end if;
end if;
end process resp_buf_proc;
rdata_valid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rdata_valid_t <= '0';
elsif ACLK_EN = '1' then
if first_split then
rdata_valid_t <= '1';
elsif not (first_split or next_split) and ready_for_data then
rdata_valid_t <= '0';
end if;
end if;
end if;
end process rdata_valid_proc;
end generate bus_wide_gen;
bus_narrow_gen : if (USER_DATA_WIDTH > BUS_DATA_WIDTH) generate
constant TOTAL_PADS : INTEGER := USER_DATA_WIDTH / BUS_DATA_WIDTH;
constant PAD_ALIGN : INTEGER := log2(TOTAL_PADS);
signal data_buf : UNSIGNED(USER_DATA_WIDTH - 1 downto 0);
signal pad_oh : UNSIGNED(TOTAL_PADS - 1 downto 0);
signal pad_oh_reg : UNSIGNED(TOTAL_PADS - 1 downto 0);
signal ready_for_data : BOOLEAN;
signal next_pad : BOOLEAN;
signal first_pad : BOOLEAN;
signal last_pad : BOOLEAN;
signal next_data : BOOLEAN;
begin
rrsp <= resp_buf;
rdata_data <= data_buf(USER_DW - 1 downto 0);
rdata_valid <= rdata_valid_t;
fifo_burst_ready <= '1';
next_beat <= '1' when next_pad else '0';
ready_for_data <= not (rdata_valid_t = '1' and rdata_ack_t = '0');
next_pad <= beat_valid = '1' and ready_for_data;
last_pad <= pad_oh(TOTAL_PADS - 1) = '1';
next_data <= last_pad and ready_for_data;
first_pad_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
first_pad <= true;
elsif ACLK_EN = '1' then
if next_pad and not last_pad then
first_pad <= false;
elsif next_pad and last_pad then
first_pad <= true;
end if;
end if;
end if;
end process first_pad_proc;
pad_oh <= (others => '0') when beat_valid = '0' else
TO_UNSIGNED(1, TOTAL_PADS) when first_pad else
pad_oh_reg;
pad_oh_reg_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
pad_oh_reg <= (others => '0');
elsif ACLK_EN = '1' then
if next_pad then
pad_oh_reg <= pad_oh(TOTAL_PADS - 2 downto 0) & '0';
end if;
end if;
end if;
end process pad_oh_reg_proc;
data_gen : for i in 1 to TOTAL_PADS generate
begin
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if ACLK_EN = '1' then
if pad_oh(i-1) = '1' and ready_for_data then
data_buf(i*BUS_DATA_WIDTH - 1 downto (i-1)*BUS_DATA_WIDTH) <= tmp_data;
end if;
end if;
end if;
end process;
end generate data_gen;
resp_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') and ACLK_EN = '1' then
if (ARESET = '1') then
resp_buf <= "00";
elsif next_beat = '1' and resp_buf(0) = '0' then
resp_buf <= tmp_resp;
end if;
end if;
end process resp_buf_proc;
rdata_valid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rdata_valid_t <= '0';
elsif ACLK_EN = '1' then
if next_data then
rdata_valid_t <= '1';
elsif ready_for_data then
rdata_valid_t <= '0';
end if;
end if;
end if;
end process rdata_valid_proc;
end generate bus_narrow_gen;
--------------------------- R channel end --------------------------------------
end architecture behave;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity contact_discovery_db_mem_V_m_axi_write is
generic (
NUM_WRITE_OUTSTANDING : INTEGER := 2;
MAX_WRITE_BURST_LENGTH : INTEGER := 16;
C_M_AXI_ID_WIDTH : INTEGER := 1;
C_M_AXI_ADDR_WIDTH : INTEGER := 32;
C_TARGET_ADDR : INTEGER := 16#00000000#;
C_M_AXI_DATA_WIDTH : INTEGER := 32;
C_M_AXI_AWUSER_WIDTH : INTEGER := 1;
C_M_AXI_WUSER_WIDTH : INTEGER := 1;
C_M_AXI_BUSER_WIDTH : INTEGER := 1;
C_USER_VALUE : INTEGER := 0;
C_PROT_VALUE : INTEGER := 0;
C_CACHE_VALUE : INTEGER := 2#0011#;
USER_DW : INTEGER := 16;
USER_AW : INTEGER := 32;
USER_MAXREQS : INTEGER := 16);
port (
ACLK : in STD_LOGIC;
ARESET : in STD_LOGIC;
ACLK_EN : in STD_LOGIC;
AWID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
AWADDR : out UNSIGNED(C_M_AXI_ADDR_WIDTH-1 downto 0);
AWLEN : out UNSIGNED(7 downto 0);
AWSIZE : out UNSIGNED(2 downto 0);
AWBURST : out UNSIGNED(1 downto 0);
AWLOCK : out UNSIGNED(1 downto 0);
AWCACHE : out UNSIGNED(3 downto 0);
AWPROT : out UNSIGNED(2 downto 0);
AWQOS : out UNSIGNED(3 downto 0);
AWREGION : out UNSIGNED(3 downto 0);
AWUSER : out UNSIGNED(C_M_AXI_AWUSER_WIDTH-1 downto 0);
AWVALID : out STD_LOGIC;
AWREADY : in STD_LOGIC;
WID : out UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
WDATA : out UNSIGNED(C_M_AXI_DATA_WIDTH-1 downto 0);
WSTRB : out UNSIGNED(C_M_AXI_DATA_WIDTH/8-1 downto 0);
WLAST : out STD_LOGIC;
WUSER : out UNSIGNED(C_M_AXI_WUSER_WIDTH-1 downto 0);
WVALID : out STD_LOGIC;
WREADY : in STD_LOGIC;
BID : in UNSIGNED(C_M_AXI_ID_WIDTH-1 downto 0);
BRESP : in UNSIGNED(1 downto 0);
BUSER : in UNSIGNED(C_M_AXI_BUSER_WIDTH-1 downto 0);
BVALID : in STD_LOGIC;
BREADY : out STD_LOGIC;
wreq_valid : in STD_LOGIC;
wreq_ack : out STD_LOGIC;
wreq_addr : in UNSIGNED(USER_AW-1 downto 0);
wreq_length : in UNSIGNED(31 downto 0);
wreq_cache : in UNSIGNED(3 downto 0);
wreq_prot : in UNSIGNED(2 downto 0);
wreq_qos : in UNSIGNED(3 downto 0);
wreq_user : in UNSIGNED(C_M_AXI_AWUSER_WIDTH-1 downto 0);
wdata_valid : in STD_LOGIC;
wdata_ack : out STD_LOGIC;
wdata_strb : in UNSIGNED(USER_DW/8-1 downto 0);
wdata_user : in UNSIGNED(C_M_AXI_WUSER_WIDTH-1 downto 0);
wdata_data : in UNSIGNED(USER_DW-1 downto 0);
wrsp_valid : out STD_LOGIC;
wrsp_ack : in STD_LOGIC;
wrsp : out UNSIGNED(1 downto 0));
function calc_data_width (x : INTEGER) return INTEGER is
variable y : INTEGER;
begin
y := 8;
while y < x loop
y := y * 2;
end loop;
return y;
end function calc_data_width;
function log2 (x : INTEGER) return INTEGER is
variable n, m : INTEGER;
begin
n := 0;
m := 1;
while m < x loop
n := n + 1;
m := m * 2;
end loop;
return n;
end function log2;
end entity contact_discovery_db_mem_V_m_axi_write;
architecture behave of contact_discovery_db_mem_V_m_axi_write is
--common
constant USER_DATA_WIDTH : INTEGER := calc_data_width(USER_DW);
constant USER_DATA_BYTES : INTEGER := USER_DATA_WIDTH / 8;
constant USER_ADDR_ALIGN : INTEGER := log2(USER_DATA_BYTES);
constant BUS_DATA_WIDTH : INTEGER := C_M_AXI_DATA_WIDTH;
constant BUS_DATA_BYTES : INTEGER := BUS_DATA_WIDTH / 8;
constant BUS_ADDR_ALIGN : INTEGER := log2(BUS_DATA_BYTES);
constant NUM_WRITE_WIDTH : INTEGER := log2(MAX_WRITE_BURST_LENGTH);
--AW channel
constant TARGET_ADDR : INTEGER := (C_TARGET_ADDR/USER_DATA_BYTES)*USER_DATA_BYTES;
constant BOUNDARY_BEATS : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0) := (others => '1');
signal wreq_data : UNSIGNED(USER_AW + 31 downto 0);
signal rs2f_wreq_data : UNSIGNED(USER_AW + 31 downto 0);
signal rs2f_wreq_valid : STD_LOGIC;
signal rs2f_wreq_ack : STD_LOGIC;
signal fifo_wreq_data : UNSIGNED(USER_AW + 31 downto 0);
signal tmp_addr : UNSIGNED(USER_AW - 1 downto 0);
signal tmp_len : UNSIGNED(31 downto 0);
signal align_len : UNSIGNED(31 downto 0);
signal awlen_tmp : UNSIGNED(7 downto 0);
signal start_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal end_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal start_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal end_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal awaddr_tmp : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal sect_addr : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal sect_addr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal sect_end : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0);
signal sect_end_buf : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0);
signal burst_end : UNSIGNED(BUS_ADDR_ALIGN - 1 downto 0);
signal start_to_4k : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal sect_len : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal sect_len_buf : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal beat_len_buf : UNSIGNED(11 - BUS_ADDR_ALIGN downto 0);
signal aw2b_awdata : UNSIGNED(1 downto 0);
signal sect_cnt : UNSIGNED(C_M_AXI_ADDR_WIDTH - 13 downto 0);
signal zero_len_event : STD_LOGIC;
signal negative_len_event : STD_LOGIC;
signal invalid_len_event : STD_LOGIC;
signal invalid_len_event_1 : STD_LOGIC;
signal invalid_len_event_2 : STD_LOGIC;
signal fifo_wreq_valid : STD_LOGIC;
signal fifo_wreq_valid_buf : STD_LOGIC;
signal fifo_wreq_read : STD_LOGIC;
signal fifo_burst_w : STD_LOGIC;
signal fifo_resp_w : STD_LOGIC;
signal last_sect_buf : STD_LOGIC;
signal ready_for_sect : STD_LOGIC;
signal AWVALID_Dummy : STD_LOGIC;
signal next_wreq : BOOLEAN;
signal ready_for_wreq : BOOLEAN;
signal wreq_handling : BOOLEAN;
signal first_sect : BOOLEAN;
signal last_sect : BOOLEAN;
signal next_sect : BOOLEAN;
--W channel
signal fifo_wdata_wstrb : UNSIGNED(USER_DW + USER_DW/8 - 1 downto 0);
signal data_pack : UNSIGNED(USER_DW + USER_DW/8 - 1 downto 0);
signal tmp_data : UNSIGNED(USER_DATA_WIDTH - 1 downto 0);
signal tmp_strb : UNSIGNED(USER_DATA_BYTES - 1 downto 0);
signal len_cnt : UNSIGNED(7 downto 0);
signal burst_len : UNSIGNED(7 downto 0);
signal data_valid : STD_LOGIC;
signal next_data : STD_LOGIC;
signal burst_valid : STD_LOGIC;
signal fifo_burst_ready : STD_LOGIC;
signal next_burst : STD_LOGIC;
signal WVALID_Dummy : STD_LOGIC;
signal WLAST_Dummy : STD_LOGIC;
--B channel
signal aw2b_bdata : UNSIGNED(1 downto 0);
signal bresp_tmp : UNSIGNED(1 downto 0);
signal next_resp : STD_LOGIC;
signal last_resp : STD_LOGIC;
signal invalid_event : STD_LOGIC;
signal fifo_resp_ready : STD_LOGIC;
signal need_wrsp : STD_LOGIC;
signal resp_match : STD_LOGIC;
signal resp_ready : STD_LOGIC;
component contact_discovery_db_mem_V_m_axi_fifo is
generic (
DATA_BITS : INTEGER := 8;
DEPTH : INTEGER := 16;
DEPTH_BITS : INTEGER := 4);
port (
sclk : in STD_LOGIC;
reset : in STD_LOGIC;
sclk_en : in STD_LOGIC;
empty_n : out STD_LOGIC;
full_n : out STD_LOGIC;
rdreq : in STD_LOGIC;
wrreq : in STD_LOGIC;
q : out UNSIGNED(DATA_BITS-1 downto 0);
data : in UNSIGNED(DATA_BITS-1 downto 0));
end component contact_discovery_db_mem_V_m_axi_fifo;
component contact_discovery_db_mem_V_m_axi_reg_slice is
generic (
N : INTEGER := 8);
port (
sclk : in STD_LOGIC;
reset : in STD_LOGIC;
s_data : in STD_LOGIC_VECTOR(N-1 downto 0);
s_valid : in STD_LOGIC;
s_ready : out STD_LOGIC;
m_data : out STD_LOGIC_VECTOR(N-1 downto 0);
m_valid : out STD_LOGIC;
m_ready : in STD_LOGIC);
end component contact_discovery_db_mem_V_m_axi_reg_slice;
component contact_discovery_db_mem_V_m_axi_buffer is
generic (
MEM_STYLE : STRING := "block";
DATA_WIDTH : NATURAL := 32;
ADDR_WIDTH : NATURAL := 5;
DEPTH : NATURAL := 32
);
port (
clk : in STD_LOGIC;
reset : in STD_LOGIC;
sclk_en : in STD_LOGIC;
if_full_n : out STD_LOGIC;
if_write_ce : in STD_LOGIC;
if_write : in STD_LOGIC;
if_din : in STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
if_empty_n : out STD_LOGIC;
if_read_ce : in STD_LOGIC;
if_read : in STD_LOGIC;
if_dout : out STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0));
end component contact_discovery_db_mem_V_m_axi_buffer;
begin
--------------------------- AW channel begin -----------------------------------
-- Instantiation
rs_wreq : contact_discovery_db_mem_V_m_axi_reg_slice
generic map (
N => USER_AW + 32)
port map (
sclk => ACLK,
reset => ARESET,
s_data => STD_LOGIC_VECTOR(wreq_data),
s_valid => wreq_valid,
s_ready => wreq_ack,
UNSIGNED(m_data)=> rs2f_wreq_data,
m_valid => rs2f_wreq_valid,
m_ready => rs2f_wreq_ack);
fifo_wreq : contact_discovery_db_mem_V_m_axi_fifo
generic map (
DATA_BITS => USER_AW + 32,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
full_n => rs2f_wreq_ack,
wrreq => rs2f_wreq_valid,
data => rs2f_wreq_data,
empty_n => fifo_wreq_valid,
rdreq => fifo_wreq_read,
q => fifo_wreq_data);
wreq_data <= (wreq_length & wreq_addr);
tmp_addr <= fifo_wreq_data(USER_AW - 1 downto 0);
tmp_len <= fifo_wreq_data(USER_AW + 31 downto USER_AW);
end_addr <= start_addr + align_len;
zero_len_event <= '1' when fifo_wreq_valid = '1' and tmp_len = 0 else '0';
negative_len_event <= tmp_len(31) when fifo_wreq_valid = '1' else '0';
next_wreq <= (fifo_wreq_valid = '1' or fifo_wreq_valid_buf = '1') and ready_for_wreq;
ready_for_wreq <= not(wreq_handling and not(last_sect and next_sect));
fifo_wreq_read <= '1' when next_wreq else '0';
align_len_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
align_len <= (others => '0');
elsif ACLK_EN = '1' then
if (fifo_wreq_valid = '1' and ready_for_wreq) then
if (zero_len_event = '1' or negative_len_event = '1') then
align_len <= (others => '0');
else
align_len <= SHIFT_LEFT(tmp_len, USER_ADDR_ALIGN) - 1;
end if;
end if;
end if;
end if;
end process align_len_proc;
start_addr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
start_addr <= (others => '0');
elsif ACLK_EN = '1' then
if (fifo_wreq_valid = '1' and ready_for_wreq) then
start_addr <= TARGET_ADDR + SHIFT_LEFT(RESIZE(tmp_addr, C_M_AXI_ADDR_WIDTH), USER_ADDR_ALIGN);
end if;
end if;
end if;
end process start_addr_proc;
fifo_wreq_valid_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
fifo_wreq_valid_buf <= '0';
elsif ACLK_EN = '1' then
if (next_wreq) then
fifo_wreq_valid_buf <= fifo_wreq_valid;
end if;
end if;
end if;
end process fifo_wreq_valid_buf_proc;
invalid_len_event_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
invalid_len_event <= '0';
elsif ACLK_EN = '1' then
if (next_wreq) then
invalid_len_event <= zero_len_event or negative_len_event;
end if;
end if;
end if;
end process invalid_len_event_proc;
wreq_handling_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
wreq_handling <= false;
elsif ACLK_EN = '1' then
if fifo_wreq_valid_buf = '1' and not wreq_handling then
wreq_handling <= true;
elsif fifo_wreq_valid_buf = '0' and last_sect and next_sect then
wreq_handling <= false;
end if;
end if;
end if;
end process wreq_handling_proc;
start_addr_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
start_addr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_wreq then
start_addr_buf <= start_addr;
end if;
end if;
end if;
end process start_addr_buf_proc;
end_addr_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
end_addr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_wreq then
end_addr_buf <= end_addr;
end if;
end if;
end if;
end process end_addr_buf_proc;
beat_len_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
beat_len_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_wreq then
beat_len_buf <= RESIZE(SHIFT_RIGHT(align_len(11 downto 0) + start_addr(BUS_ADDR_ALIGN-1 downto 0), BUS_ADDR_ALIGN), 12-BUS_ADDR_ALIGN);
end if;
end if;
end if;
end process beat_len_buf_proc;
sect_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if next_wreq then
sect_cnt <= start_addr(C_M_AXI_ADDR_WIDTH - 1 downto 12);
elsif next_sect then
sect_cnt <= sect_cnt + 1;
end if;
end if;
end if;
end process sect_cnt_proc;
-- event registers
invalid_len_event_1_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
invalid_len_event_1 <= '0';
elsif ACLK_EN = '1' then
invalid_len_event_1 <= invalid_len_event;
end if;
end if;
end process invalid_len_event_1_proc;
-- end event registers
first_sect <= (sect_cnt = start_addr_buf(C_M_AXI_ADDR_WIDTH - 1 downto 12));
last_sect <= (sect_cnt = end_addr_buf(C_M_AXI_ADDR_WIDTH -1 downto 12));
next_sect <= wreq_handling and ready_for_sect = '1';
sect_addr <= start_addr_buf when first_sect else
sect_cnt & (11 downto 0 => '0');
sect_addr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_addr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
sect_addr_buf <= sect_addr;
end if;
end if;
end if;
end process sect_addr_proc;
start_to_4k <= BOUNDARY_BEATS - start_addr_buf(11 downto BUS_ADDR_ALIGN);
sect_len <= beat_len_buf when first_sect and last_sect else
start_to_4k when first_sect and not last_sect else
end_addr_buf(11 downto BUS_ADDR_ALIGN) when not first_sect and last_sect else
BOUNDARY_BEATS when not first_sect and not last_sect;
sect_len_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_len_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
sect_len_buf <= sect_len;
end if;
end if;
end if;
end process sect_len_proc;
sect_end <= end_addr_buf(BUS_ADDR_ALIGN - 1 downto 0) when last_sect else
(others => '1');
sect_end_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_end_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
sect_end_buf <= sect_end;
end if;
end if;
end if;
end process sect_end_proc;
-- event registers
invalid_len_event_2_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
invalid_len_event_2 <= '0';
elsif ACLK_EN = '1' then
invalid_len_event_2 <= invalid_len_event_1;
end if;
end if;
end process invalid_len_event_2_proc;
-- end event registers
AWID <= (others => '0');
AWSIZE <= TO_UNSIGNED(BUS_ADDR_ALIGN, AWSIZE'length);
AWBURST <= "01";
AWLOCK <= "00";
AWCACHE <= TO_UNSIGNED(C_CACHE_VALUE, AWCACHE'length);
AWPROT <= TO_UNSIGNED(C_PROT_VALUE, AWPROT'length);
AWUSER <= TO_UNSIGNED(C_USER_VALUE, AWUSER'length);
AWQOS <= wreq_qos;
must_one_burst : if (BUS_DATA_BYTES >= 4096/MAX_WRITE_BURST_LENGTH) generate
begin
AWADDR <= sect_addr_buf(C_M_AXI_ADDR_WIDTH - 1 downto BUS_ADDR_ALIGN) & (BUS_ADDR_ALIGN - 1 downto 0 => '0');
AWLEN <= RESIZE(sect_len_buf, 8);
AWVALID <= AWVALID_Dummy;
ready_for_sect <= '1' when not (AWVALID_Dummy = '1' and AWREADY = '0') and fifo_burst_ready = '1' and fifo_resp_ready = '1' else '0';
awvalid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
AWVALID_Dummy <= '0';
elsif ACLK_EN = '1' then
if invalid_len_event = '1' then
AWVALID_Dummy <= '0';
elsif next_sect then
AWVALID_Dummy <= '1';
elsif not next_sect and AWREADY = '1' then
AWVALID_Dummy <= '0';
end if;
end if;
end if;
end process awvalid_proc;
fifo_resp_w <= '1' when next_sect else '0';
aw2b_awdata <= '1' & invalid_len_event when last_sect else '0' & invalid_len_event;
fifo_burst_w <= '1' when invalid_len_event = '0' and next_sect else '0';
awaddr_tmp <= sect_addr(C_M_AXI_ADDR_WIDTH - 1 downto 0);
awlen_tmp <= RESIZE(sect_len, 8);
burst_end <= sect_end;
end generate must_one_burst;
could_multi_bursts : if (BUS_DATA_BYTES < 4096/MAX_WRITE_BURST_LENGTH) generate
signal awaddr_buf : UNSIGNED(C_M_AXI_ADDR_WIDTH - 1 downto 0);
signal awlen_buf : UNSIGNED(7 downto 0);
signal loop_cnt : UNSIGNED(11 - NUM_WRITE_WIDTH - BUS_ADDR_ALIGN downto 0);
signal last_loop : BOOLEAN;
signal next_loop : BOOLEAN;
signal ready_for_loop : BOOLEAN;
signal sect_handling : BOOLEAN;
begin
AWADDR <= awaddr_buf;
AWLEN <= awlen_buf;
AWVALID <= AWVALID_Dummy;
last_loop <= (loop_cnt = sect_len_buf(11 - BUS_ADDR_ALIGN downto NUM_WRITE_WIDTH));
next_loop <= sect_handling and ready_for_loop;
ready_for_loop <= not (AWVALID_Dummy = '1' and AWREADY = '0') and fifo_resp_ready = '1' and fifo_burst_ready = '1';
ready_for_sect <= '1' when not (sect_handling and not (last_loop and next_loop)) else '0';
sect_handling_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
sect_handling <= false;
elsif ACLK_EN = '1' then
if wreq_handling and not sect_handling then
sect_handling <= true;
elsif not wreq_handling and last_loop and next_loop then
sect_handling <= false;
end if;
end if;
end if;
end process sect_handling_proc;
loop_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
loop_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if next_sect then
loop_cnt <= (others => '0');
elsif next_loop then
loop_cnt <= loop_cnt + 1;
end if;
end if;
end if;
end process loop_cnt_proc;
awaddr_tmp <= sect_addr_buf(C_M_AXI_ADDR_WIDTH -1 downto 0) when loop_cnt = 0 else
awaddr_buf + SHIFT_LEFT(RESIZE(awlen_buf, 32) + 1, BUS_ADDR_ALIGN);
awaddr_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
awaddr_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_loop then
awaddr_buf <= awaddr_tmp(C_M_AXI_ADDR_WIDTH - 1 downto BUS_ADDR_ALIGN) & (BUS_ADDR_ALIGN - 1 downto 0 => '0');
end if;
end if;
end if;
end process awaddr_buf_proc;
awlen_tmp <= RESIZE(sect_len_buf(NUM_WRITE_WIDTH-1 downto 0), 8) when last_loop else
TO_UNSIGNED(MAX_WRITE_BURST_LENGTH-1, 8);
awlen_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
awlen_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_loop then
awlen_buf <= awlen_tmp;
end if;
end if;
end if;
end process awlen_buf_proc;
awvalid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
AWVALID_Dummy <= '0';
elsif ACLK_EN = '1' then
if invalid_len_event_2 = '1' then
AWVALID_Dummy <= '0';
elsif next_loop then
AWVALID_Dummy <= '1';
elsif not next_loop and AWREADY = '1' then
AWVALID_Dummy <= '0';
end if;
end if;
end if;
end process awvalid_proc;
fifo_resp_w <= '1' when next_loop else '0';
aw2b_awdata <= '1' & invalid_len_event_2 when last_loop and last_sect_buf = '1' else '0' & invalid_len_event_2;
last_sect_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
last_sect_buf <= '0';
elsif ACLK_EN = '1' then
if next_sect and last_sect then
last_sect_buf <= '1';
elsif next_sect then
last_sect_buf <= '0';
end if;
end if;
end if;
end process last_sect_buf_proc;
fifo_burst_w <= '1' when invalid_len_event_2 = '0' and next_loop else '0';
burst_end <= sect_end_buf(BUS_ADDR_ALIGN - 1 downto 0) when last_loop else (others => '1');
end generate could_multi_bursts;
--------------------------- AW channel end -------------------------------------
--------------------------- W channel begin ------------------------------------
-- Instantiation
buff_wdata : contact_discovery_db_mem_V_m_axi_buffer
generic map (
DATA_WIDTH => USER_DW + USER_DW/8,
DEPTH => NUM_WRITE_OUTSTANDING * MAX_WRITE_BURST_LENGTH,
ADDR_WIDTH => log2(NUM_WRITE_OUTSTANDING * MAX_WRITE_BURST_LENGTH))
port map (
clk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
if_full_n => wdata_ack,
if_write_ce => '1',
if_write => wdata_valid,
if_din => STD_LOGIC_VECTOR(fifo_wdata_wstrb),
if_empty_n => data_valid,
if_read_ce => '1',
if_read => next_data,
UNSIGNED(if_dout) => data_pack);
fifo_wdata_wstrb <= (wdata_strb & wdata_data);
tmp_data <= RESIZE(data_pack(USER_DW - 1 downto 0), USER_DATA_WIDTH);
tmp_strb <= RESIZE(data_pack(USER_DW + USER_DW/8 - 1 downto USER_DW), USER_DATA_BYTES);
bus_equal_gen : if (USER_DATA_WIDTH = BUS_DATA_WIDTH) generate
signal data_buf : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0);
signal strb_buf : UNSIGNED(BUS_DATA_BYTES - 1 downto 0);
signal tmp_burst_info : UNSIGNED(7 downto 0);
signal ready_for_data : BOOLEAN;
begin
-- Instantiation
fifo_burst : contact_discovery_db_mem_V_m_axi_fifo
generic map (
DATA_BITS => 8,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => burst_valid,
full_n => fifo_burst_ready,
rdreq => next_burst,
wrreq => fifo_burst_w,
q => burst_len,
data => tmp_burst_info);
WDATA <= data_buf;
WSTRB <= strb_buf;
WLAST <= WLAST_Dummy;
WVALID <= WVALID_Dummy;
tmp_burst_info <= RESIZE(awlen_tmp, 8);
ready_for_data <= not (WVALID_Dummy = '1' and WREADY = '0');
next_data <= '1' when burst_valid = '1' and data_valid = '1' and ready_for_data else '0';
next_burst <= '1' when len_cnt = burst_len and next_data = '1' else '0';
data_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if ACLK_EN = '1' then
if next_data = '1' then
data_buf <= tmp_data;
end if;
end if;
end if;
end process data_buf_proc;
strb_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
strb_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_data = '1' then
strb_buf <= tmp_strb;
end if;
end if;
end if;
end process strb_buf_proc;
wvalid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
WVALID_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_data = '1' then
WVALID_Dummy <= '1';
elsif ready_for_data then
WVALID_Dummy <= '0';
end if;
end if;
end if;
end process wvalid_proc;
wlast_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
WLAST_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_burst = '1' then
WLAST_Dummy <= '1';
elsif ready_for_data then
WLAST_Dummy <= '0';
end if;
end if;
end if;
end process wlast_proc;
len_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
len_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if next_burst = '1' then
len_cnt <= (others => '0');
elsif next_data = '1' then
len_cnt <= len_cnt + 1;
end if;
end if;
end if;
end process len_cnt_proc;
end generate bus_equal_gen;
bus_narrow_gen : if (USER_DATA_WIDTH > BUS_DATA_WIDTH) generate
constant TOTAL_SPLIT : INTEGER := USER_DATA_WIDTH / BUS_DATA_WIDTH;
constant SPLIT_ALIGN : INTEGER := log2(TOTAL_SPLIT);
signal data_buf : UNSIGNED(USER_DATA_WIDTH - 1 downto 0);
signal strb_buf : UNSIGNED(USER_DATA_BYTES - 1 downto 0);
signal split_cnt : UNSIGNED(SPLIT_ALIGN - 1 downto 0);
signal tmp_burst_info : UNSIGNED(7 downto 0);
signal first_split : BOOLEAN;
signal next_split : BOOLEAN;
signal last_split : BOOLEAN;
signal ready_for_data : BOOLEAN;
begin
-- instantiation
fifo_burst : contact_discovery_db_mem_V_m_axi_fifo
generic map (
DATA_BITS => 8,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => burst_valid,
full_n => fifo_burst_ready,
rdreq => next_burst,
wrreq => fifo_burst_w,
q => burst_len,
data => tmp_burst_info);
WDATA <= data_buf(BUS_DATA_WIDTH - 1 downto 0);
WSTRB <= strb_buf(BUS_DATA_BYTES - 1 downto 0);
WLAST <= WLAST_Dummy;
WVALID <= WVALID_Dummy;
tmp_burst_info <= RESIZE(awlen_tmp, 8);
next_data <= '1' when first_split else '0';
next_burst <= '1' when len_cnt = burst_len and burst_valid = '1' and last_split else '0';
ready_for_data <= not (WVALID_Dummy = '1' and WREADY = '0');
first_split <= split_cnt = 0 and data_valid = '1' and burst_valid ='1' and ready_for_data;
next_split <= split_cnt /= 0 and ready_for_data;
last_split <= split_cnt = (TOTAL_SPLIT - 1) and ready_for_data;
split_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
split_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if last_split then
split_cnt <= (others => '0');
elsif first_split or next_split then
split_cnt <= split_cnt + 1;
end if;
end if;
end if;
end process split_cnt_proc;
len_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
len_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if next_burst = '1' then
len_cnt <= (others => '0');
elsif next_data = '1' or next_split then
len_cnt <= len_cnt + 1;
end if;
end if;
end if;
end process len_cnt_proc;
data_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if ACLK_EN = '1' then
if next_data = '1' then
data_buf <= tmp_data;
elsif next_split then
data_buf <= SHIFT_RIGHT(data_buf, BUS_DATA_WIDTH);
end if;
end if;
end if;
end process data_buf_proc;
strb_buf_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
strb_buf <= (others => '0');
elsif ACLK_EN = '1' then
if next_data = '1' then
strb_buf <= tmp_strb;
elsif next_split then
strb_buf <= SHIFT_RIGHT(strb_buf, BUS_DATA_BYTES);
end if;
end if;
end if;
end process strb_buf_proc;
wvalid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
WVALID_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_data = '1' then
WVALID_Dummy <= '1';
elsif not (first_split or next_split) and ready_for_data then
WVALID_Dummy <= '0';
end if;
end if;
end if;
end process wvalid_proc;
wlast_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
WLAST_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_burst = '1' and last_split then
WLAST_Dummy <= '1';
elsif ready_for_data then
WLAST_Dummy <= '0';
end if;
end if;
end if;
end process wlast_proc;
end generate bus_narrow_gen;
bus_wide_gen : if (USER_DATA_WIDTH < BUS_DATA_WIDTH) generate
constant TOTAL_PADS : INTEGER := BUS_DATA_WIDTH / USER_DATA_WIDTH;
constant PAD_ALIGN : INTEGER := log2(TOTAL_PADS);
signal data_buf : UNSIGNED(BUS_DATA_WIDTH - 1 downto 0);
signal strb_buf : UNSIGNED(BUS_DATA_BYTES - 1 downto 0);
signal burst_pack : UNSIGNED(2*PAD_ALIGN + 7 downto 0);
signal tmp_burst_info : UNSIGNED(2*PAD_ALIGN + 7 downto 0);
signal head_pads : UNSIGNED(PAD_ALIGN - 1 downto 0);
signal tail_pads : UNSIGNED(PAD_ALIGN - 1 downto 0);
signal add_head : UNSIGNED(TOTAL_PADS - 1 downto 0);
signal add_tail : UNSIGNED(TOTAL_PADS - 1 downto 0);
signal pad_oh : UNSIGNED(TOTAL_PADS - 1 downto 0);
signal pad_oh_reg : UNSIGNED(TOTAL_PADS - 1 downto 0);
signal head_pad_sel : UNSIGNED(TOTAL_PADS - 1 downto 0);
signal tail_pad_sel : UNSIGNED(0 to TOTAL_PADS - 1);
signal ready_for_data : BOOLEAN;
signal next_pad : BOOLEAN;
signal first_pad : BOOLEAN;
signal last_pad : BOOLEAN;
signal first_beat : BOOLEAN;
signal last_beat : BOOLEAN;
signal next_beat : BOOLEAN;
component contact_discovery_db_mem_V_m_axi_decoder is
generic (
DIN_WIDTH : integer := 3);
port (
din : in UNSIGNED(DIN_WIDTH - 1 downto 0);
dout : out UNSIGNED(2**DIN_WIDTH - 1 downto 0));
end component contact_discovery_db_mem_V_m_axi_decoder;
begin
-- Instantiation
fifo_burst : contact_discovery_db_mem_V_m_axi_fifo
generic map (
DATA_BITS => 8 + 2*PAD_ALIGN,
DEPTH => user_maxreqs,
DEPTH_BITS => log2(user_maxreqs))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => burst_valid,
full_n => fifo_burst_ready,
rdreq => next_burst,
wrreq => fifo_burst_w,
q => burst_pack,
data => tmp_burst_info);
WDATA <= data_buf;
WSTRB <= strb_buf;
WLAST <= WLAST_Dummy;
WVALID <= WVALID_Dummy;
tmp_burst_info <= awaddr_tmp(BUS_ADDR_ALIGN - 1 downto USER_ADDR_ALIGN) & burst_end(BUS_ADDR_ALIGN - 1 downto USER_ADDR_ALIGN) & RESIZE(awlen_tmp, 8);
head_pad_decoder : contact_discovery_db_mem_V_m_axi_decoder
generic map (
DIN_WIDTH => PAD_ALIGN)
port map (
din => head_pads,
dout => head_pad_sel);
tail_pad_decoder : contact_discovery_db_mem_V_m_axi_decoder
generic map (
DIN_WIDTH => PAD_ALIGN)
port map (
din => tail_pads,
dout => tail_pad_sel);
head_pads <= burst_pack(2*PAD_ALIGN + 7 downto 8 + PAD_ALIGN);
tail_pads <= not burst_pack(PAD_ALIGN + 7 downto 8);
burst_len <= burst_pack(7 downto 0);
next_data <= '1' when next_pad else '0';
next_burst <= '1' when last_beat and next_beat else '0';
ready_for_data <= not (WVALID_Dummy = '1' and WREADY = '0');
first_beat <= len_cnt = 0 and burst_valid = '1';
last_beat <= len_cnt = burst_len and burst_valid = '1';
next_beat <= burst_valid = '1' and last_pad and ready_for_data;
next_pad <= burst_valid = '1' and data_valid = '1' and ready_for_data;
last_pad <= pad_oh(TOTAL_PADS - to_integer(tail_pads) - 1) = '1' when last_beat else
pad_oh(TOTAL_PADS - 1) = '1';
first_pad_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
first_pad <= true;
elsif ACLK_EN = '1' then
if next_pad and not last_pad then
first_pad <= false;
elsif next_pad and last_pad then
first_pad <= true;
end if;
end if;
end if;
end process first_pad_proc;
pad_oh <= (others => '0') when data_valid = '0' else
SHIFT_LEFT(TO_UNSIGNED(1, TOTAL_PADS), TO_INTEGER(head_pads)) when first_beat and first_pad else
TO_UNSIGNED(1, TOTAL_PADS) when first_pad else
pad_oh_reg;
pad_oh_reg_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
pad_oh_reg <= (others => '0');
elsif ACLK_EN = '1' then
if next_pad then
pad_oh_reg <= pad_oh(TOTAL_PADS - 2 downto 0) & '0';
end if;
end if;
end if;
end process pad_oh_reg_proc;
data_strb_gen : for i in 1 to TOTAL_PADS generate
begin
add_head(i-1) <= '1' when head_pad_sel(i-1) = '1' and first_beat else
'0';
add_tail(i-1) <= '1' when tail_pad_sel(i-1) = '1' and last_beat else
'0';
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if ACLK_EN = '1' then
if (add_head(i-1) = '1' or add_tail(i-1) = '1') and ready_for_data then
data_buf(i*USER_DATA_WIDTH - 1 downto (i-1)*USER_DATA_WIDTH) <= (others => '0');
elsif pad_oh(i-1) = '1' and ready_for_data then
data_buf(i*USER_DATA_WIDTH - 1 downto (i-1)*USER_DATA_WIDTH) <= tmp_data;
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') and ACLK_EN = '1' then
if (ARESET = '1') then
strb_buf(i*USER_DATA_BYTES - 1 downto (i-1)*USER_DATA_BYTES) <= (others => '0');
elsif (add_head(i-1) = '1' or add_tail(i-1) = '1') and ready_for_data then
strb_buf(i*USER_DATA_BYTES - 1 downto (i-1)*USER_DATA_BYTES) <= (others => '0');
elsif pad_oh(i-1) = '1' and ready_for_data then
strb_buf(i*USER_DATA_BYTES - 1 downto (i-1)*USER_DATA_BYTES) <= tmp_strb;
end if;
end if;
end process;
end generate data_strb_gen;
wvalid_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
WVALID_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_beat then
WVALID_Dummy <= '1';
elsif ready_for_data then
WVALID_Dummy <= '0';
end if;
end if;
end if;
end process wvalid_proc;
wlast_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
WLAST_Dummy <= '0';
elsif ACLK_EN = '1' then
if next_burst = '1' then
WLAST_Dummy <= '1';
elsif next_data = '1' then
WLAST_Dummy <= '0';
end if;
end if;
end if;
end process wlast_proc;
len_cnt_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
len_cnt <= (others => '0');
elsif ACLK_EN = '1' then
if next_burst = '1' then
len_cnt <= (others => '0');
elsif next_beat then
len_cnt <= len_cnt + 1;
end if;
end if;
end if;
end process len_cnt_proc;
end generate bus_wide_gen;
--------------------------- W channel end --------------------------------------
--------------------------- B channel begin ------------------------------------
-- Instantiation
fifo_resp : contact_discovery_db_mem_V_m_axi_fifo
generic map (
DATA_BITS => 2,
DEPTH => NUM_WRITE_OUTSTANDING-1,
DEPTH_BITS => log2(NUM_WRITE_OUTSTANDING-1))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => need_wrsp,
full_n => fifo_resp_ready,
rdreq => next_resp,
wrreq => fifo_resp_w,
q => aw2b_bdata,
data => aw2b_awdata);
fifo_resp_to_user : contact_discovery_db_mem_V_m_axi_fifo
generic map (
DATA_BITS => 2,
DEPTH => USER_MAXREQS,
DEPTH_BITS => log2(USER_MAXREQS))
port map (
sclk => ACLK,
reset => ARESET,
sclk_en => ACLK_EN,
empty_n => wrsp_valid,
full_n => resp_ready,
rdreq => wrsp_ack,
wrreq => resp_match,
q => wrsp,
data => bresp_tmp);
BREADY <= resp_ready;
last_resp <= aw2b_bdata(1);
invalid_event <= aw2b_bdata(0);
resp_match <= '1' when (next_resp = '1' and (last_resp = '1' or invalid_event = '1')) and need_wrsp = '1' else '0';
next_resp_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
next_resp <= '0';
elsif ACLK_EN = '1' then
next_resp <= (BVALID and resp_ready) or (invalid_event and need_wrsp and (not next_resp));
end if;
end if;
end process next_resp_proc;
bresp_tmp_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
bresp_tmp <= "00";
elsif ACLK_EN = '1' then
if (resp_match = '1' and next_resp = '0') then
bresp_tmp <= "00";
elsif (resp_match = '1' and next_resp = '1') then
bresp_tmp <= BRESP;
elsif (next_resp = '1' and bresp_tmp(1) = '0') then
bresp_tmp <= BRESP;
end if;
end if;
end if;
end process bresp_tmp_proc;
--------------------------- B channel end --------------------------------------
end architecture behave;
| gpl-3.0 | be5f3b5e92bb7868ed552e317d84306d | 0.462048 | 3.856601 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/buff.vhd | 8 | 15,490 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JUJVOKE2Dke47J7I1/suLkEsHkr+VlE1PkQIGu+0Yt/UDNq2T6MEMwohDfH59BaQ+TJ8DZvBpJU6
7cOhilO7Sg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Bz98ZRioU0Bf0183nJtNanc70aqO6Ch24J3756OKvsyGthz7FwVB8+5LvHtUqEU8Nt63QpGD3tmX
F6hiDtcPy0Nz07fQRbI0Izt2TVBfzniyAwfsIHyLqC884mS2LoTMrJyrQ7z5aCdw53lbzBOKvW35
/GO9W63FVGQtc+ZVWOE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VdCsw3j+nLqhKBWQ+L37Zx2kH01iu4q1MMcpXz9pzzlC0Vi3AidiTzY2fN826NQ2HOktHATRSvbz
rUbYDTF5Ie2zEOwPCN/0+BNxWkw2x2RmzYv0SOZJp1A/yqbHmghQed6+WG9NkD+3ze3EATAeBkdn
nF8QJv5YrOGpWk6vTXxWvtCobHbRzuH4kVp6Tnn4vwAp+cVhyQh83b1vEtyCp8z+WVEriPWX3cUJ
q4MczpJssVnLZ2mgmbszSzmd6DFiaJX6sEwr6ZgnxfuB3NDF75QQFB4wg4nJR7moKpytWHNugnH6
/U30Et86LtcZTr+HgM2TRGTPsssE8+iBdWvHnQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BJxN0QQeS/J2z3BiqxcWSEEbxW9PojriRbanKnFdgQNbIBXO/diYbH2nsQ2T47n18H7biVjymwf6
XrwqiJbNYUXTUSXad0elt+g8d9ikR8Tk3MYu2FbNbuefUKeDVq1IJwY55p+JM6lmtnEIpYguGOgn
Fp3NQUzHGWb2eOxRIfY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QqERb8ESdMy3qetMDIzMKgYEiHJrtHzzewykTxOYBTnHF/4jXhyy2qdVsjJDIa96ELCI33Vc/uwY
Buj0nlgsL5vD3aboz4q53QGo3Ot8IsKAikARjaAHSf7L8UTEGxhLHyhwecXZbSOQbpP6KVFAGdvk
uVx42nshbLLZCKPiEdPjSArw3tfQKcmfTMHb1NTLl2kTr2MrbIe/AtafzXmoSxgHqDopsme0KXhE
bxE0Zb+gbA8GvawqzVTrzG1iKxdaFc8Wp0r1Qs6l3G3KwNT7au5xrwiun3ttfyJn34X3QPcN2oIy
CIj905DfiQkV0Kc0Jad/Np+vk7qyKmO5xQ64iQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9728)
`protect data_block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`protect end_protected
| gpl-2.0 | d68dece57dc648f370bbd139d59644cc | 0.935894 | 1.869193 | false | false | false | false |
UVVM/UVVM_All | bitvis_uart/src/uart_pkg.vhd | 1 | 3,355 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package uart_pkg is
function find_num_hits(
vector : std_logic_vector;
pattern : std_logic)
return integer;
function find_most_repeated_bit(
vector : std_logic_vector)
return std_logic;
function transient_error(
vector : std_logic_vector;
limit : integer)
return boolean;
function f_log2 (x : positive)
return natural;
function odd_parity (
signal data : std_logic_vector(7 downto 0))
return std_logic;
end package uart_pkg;
package body uart_pkg is
function find_num_hits(
vector : std_logic_vector;
pattern : std_logic)
return integer is
variable hitcount : natural := 0;
begin
for i in 0 to vector'length-1 loop
if (vector(i) = pattern) then
hitcount := hitcount+1;
end if;
end loop;
return hitcount;
end function;
function find_most_repeated_bit(
vector : std_logic_vector)
return std_logic is
begin
if (find_num_hits(vector,'1') >
find_num_hits(vector,'0')) then
return '1';
else
return '0';
end if;
end function;
function transient_error(
vector : std_logic_vector;
limit : integer)
return boolean is
begin
if ((find_num_hits(vector,'1') < limit) and
(find_num_hits(vector,'0') < limit)) then
return true;
else
return false;
end if;
end function;
function f_log2 (x : positive)
return natural is
variable i : natural;
begin
i := 0;
while (2**i < x) and i < 31 loop
i := i + 1;
end loop;
return i;
end function;
function odd_parity (
signal data : std_logic_vector(7 downto 0))
return std_logic is
variable odd : std_logic;
begin
odd := '1';
for i in data'range loop
odd := odd xor data(i);
end loop;
return odd;
end odd_parity;
end package body uart_pkg;
| mit | c02708dae6b493e9d013c2cc8f0a9d43 | 0.53532 | 4.515478 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/align_add.vhd | 3 | 29,303 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
oPKtzbrQOC07sGQ/iTGWF7Oky4sqVzhMNRdZ8uHNcK6vhYwVWtlPlAcyT7aCNgtijuoTjX4kL2Wz
aXXFLzGBUQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bKOLvlVmB9/NRzucKbQlgVqx+iVYlI+7l+zgJJ29pdUZaDUYLUmFoB4jAroiJdaV9WfgS+V/YukK
56kBzr4YbvaixfIG9HNLYSzi3czAt6rCIOzCCqYFLpOA8nUeVXUFmRing2DDDhVl1CMAHSbQq3hc
9iBIhz7Ml7VtV+zUCiM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
op3Wb0Nqzvc2I9dzCJ/SJxs2kQGosXJSaMfd1QcF6jVI/9i0fOW5gh+gJ3dsENDZS+X9FDWkllB1
ieP8OlvCUE+mkqMr56Md05VH6pd1uwX0lY7CeOj5HtBRX6rqTxW1l8XpVZlW6CbywoAYv3UZYhz0
SUiePBP9/BsGcjSTFl0RXmAwVn/pTt4SkhfKq4U/DCu5kXT+KNfbyhwsl8weev3pgm/oV/8oX55I
TTpGpTh9yq2hb2GkJBAwULvT9KcLA7PhdfUkFAi99jgh1XXIPBwiGMAkwqOBQF7zTn8a9b2tKCMC
WiNth6JawKF6usmPkpAk3iG2teAUsSGICLdYIA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
k99fUYUJWNQ26iTesoaEAGjGVV7mbQ0N0PputyIo5T0wQA9qvndBGPmv9mZHaIEgrMe8hE9IP9AF
VXNKYGRQkGmOZ56PE9LXUkRD9Z1leKjAuK6QAP/9phYilO08gzRcPXEMzy6IUKHt14oxouBWqfxz
EVPObWByuz1xWcjva8E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jQw4aHNhLPJM1lDfzyOlfK4BtgPqJSu5/LkLDAknKFhQlr+Ie51R/fgdBNV407U40dxcXBZv8Wuo
d9EXy25MmLjJ+u7DzPLbpDjcuFUKsyg5xcBCNvV+HQyroQb7Ige3DIYCE6rEnyiWNAgQpIQUgTIh
xl9iHOs869nu/amtzzFEy0YmO+mJ6kLaDeY8smBgBw4NivSVLpzVG0XDBcoGHdrclqcvyzHJWjvI
ke8rU5ubWqe+JoFksVcNrPrQjceXHvC5SNENzACwmmu7WrT+NwiJs/OArifD5blbuUGbZDgrnikP
yxaTT55KEg0wPy6eXDaMiWxd4EGqhcOshHut4Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19952)
`protect data_block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`protect end_protected
| gpl-2.0 | 8d0860d77f9179b0c5b3bd13bb8f3bbf | 0.946695 | 1.838677 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/Shadow_IMM_Add_tb.vhd | 1 | 2,861 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:49:54 04/15/2016
-- Design Name:
-- Module Name: /home/tj/Desktop/UMD_RISC-16G5/ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/Shadow_IMM_Add_tb.vhd
-- Project Name: Shadow_EX_NoVGA
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: Shadow_IMM_Add
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY Shadow_IMM_Add_tb IS
END Shadow_IMM_Add_tb;
ARCHITECTURE behavior OF Shadow_IMM_Add_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Shadow_IMM_Add
PORT(
SHADOW : IN std_logic_vector(15 downto 0);
IMM : IN std_logic_vector(3 downto 0);
EX_ADDR : OUT std_logic_vector(13 downto 0)
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal SHADOW : std_logic_vector(15 downto 0) := (others => '0');
signal IMM : std_logic_vector(3 downto 0) := (others => '0');
--Outputs
signal EX_ADDR : std_logic_vector(13 downto 0);
-- No clocks detected in port list. Replace CLK below with
-- appropriate port name
constant CLK_period : time := 1 ms;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Shadow_IMM_Add PORT MAP (
SHADOW => SHADOW,
IMM => IMM,
EX_ADDR => EX_ADDR
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for CLK_period*10;
SHADOW <= x"0001";
--EX_ADDR = 1
wait for CLK_period*2;
IMM <= X"1";
--EX_ADDR = 2
wait for CLK_period*2;
SHADOW <= X"0002";
--EX_ADDR = 3
wait for CLK_period*2;
IMM <= X"2";
--EX_ADDR = 4
wait for CLK_period*2;
SHADOW <= X"0003";
--EX_ADDR = 5
wait for CLK_period*2;
IMM <= X"3";
--EX_ADDR = 6
-- insert stimulus here
wait;
end process;
END;
| gpl-3.0 | 660cc61a6bce853e425dac1047174887 | 0.58546 | 3.519065 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/pipe_blank.vhd | 2 | 11,255 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EtpLcu/H5ihMA1dk1upPx1nBz18//7glllNRP3QPl4Vfk4jYncM+jlTPVewzb4lLBZewyO06346j
8HtH8dFFKA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HVv9GPy9fqIZAfSjtAqDQ4QfnQyXJ9cS1tQgeOe2VGRjyof179KBcmfKyuODb5rcckfl7iefVhj5
lXmxw5UjImRgX+LrO++36IYdnT7wz1JdCVera7zUQWeqNQoxR3Dk2OT40V4lRs/m+/2jyUIbX+Py
xwAcm1Xui1HowoG+VVI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
faCiwPj/TwGOiuXxQy4ywkX+ZElZGmAZ0XqQtqkuMGFETzJebryLGKbPRyyYUGKAIVzQTBOt9TFw
hMwTv0p1MzHrCYS2y23B9jlCLx1qX9DiWjQosnX9qp5R9I410viuuKVGPJXOlJuwzqiFDqyyd/ro
9QVmHW8oldYuOsYR1YMrlL15HhlZ3abml+lTjdyFd4F1Ei8CPZs991OKStlAS5JsGUKF/svDMErq
7mdbRu4YlBNVmCCpwXlBrzgHshiEQQ4WqTEDwYJ49kMN4Xjf1xQI5QJNE6yrN0AsE3QUzBqrYTx9
pAAeToxr7RFyHbg8+029jkgtFwPNSKpmf6HHyQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Khfv2r7bmRo+2gTrK4gx4HIQfHPcZ0ulUxNvhOCBNKScH02wkA6aMg5CtH1Npvs0yYogOyyJg3AU
O62dBa50x4qjXVb9jofRuz9CgVTv/ZV/IwsQS53VSr5XYWzydF4TOiKRWeXi8Mf/ofiFcWksNqXV
KAoDIuU+qfOpv1XnuxU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HCZt0NEoBnviViHcS5POW25oyBFbFe0iBZ6bvuMpIr5OJIyyioMnOPaNd0lFtw3e/JuB7YCWu50n
0BF4lnoiBk1/FzTaHkHzasaloOdbGXn9f4L9p1ciStVYjf19VK+fonZkJH184mqt232YYDHIjYqm
HLWQWLTesUJ0MCTaiSrydOBDmDz8iq/42TfVOgZxnZoTnolXX0qR8eFZaOa4ybpQRueiB7+1lYIe
gh7sdI2+dn8C273UO4WA37gtnXwT8waFg7Q8tnvTF/3EzUHUOuvbiQInt0XTDCTZpKsJg/jbQChJ
Sw3aYRUeD9FPANBRnPMd2FgYOzTiajpUH2qEAw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6592)
`protect data_block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`protect end_protected
| gpl-2.0 | 0d7ad9986f8f367946621e44a3141969 | 0.929987 | 1.898617 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_top.vhd | 11 | 73,440 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fpLVXmHUjNZYaHG83u/TeuNWOSz6lkSIauGdrAhwbr2dJ4fecXpc3GWO6skA5m0g/ifDpYiKnHkb
M7uwMlgcLg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
R0HmhDgUaVKY/vnP0VYPBqVPAM4D7HubyCyc4cq3IQ+82/x6FCXxTxqgUIWi+cADNskY6Zd/LJPo
OGERgXEaWxaECtcR5nNM6juCSUKoatv2fXui86uocluAEwiE8keRK3MDn8hF9JYgDVaZ08gAp/5r
TUVejTgQZlASVg0V0s8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Qh+P4zwUHmB92dq9eyV3fQsewyiB1qCB4MaD7V1y4PukTjMaNMLi6fRZrxWIkjqhqCLoe5ixShrD
eIJzP7w34ulNXkSJ9wCaG9ggcLwpVxWmy3JOLpCPjDskIUrd2ouCHC6vIB/FOZ/GigeYgSoSZJ+E
8acTDiRJwjtcNfGBE6bgomgU0qwWvo9TsCj8r0Kg0oZlVSbGUdubrBRi9qATpdwBptMQRHunT+Sn
IvTqy+nqSfgEkIjplJn9eSjmcFVljeTQNCkCaB/m54FO/iM/+QeVnLKG4WSJvgWE8oX8E94jA191
vyE0MvHC1JFxVZEVoh3oyCIv1QfE3AvkBuvOYA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tOkpeUSanlCu73mPmCZkdlzsKrAItQbA1AemEJgZrVD3/awbVG6J26coX1nn7AirzzA3ILmnCgCh
+CXSCMsthBO0sNdDfx4gVT6Cs11W+eO9OqDBT0L4EqaPK/QzRXXcinFsg92GoZeOsidLiSZmMkqv
cb0G8Knjjy6Yd/agz5g=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CBq8V9tNozEnv8P1RwyL4lVr6+OFNwoVinywVPoMQfcGqDFlBStuWb9KLW/u4FpUVQ41F+qTggqR
cug9ubtRJecS6G2I7+XLQemrvIzPNesE65y4XHMzRWJ6MXFjaqkdTouPWbwnna8ejO7jy2DHgItA
GkHtb2MtbnSgwiDe31AAPaKy1Q2s8Yv1+7HQK0jTCeT31cjBRXGflhaZ7EAr5K/WoQgYaVmoZ5vQ
+hcC/AvuuLkyC+iJj6QECrT3YfIa1hQwNeSbJhpHuNy9qNTYJNBET6Pz9cTf4eJnk2VdEif9vtD1
XACp1SfcHr2k3CvR7IE/R6ZBtgIewr7ITq5Zig==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52624)
`protect data_block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`protect end_protected
| gpl-2.0 | 18be0296425cfac7590cb46780f669ca | 0.951661 | 1.82179 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/axi_utils_v2_0/hdl/axi_utils_v2_0_pkg.vhd | 15 | 8,183 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YAJVKq/IFoHKe7oLdNmOcZA2nTkmt59o2HdVKvejfNGSHuUGFvcdiksw8c88O+icJDO8Cv9GH5nm
QeTe+ye/cA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JVoMP0yp2sKONWWIlXxQiCcw/QKq71xBTdvyvqzdiAAqbMMs7mS3HBbZBXTDrFJJzEhvCks3zg6n
Z6yAKtMhnUjqeFrN2BMN0e7T8R8NPKdtif6pEXSAJlisGHr0reoMj/vaTZl8y8NnsITxv6XdJ+1U
ExVrpYC1Efj6erXQYiY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MOEfDrDovoaIkjNgzlU2fzYTQtEEKki4ORStU5UYMru6nqpMKdhf8AcQ0hD2giWWs5ZJjNY/+Ugq
vuYgzzj8o+Qc5Q9wrTC/AcC2z9NEsrRbT3oWbWiqFgRKu8AklmydiAW5FcCbQcWnQGuPdIQfbXCD
QD6pHDR9RpWkncWiuQU3ATplGCiRlMeyiWVgpYJ78Jx99n1ltzrGVvnsswvAodCkiSHEF9arY/gB
4RZrQjIuZXosU/4mcVOfujcbnwUwPb/1ntfSQMG81GVxvmifCVWcyqGaXj9fbtvivh/rt0RtWrBO
NKTG7qTpPkH7hras8d5ACNMnHlVeOFw23Th5VQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tw1fK1vPENoKRPVzqbpv4OVGYV+BSUs9pGSogyTyWVJcZQpK3CiKCmxD7B1geT9x/S7afFKcJKtK
5J3rnwiuhfN/5OehwA8OqUwkrqEh068wnb6eUDFOs+FMbrLO1c2FSOvTrzwdX0btXIbtUy1TJ5q+
LkaR27Rp8WL8Zp/mSzg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kw7PRHhK7Ps1s0P8Gk/hH/6ro5AisymItjBLGa5QZXM9m3Oq2KlOiB0v3aJ1NlGSnnty3jwByobd
MTtnKgbTt949fh4TgdBZXtFHFxTh3glCSPhR72Ii1V4t5bfJ2LDZllLOjiRrcSzaG0Rqxfk1cbym
8LWNo9lbJqv238LP+JwwTIBjs+mv4Hl9U//mJ836IMPF8ikHyF0X7m8FCneNIRxYtuDyK+P4u9D5
G2n4liT+7mTxZEvHUInpAunIl8VKz4pubdpuU+ZCcb9HWUsQviuR/VVvLqKVIKm4mvMkkTcWkeT3
3vfADLXvMJ8GsGYPRxC1j4WQqs+nmthrAYAHmA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4320)
`protect data_block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`protect end_protected
| gpl-2.0 | d008063ceb372e2fa0c7df800e9fa3b5 | 0.917512 | 1.91819 | false | false | false | false |
UVVM/uvvm_vvc_framework | bitvis_vip_uart/src/uart_bfm_pkg.vhd | 1 | 26,306 | --========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
--
-- NOTE: This BFM is only intended as a simplified UART BFM to be used as a test
-- vehicle for presenting UVVM functionality.
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library STD;
use std.textio.all;
--=================================================================================================
package uart_bfm_pkg is
--===============================================================================================
-- Types and constants for UART BFMs
--===============================================================================================
constant C_SCOPE : string := "UART BFM";
-- Configuration record to be assigned in the test harness.
type t_parity is (
PARITY_NONE,
PARITY_ODD,
PARITY_EVEN
);
type t_stop_bits is (
STOP_BITS_ONE,
STOP_BITS_ONE_AND_HALF,
STOP_BITS_TWO
);
constant C_MAX_BITS_IN_RECEIVED_DATA : natural := 8;
constant C_EXPECT_RECEIVED_DATA_STRING_SEPARATOR : string := "; ";
type uart_expect_received_data_array is array (natural range<>) of std_logic_vector(C_MAX_BITS_IN_RECEIVED_DATA-1 downto 0);
type t_uart_bfm_config is
record
bit_time : time; -- The time it takes to transfer one bit
num_data_bits : natural range 7 to 8; -- Number of data bits to send per transmission
idle_state : std_logic; -- Bit value when line is idle
num_stop_bits : t_stop_bits; -- Number of stop-bits to use per transmission {STOP_BITS_ONE, STOP_BITS_ONE_AND_HALF, STOP_BITS_TWO}
parity : t_parity; -- Transmission parity bit {PARITY_NONE, PARITY_ODD, PARITY_EVEN}
timeout : time; -- The maximum time to pass before the expected data must be received. Exceeding this limit results in an alert with severity ‘alert_level’.
timeout_severity : t_alert_level; -- The above timeout will have this severity
num_bytes_to_log_before_expected_data : natural; -- Maximum number of bytes to save ahead of the expected data in the receive buffer. The bytes in the receive buffer will be logged.
id_for_bfm : t_msg_id; -- The message ID used as a general message ID in the UART BFM
id_for_bfm_wait : t_msg_id; -- The message ID used for logging waits in the UART BFM
id_for_bfm_poll : t_msg_id; -- The message ID used for logging polling in the UART BFM
id_for_bfm_poll_summary : t_msg_id; -- The message ID used for logging polling summary in the UART BFM
end record;
constant C_UART_BFM_CONFIG_DEFAULT : t_uart_bfm_config := (
bit_time => -1 ns,
num_data_bits => 8,
idle_state => '1',
num_stop_bits => STOP_BITS_ONE,
parity => PARITY_ODD,
timeout => 0 ns, -- will default never time out
timeout_severity => error,
num_bytes_to_log_before_expected_data => 10,
id_for_bfm => ID_BFM,
id_for_bfm_wait => ID_BFM_WAIT,
id_for_bfm_poll => ID_BFM_POLL,
id_for_bfm_poll_summary => ID_BFM_POLL_SUMMARY
);
----------------------------------------------------
-- BFM procedures
----------------------------------------------------
------------------------------------------
-- uart_transmit
------------------------------------------
-- - This procedure transmits data 'data_value' to the UART DUT
-- - The TX configuration can be set in the config parameter
procedure uart_transmit (
constant data_value : in std_logic_vector;
constant msg : in string;
signal tx : inout std_logic;
constant config : in t_uart_bfm_config := C_UART_BFM_CONFIG_DEFAULT;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel
);
------------------------------------------
-- uart_receive
------------------------------------------
-- - This procedure reads data from the UART DUT and returns it in 'data_value'
-- - The RX configuration can be set in the config parameter
procedure uart_receive (
variable data_value : out std_logic_vector;
constant msg : in string;
signal rx : in std_logic;
signal terminate_loop : in std_logic;
constant config : in t_uart_bfm_config := C_UART_BFM_CONFIG_DEFAULT;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call: in string := "" -- External proc_call; used if called from other BFM procedure like uart_expect
);
------------------------------------------
-- uart_expect
------------------------------------------
-- - This procedure reads data from the UART DUT and compares it to the data in
-- 'data_exp'.
-- - If the read data is inconsistent with the 'data_exp' data, a new read will
-- be performed, and the new read data will be compared with 'data_exp'.
-- This process will continue untill one of the following conditions are met:
-- a) The read data is equal to the expected data
-- b) The number of reads equal 'max_receptions'
-- c) The time spent reading is equal to the 'timeout'
-- - If 'timeout' is set to 0, it will be interpreted as no timeout
-- - If 'max_receptions' is set to 0, it will be interpreted as no limitation on number of reads
-- - The RX configuration can be set in the config parameter
procedure uart_expect (
constant data_exp : in std_logic_vector;
constant msg : in string;
signal rx : in std_logic;
signal terminate_loop : in std_logic;
constant max_receptions : in natural := 1;
constant timeout : in time := -1 ns;
constant alert_level : in t_alert_level := ERROR;
constant config : in t_uart_bfm_config := C_UART_BFM_CONFIG_DEFAULT;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel
);
------------------------------------------
-- odd_parity
------------------------------------------
-- - This function checks if the data parity is odd or even
-- - If the number of '1' in the 'data' input is odd, '1' will be returned
-- - If the number of '1' in the 'data' input is even, '0' will be returned
function odd_parity (
constant data : std_logic_vector(7 downto 0))
return std_logic;
end package uart_bfm_pkg;
--=================================================================================================
--=================================================================================================
package body uart_bfm_pkg is
function odd_parity (
constant data : std_logic_vector(7 downto 0))
return std_logic is
begin
return xnor(data);
end odd_parity;
---------------------------------------------------------------------------------
-- uart_transmit
---------------------------------------------------------------------------------
procedure uart_transmit (
constant data_value : in std_logic_vector;
constant msg : in string;
signal tx : inout std_logic;
constant config : in t_uart_bfm_config := C_UART_BFM_CONFIG_DEFAULT;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel
) is
constant proc_name : string := "uart_transmit";
constant proc_call : string := proc_name & "(" & to_string(data_value, HEX, AS_IS, INCL_RADIX) & ")";
begin
-- check whether config.bit_time was set probably
check_value(config.bit_time /= -1 ns, TB_ERROR, "UART Bit time was not set in config. " & add_msg_delimiter(msg), scope, ID_NEVER, msg_id_panel);
check_value(data_value'length = config.num_data_bits, FAILURE, "length of data_value does not match config.num_data_bits. " & add_msg_delimiter(msg), C_SCOPE, ID_NEVER, msg_id_panel);
-- check if tx line was idle when trying to transmit data
check_value(tx, config.idle_state, FAILURE, proc_call & " Bus was active when trying to send data. " & add_msg_delimiter(msg), scope, ID_NEVER, msg_id_panel);
tx <= not config.idle_state;
wait for config.bit_time;
for j in data_value'low to data_value'high loop
tx <= data_value(j);
wait for config.bit_time;
end loop;
-- parity?
if (config.parity = PARITY_ODD) then
tx <= odd_parity(data_value);
wait for config.bit_time;
elsif(config.parity = PARITY_EVEN) then
tx <= not odd_parity(data_value);
wait for config.bit_time;
end if;
-- stop bits
tx <= config.idle_state;
wait for config.bit_time;
if (config.num_stop_bits = STOP_BITS_ONE_AND_HALF) then
wait for config.bit_time/2;
elsif(config.num_stop_bits = STOP_BITS_TWO) then
wait for config.bit_time;
end if;
log(config.id_for_bfm, proc_call & " completed. " & add_msg_delimiter(msg), scope, msg_id_panel);
end procedure;
---------------------------------------------------------------------------------
-- uart_receive
---------------------------------------------------------------------------------
-- Perform a receive operation
procedure uart_receive (
variable data_value : out std_logic_vector;
constant msg : in string;
signal rx : in std_logic;
signal terminate_loop : in std_logic;
constant config : in t_uart_bfm_config := C_UART_BFM_CONFIG_DEFAULT;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call: in string := "" -- External proc_call; used if called from other BFM procedure like uart_expect
) is
constant start_time : time := now;
-- local_proc_* used if uart_receive is called directly from sequencer or VVC
constant local_proc_name : string := "uart_receive";
constant local_proc_call : string := local_proc_name & "()";
-- Helper variables
variable v_transfer_time : time;
variable v_proc_call : line; -- Current proc_call, external or internal
variable v_remaining_time : time; -- temp variable to calculate the remaining time before timeout
variable v_data_value : std_logic_vector(config.num_data_bits-1 downto 0);
variable v_terminated : boolean := false;
variable v_timeout : boolean := false;
begin
-- check whether config.bit_time was set properly
check_value(config.bit_time /= -1 ns, TB_ERROR, "UART Bit time was not set in config. " & add_msg_delimiter(msg), C_SCOPE, ID_NEVER, msg_id_panel);
data_value := (data_value'range => 'X');
check_value(data_value'length = config.num_data_bits, FAILURE, "length of data_value does not match config.num_data_bits. " & add_msg_delimiter(msg), C_SCOPE, ID_NEVER, msg_id_panel);
-- If timeout enabled, check that timeout is longer than transfer time
if config.timeout /= 0 ns then
v_transfer_time := (config.num_data_bits + 2) * config.bit_time;
if config.parity = PARITY_ODD or config.parity = PARITY_EVEN then
v_transfer_time := v_transfer_time + config.bit_time;
end if;
if config.num_stop_bits = STOP_BITS_ONE_AND_HALF then
v_transfer_time := v_transfer_time + config.bit_time/2;
elsif config.num_stop_bits = STOP_BITS_TWO then
v_transfer_time := v_transfer_time + config.bit_time;
end if;
check_value(v_transfer_time < config.timeout, TB_ERROR, "Length of timeout is shorter than or equal length of transfer time.", C_SCOPE, ID_NEVER, msg_id_panel);
end if;
if ext_proc_call = "" then
-- called from sequencer/VVC, show 'uart_receive()...' in log
write(v_proc_call, local_proc_call);
else
-- called from other BFM procedure like uart_expect, log 'uart_expect() while executing uart_receive()...'
write(v_proc_call, ext_proc_call & " while executing " & local_proc_name & ". ");
end if;
-- check if bus is in idle state
check_value(rx, config.idle_state, FAILURE, v_proc_call.all & "Bus was active when trying to receive data. " & add_msg_delimiter(msg), scope, ID_NEVER, msg_id_panel);
-- wait until the start bit is sent on the bus, configured timeout occures or procedure get terminate signal
if config.timeout = 0 ns then
wait until (rx /= config.idle_state) or (terminate_loop = '1');
else
wait until (rx /= config.idle_state) or (terminate_loop = '1') for config.timeout;
end if;
if terminate_loop = '1' then
if ext_proc_call = "" then
log(ID_TERMINATE_CMD, v_proc_call.all & "=> terminated." & add_msg_delimiter(msg), scope, msg_id_panel);
else
-- termination handled in calling procedure
end if;
v_terminated := true;
end if;
-- if configured timeout, check if there is enough time remaining to receive the byte
if config.timeout /= 0 ns and not v_terminated then
v_remaining_time := (config.num_data_bits + 2) * config.bit_time;
if config.parity = PARITY_ODD or config.parity = PARITY_EVEN then
v_remaining_time := v_remaining_time + config.bit_time;
end if;
if config.num_stop_bits = STOP_BITS_ONE_AND_HALF then
v_remaining_time := v_remaining_time + config.bit_time/2;
elsif config.num_stop_bits = STOP_BITS_TWO then
v_remaining_time := v_remaining_time + config.bit_time;
end if;
if now + v_remaining_time > start_time + config.timeout then
-- wait until timeout
wait for ((start_time + config.timeout) - now);
if ext_proc_call = "" then
alert(config.timeout_severity, v_proc_call.all & "=> timeout. " & add_msg_delimiter(msg),scope);
else
-- timeout handled in upper module
end if;
v_timeout := true;
end if;
end if;
if not v_terminated and not v_timeout then
-- enter the middle of the bit period
wait for config.bit_time/2;
check_value(rx , not config.idle_state, FAILURE, v_proc_call.all & " Start bit was not stable during receiving. " & add_msg_delimiter(msg), scope, ID_NEVER, msg_id_panel);
-- wait for data bit
wait for config.bit_time;
-- sample the data bits
for i in 0 to config.num_data_bits-1 loop
v_data_value(i) := rx;
-- wait for middle of the next bit
wait for config.bit_time;
end loop;
-- check parity, if enabled
if config.parity = PARITY_ODD then
if rx /= odd_parity(v_data_value) then
alert(error, v_proc_call.all & "=> Failed. Incorrect parity received. " & add_msg_delimiter(msg),scope);
end if;
wait for config.bit_time;
elsif config.parity = PARITY_EVEN then
if rx /= not odd_parity(v_data_value) then
alert(error, v_proc_call.all & "=> Failed. Incorrect parity received. " & add_msg_delimiter(msg),scope);
end if;
wait for config.bit_time;
end if;
-- check the stop bit
if rx /= config.idle_state then
alert(error, v_proc_call.all & "=> Failed. Incorrect stop bit received. " & add_msg_delimiter(msg),scope);
end if;
if config.num_stop_bits = STOP_BITS_ONE_AND_HALF then
wait for config.bit_time/2 + config.bit_time/4; -- middle of the last half. Last half of previous stop bit + first half of current stop bit
if rx /= config.idle_state then
alert(error, v_proc_call.all & "=> Failed. Incorrect second half stop bit received. " & add_msg_delimiter(msg),scope);
end if;
elsif config.num_stop_bits = STOP_BITS_TWO then
wait for config.bit_time; -- middle of the last bit. Last half of previous stop bit + first half of current stop bit
if rx /= config.idle_state then
alert(error, v_proc_call.all & "=> Failed. Incorrect second stop bit received. " & add_msg_delimiter(msg),scope);
end if;
end if;
-- return the received data
data_value := v_data_value;
if ext_proc_call = "" then
log(config.id_for_bfm, v_proc_call.all & "=> " & to_string(v_data_value, HEX, SKIP_LEADING_0, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel);
else
-- Log will be handled by calling procedure (e.g. uart_expect)
end if;
end if;
end procedure;
----------------------------------------------------------------------------------------
-- uart_expect
----------------------------------------------------------------------------------------
-- Perform a receive operation, then compare the received value to the expected value.
procedure uart_expect (
constant data_exp : in std_logic_vector;
constant msg : in string;
signal rx : in std_logic;
signal terminate_loop : in std_logic;
constant max_receptions : in natural := 1; -- 0 = any occurrence before timeout
constant timeout : in time := -1 ns;
constant alert_level : in t_alert_level := ERROR;
constant config : in t_uart_bfm_config := C_UART_BFM_CONFIG_DEFAULT;
constant scope : in string := C_SCOPE;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel
) is
constant proc_name : string := "uart_expect";
constant proc_call : string := proc_name & "(" & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & ")";
constant start_time : time := now;
variable v_data_value : std_logic_vector(config.num_data_bits-1 downto 0);
variable v_num_of_occurrences : natural := 0;
variable v_check_ok : boolean;
variable v_num_of_occurrences_ok : boolean;
variable v_timeout_ok : boolean;
variable v_config : t_uart_bfm_config := config;
variable v_received_data_fifo : uart_expect_received_data_array(0 to v_config.num_bytes_to_log_before_expected_data-1) := (others => (others =>'0'));
variable v_received_data_fifo_write_idx : natural := 0;
variable v_received_output_line : line;
variable v_internal_timeout : time;
begin
-- check whether config.bit_time was set probably
check_value(config.bit_time /= -1 ns, TB_ERROR, "UART Bit time was not set in config. " & add_msg_delimiter(msg), C_SCOPE, ID_NEVER, msg_id_panel);
-- if timeout = -1 function was called without parameter
if timeout = -1 ns then
v_internal_timeout := config.timeout;
else
v_internal_timeout := timeout;
end if;
assert (v_internal_timeout >= 0 ns) report "configured negative timeout(not allowed). " & add_msg_delimiter(msg) severity failure;
-- Check for v_internal_timeout = 0 and max_receptions = 0. This combination can result in an infinite loop.
if v_internal_timeout = 0 ns and max_receptions = 0 then
alert(ERROR, proc_name & " called with timeout=0 and max_receptions = 0. This combination can result in an infinite loop. " & add_msg_delimiter(msg),scope);
end if;
if v_internal_timeout = 0 ns then
log(v_config.id_for_bfm_wait, "Expecting data " & to_string(data_exp, HEX, SKIP_LEADING_0, INCL_RADIX) & " within " & to_string(max_receptions) & " occurrences. " & msg, scope, msg_id_panel);
elsif max_receptions = 0 then
log(v_config.id_for_bfm_wait, "Expecting data " & to_string(data_exp, HEX, SKIP_LEADING_0, INCL_RADIX) & " within " & to_string(v_internal_timeout,ns) & ". " & msg, scope, msg_id_panel);
else
log(v_config.id_for_bfm_wait, "Expecting data " & to_string(data_exp, HEX, SKIP_LEADING_0, INCL_RADIX) & " within " & to_string(max_receptions) & " occurrences and " & to_string(v_internal_timeout,ns) & ". " & msg, scope, msg_id_panel);
end if;
-- Initial status of check variables
v_check_ok := false;
v_timeout_ok := true;
if max_receptions < 1 then
v_num_of_occurrences_ok := true;
else
v_num_of_occurrences_ok := v_num_of_occurrences < max_receptions;
end if;
-- Setup of v_config with correct timeout
v_config.timeout := v_internal_timeout;
-- Check operation
while not v_check_ok and v_timeout_ok and v_num_of_occurrences_ok and (terminate_loop = '0') loop
-- Receive and check data
uart_receive(v_data_value, msg, rx, terminate_loop, v_config, scope, msg_id_panel, proc_call);
for i in 0 to v_config.num_data_bits-1 loop
if (data_exp(i) = '-' or
v_data_value(i) = data_exp(i)) then
v_check_ok := true;
else
v_check_ok := false;
exit;
end if;
end loop;
-- Place the received data in the received data buffer for debugging
-- If the FIFO is not full, fill it up
if v_received_data_fifo_write_idx < v_config.num_bytes_to_log_before_expected_data then
v_received_data_fifo(v_received_data_fifo_write_idx)(v_data_value'length-1 downto 0) := v_data_value;
v_received_data_fifo_write_idx := v_received_data_fifo_write_idx + 1;
else
-- If the FIFO is full, left shift all input and append new data
for i in 1 to v_config.num_bytes_to_log_before_expected_data-1 loop
v_received_data_fifo(i-1) := v_received_data_fifo(i);
end loop;
v_received_data_fifo(v_received_data_fifo_write_idx-1)(v_data_value'length-1 downto 0) := v_data_value;
end if;
-- Evaluate number of occurrences, if limited by user
if max_receptions > 0 then
v_num_of_occurrences := v_num_of_occurrences + 1;
v_num_of_occurrences_ok := v_num_of_occurrences < max_receptions;
end if;
-- Evaluate timeout if specified by user
if v_internal_timeout = 0 ns then
v_timeout_ok := true;
else
v_timeout_ok := now < start_time + v_internal_timeout;
end if;
end loop;
-- Concatenate the string FIFO into a single string with given separators
for i in 0 to v_received_data_fifo_write_idx-1 loop
write(v_received_output_line, to_string(v_received_data_fifo(i), HEX, SKIP_LEADING_0, INCL_RADIX));
if i /= v_received_data_fifo_write_idx-1 then
write(v_received_output_line, C_EXPECT_RECEIVED_DATA_STRING_SEPARATOR);
end if;
end loop;
if max_receptions > 1 then
-- Print the received string of bytes
log(v_config.id_for_bfm_poll_summary, "Last "& to_string(v_received_data_fifo_write_idx) & " received data bytes while waiting for expected data: " & v_received_output_line.all, scope, msg_id_panel);
end if;
if v_check_ok then
log(v_config.id_for_bfm, proc_call & "=> OK, received data = " & to_string(v_data_value, HEX, SKIP_LEADING_0, INCL_RADIX) & " after " & to_string(v_num_of_occurrences) & " occurrences and " & to_string((now - start_time),ns) & ". " & add_msg_delimiter(msg), scope, msg_id_panel);
elsif not v_timeout_ok then
alert(config.timeout_severity, proc_call & "=> Failed due to timeout. Did not get expected value " & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & " before time " & to_string(v_internal_timeout,ns) & ". " & add_msg_delimiter(msg), scope);
elsif not v_num_of_occurrences_ok then
if max_receptions = 1 then
alert(alert_level, proc_call & "=> Failed. Expected value " & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & " did not appear within " & to_string(max_receptions) & " occurrences, received value " & to_string(v_data_value, HEX, AS_IS, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope);
else
alert(alert_level, proc_call & "=> Failed. Expected value " & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & " did not appear within " & to_string(max_receptions) & " occurrences. " & add_msg_delimiter(msg), scope);
end if;
else
alert(warning, proc_call & "=> Failed. Terminate loop received. " & add_msg_delimiter(msg), scope);
end if;
end procedure;
end package body uart_bfm_pkg;
| mit | 34d383ea93352d51d199a1e70e35c568 | 0.570071 | 3.928603 | false | true | false | false |
UVVM/uvvm_vvc_framework | bitvis_vip_spi/src/vvc_context.vhd | 1 | 1,434 | --========================================================================================================================
-- Copyright (c) 2018 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
context vvc_context is
library bitvis_vip_spi;
use bitvis_vip_spi.spi_bfm_pkg.all;
use bitvis_vip_spi.vvc_cmd_pkg.all;
use bitvis_vip_spi.vvc_methods_pkg.all;
use bitvis_vip_spi.td_vvc_framework_common_methods_pkg.all;
end context; | mit | 655056b10c06a9a77d2d55c74feaa7b5 | 0.530683 | 5.311111 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/c_addsub_v12_0/hdl/c_addsub_v12_0.vhd | 2 | 12,033 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CwAsH2JarMAU19fnVItxaZLtcI/hA1AC8hBr8s5Eyx8RrWGx+rdj0kf2ovBqnUDLLQfBvgOg8Ci0
y1q10T7VEQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QJJaJlP2xiQvMnaNqYn7s0dQ32sWfJOunLC0wbjm7kgsZDx0h0iv56whrYU97YQiOjbhaiYffKA3
y9Gir6xVEQ28Pvvi8tYkZtrrtS6gddqQsb0Y542l1F6Vm74o0gWjZdpiFa9b2+rmxS/Zvm85xVag
Dp1bp/bWnvgjLC9D1II=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IzJ4/zlZv0GM4M9cdf8ivAIafkTIp33D1TTO0z8xm22/htOTMVpinaERobkyrrbdcYoqapMUdq8w
5p6MhbxSB3NDEY06ej8qJ4GuprsG3bY+Ri0wFWYOrxyhqM/v120daU+efBaaCJP9dmhzumv6MIK6
t54a6xjosHYKxLjjrLk8xZfMgpgfc/ONhHduJdxu5RFx0IIR79iCjrqOUsoH3nH/9h8N/YHeRE9Z
igo7Vt8EZK/Vu9fBCw3Ny8lFo8JMJYozOjOQVglr336YJ778oJfoNUMVdTOTRVPb1+OHTtsQRSUM
XmlnhiMPGVACAKSlGj47/QyjP4PvhUj2D0SFtA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xh6XiB9TJmHKZUaONagrw5TObYd+vOdaTum2wNopnTCcb6n+DT7UKVCR/XlwnER9BjBbLyOrBXH9
9PVi08NfZXZhhSTXYlbD5EJZaV2gSTqfI7JEWZTSkXKL36RbEg+DSeHRjudp1l6iWo9nUWB+b2JJ
8SJDJgnjyLEhUOdi25Y=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IOGbrVeftboRkepAvFhrbWBGyAb1e+73fjImJDqW5mbcmpFDEdWVpMUAWA0hB8qAzqmEO2b7FX+d
56XxGvtZHzuOd93SqVbKd1DJwgCKvdsAs/Z3rcA48hFOWHAyg6E6SGTjk3IUW0Z0jKWWw49vEDa5
AdpFzujMfJXqdw0bCx9iQPmRKB2E1ZbbLQyyfZ7E3AqRd3kxYA9jM4IUv+pLWh/mV0QQ+V5aENmJ
fOb8wShwogDx/I417FeM5AUvNA3DUVoW4CjJfrFPS6n3UsQLZFHZ0rlsKZqy5D+jlw9vg04MrnAv
SNftgOMvTNSscLRaYAk0DXk00DI3mEEJOv41mA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7168)
`protect data_block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`protect end_protected
| gpl-2.0 | da35b2c6a53c49fcfab056476a16896c | 0.929527 | 1.893768 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/flt_dec_op.vhd | 3 | 32,609 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SPIim/zik83qF1bAgy6AN1G8KcbBgBForHAD6Q+9EDfPEHH6piR+6OWF0NU2yfFYzbcH3DA2skrx
vDJRZAj1Ig==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mTABHRrMPkm17gtYXKunPuFSfnng1BjQsQ0F3V11aM5fKjnYtLrdY4sS+tvU2FpTqZmP1Sa/Qiv9
3TMxHPo5BsNEav7oebaQoYKdYLXC7EdoJHMvv1obEmHUT5WtgO2a9Gt4HNpA6Et1ALUTU5uX231F
OuL4i9hXz04huZQGbAw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UB5iFriv5ddiFCKNaQdxkp8v9ixxJbRIOKYfF4H0oLazviBii8ZM9F9+sIvlflB9kFQHusHOvI+7
WQyaM/ua6Fbxe3fANfyIgRjSHwz6e8FK5Cxlb9TRSl5BQzj89NbXpbLop5FC5NkMOfPbsnsHxz8j
KOCe1cT6iCopOBp2fqgBbNx4HkGtFJMIK95Vcci5nys82V+Fwaqa+ahMa8U9ol4u77nwIjsUwhGs
ZVfgzJKp2Yc+1dCuHPUMJ+8f+L5Uh/hYAri7Iw4JyoIFZQV7V0I1XL8YIUPelZDqrgx3Y/gD635h
nsn8kLv7NUA0fF+AZcDsi7Eo7EsFSOB1CNmWKQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o02veAooeO9Ye9ltvalUYz4ljEBE2PlEJwaWMEgk7QbaUXh4VNkLRlVLc/5Jmm26c5DukaKPGsRb
UOd48KnfXlZyMyDI+FmaNAcDHsRNK0byS/ncmDRLdZY5bTVUgJ6prERuCSJxeW9eOPV0A+6JQ6A4
aCBY5V0+P7Re/G0UTF8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
AC1vMV6byaT3/3Eo9C6NpeReUGL2DFlq+mO3Y+TMrEztydmLeH6v51+mHOER7Q09NDO+fxiiG57T
0pecla/PwpYXAXL272tashQQ/bH17t3IaOPNu6VvabwHBjdESRdtPlyE7mHAEVT6KK+t+/aQHy9u
aWdoB4pUCeCOGa7XWgITIgJuHiGRzFUaOzhRMenjcw39vjkRmaCt0BTsubNMOLX0CNBggoNes1te
/9I8D3aLp29Mr27AJfclsccMT3AGaNDYF/wD+ogr2GLcNANVSzn78PhWXcJ4vuZidM+efgQfk7r3
BfKjj/6KRLM1FI0piKx5Ivv8FrqXnKf/YU/rPA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22400)
`protect data_block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=
`protect end_protected
| gpl-2.0 | 2288c19715553a8882206074f7c6879d | 0.946456 | 1.83413 | false | false | false | false |
fafaldo/ethernet | ethernet4b/ICMP_detector.vhd | 1 | 1,595 |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ICMP_detector is
port( data_in : in std_logic_vector(7 downto 0);
enable : in std_logic;
reset : in std_logic;
clk : in std_logic;
ICMP_detected : out std_logic := '0';
test : out std_logic_vector(7 downto 0) := (others=>'0')
);
end ICMP_detector;
architecture Behavioral of ICMP_detector is
signal address_counter : std_logic_vector(10 downto 0) := (others=>'0');
signal saved : std_logic := '0';
signal test_i : std_logic_vector(7 downto 0) := (others=>'0');
signal reset_counter : std_logic_vector(7 downto 0) := (others=>'0');
begin
test <= reset_counter;
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
address_counter <= (others=>'0');
elsif enable = '1' then
address_counter <= address_counter+1;
end if;
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
ICMP_detected <= '0';
elsif enable = '1' and address_counter = 25 and data_in = x"01" then
ICMP_detected <= '1';
saved <= '1';
end if;
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
reset_counter <= reset_counter+1;
end if;
end if;
end process;
end Behavioral; | apache-2.0 | f53beeda4025443f564f0986f61f75e6 | 0.66395 | 3.109162 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_3/top.vhd | 1 | 4,662 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06.03.2014 15:08:57
-- Design Name:
-- Module Name: top - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity top is
Port ( clk_raw : in STD_LOGIC;
sw : in STD_LOGIC_VECTOR (7 downto 0);
btn : in STD_LOGIC_VECTOR (4 downto 0);
led : out STD_LOGIC_VECTOR (7 downto 0);
ja : out std_logic_vector(10 downto 1);
clatch: out std_logic;
cdata: out std_logic;
cout: out std_logic;
cclk: out std_logic;
mclk: out std_logic;
lrclk: out std_logic;
bclk: out std_logic;
dac_sdata: out std_logic;
adc_sdata: in std_logic
);
end top;
architecture Behavioral of top is
constant audio_ch_bits: integer := 24;
--clocks
signal clk_250MHz: std_logic := '0';
--button
signal dbtn : std_logic_vector(4 downto 0);
--dds
signal valid : std_logic;
signal phase : std_logic_vector(31 downto 0) := std_logic_vector(to_unsigned(1200,32));
signal dds_out: std_logic_vector(31 downto 0);
alias sine_raw: std_logic_vector(15 downto 0) is dds_out(15 downto 0);
alias cosine_raw: std_logic_vector(15 downto 0) is dds_out(31 downto 16);
--audio
signal mclkb: std_logic;
signal bclkb: std_logic;
signal lrclkb: std_logic;
signal adc_sdatab: std_logic;
signal dac_sdatab: std_logic;
signal audio_input: std_logic_vector(audio_ch_bits-1 downto 0);
--spi
signal spi_data: std_logic_vector(31 downto 0);
signal spi_ready: std_logic;
signal spi_valid: std_logic;
signal clatchb: std_logic;
signal cclkb: std_logic;
signal cdatab: std_logic;
component clk_base is
port (
clk_raw : in STD_LOGIC;
clk_250MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end component;
COMPONENT dds
PORT (
aclk : IN STD_LOGIC;
s_axis_phase_tvalid : IN STD_LOGIC;
s_axis_phase_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT;
begin
clk_base1: clk_base port map(clk_raw, clk_250MHz, open);
dbounce1: debounce port map(clk_250MHz, btn(0), dbtn(0));
dbounce2: debounce port map(clk_250MHz, btn(4), dbtn(4));
dbounce3: debounce port map(clk_250MHz, btn(1), dbtn(1));
dbounce4: debounce port map(clk_250MHz, btn(3), dbtn(3));
sig_gen: dds
port map (
aclk => clk_250MHz,
s_axis_phase_tvalid => '1',
s_axis_phase_tdata => phase,
m_axis_data_tvalid => valid,
m_axis_data_tdata => dds_out
);
audio1: audio
generic map(
bits_per_ch => audio_ch_bits
)
port map(
clk=>clk_250MHz,
mclk=>mclkb,
bclk=>bclkb,
lrclk=>lrclkb,
adc_sdata=>adc_sdatab,
dac_sdata=>dac_sdatab,
input=>audio_input
);
spi1: spi
port map(
clk=>clk_250MHz,
data=>spi_data,
ready=>spi_ready,
valid=>spi_valid,
clatch=>clatchb,
cclk=>cclkb,
cdata=>cdatab
);
audio_spi_drv1: audio_spi_drv
port map(
clk=>cclkb,
data=>spi_data,
ready=>spi_ready,
valid=>spi_valid
);
process(clk_250MHz)
begin
if(clk_250MHz'event and clk_250MHz = '1')then
audio_input(23 downto 8) <= sine_raw;
audio_input(7 downto 0) <= (others=>'0');
if(dbtn(0) = '1')then
phase <= phase + 1;
elsif(dbtn(4) = '1')then
phase <= phase - 1;
end if;
end if;
end process;
ja(1) <= mclkb;
ja(2) <= bclkb;
ja(3) <= lrclkb;
ja(4) <= dac_sdatab;
ja(8) <= clatchb;
ja(9) <= cclkb;
ja(10) <= cdatab;
clatch <= clatchb;
cdata <= cdatab;
--cout <= coutb;
cclk <= cclkb;
mclk <= mclkb;
lrclk <=lrclkb;
bclk <= bclkb;
dac_sdata <= dac_sdatab;
adc_sdatab <= adc_sdata;
end Behavioral;
| gpl-2.0 | bfdd1947c3fcc1ed6b9a960e5ac11fff | 0.577649 | 3.195339 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/mem.vhd | 8 | 16,549 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Z0jMQ+yMAPqURhokcwnmJdqRyB2bT1XZFQ7lnjeKFLTRQOOGxc0TZQdk9dezMea+m3XqZH4bg0d5
v6kdqLeFMw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hThCDKt40DrnhNgtoxtFZ0w+PROwzs6osT0sv7Gt2WkidxNkGb7pvvoSYYRI38wl6N0tkbHlLXLn
g4W2m3iCPDasYBe7PBYUbWbZeogD5MblxZV1B8X0Br3lWh4uGTrseuZnvMLShzO1S6o1m3LmHMw1
u4SkGg+YISrcs3rhYOE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XGtZzpFBaBA7goz0WUqYVmk0C0sVB7YkhbJBHHWf0QND+16asHmR0+toA2V3dNlU6Nwd5C8Gnl+6
E/CI3wPa2Jril43fCYVaQ4RZLHM0PaolKER9N/4uWsas2xAI9ZtL1/YKloO4QXrTZ5Lk5DhMi/vM
DdVBRJp5FZsRMOxy2pQ0bLiycIjlSfEB2YQnVlfI2YLMxY0cRG415peZsxnIPtT8Rra9atH+wcXo
bHaazCUOiWQ3MCr8Jv7mLNIVxPL1pUlSTdnu4y2TEX02DV5k4EAvfX26cOPmh+pxMu5YorPI2Igt
minFiIFJZnDoxqIVetSiKFjV0PJVqu8LOj0AHw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YzCrG0MFXJJu81kj3gWqeERDI/noDGLpay+WJNRs7RbUrae74l08N28u6I+Vvt83Xl7OSg5JsCTv
atwj10MEbkehrQvR6xldJnrAc9jv8nI5PSSNk+HKgICApKBwmGYGynK6rP+4jStCLgM7eQWRhHDe
BKTBKTlx5kES2H65BnM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XC8qErFB+JPQxpaS1VkQSB/3jbX4m8ckNaQmE7/itjzvbxu5Pfo3EJEnSeH/IgpXEDAaoC5AKXRv
PPP0XHClBw3imccSrCnWbkEYxd9MTD9GwwMyJLxSsYvV7SwRBFkQLNLAo2pff55dhSmRGQDGM+4Q
9sfJLm1QYeyv3tkO9wKalr77JQDk+IJ9oJ+k65PauVJyb3SNPpUJsczpwCbDnQzut0FoUU2BRrW2
mIwerPKsdQ/w72X3NaS9F8eEbrVkXRPezkZYPf6Oc8MHqBBHyp1an3c4g8QgQorti8vm3p7M/uee
GOfGdjfHDTEKa9wfzhTcVZED4fBKslvOXle25g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10512)
`protect data_block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`protect end_protected
| gpl-2.0 | d66339d74a1c05e4ac73bdeb5895fa35 | 0.935827 | 1.852569 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/pkg.vhd | 3 | 205,459 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aOXZr9yXGIJfgrleM86YIruRUribCmkDMco2LZZrMKmmRBp3ULEgP6m8FgDSLJDDwKoafkxXI6RN
JEh/3PfZRA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RuFkwl+8m58Xu3TowONp1HRpzr/PCTHVTlmvMQ9KEzN42Nm7Mvl30ZLRNBZIEYGgVDAJCvGmk99u
4vbPT31fBYI5ItHGpj5ltWbSlqSNIO+Cfyq3SqtwfA5tcCri4thTCaBXtF4FS0wHU9gJsN89zLnG
VHPegj/A25pTbqUSKM0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
euLkqNMk3VdyCo+8CPcF4ALE5+/v0eeFyn/g127x5V0afYVgV8XvO4FqKJNIROHScwxhyjkkScpR
kdd/3bNXPgbSzAOHS/h7gWTIGgX+Lxho2LIm9f4zbXNo9L6g/XwkIeqfo0MQl6XixMjAEIzNmany
k31eFL2Ma/poNQyttIDuyBBNSrP7BNcOIo97w5beWPSeCu3QTW1Tj9CQyy+ej5ukBJTVEVt6KymZ
svU9Gp5Mm/9/IcoYugXo1ClrC4K1YBRmyqQfy2oEo3ZHJpjb0fNvUUQqvnpVUoo1A/Znl35KbFF0
N+grwxz0YNFaVSsU0rxaJaVhxL0smYojrP6YmA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Hj6SAK5/b5ldIQcdUBnw9rdc6+GD2fWo5Wvxse1Tyok9wJmROxLVOEcn1kyPNlFp7vNtQWSa8zx6
/w2A6uyoy2PaZusMQhucGqBjPVj3lh5E02aFVx4s5Gew0d25no+xIAv74hWyTEbdtP9rjgk7ZifV
U9sORaqP0Piir0YN4Nk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nrnSLQZZ4XcyH/GEWrtMtDOVae58eqdGw0G7l0Jm1KLbs5+QInCUZKvayB+6GxDJuQ2TOox9Uu1f
dtN+w7P+7EAn6XEkon36QEgb2Atab2qgqumBPMH4Nk37QLmKhZT84EEjhcshoO5jLfXnJAXzoGoi
Mf1dQsqU2unzTcV/NOTc6vJgsI2pkGOJyFQ1P0dr1E+WEmjYTA/WTP8TrTRaaLHD9+q9YkAE8iu3
jhaCl1Xqsb+PYxTm6pf8A3qv3fMs7LzZANWm+voSZV8H4C9Dd8wA08gBDCamJGsE0hNHtlcKx0ku
bhDg5uunliu3xDtJhdHEFDP0Z0TXl4cF8H0jXg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 150352)
`protect data_block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`protect end_protected
| gpl-2.0 | 376051b56127e3ef728aefbd9b146696 | 0.953869 | 1.806694 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/bf_dsp_mul_j_bypass.vhd | 3 | 10,129 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HY7kMoC5LnFGifV7C1uVQEAxt9HKHRRb304W0QtS0PWPYF8T1dI/elz/XF1XXBbH76J6oilSaTKo
YRcYP1YfXg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KvAPreHiOyPg9o2ImfL2LLp3s8gXr5mYgnsEImW0PyyRY9x6s6ySV8aL4FASI+9jS8W/dchhzt5W
1qkjypHL/RbbGwv7WQmoJPeY5iJPVKtW6E0JM/a4PI0LxKJvORMTftFwWTNzgBna8GvYAB0M5DFa
TwLUsBqQXQ25E1g+Dg4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZDIEMMzZwQOIOXgvubaKrVRaKIJpWBr2uh7ZtH8C9nfHnH9evYxxLbAi3IQxtDPkjxYajpALFHNN
zwJSTc5kEh83uYgi1+EvnX5YR8bP3YtmnxLr1/Ib/+S8rFSEN1z6Hud5Cmn1/p7Sfckeq0LnSpAD
wTDSMdZjbXKz8FzVPV7HEao46o7cmvfynuuz+435UE/182XBHcaF2nQwovjTRnJO+7BUv5g8hTII
nCAxzr7EG/e2wjmPfalXINFHBvWUzZrQIe/nVJYaBCTheP6ZvGC/57jgPUHwTB8BLP6qyw+leZLi
nxPgZUkku6IauCG8uway3kzIsDgC7CznrXj5DA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RN6uyAQWZOvkUMcCx8AVP+oTJPs2a0lLCVgzHJ3k1pI1NVehZQUvt3UkrTzVdZasdZ8ZMRDQ1Tuw
xaUf3ktgdYody7j6v0W1P1xXZXB0J+57mXiTZt+NOE5sMS0fWRP3P/Eohg6KIPhna4lNveuCWaGS
p4tj8HGKrj2dirKVMg8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CiUKrC+mbHi7SNgTS4BOA7QWW/3pvK5RuPrxCu6BzTQNpv5cbd7mnu60IbUFvKLdwA2CtSKACdM3
WoKTzhAF7/INolm0IocdCTsIcWPVUYRH1cnPTdG2Zd5e81v/+d5d8cMKqV4zW9V0Gpwoc/RgtFFQ
Wfar7xef4O2JtcYGHhLwizw+wZmlwr2NEZnD5F5L1Y8eyHJGIqtCtMMzqaoWnDzQCBTKXj33queL
jKaNRoQrGWwU2aly6kHr1eq0zEQGVbCa2wZFe7/EUGCJME3ZVQ0fBLCQ01kXAvvJMsbsl2QfouWV
clGaDWkJ7DW2ZM+gaBI9kLTS9EQ8UVft4O88bg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5760)
`protect data_block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`protect end_protected
| gpl-2.0 | 25c7c6ef8a2775808f921a3b814b32bf | 0.922302 | 1.895751 | false | false | false | false |
mcoughli/root_of_trust | operational_os/hls/contact_discovery_hls_2017.1/solution1/syn/vhdl/contact_discovery_AXILiteS_s_axi.vhd | 3 | 16,468 | -- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2017.1
-- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity contact_discovery_AXILiteS_s_axi is
generic (
C_S_AXI_ADDR_WIDTH : INTEGER := 6;
C_S_AXI_DATA_WIDTH : INTEGER := 32);
port (
-- axi4 lite slave signals
ACLK :in STD_LOGIC;
ARESET :in STD_LOGIC;
ACLK_EN :in STD_LOGIC;
AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
AWVALID :in STD_LOGIC;
AWREADY :out STD_LOGIC;
WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0);
WVALID :in STD_LOGIC;
WREADY :out STD_LOGIC;
BRESP :out STD_LOGIC_VECTOR(1 downto 0);
BVALID :out STD_LOGIC;
BREADY :in STD_LOGIC;
ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
ARVALID :in STD_LOGIC;
ARREADY :out STD_LOGIC;
RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP :out STD_LOGIC_VECTOR(1 downto 0);
RVALID :out STD_LOGIC;
RREADY :in STD_LOGIC;
interrupt :out STD_LOGIC;
-- user signals
ap_start :out STD_LOGIC;
ap_done :in STD_LOGIC;
ap_ready :in STD_LOGIC;
ap_idle :in STD_LOGIC;
operation :out STD_LOGIC_VECTOR(31 downto 0);
operation_ap_vld :out STD_LOGIC;
matched_finished :in STD_LOGIC_VECTOR(31 downto 0);
error_out :in STD_LOGIC_VECTOR(31 downto 0);
contacts_size_out :in STD_LOGIC_VECTOR(31 downto 0)
);
end entity contact_discovery_AXILiteS_s_axi;
-- ------------------------Address Info-------------------
-- 0x00 : Control signals
-- bit 0 - ap_start (Read/Write/COH)
-- bit 1 - ap_done (Read/COR)
-- bit 2 - ap_idle (Read)
-- bit 3 - ap_ready (Read)
-- bit 7 - auto_restart (Read/Write)
-- others - reserved
-- 0x04 : Global Interrupt Enable Register
-- bit 0 - Global Interrupt Enable (Read/Write)
-- others - reserved
-- 0x08 : IP Interrupt Enable Register (Read/Write)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x0c : IP Interrupt Status Register (Read/TOW)
-- bit 0 - Channel 0 (ap_done)
-- bit 1 - Channel 1 (ap_ready)
-- others - reserved
-- 0x10 : Data signal of operation
-- bit 31~0 - operation[31:0] (Read/Write)
-- 0x14 : Control signal of operation
-- bit 0 - operation_ap_vld (Read/Write/SC)
-- others - reserved
-- 0x18 : Data signal of matched_finished
-- bit 31~0 - matched_finished[31:0] (Read)
-- 0x1c : reserved
-- 0x20 : Data signal of error_out
-- bit 31~0 - error_out[31:0] (Read)
-- 0x24 : reserved
-- 0x28 : Data signal of contacts_size_out
-- bit 31~0 - contacts_size_out[31:0] (Read)
-- 0x2c : reserved
-- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake)
architecture behave of contact_discovery_AXILiteS_s_axi is
type states is (wridle, wrdata, wrresp, wrreset, rdidle, rddata, rdreset); -- read and write fsm states
signal wstate : states := wrreset;
signal rstate : states := rdreset;
signal wnext, rnext: states;
constant ADDR_AP_CTRL : INTEGER := 16#00#;
constant ADDR_GIE : INTEGER := 16#04#;
constant ADDR_IER : INTEGER := 16#08#;
constant ADDR_ISR : INTEGER := 16#0c#;
constant ADDR_OPERATION_DATA_0 : INTEGER := 16#10#;
constant ADDR_OPERATION_CTRL : INTEGER := 16#14#;
constant ADDR_MATCHED_FINISHED_DATA_0 : INTEGER := 16#18#;
constant ADDR_MATCHED_FINISHED_CTRL : INTEGER := 16#1c#;
constant ADDR_ERROR_OUT_DATA_0 : INTEGER := 16#20#;
constant ADDR_ERROR_OUT_CTRL : INTEGER := 16#24#;
constant ADDR_CONTACTS_SIZE_OUT_DATA_0 : INTEGER := 16#28#;
constant ADDR_CONTACTS_SIZE_OUT_CTRL : INTEGER := 16#2c#;
constant ADDR_BITS : INTEGER := 6;
signal waddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal wmask : UNSIGNED(31 downto 0);
signal aw_hs : STD_LOGIC;
signal w_hs : STD_LOGIC;
signal rdata_data : UNSIGNED(31 downto 0);
signal ar_hs : STD_LOGIC;
signal raddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal AWREADY_t : STD_LOGIC;
signal WREADY_t : STD_LOGIC;
signal ARREADY_t : STD_LOGIC;
signal RVALID_t : STD_LOGIC;
-- internal registers
signal int_ap_idle : STD_LOGIC;
signal int_ap_ready : STD_LOGIC;
signal int_ap_done : STD_LOGIC := '0';
signal int_ap_start : STD_LOGIC := '0';
signal int_auto_restart : STD_LOGIC := '0';
signal int_gie : STD_LOGIC := '0';
signal int_ier : UNSIGNED(1 downto 0) := (others => '0');
signal int_isr : UNSIGNED(1 downto 0) := (others => '0');
signal int_operation : UNSIGNED(31 downto 0) := (others => '0');
signal int_operation_ap_vld : STD_LOGIC := '0';
signal int_matched_finished : UNSIGNED(31 downto 0) := (others => '0');
signal int_error_out : UNSIGNED(31 downto 0) := (others => '0');
signal int_contacts_size_out : UNSIGNED(31 downto 0) := (others => '0');
begin
-- ----------------------- Instantiation------------------
-- ----------------------- AXI WRITE ---------------------
AWREADY_t <= '1' when wstate = wridle else '0';
AWREADY <= AWREADY_t;
WREADY_t <= '1' when wstate = wrdata else '0';
WREADY <= WREADY_t;
BRESP <= "00"; -- OKAY
BVALID <= '1' when wstate = wrresp else '0';
wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0));
aw_hs <= AWVALID and AWREADY_t;
w_hs <= WVALID and WREADY_t;
-- write FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
wstate <= wrreset;
elsif (ACLK_EN = '1') then
wstate <= wnext;
end if;
end if;
end process;
process (wstate, AWVALID, WVALID, BREADY)
begin
case (wstate) is
when wridle =>
if (AWVALID = '1') then
wnext <= wrdata;
else
wnext <= wridle;
end if;
when wrdata =>
if (WVALID = '1') then
wnext <= wrresp;
else
wnext <= wrdata;
end if;
when wrresp =>
if (BREADY = '1') then
wnext <= wridle;
else
wnext <= wrresp;
end if;
when others =>
wnext <= wridle;
end case;
end process;
waddr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (aw_hs = '1') then
waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0));
end if;
end if;
end if;
end process;
-- ----------------------- AXI READ ----------------------
ARREADY_t <= '1' when (rstate = rdidle) else '0';
ARREADY <= ARREADY_t;
RDATA <= STD_LOGIC_VECTOR(rdata_data);
RRESP <= "00"; -- OKAY
RVALID_t <= '1' when (rstate = rddata) else '0';
RVALID <= RVALID_t;
ar_hs <= ARVALID and ARREADY_t;
raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0));
-- read FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rstate <= rdreset;
elsif (ACLK_EN = '1') then
rstate <= rnext;
end if;
end if;
end process;
process (rstate, ARVALID, RREADY, RVALID_t)
begin
case (rstate) is
when rdidle =>
if (ARVALID = '1') then
rnext <= rddata;
else
rnext <= rdidle;
end if;
when rddata =>
if (RREADY = '1' and RVALID_t = '1') then
rnext <= rdidle;
else
rnext <= rddata;
end if;
when others =>
rnext <= rdidle;
end case;
end process;
rdata_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (ar_hs = '1') then
case (TO_INTEGER(raddr)) is
when ADDR_AP_CTRL =>
rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0');
when ADDR_GIE =>
rdata_data <= (0 => int_gie, others => '0');
when ADDR_IER =>
rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0');
when ADDR_ISR =>
rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0');
when ADDR_OPERATION_DATA_0 =>
rdata_data <= RESIZE(int_operation(31 downto 0), 32);
when ADDR_OPERATION_CTRL =>
rdata_data <= (0 => int_operation_ap_vld, others => '0');
when ADDR_MATCHED_FINISHED_DATA_0 =>
rdata_data <= RESIZE(int_matched_finished(31 downto 0), 32);
when ADDR_ERROR_OUT_DATA_0 =>
rdata_data <= RESIZE(int_error_out(31 downto 0), 32);
when ADDR_CONTACTS_SIZE_OUT_DATA_0 =>
rdata_data <= RESIZE(int_contacts_size_out(31 downto 0), 32);
when others =>
rdata_data <= (others => '0');
end case;
end if;
end if;
end if;
end process;
-- ----------------------- Register logic ----------------
interrupt <= int_gie and (int_isr(0) or int_isr(1));
ap_start <= int_ap_start;
int_ap_idle <= ap_idle;
int_ap_ready <= ap_ready;
operation <= STD_LOGIC_VECTOR(int_operation);
operation_ap_vld <= int_operation_ap_vld;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_start <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then
int_ap_start <= '1';
elsif (int_ap_ready = '1') then
int_ap_start <= int_auto_restart; -- clear on handshake/auto restart
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ap_done <= '0';
elsif (ACLK_EN = '1') then
if (ap_done = '1') then
int_ap_done <= '1';
elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then
int_ap_done <= '0'; -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_auto_restart <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then
int_auto_restart <= WDATA(7);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_gie <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then
int_gie <= WDATA(0);
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_ier <= "00";
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then
int_ier <= UNSIGNED(WDATA(1 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(0) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(0) = '1' and ap_done = '1') then
int_isr(0) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_isr(1) <= '0';
elsif (ACLK_EN = '1') then
if (int_ier(1) = '1' and ap_ready = '1') then
int_isr(1) <= '1';
elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then
int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_OPERATION_DATA_0) then
int_operation(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_operation(31 downto 0));
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_operation_ap_vld <= '0';
elsif (ACLK_EN = '1') then
if (w_hs = '1' and waddr = ADDR_OPERATION_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then
int_operation_ap_vld <= '1';
else
int_operation_ap_vld <= '0'; -- self clear
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_matched_finished <= (others => '0');
elsif (ACLK_EN = '1') then
if (true) then
int_matched_finished <= UNSIGNED(matched_finished); -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_error_out <= (others => '0');
elsif (ACLK_EN = '1') then
if (true) then
int_error_out <= UNSIGNED(error_out); -- clear on read
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_contacts_size_out <= (others => '0');
elsif (ACLK_EN = '1') then
if (true) then
int_contacts_size_out <= UNSIGNED(contacts_size_out); -- clear on read
end if;
end if;
end if;
end process;
-- ----------------------- Memory logic ------------------
end architecture behave;
| gpl-3.0 | 097c93a3270d7f50d712ab6c82c56689 | 0.462412 | 3.825319 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48e1_wrapper_v3_0.vhd | 8 | 25,627 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
U2lh2czMwz5cgyQuIsr1wR/63L3TEC5oz8P2NvJeArlLCZBnEL2/+W9TsGPIUpN99PUf5yI4w+sp
EG3OfLWs4A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LuBtK9tw2eWQ6XMoo+SEPc/UGQFiN7l/d0hgbocgeex+VwhW68gOA1RDE17Drzt6y0s386GmboBy
PkwPpGBXb2C3ubjDI2lPWMBIFc1bfYsIKyE2Hzd9d1RN+VLvALDtRSfz4PIdjMFpwRxqQ4X4KxhP
KAFn8T9lsVxdLjIdpt0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fye9pWBMoXZcufNkdBpV0z9ut4aO/YYEVUStw6SI9ADSx5+Vy4Ot7Q8E2q0ytzALu/i1QTMFG2rB
7Fw2NMa/hcrz84vfcMOxdPDZC+heazpjXQ02d0ZSKfyuknehxk9Ynk2AFZzcyaKW12ClfanfFY+q
fHYn0jeH1BNgDvKg5WjHznTuh14IcjalZNl1Lk6JCnW4HGY/cnqraHQ79vI+ZCQEPYyeBr7Ivvy+
2q1ukr07VkSMaPB3sDZrHzrQwlQd/iNbedWdsjZTVWr7mxEpMdd7HY8VyaGng8fx26sA6iafskta
aLfZfUIcJ/ONBenrsLieCzh5D4K6jsYLUnhzlg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
et7r1zeGSK4ujbl7k6bUkZDdIWS9X54YqrRyYBAEXKFzwqFXv+vIe5Nn78aOpR/PwFOPSa5QYN/c
M75iTnH/U6ztgv0bp1g7MYMPbBxgEa7LzHYah9LQOIOaj+buLEPq1LctI+v1dkD73GgpV0hTZidE
739sP+kS2Ke1QDpTT5E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dfaJHftD+DMmze5wF5XmnCxxxi6HQTizks+DU1KbgbsRwHGIAEqp3xp/KlZcm7bfmmc7KNpb9mBT
OKIEIOWkj6WRhQHJzWVvGjW+W6YNXqKgDOOcYqFtrBkjeoDWWv9LbbFHqlZk8FFTs1bYqRxz8n6G
5eC2o5mYFQpwcLDEb6p87Z6q3L0YSkNDPkBWrCi4Eg8CJyr/QZAj+gYSEyDCd2QAq3EphsyEaWVV
iTyfhmzFrFVmRLgXevx+CN1bODL4nKfEcRNKfPgueTxXIQELs/2oPA91LsK9l5bwKq4pOGO/dKcP
FGEot0Nxm3jbuyEZ4cVvHBXAn48gF9mMVJKlxQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17232)
`protect data_block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`protect end_protected
| gpl-2.0 | ed46fd6432badb5217dbb17ee7e00157 | 0.944356 | 1.842211 | false | false | false | false |
fafaldo/ethernet | ethernet4b/control_unit.vhd | 1 | 2,218 |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity control_unit is
Port ( clk : in STD_LOGIC;
reset : in STD_LOGIC;
data_in : in STD_LOGIC_VECTOR (3 downto 0);
data_received_in : in STD_LOGIC;
interrupt : in STD_LOGIC;
crc_en : out STD_LOGIC := '0';
ethertype_en : out STD_LOGIC := '0';
ram_en : out STD_LOGIC := '0');
end control_unit;
architecture Behavioral of control_unit is
type state_type is (IDLE, WORKING, INTERRUPTED);
signal state, next_state : state_type;
signal counter : std_logic_vector(11 downto 0) := (others=>'0');
begin
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
state <= IDLE;
else
state <= next_state;
end if;
end if;
end process;
process (state)
begin
if state = IDLE then
crc_en <= '0';
elsif state = WORKING then
crc_en <= '1';
elsif state = INTERRUPTED then
crc_en <= '0';
end if;
end process;
process (state)
begin
if state = IDLE then
ethertype_en <= '0';
elsif state = WORKING then
ethertype_en <= '1';
elsif state = INTERRUPTED then
ethertype_en <= '0';
end if;
end process;
process (state)
begin
if state = IDLE then
ram_en <= '0';
elsif state = WORKING then
ram_en <= '1';
elsif state = INTERRUPTED then
ram_en <= '0';
end if;
end process;
process (state, clk)
begin
if rising_edge(clk) then
if state = IDLE then
counter <= (others=>'0');
elsif state = WORKING then
counter <= counter + 1;
elsif state = INTERRUPTED then
counter <= counter + 1;
end if;
end if;
end process;
process (state, clk, data_received_in, interrupt)
begin
next_state <= state;
case (state) is
when IDLE =>
if data_received_in = '1' then
next_state <= WORKING;
end if;
when WORKING =>
if interrupt = '1' then
next_state <= INTERRUPTED;
end if;
when INTERRUPTED =>
next_state <= IDLE;
when others =>
next_state <= IDLE;
end case;
end process;
end Behavioral;
| apache-2.0 | 4d7a3c3852b7f52cdfc7ecb0befa9239 | 0.569883 | 3.350453 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/dist_mem.vhd | 2 | 75,904 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Nuy/2vL+33hHKn7oyDQbVW6wePqHi4t87CgBmqOgnOTsLoEMNkOsxLBHgol/A1VvM9PMdA2ge2uw
6db6u2bKQQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kvqWGn5r79y4cuqQGyCk39gE1VVogU4hsK8PQWae7396u/ak/BI2ibipAmB4P45F1wnTgyv1OBzG
/HMp0RqJy56jv/Nm6tvGP6KnV86Q5E9rU/T3bjZErtfnVFg8UL0ekBZq/RigLNtFrotTPWEkRZbR
Q2CuIR8JUo/rgLujy6M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bE4kosxAxhJeszgicUpORKO5mZ2AjyySWq6fj8UNOovb9lZr4OVTsk0GOt+O75gEWnz8nhWyUHRt
1+sTWoEZIaVPX5Nz0cVvWaFfut2Txpk66qY3Hhq/HSpmyv1F4hkDx9bU0nC+Gvu4UZcc4D/uZ5Fz
1+J1kIH+ZZ1dKn79l6o5qy+WjIXKRDhZ25z/rDQ6FmjLacfkkzm0hCODIkf4HAMmToQPf/Nlu1rl
1nyr3Ljb/xn8GfGVNWNiolMKUlSG3KeBS1UVg/bd9D0HC/Ry6vwZijQNs2vCtdnsV9WuFS+L8ILN
FKkfmYSVfA5H0JxR5ivc5Fm2LKkme60l3zVhTg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QwaL4Ip9sZbK7spXSUgY8CkpYnlv/U2s2z8Zhc/95/EZ5U3Y6aeUk3K0RrPrdHg2iyrSmcILNT4L
/09E/hfWlLo/ivphxED7w+rTrR2JcISwJO9PDsPzsJGNf7AUweTb2G2RaFUNkjRnq83qkAK3ajOC
CFmjgC1Bizg07mL+Eps=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rijHSx3Th0PZg/wk8cArcdOcAUzG1qqsiI3iRSq4N/8XfoUfHel2Nv33qHsrrCRN10HCtZ/RQiwS
CZgYcU2t+cDL9gbTeInaxv7O67CW0CAMV0gs/jVULPb6aNx/RpTynpYq4OIMhm83EocwJL3r4fwP
SLlAsQDGHRYp+FpzJuqBnBy9XXIo/+5G4dGvCHpDQSBXNxL/aB2hYDvYeUXZCrhIj8mctX+xVgu2
Jl3iaLc2tsv3DEWsLPnWu63W1zB38d7O+c8p+NiNt3Bj32UVsusoP8f5u190Li5GCY9veBERv5rO
kveSau9B3UMHYJuZZ/GoiKC4W+XvTt2wbKoAeQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 54448)
`protect data_block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`protect end_protected
| gpl-2.0 | 20ebdd9a37cc8e5dba654c93dfa8da5b | 0.950688 | 1.810989 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/cmpy_v6_0/hdl/cmpy_v6_0_viv.vhd | 3 | 81,740 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SsEHSCy5J6+9cUVgehpdS4KIK44CgGkXWJWf6qkg4yM3EQQaIDqY9rMGKYr+5S4d3YZpzxKRSRqT
i9qUM6R8DA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UPmxVEbWtmOW5vvoB6jgGEd6qKVh3m1UNGzpWJAfZR88dyUsFq36QPNO7HPwROrPf9Qwwd4yDY38
ZeXqQncy5huiqSyYv74aKKOJtpqKl4S576tesrVlgr+yXD//GHJJ30riuG7hSb2AVCZLU+7WpFiu
PYTyIF6awlIwEVc1HG8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Y4FTH6UQqxVUSfCCsS84jdQqcw44ahe7vTAKYZCCsBnr6YRt6EO3plNczdfF+PV60icYRgeUNMJo
pYYK/qsTWVyc2TfR9U1WECdadksBjsoKFcgklpp6cp3BgwiU8qOcvAUYgllmBcAYLR10rd2A87CM
acw/LZdKoFUeRg8Jo2vRklFwDFS0SYaFF3BSH8GvyNR2M/kq5jwGtCFO0HJBvCETEKb2aT8z4cxt
pawEtadqWGBvwMiWog2OrWwbWuacUqI4dBiXXfE7Obn8sm+GEzqzl93jyVz5P0tGaYyCUdvd9Rb2
kPhTXRrMugN9fVELq52A9C6IXO3GHcJk9qXkJA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
350JsG5VekcPsJ8a7bIEMj6IcsG7iwCPButxrsIt5gE4fMOF/Zt6znTQCd36+4MKI+pYQwP6oypb
Y5+8zK65bAuJ9pd3TrFDc51ZTE5VO1ahcJscbXzH6NaxwuHEQA7/PWa87VWEkZTeQeHuBquzw/WD
OyZJBykLLeQc5XGe5o4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QTmFNnFTV7n6GaQKqo5sD10Erew9ecQGeSPlqbGYVrmMQ2K5xPmY8qBMaZYlbia+DtYqt4aQwVgP
plNeexpuUHuROm0gwRG+nfbl1YPj9M/P3yKT+t9eqgUCWwsjJpNcpIIjr0Z+IbYTrPxTNtkMKwIN
oB9ilJaTcahjZCk0JPO3SvWvNVocDm0biJpzGnoWow7Too3TjQJOmjfpai/do7zCqgijXiW2zdIS
WS1fKAtR9RugWcM5zgz0lwdUYqMAXwS07Anyd7Dbzdjfyp5U75uKyDjFviAnn64TT0vKLZiUqMxX
QqzlVxdI0Z2A5G/EkQqVIht9FM4EK/efBvfsrw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 58768)
`protect data_block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`protect end_protected
| gpl-2.0 | 2e989d742ef7bd72096ff9f57957cff1 | 0.951872 | 1.82492 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/dpt_mem.vhd | 8 | 17,890 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VjYP0USKg1wOY+hRPbJ0KZoE3EUC/DsaSwrIogjsbzBm3WcKFLY0Uz6hvrbOgNEdtbqTKHyR99D6
rYsUUHV1Sw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cqWe/oHZ5BENZ/GzKPdonL9VSIIEXNppEyEVAh6csg9jI8ktza+9TRCBhHKKwzCqk2fQT2ICHD64
VC/wjobE+EmUOe5YfCgbJvg7lgCZfsQ2vsYlT1zfa+jG+OYvvZtEz5ZP7mDKOPNb/AWIElR2S9lX
DCtH64sn2qtbolywWrc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
a1emQ7vqso7F3bcTvCZ85wQq2Z8iY86jfZ8m3kpPGUtyEWtvIk8dgS4nZtAxhWOAjdJK0MyeuGhD
w4rJU0aFQ0DGtqv19g+g6c8qukvuvAIlf0lpcCnidx+o3Z3rAYTioieh7mF/aXjTMiIx3+w3gyWa
NJz6fb8BIMuQXmlXbmMUhNAE/SLHtVdg/yNECbgDUl2j7IWiLXFRFouDwkzOtfnyQjVQqunKMqUp
44khPJZ3ogDD6VHPGs7jL9wQ43X4YO3dTNCKfNdWZwXJKOXHuy3AaPr03Go6+8wx4Zq3h7eauVpG
nchAd7I260wrKeQ9F9Ek8p+QFCVILqPdGNcmrA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dQcfvbjf6qUEqdIIfF2iAS5LA6hSMKdmozhk2adtmyNIG3WKtPwAqOOkUle7A13yTE2SCCTySo9r
kcU5glrCPwN0oT9lSq1HcGXJsFzxs0/+JZIJl/Gdu+1WtXetX7dHqU7dd68dsLvvUFuSk+gw8RYi
/NzBP9Av+LgITv1FAgU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Xjp3z+PXXllglDEryS91cDw78i8U0HIzkFE5OMBcjzA/HEMsyQ7CaE8P3jq94eHVD+uaDs9z/BJh
lJ0ClWh9n4z2rpY23TUn45IyQsa6RBeXzf5WeCMKCRkEqB9tZSoxWjEOetgjbzMo7dQeUWdbLsqu
LvFg4g2tZzR4XBF+nn5QzDYuep9WwaD7ifdj+4p0TqhCExQzrfBoBAvQtH5bH2dm4J/eur8Y/akY
e3e260qEZxt7TkgH64LRfrfz5quIZLvyy2PRazojCQ8JFPSr63g7h51M+QrFLmCjnDt3zizTbGCa
a7yy6ugZ+bP6iAN18t1rB4mJz45q4PHhO0oC4g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11504)
`protect data_block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=
`protect end_protected
| gpl-2.0 | d683e7a4188627a617ebdb0fdb06ea76 | 0.938401 | 1.868212 | false | false | false | false |
UVVM/UVVM_All | uvvm_util/src/func_cov_pkg.vhd | 1 | 167,571 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
-- Inspired by similar functionality in SystemVerilog and OSVVM.
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
use work.types_pkg.all;
use work.adaptations_pkg.all;
use work.string_methods_pkg.all;
use work.global_signals_and_shared_variables_pkg.all;
use work.methods_pkg.all;
use work.rand_pkg.all;
package func_cov_pkg is
constant C_MAX_NUM_CROSS_BINS : positive := 16;
------------------------------------------------------------
-- Types
------------------------------------------------------------
type t_report_verbosity is (NON_VERBOSE, VERBOSE, HOLES_ONLY);
type t_rand_weight_visibility is (SHOW_RAND_WEIGHT, HIDE_RAND_WEIGHT);
type t_coverage_type is (BINS, HITS, BINS_AND_HITS);
type t_overall_coverage_type is (COVPTS, BINS, HITS);
type t_rand_sample_cov is (SAMPLE_COV, NO_SAMPLE_COV);
type t_cov_bin_type is (VAL, VAL_IGNORE, VAL_ILLEGAL, RAN, RAN_IGNORE, RAN_ILLEGAL, TRN, TRN_IGNORE, TRN_ILLEGAL);
type t_new_bin is record
contains : t_cov_bin_type;
values : integer_vector(0 to C_FC_MAX_NUM_BIN_VALUES-1);
num_values : natural range 0 to C_FC_MAX_NUM_BIN_VALUES;
end record;
type t_new_bin_vector is array (natural range <>) of t_new_bin;
type t_new_cov_bin is record
bin_vector : t_new_bin_vector(0 to C_FC_MAX_NUM_NEW_BINS-1);
num_bins : natural range 0 to C_FC_MAX_NUM_NEW_BINS;
proc_call : string(1 to C_FC_MAX_PROC_CALL_LENGTH);
end record;
type t_new_bin_array is array (natural range <>) of t_new_cov_bin;
constant C_EMPTY_NEW_BIN_ARRAY : t_new_bin_array(0 to 0) := (0 => ((0 to C_FC_MAX_NUM_NEW_BINS-1 => (VAL, (others => 0), 0)),
0,
(1 to C_FC_MAX_PROC_CALL_LENGTH => NUL)));
type t_bin is record
contains : t_cov_bin_type;
values : integer_vector(0 to C_FC_MAX_NUM_BIN_VALUES-1);
num_values : natural range 0 to C_FC_MAX_NUM_BIN_VALUES;
end record;
type t_bin_vector is array (natural range <>) of t_bin;
type t_cov_bin is record
cross_bins : t_bin_vector(0 to C_MAX_NUM_CROSS_BINS-1);
hits : natural;
min_hits : natural;
rand_weight : integer;
transition_mask : std_logic_vector(C_FC_MAX_NUM_BIN_VALUES-1 downto 0);
name : string(1 to C_FC_MAX_NAME_LENGTH);
end record;
type t_cov_bin_vector is array (natural range <>) of t_cov_bin;
type t_cov_bin_vector_ptr is access t_cov_bin_vector;
------------------------------------------------------------
-- Bin functions
------------------------------------------------------------
-- Creates a bin for a single value
impure function bin(
constant value : integer)
return t_new_bin_array;
-- Creates a bin for multiple values
impure function bin(
constant set_of_values : integer_vector)
return t_new_bin_array;
-- Creates a bin for a range of values. Several bins can be created by dividing the range into num_bins.
-- If num_bins is 0 then a bin is created for each value.
impure function bin_range(
constant min_value : integer;
constant max_value : integer;
constant num_bins : natural := 1)
return t_new_bin_array;
-- Creates a bin for a vector's range. Several bins can be created by dividing the range into num_bins.
-- If num_bins is 0 then a bin is created for each value.
impure function bin_vector(
constant vector : std_logic_vector;
constant num_bins : natural := 1)
return t_new_bin_array;
-- Creates a bin for a transition of values
impure function bin_transition(
constant set_of_values : integer_vector)
return t_new_bin_array;
-- Creates an ignore bin for a single value
impure function ignore_bin(
constant value : integer)
return t_new_bin_array;
-- Creates an ignore bin for a range of values
impure function ignore_bin_range(
constant min_value : integer;
constant max_value : integer)
return t_new_bin_array;
-- Creates an ignore bin for a transition of values
impure function ignore_bin_transition(
constant set_of_values : integer_vector)
return t_new_bin_array;
-- Creates an illegal bin for a single value
impure function illegal_bin(
constant value : integer)
return t_new_bin_array;
-- Creates an illegal bin for a range of values
impure function illegal_bin_range(
constant min_value : integer;
constant max_value : integer)
return t_new_bin_array;
-- Creates an illegal bin for a transition of values
impure function illegal_bin_transition(
constant set_of_values : integer_vector)
return t_new_bin_array;
------------------------------------------------------------
-- Overall coverage
------------------------------------------------------------
procedure fc_set_covpts_coverage_goal(
constant percentage : in positive range 1 to 100;
constant scope : in string := C_TB_SCOPE_DEFAULT;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
impure function fc_get_covpts_coverage_goal(
constant VOID : t_void)
return positive;
impure function fc_get_overall_coverage(
constant coverage_type : t_overall_coverage_type)
return real;
impure function fc_overall_coverage_completed(
constant VOID : t_void)
return boolean;
procedure fc_report_overall_coverage(
constant VOID : in t_void);
procedure fc_report_overall_coverage(
constant verbosity : in t_report_verbosity;
constant file_name : in string := "";
constant open_mode : in file_open_kind := append_mode;
constant scope : in string := C_TB_SCOPE_DEFAULT);
------------------------------------------------------------
-- Protected type
------------------------------------------------------------
type t_coverpoint is protected
------------------------------------------------------------
-- Configuration
------------------------------------------------------------
procedure set_name(
constant name : in string);
impure function get_name(
constant VOID : t_void)
return string;
procedure set_scope(
constant scope : in string);
impure function get_scope(
constant VOID : t_void)
return string;
procedure set_overall_coverage_weight(
constant weight : in natural;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
impure function get_overall_coverage_weight(
constant VOID : t_void)
return natural;
procedure set_bins_coverage_goal(
constant percentage : in positive range 1 to 100;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
impure function get_bins_coverage_goal(
constant VOID : t_void)
return positive;
procedure set_hits_coverage_goal(
constant percentage : in positive;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
impure function get_hits_coverage_goal(
constant VOID : t_void)
return positive;
procedure set_illegal_bin_alert_level(
constant alert_level : in t_alert_level;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
impure function get_illegal_bin_alert_level(
constant VOID : t_void)
return t_alert_level;
procedure set_bin_overlap_alert_level(
constant alert_level : in t_alert_level;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
impure function get_bin_overlap_alert_level(
constant VOID : t_void)
return t_alert_level;
procedure write_coverage_db(
constant file_name : in string;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
procedure load_coverage_db(
constant file_name : in string;
constant report_verbosity : in t_report_verbosity := HOLES_ONLY;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
procedure clear_coverage(
constant VOID : in t_void);
procedure clear_coverage(
constant msg_id_panel : in t_msg_id_panel);
procedure set_num_allocated_bins(
constant value : in positive;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
procedure set_num_allocated_bins_increment(
constant value : in positive);
procedure delete_coverpoint(
constant VOID : in t_void);
procedure delete_coverpoint(
constant msg_id_panel : in t_msg_id_panel);
-- Returns the number of bins crossed in the coverpoint
impure function get_num_bins_crossed(
constant VOID : t_void)
return integer;
-- Returns the number of valid bins in the coverpoint
impure function get_num_valid_bins(
constant VOID : t_void)
return natural;
-- Returns the number of illegal and ignore bins in the coverpoint
impure function get_num_invalid_bins(
constant VOID : t_void)
return natural;
-- Returns a valid bin in the coverpoint
impure function get_valid_bin(
constant bin_idx : natural)
return t_cov_bin;
-- Returns an invalid bin in the coverpoint
impure function get_invalid_bin(
constant bin_idx : natural)
return t_cov_bin;
-- Returns a vector with the valid bins in the coverpoint
impure function get_valid_bins(
constant VOID : t_void)
return t_cov_bin_vector;
-- Returns a vector with the illegal and ignore bins in the coverpoint
impure function get_invalid_bins(
constant VOID : t_void)
return t_cov_bin_vector;
-- Returns a string with all the bins, including illegal and ignore, in the coverpoint
impure function get_all_bins_string(
constant VOID : t_void)
return string;
------------------------------------------------------------
-- Add bins
------------------------------------------------------------
procedure add_bins(
constant bin : in t_new_bin_array;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "");
procedure add_bins(
constant bin : in t_new_bin_array;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
procedure add_bins(
constant bin : in t_new_bin_array;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
------------------------------------------------------------
-- Add cross (2 bins)
------------------------------------------------------------
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "");
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
------------------------------------------------------------
-- Add cross (3 bins)
------------------------------------------------------------
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "");
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
------------------------------------------------------------
-- Add cross (4 bins)
------------------------------------------------------------
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant bin4 : in t_new_bin_array;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "");
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant bin4 : in t_new_bin_array;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant bin4 : in t_new_bin_array;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
------------------------------------------------------------
-- Add cross (5 bins)
------------------------------------------------------------
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant bin4 : in t_new_bin_array;
constant bin5 : in t_new_bin_array;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "");
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant bin4 : in t_new_bin_array;
constant bin5 : in t_new_bin_array;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant bin4 : in t_new_bin_array;
constant bin5 : in t_new_bin_array;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
-- TODO: max 16 dimensions
------------------------------------------------------------
-- Add cross (2 coverpoints)
------------------------------------------------------------
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "");
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
------------------------------------------------------------
-- Add cross (3 coverpoints)
------------------------------------------------------------
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "");
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
------------------------------------------------------------
-- Add cross (4 coverpoints)
------------------------------------------------------------
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
variable coverpoint4 : inout t_coverpoint;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "");
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
variable coverpoint4 : inout t_coverpoint;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
variable coverpoint4 : inout t_coverpoint;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
------------------------------------------------------------
-- Add cross (5 coverpoints)
------------------------------------------------------------
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
variable coverpoint4 : inout t_coverpoint;
variable coverpoint5 : inout t_coverpoint;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "");
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
variable coverpoint4 : inout t_coverpoint;
variable coverpoint5 : inout t_coverpoint;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
variable coverpoint4 : inout t_coverpoint;
variable coverpoint5 : inout t_coverpoint;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
------------------------------------------------------------
-- Coverage
------------------------------------------------------------
impure function is_defined(
constant VOID : t_void)
return boolean;
procedure sample_coverage(
constant value : in integer;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel);
procedure sample_coverage(
constant values : in integer_vector;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "");
impure function get_coverage(
constant coverage_type : t_coverage_type;
constant percentage_of_goal : boolean := false)
return real;
impure function coverage_completed(
constant coverage_type : t_coverage_type)
return boolean;
procedure report_coverage(
constant VOID : in t_void);
procedure report_coverage(
constant verbosity : in t_report_verbosity;
constant file_name : in string := "";
constant open_mode : in file_open_kind := append_mode;
constant rand_weight_col : in t_rand_weight_visibility := HIDE_RAND_WEIGHT);
procedure report_config(
constant VOID : in t_void);
procedure report_config(
constant file_name : in string;
constant open_mode : in file_open_kind := append_mode);
------------------------------------------------------------
-- Optimized Randomization
------------------------------------------------------------
impure function rand(
constant sampling : t_rand_sample_cov;
constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel)
return integer;
impure function rand(
constant sampling : t_rand_sample_cov;
constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : string := "")
return integer_vector;
procedure set_rand_seeds(
constant seed1 : in positive;
constant seed2 : in positive);
procedure set_rand_seeds(
constant seeds : in t_positive_vector(0 to 1));
procedure get_rand_seeds(
variable seed1 : out positive;
variable seed2 : out positive);
impure function get_rand_seeds(
constant VOID : t_void)
return t_positive_vector;
end protected t_coverpoint;
end package func_cov_pkg;
package body func_cov_pkg is
-- Generates the correct procedure call to be used for logging or alerts
procedure create_proc_call(
constant proc_call : in string;
constant ext_proc_call : in string;
variable new_proc_call : inout line) is
begin
-- Called directly from sequencer/VVC
if ext_proc_call = "" then
write(new_proc_call, proc_call);
-- Called from another procedure
else
write(new_proc_call, ext_proc_call);
end if;
end procedure;
-- Creates a bin with a single value
impure function create_bin_single(
constant contains : t_cov_bin_type;
constant value : integer;
constant proc_call : string)
return t_new_bin_array is
variable v_ret : t_new_bin_array(0 to 0);
begin
v_ret(0).bin_vector(0).contains := contains;
v_ret(0).bin_vector(0).values(0) := value;
v_ret(0).bin_vector(0).num_values := 1;
v_ret(0).num_bins := 1;
if proc_call'length > C_FC_MAX_PROC_CALL_LENGTH then
v_ret(0).proc_call := proc_call(1 to C_FC_MAX_PROC_CALL_LENGTH-3) & "...";
else
v_ret(0).proc_call(1 to proc_call'length) := proc_call;
end if;
return v_ret;
end function;
-- Creates a bin with multiple values
impure function create_bin_multiple(
constant contains : t_cov_bin_type;
constant set_of_values : integer_vector;
constant proc_call : string)
return t_new_bin_array is
variable v_ret : t_new_bin_array(0 to 0);
begin
v_ret(0).bin_vector(0).contains := contains;
if set_of_values'length <= C_FC_MAX_NUM_BIN_VALUES then
v_ret(0).bin_vector(0).values(0 to set_of_values'length-1) := set_of_values;
v_ret(0).bin_vector(0).num_values := set_of_values'length;
else
v_ret(0).bin_vector(0).values := set_of_values(0 to C_FC_MAX_NUM_BIN_VALUES-1);
v_ret(0).bin_vector(0).num_values := C_FC_MAX_NUM_BIN_VALUES;
alert(TB_WARNING, proc_call & "=> Number of values (" & to_string(set_of_values'length) &
") exceeds C_FC_MAX_NUM_BIN_VALUES.\n Increase C_FC_MAX_NUM_BIN_VALUES in adaptations package.", C_TB_SCOPE_DEFAULT);
end if;
v_ret(0).num_bins := 1;
if proc_call'length > C_FC_MAX_PROC_CALL_LENGTH then
v_ret(0).proc_call := proc_call(1 to C_FC_MAX_PROC_CALL_LENGTH-3) & "...";
else
v_ret(0).proc_call(1 to proc_call'length) := proc_call;
end if;
return v_ret;
end function;
-- Creates a bin or bins from a range of values. If num_bins is 0 then a bin is created for each value.
impure function create_bin_range(
constant contains : t_cov_bin_type;
constant min_value : integer;
constant max_value : integer;
constant num_bins : natural;
constant proc_call : string)
return t_new_bin_array is
constant C_RANGE_WIDTH : integer := abs(max_value - min_value) + 1;
variable v_div_range : integer;
variable v_div_residue : integer := 0;
variable v_div_residue_min : integer := 0;
variable v_div_residue_max : integer := 0;
variable v_num_bins : integer := 0;
variable v_ret : t_new_bin_array(0 to 0);
begin
check_value(contains = RAN or contains = RAN_IGNORE or contains = RAN_ILLEGAL, TB_FAILURE, "This function should only be used with range types.",
C_TB_SCOPE_DEFAULT, ID_NEVER, caller_name => "create_bin_range()");
if min_value <= max_value then
-- Create a bin for each value in the range (when num_bins is not defined or range is smaller than the number of bins)
if num_bins = 0 or C_RANGE_WIDTH <= num_bins then
if C_RANGE_WIDTH > C_FC_MAX_NUM_NEW_BINS then
alert(TB_ERROR, proc_call & "=> Failed. Number of bins (" & to_string(C_RANGE_WIDTH) &
") added in a single procedure call exceeds C_FC_MAX_NUM_NEW_BINS.\n Increase C_FC_MAX_NUM_NEW_BINS in adaptations package.", C_TB_SCOPE_DEFAULT);
return C_EMPTY_NEW_BIN_ARRAY;
end if;
for i in min_value to max_value loop
v_ret(0).bin_vector(i-min_value).contains := VAL when contains = RAN else
VAL_IGNORE when contains = RAN_IGNORE else
VAL_ILLEGAL when contains = RAN_ILLEGAL;
v_ret(0).bin_vector(i-min_value).values(0) := i;
v_ret(0).bin_vector(i-min_value).num_values := 1;
end loop;
v_num_bins := C_RANGE_WIDTH;
-- Create several bins by diving the range
else
if num_bins > C_FC_MAX_NUM_NEW_BINS then
alert(TB_ERROR, proc_call & "=> Failed. Number of bins (" & to_string(num_bins) &
") added in a single procedure call exceeds C_FC_MAX_NUM_NEW_BINS.\n Increase C_FC_MAX_NUM_NEW_BINS in adaptations package.", C_TB_SCOPE_DEFAULT);
return C_EMPTY_NEW_BIN_ARRAY;
end if;
v_div_residue := C_RANGE_WIDTH mod num_bins;
v_div_range := C_RANGE_WIDTH / num_bins;
v_num_bins := num_bins;
for i in 0 to v_num_bins-1 loop
-- Add the residue values to the last bins
if v_div_residue /= 0 and i = v_num_bins-v_div_residue then
v_div_residue_max := v_div_residue_max + 1;
elsif v_div_residue /= 0 and i > v_num_bins-v_div_residue then
v_div_residue_min := v_div_residue_min + 1;
v_div_residue_max := v_div_residue_max + 1;
end if;
v_ret(0).bin_vector(i).contains := contains;
v_ret(0).bin_vector(i).values(0) := min_value + v_div_range*i + v_div_residue_min;
v_ret(0).bin_vector(i).values(1) := min_value + v_div_range*(i+1)-1 + v_div_residue_max;
v_ret(0).bin_vector(i).num_values := 2;
end loop;
end if;
v_ret(0).num_bins := v_num_bins;
if proc_call'length > C_FC_MAX_PROC_CALL_LENGTH then
v_ret(0).proc_call := proc_call(1 to C_FC_MAX_PROC_CALL_LENGTH-3) & "...";
else
v_ret(0).proc_call(1 to proc_call'length) := proc_call;
end if;
else
alert(TB_ERROR, proc_call & "=> Failed. min_value must be less or equal than max_value", C_TB_SCOPE_DEFAULT);
return C_EMPTY_NEW_BIN_ARRAY;
end if;
return v_ret;
end function;
------------------------------------------------------------
-- Bin functions
------------------------------------------------------------
-- Creates a bin for a single value
impure function bin(
constant value : integer)
return t_new_bin_array is
constant C_LOCAL_CALL : string := "bin(" & to_string(value) & ")";
begin
return create_bin_single(VAL, value, C_LOCAL_CALL);
end function;
-- Creates a bin for multiple values
impure function bin(
constant set_of_values : integer_vector)
return t_new_bin_array is
constant C_LOCAL_CALL : string := "bin(" & to_string(set_of_values) & ")";
begin
return create_bin_multiple(VAL, set_of_values, C_LOCAL_CALL);
end function;
-- Creates a bin for a range of values. Several bins can be created by dividing the range into num_bins.
-- If num_bins is 0 then a bin is created for each value.
impure function bin_range(
constant min_value : integer;
constant max_value : integer;
constant num_bins : natural := 1)
return t_new_bin_array is
constant C_LOCAL_CALL : string := "bin_range(" & to_string(min_value) & ", " & to_string(max_value) &
return_string_if_true(", num_bins:" & to_string(num_bins), num_bins /= 1) & ")";
begin
return create_bin_range(RAN, min_value, max_value, num_bins, C_LOCAL_CALL);
end function;
-- Creates a bin for a vector's range. Several bins can be created by dividing the range into num_bins.
-- If num_bins is 0 then a bin is created for each value.
impure function bin_vector(
constant vector : std_logic_vector;
constant num_bins : natural := 1)
return t_new_bin_array is
constant C_LOCAL_CALL : string := "bin_vector(LEN:" & to_string(vector'length) & return_string_if_true(", num_bins:" &
to_string(num_bins), num_bins /= 1) & ")";
begin
return create_bin_range(RAN, 0, 2**vector'length-1, num_bins, C_LOCAL_CALL);
end function;
-- Creates a bin for a transition of values
impure function bin_transition(
constant set_of_values : integer_vector)
return t_new_bin_array is
constant C_LOCAL_CALL : string := "bin_transition(" & to_string(set_of_values) & ")";
begin
return create_bin_multiple(TRN, set_of_values, C_LOCAL_CALL);
end function;
-- Creates an ignore bin for a single value
impure function ignore_bin(
constant value : integer)
return t_new_bin_array is
constant C_LOCAL_CALL : string := "ignore_bin(" & to_string(value) & ")";
begin
return create_bin_single(VAL_IGNORE, value, C_LOCAL_CALL);
end function;
-- Creates an ignore bin for a range of values
impure function ignore_bin_range(
constant min_value : integer;
constant max_value : integer)
return t_new_bin_array is
constant C_LOCAL_CALL : string := "ignore_bin_range(" & to_string(min_value) & "," & to_string(max_value) & ")";
begin
return create_bin_range(RAN_IGNORE, min_value, max_value, 1, C_LOCAL_CALL);
end function;
-- Creates an ignore bin for a transition of values
impure function ignore_bin_transition(
constant set_of_values : integer_vector)
return t_new_bin_array is
constant C_LOCAL_CALL : string := "ignore_bin_transition(" & to_string(set_of_values) & ")";
begin
return create_bin_multiple(TRN_IGNORE, set_of_values, C_LOCAL_CALL);
end function;
-- Creates an illegal bin for a single value
impure function illegal_bin(
constant value : integer)
return t_new_bin_array is
constant C_LOCAL_CALL : string := "illegal_bin(" & to_string(value) & ")";
begin
return create_bin_single(VAL_ILLEGAL, value, C_LOCAL_CALL);
end function;
-- Creates an illegal bin for a range of values
impure function illegal_bin_range(
constant min_value : integer;
constant max_value : integer)
return t_new_bin_array is
constant C_LOCAL_CALL : string := "illegal_bin_range(" & to_string(min_value) & "," & to_string(max_value) & ")";
begin
return create_bin_range(RAN_ILLEGAL, min_value, max_value, 1, C_LOCAL_CALL);
end function;
-- Creates an illegal bin for a transition of values
impure function illegal_bin_transition(
constant set_of_values : integer_vector)
return t_new_bin_array is
constant C_LOCAL_CALL : string := "illegal_bin_transition(" & to_string(set_of_values) & ")";
begin
return create_bin_multiple(TRN_ILLEGAL, set_of_values, C_LOCAL_CALL);
end function;
------------------------------------------------------------
-- Overall coverage
------------------------------------------------------------
procedure fc_set_covpts_coverage_goal(
constant percentage : in positive range 1 to 100;
constant scope : in string := C_TB_SCOPE_DEFAULT;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "fc_set_covpts_coverage_goal(" & to_string(percentage) & ")";
begin
log(ID_FUNC_COV_CONFIG, C_LOCAL_CALL, scope, msg_id_panel);
protected_covergroup_status.set_covpts_coverage_goal(percentage);
end procedure;
impure function fc_get_covpts_coverage_goal(
constant VOID : t_void)
return positive is
begin
return protected_covergroup_status.get_covpts_coverage_goal(VOID);
end function;
impure function fc_get_overall_coverage(
constant coverage_type : t_overall_coverage_type)
return real is
begin
if coverage_type = BINS then
return protected_covergroup_status.get_total_bins_coverage(VOID);
elsif coverage_type = HITS then
return protected_covergroup_status.get_total_hits_coverage(VOID);
else -- COVPTS
return protected_covergroup_status.get_total_covpts_coverage(NO_GOAL);
end if;
end function;
impure function fc_overall_coverage_completed(
constant VOID : t_void)
return boolean is
begin
return protected_covergroup_status.get_total_covpts_coverage(GOAL_CAPPED) = 100.0;
end function;
procedure fc_report_overall_coverage(
constant VOID : in t_void) is
begin
fc_report_overall_coverage(NON_VERBOSE);
end procedure;
procedure fc_report_overall_coverage(
constant verbosity : in t_report_verbosity;
constant file_name : in string := "";
constant open_mode : in file_open_kind := append_mode;
constant scope : in string := C_TB_SCOPE_DEFAULT) is
file file_handler : text;
constant C_PREFIX : string := C_LOG_PREFIX & " ";
constant C_HEADER_1 : string := "*** OVERALL COVERAGE REPORT (VERBOSE): " & to_string(scope) & " ***";
constant C_HEADER_2 : string := "*** OVERALL COVERAGE REPORT (NON VERBOSE): " & to_string(scope) & " ***";
constant C_HEADER_3 : string := "*** OVERALL HOLES REPORT: " & to_string(scope) & " ***";
constant C_COLUMN_WIDTH : positive := 20;
constant C_PRINT_GOAL : boolean := protected_covergroup_status.get_covpts_coverage_goal(VOID) /= 100;
variable v_line : line;
variable v_log_extra_space : integer := 0;
begin
-- Calculate how much space we can insert between the columns of the report
v_log_extra_space := (C_LOG_LINE_WIDTH - C_PREFIX'length - C_FC_MAX_NAME_LENGTH - C_COLUMN_WIDTH*5)/7;
if v_log_extra_space < 1 then
alert(TB_WARNING, "C_LOG_LINE_WIDTH is too small or C_FC_MAX_NAME_LENGTH is too big, the report will not be properly aligned.", scope);
v_log_extra_space := 1;
end if;
-- Print report header
write(v_line, LF & fill_string('=', (C_LOG_LINE_WIDTH - C_PREFIX'length)) & LF);
if verbosity = VERBOSE then
write(v_line, timestamp_header(now, justify(C_HEADER_1, LEFT, C_LOG_LINE_WIDTH - C_PREFIX'length, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE)) & LF);
elsif verbosity = NON_VERBOSE then
write(v_line, timestamp_header(now, justify(C_HEADER_2, LEFT, C_LOG_LINE_WIDTH - C_PREFIX'length, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE)) & LF);
elsif verbosity = HOLES_ONLY then
write(v_line, timestamp_header(now, justify(C_HEADER_3, LEFT, C_LOG_LINE_WIDTH - C_PREFIX'length, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE)) & LF);
end if;
write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - C_PREFIX'length)) & LF);
-- Print summary
write(v_line, return_string_if_true("Goal: Covpts: " & to_string(protected_covergroup_status.get_covpts_coverage_goal(VOID)) & "%" & LF, C_PRINT_GOAL) &
return_string_if_true("% of Goal: Covpts: " & to_string(protected_covergroup_status.get_total_covpts_coverage(GOAL_CAPPED),2) & "%" & LF, C_PRINT_GOAL) &
return_string_if_true("% of Goal (uncapped): Covpts: " & to_string(protected_covergroup_status.get_total_covpts_coverage(GOAL_UNCAPPED),2) & "%" & LF, C_PRINT_GOAL) &
"Coverage (for goal 100): " &
justify("Covpts: " & to_string(protected_covergroup_status.get_total_covpts_coverage(NO_GOAL),2) & "%, ", left, 18, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify("Bins: " & to_string(protected_covergroup_status.get_total_bins_coverage(VOID),2) & "%, ", left, 16, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify("Hits: " & to_string(protected_covergroup_status.get_total_hits_coverage(VOID),2) & "%", left, 14, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF &
fill_string('=', (C_LOG_LINE_WIDTH - C_PREFIX'length)) & LF);
if verbosity = VERBOSE or verbosity = HOLES_ONLY then
-- Print column headers
write(v_line, justify(
fill_string(' ', v_log_extra_space) &
justify("COVERPOINT" , center, C_FC_MAX_NAME_LENGTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("COVERAGE WEIGHT" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("COVERED BINS" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("COVERAGE(BINS|HITS)" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("GOAL(BINS|HITS)" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("% OF GOAL(BINS|HITS)", center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space),
left, C_LOG_LINE_WIDTH - C_PREFIX'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF);
-- Print coverpoints
for i in 0 to C_FC_MAX_NUM_COVERPOINTS-1 loop
if protected_covergroup_status.is_initialized(i) then
if verbosity /= HOLES_ONLY or not(protected_covergroup_status.get_bins_coverage(i, GOAL_CAPPED) = 100.0 and protected_covergroup_status.get_hits_coverage(i, GOAL_CAPPED) = 100.0) then
write(v_line, justify(
fill_string(' ', v_log_extra_space) &
justify(protected_covergroup_status.get_name(i), center, C_FC_MAX_NAME_LENGTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify(to_string(protected_covergroup_status.get_coverage_weight(i)), center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify(to_string(protected_covergroup_status.get_num_covered_bins(i)) & " / " &
to_string(protected_covergroup_status.get_num_valid_bins(i)), center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify(to_string(protected_covergroup_status.get_bins_coverage(i, NO_GOAL),2) & "% | " &
to_string(protected_covergroup_status.get_hits_coverage(i, NO_GOAL),2) & "%", center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify(to_string(protected_covergroup_status.get_bins_coverage_goal(i)) & "% | " &
to_string(protected_covergroup_status.get_hits_coverage_goal(i)) & "%", center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify(to_string(protected_covergroup_status.get_bins_coverage(i, GOAL_CAPPED),2) & "% | " &
to_string(protected_covergroup_status.get_hits_coverage(i, GOAL_CAPPED),2) & "%", center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space),
left, C_LOG_LINE_WIDTH - C_PREFIX'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF);
end if;
end if;
end loop;
-- Print report bottom line
write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - C_PREFIX'length)) & LF & LF);
end if;
-- Write the info string to transcript
wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-C_PREFIX'length);
prefix_lines(v_line, C_PREFIX);
if file_name /= "" then
file_open(file_handler, file_name, open_mode);
tee(file_handler, v_line); -- write to file, while keeping the line contents
file_close(file_handler);
end if;
write_line_to_log_destination(v_line);
deallocate(v_line);
end procedure;
------------------------------------------------------------
-- Protected type
------------------------------------------------------------
type t_coverpoint is protected body
type t_bin_type_verbosity is (LONG, SHORT, NONE);
type t_samples_vector is array (natural range <>) of integer_vector(C_FC_MAX_NUM_BIN_VALUES-1 downto 0);
-- This means that the randomization weight of the bin will be equal to the min_hits
-- parameter and will be reduced by 1 every time the bin is sampled.
constant C_USE_ADAPTIVE_WEIGHT : integer := -1;
-- Indicates that the coverpoint hasn't been initialized
constant C_DEALLOCATED_ID : integer := -1;
-- Indicates an uninitialized natural value
constant C_UNINITIALIZED : integer := -1;
variable priv_id : integer := C_DEALLOCATED_ID;
variable priv_name : string(1 to C_FC_MAX_NAME_LENGTH);
variable priv_scope : string(1 to C_LOG_SCOPE_WIDTH) := C_TB_SCOPE_DEFAULT & fill_string(NUL, C_LOG_SCOPE_WIDTH-C_TB_SCOPE_DEFAULT'length);
variable priv_bins : t_cov_bin_vector_ptr := new t_cov_bin_vector(0 to C_FC_DEFAULT_INITIAL_NUM_BINS_ALLOCATED-1);
variable priv_bins_idx : natural := 0;
variable priv_invalid_bins : t_cov_bin_vector_ptr := new t_cov_bin_vector(0 to C_FC_DEFAULT_INITIAL_NUM_BINS_ALLOCATED-1);
variable priv_invalid_bins_idx : natural := 0;
variable priv_num_bins_crossed : integer := C_UNINITIALIZED;
variable priv_rand_gen : t_rand;
variable priv_rand_transition_bin_idx : integer := C_UNINITIALIZED;
variable priv_rand_transition_bin_value_idx : t_natural_vector(0 to C_MAX_NUM_CROSS_BINS-1) := (others => 0);
variable priv_bin_sample_shift_reg : t_samples_vector(0 to C_MAX_NUM_CROSS_BINS-1) := (others => (others => 0));
variable priv_illegal_bin_alert_level : t_alert_level := ERROR;
variable priv_bin_overlap_alert_level : t_alert_level := NO_ALERT;
variable priv_num_bins_allocated_increment : positive := C_FC_DEFAULT_NUM_BINS_ALLOCATED_INCREMENT;
------------------------------------------------------------
-- Internal functions and procedures
------------------------------------------------------------
-- Returns a string with all the procedure calls in the array
impure function get_proc_calls(
constant bin_array : t_new_bin_array)
return string is
variable v_line : line;
impure function return_and_deallocate return string is
constant ret : string := v_line.all;
begin
DEALLOCATE(v_line);
return ret;
end function;
begin
for i in bin_array'range loop
write(v_line, bin_array(i).proc_call);
if i < bin_array'length-1 then
write(v_line, ',');
end if;
end loop;
return return_and_deallocate;
end function;
-- Returns a string with all the bin values in the array
impure function get_bin_array_values(
constant bin_array : t_new_bin_array;
constant bin_verbosity : t_bin_type_verbosity := SHORT;
constant bin_delimiter : character := ',')
return string is
variable v_line : line;
impure function return_bin_type(
constant full_name : string;
constant short_name : string;
constant bin_verbosity : t_bin_type_verbosity)
return string is
begin
if bin_verbosity = LONG then
return full_name;
elsif bin_verbosity = SHORT then
return short_name;
else
return "";
end if;
end function;
impure function return_and_deallocate return string is
constant ret : string := v_line.all;
begin
DEALLOCATE(v_line);
return ret;
end function;
begin
for i in bin_array'range loop
for j in 0 to bin_array(i).num_bins-1 loop
case bin_array(i).bin_vector(j).contains is
when VAL | VAL_IGNORE | VAL_ILLEGAL =>
if bin_array(i).bin_vector(j).contains = VAL then
write(v_line, string'(return_bin_type("bin", "", bin_verbosity)));
elsif bin_array(i).bin_vector(j).contains = VAL_IGNORE then
write(v_line, string'(return_bin_type("ignore_bin", "IGN", bin_verbosity)));
else
write(v_line, string'(return_bin_type("illegal_bin", "ILL", bin_verbosity)));
end if;
if bin_array(i).bin_vector(j).num_values = 1 then
write(v_line, '(' & to_string(bin_array(i).bin_vector(j).values(0)) & ')');
else
write(v_line, to_string(bin_array(i).bin_vector(j).values(0 to bin_array(i).bin_vector(j).num_values-1)));
end if;
when RAN | RAN_IGNORE | RAN_ILLEGAL =>
if bin_array(i).bin_vector(j).contains = RAN then
write(v_line, string'(return_bin_type("bin_range", "", bin_verbosity)));
elsif bin_array(i).bin_vector(j).contains = RAN_IGNORE then
write(v_line, string'(return_bin_type("ignore_bin_range", "IGN", bin_verbosity)));
else
write(v_line, string'(return_bin_type("illegal_bin_range", "ILL", bin_verbosity)));
end if;
write(v_line, "(" & to_string(bin_array(i).bin_vector(j).values(0)) & " to " & to_string(bin_array(i).bin_vector(j).values(1)) & ")");
when TRN | TRN_IGNORE | TRN_ILLEGAL =>
if bin_array(i).bin_vector(j).contains = TRN then
write(v_line, string'(return_bin_type("bin_transition", "", bin_verbosity)));
elsif bin_array(i).bin_vector(j).contains = TRN_IGNORE then
write(v_line, string'(return_bin_type("ignore_bin_transition", "IGN", bin_verbosity)));
else
write(v_line, string'(return_bin_type("illegal_bin_transition", "ILL", bin_verbosity)));
end if;
write(v_line, '(');
for k in 0 to bin_array(i).bin_vector(j).num_values-1 loop
write(v_line, to_string(bin_array(i).bin_vector(j).values(k)));
if k < bin_array(i).bin_vector(j).num_values-1 then
write(v_line, string'("->"));
end if;
end loop;
write(v_line, ')');
end case;
if i < bin_array'length-1 or j < bin_array(i).num_bins-1 then
write(v_line, bin_delimiter);
end if;
end loop;
end loop;
if v_line /= NULL then
return return_and_deallocate;
else
return "";
end if;
end function;
-- Returns a string with all the values in the bin. Since it is
-- used in the report, if the string is bigger than the maximum
-- length allowed, the bin name is returned instead.
-- If max_str_length is 0 then the string with the values is
-- always returned.
impure function get_bin_values(
constant bin : t_cov_bin;
constant max_str_length : natural := 0)
return string is
variable v_new_bin_array : t_new_bin_array(0 to 0);
variable v_line : line;
impure function return_and_deallocate return string is
constant ret : string := v_line.all;
begin
DEALLOCATE(v_line);
return ret;
end function;
begin
for i in 0 to priv_num_bins_crossed-1 loop
v_new_bin_array(0).bin_vector(i).contains := bin.cross_bins(i).contains;
v_new_bin_array(0).bin_vector(i).values := bin.cross_bins(i).values;
v_new_bin_array(0).bin_vector(i).num_values := bin.cross_bins(i).num_values;
end loop;
v_new_bin_array(0).num_bins := priv_num_bins_crossed;
-- Used in the report, so the bins in each vector are crossed
write(v_line, get_bin_array_values(v_new_bin_array, NONE, 'x'));
if max_str_length /= 0 and v_line'length > max_str_length then
DEALLOCATE(v_line);
return to_string(bin.name);
else
return return_and_deallocate;
end if;
end function;
-- Returns a string with the bin content
impure function get_bin_info(
constant bin : t_bin)
return string is
variable v_new_bin_array : t_new_bin_array(0 to 0);
begin
v_new_bin_array(0).bin_vector(0).contains := bin.contains;
v_new_bin_array(0).bin_vector(0).values := bin.values;
v_new_bin_array(0).bin_vector(0).num_values := bin.num_values;
v_new_bin_array(0).num_bins := 1;
return get_bin_array_values(v_new_bin_array, LONG);
end function;
-- If the bin_name is empty, it returns a default name based on the bin_idx.
-- Otherwise it returns the bin_name padded to match the C_FC_MAX_NAME_LENGTH.
function get_bin_name(
constant bin_name : string;
constant bin_idx : string)
return string is
begin
if bin_name = "" then
return "bin_" & bin_idx & fill_string(NUL, C_FC_MAX_NAME_LENGTH-4-bin_idx'length);
else
if bin_name'length > C_FC_MAX_NAME_LENGTH then
return bin_name(1 to C_FC_MAX_NAME_LENGTH);
else
return bin_name & fill_string(NUL, C_FC_MAX_NAME_LENGTH-bin_name'length);
end if;
end if;
end function;
-- Returns a string with the coverpoint's name. Used as prefix in log messages
impure function get_name_prefix(
constant VOID : t_void)
return string is
begin
return "[" & to_string(priv_name) & "] ";
end function;
-- Returns true if the bin is ignored
impure function is_bin_ignore(
constant bin : t_cov_bin)
return boolean is
variable v_is_ignore : boolean := false;
begin
for i in 0 to priv_num_bins_crossed-1 loop
v_is_ignore := v_is_ignore or (bin.cross_bins(i).contains = VAL_IGNORE or
bin.cross_bins(i).contains = RAN_IGNORE or
bin.cross_bins(i).contains = TRN_IGNORE);
end loop;
return v_is_ignore;
end function;
-- Returns true if the bin is illegal
impure function is_bin_illegal(
constant bin : t_cov_bin)
return boolean is
variable v_is_illegal : boolean := false;
begin
for i in 0 to priv_num_bins_crossed-1 loop
v_is_illegal := v_is_illegal or (bin.cross_bins(i).contains = VAL_ILLEGAL or
bin.cross_bins(i).contains = RAN_ILLEGAL or
bin.cross_bins(i).contains = TRN_ILLEGAL);
end loop;
return v_is_illegal;
end function;
-- Returns the minimum number of hits multiplied by the hits coverage goal
impure function get_total_min_hits(
constant min_hits : natural)
return natural is
begin
return integer(real(min_hits)*real(protected_covergroup_status.get_hits_coverage_goal(priv_id))/100.0);
end function;
-- Returns the percentage of hits/min_hits in a bin. Note that it saturates at 100%
impure function get_bin_coverage(
constant bin : t_cov_bin)
return real is
variable v_coverage : real;
begin
if bin.hits < bin.min_hits then
v_coverage := real(bin.hits)*100.0/real(bin.min_hits);
else
v_coverage := 100.0;
end if;
return v_coverage;
end function;
-- Initializes a new coverpoint by registering it in the covergroup status register, setting its name and randomization seeds.
procedure initialize_coverpoint(
constant local_call : in string) is
begin
if priv_id = C_DEALLOCATED_ID then
priv_id := protected_covergroup_status.add_coverpoint(VOID);
if priv_id = C_DEALLOCATED_ID then
alert(TB_FAILURE, local_call & "=> Number of coverpoints exceeds C_FC_MAX_NUM_COVERPOINTS.\n Increase C_FC_MAX_NUM_COVERPOINTS in adaptations package.", priv_scope);
return;
end if;
-- Only set the default name if it hasn't been given
if priv_name = fill_string(NUL, priv_name'length) then
set_name(protected_covergroup_status.get_name(priv_id));
end if;
priv_rand_gen.set_rand_seeds(priv_name);
end if;
end procedure;
-- TODO: max 16 dimensions
-- Checks that the number of crossed bins does not change.
-- If the extra parameters are given, it checks that the coverpoints are not empty.
procedure check_num_bins_crossed(
constant num_bins_crossed : in integer;
constant local_call : in string;
constant coverpoint1_num_bins_crossed : in integer := 0;
constant coverpoint2_num_bins_crossed : in integer := 0;
constant coverpoint3_num_bins_crossed : in integer := 0;
constant coverpoint4_num_bins_crossed : in integer := 0;
constant coverpoint5_num_bins_crossed : in integer := 0) is
begin
initialize_coverpoint(local_call);
check_value(coverpoint1_num_bins_crossed /= C_UNINITIALIZED, TB_FAILURE, "Coverpoint 1 is empty", priv_scope, ID_NEVER, caller_name => local_call);
check_value(coverpoint2_num_bins_crossed /= C_UNINITIALIZED, TB_FAILURE, "Coverpoint 2 is empty", priv_scope, ID_NEVER, caller_name => local_call);
check_value(coverpoint3_num_bins_crossed /= C_UNINITIALIZED, TB_FAILURE, "Coverpoint 3 is empty", priv_scope, ID_NEVER, caller_name => local_call);
check_value(coverpoint4_num_bins_crossed /= C_UNINITIALIZED, TB_FAILURE, "Coverpoint 4 is empty", priv_scope, ID_NEVER, caller_name => local_call);
check_value(coverpoint5_num_bins_crossed /= C_UNINITIALIZED, TB_FAILURE, "Coverpoint 5 is empty", priv_scope, ID_NEVER, caller_name => local_call);
-- The number of bins crossed is set on the first call and can't be changed
if priv_num_bins_crossed = C_UNINITIALIZED and num_bins_crossed > 0 then
priv_num_bins_crossed := num_bins_crossed;
elsif priv_num_bins_crossed /= num_bins_crossed and num_bins_crossed > 0 then
alert(TB_FAILURE, local_call & "=> Cannot mix different number of crossed bins.", priv_scope);
end if;
end procedure;
-- Returns true if a bin is already stored in the bin vector
impure function find_duplicate_bin(
constant cov_bin_vector : t_cov_bin_vector;
constant cov_bin_idx : natural;
constant cross_bin_idx : natural)
return boolean is
constant C_CONTAINS : t_cov_bin_type := cov_bin_vector(cov_bin_idx).cross_bins(cross_bin_idx).contains;
constant C_NUM_VALUES : natural := cov_bin_vector(cov_bin_idx).cross_bins(cross_bin_idx).num_values;
constant C_VALUES : integer_vector(0 to C_NUM_VALUES-1) := cov_bin_vector(cov_bin_idx).cross_bins(cross_bin_idx).values(0 to C_NUM_VALUES-1);
begin
for i in 0 to cov_bin_idx-1 loop
if cov_bin_vector(i).cross_bins(cross_bin_idx).contains = C_CONTAINS and
cov_bin_vector(i).cross_bins(cross_bin_idx).num_values = C_NUM_VALUES and
cov_bin_vector(i).cross_bins(cross_bin_idx).values(0 to C_NUM_VALUES-1) = C_VALUES
then
return true;
end if;
end loop;
return false;
end function;
-- Copies all the bins in a bin array to a bin vector.
-- The bin array can contain several bin_vector elements depending on the
-- number of bins created by a single bin function. It can also contain
-- several array elements depending on the number of concatenated bin
-- functions used.
procedure copy_bins_in_bin_array(
constant bin_array : in t_new_bin_array;
variable cov_bin : out t_new_cov_bin;
constant proc_call : in string) is
variable v_num_bins : natural := 0;
begin
for i in bin_array'range loop
if v_num_bins + bin_array(i).num_bins > C_FC_MAX_NUM_NEW_BINS then
alert(TB_ERROR, proc_call & "=> Number of bins added in a single procedure call exceeds C_FC_MAX_NUM_NEW_BINS.\n" &
"Increase C_FC_MAX_NUM_NEW_BINS in adaptations package.", C_TB_SCOPE_DEFAULT);
return;
end if;
cov_bin.bin_vector(v_num_bins to v_num_bins+bin_array(i).num_bins-1) := bin_array(i).bin_vector(0 to bin_array(i).num_bins-1);
v_num_bins := v_num_bins + bin_array(i).num_bins;
end loop;
cov_bin.num_bins := v_num_bins;
end procedure;
-- Copies all the bins in a coverpoint to a bin array (including crossed bins)
-- Duplicate bins are not copied since they are assumed to be the result of a cross
procedure copy_bins_in_coverpoint(
variable coverpoint : inout t_coverpoint;
variable bin_array : out t_new_bin_array) is
variable v_coverpoint_bins : t_cov_bin_vector(0 to coverpoint.get_num_valid_bins(VOID)-1);
variable v_coverpoint_invalid_bins : t_cov_bin_vector(0 to coverpoint.get_num_invalid_bins(VOID)-1);
variable v_num_bins : natural := 0;
begin
v_coverpoint_bins := coverpoint.get_valid_bins(VOID);
v_coverpoint_invalid_bins := coverpoint.get_invalid_bins(VOID);
for cross in 0 to bin_array'length-1 loop
for i in v_coverpoint_bins'range loop
if not find_duplicate_bin(v_coverpoint_bins, i, cross) then
bin_array(cross).bin_vector(v_num_bins).contains := v_coverpoint_bins(i).cross_bins(cross).contains;
bin_array(cross).bin_vector(v_num_bins).values := v_coverpoint_bins(i).cross_bins(cross).values;
bin_array(cross).bin_vector(v_num_bins).num_values := v_coverpoint_bins(i).cross_bins(cross).num_values;
v_num_bins := v_num_bins + 1;
end if;
end loop;
for i in v_coverpoint_invalid_bins'range loop
if not find_duplicate_bin(v_coverpoint_invalid_bins, i, cross) then
bin_array(cross).bin_vector(v_num_bins).contains := v_coverpoint_invalid_bins(i).cross_bins(cross).contains;
bin_array(cross).bin_vector(v_num_bins).values := v_coverpoint_invalid_bins(i).cross_bins(cross).values;
bin_array(cross).bin_vector(v_num_bins).num_values := v_coverpoint_invalid_bins(i).cross_bins(cross).num_values;
v_num_bins := v_num_bins + 1;
end if;
end loop;
bin_array(cross).num_bins := v_num_bins;
v_num_bins := 0;
end loop;
end procedure;
-- Creates a bin array from several bin arrays
procedure create_bin_array(
constant proc_call : in string;
variable bin_array : out t_new_bin_array;
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array := C_EMPTY_NEW_BIN_ARRAY;
constant bin3 : in t_new_bin_array := C_EMPTY_NEW_BIN_ARRAY;
constant bin4 : in t_new_bin_array := C_EMPTY_NEW_BIN_ARRAY;
constant bin5 : in t_new_bin_array := C_EMPTY_NEW_BIN_ARRAY) is
begin
copy_bins_in_bin_array(bin1, bin_array(0), proc_call);
if bin2 /= C_EMPTY_NEW_BIN_ARRAY then
copy_bins_in_bin_array(bin2, bin_array(1), proc_call);
end if;
if bin3 /= C_EMPTY_NEW_BIN_ARRAY then
copy_bins_in_bin_array(bin3, bin_array(2), proc_call);
end if;
if bin4 /= C_EMPTY_NEW_BIN_ARRAY then
copy_bins_in_bin_array(bin4, bin_array(3), proc_call);
end if;
if bin5 /= C_EMPTY_NEW_BIN_ARRAY then
copy_bins_in_bin_array(bin5, bin_array(4), proc_call);
end if;
end procedure;
-- Creates a bin array from several coverpoints
procedure create_bin_array(
variable bin_array : out t_new_bin_array;
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint) is
variable v_bin_array1 : t_new_bin_array(0 to coverpoint1.get_num_bins_crossed(VOID)-1);
variable v_bin_array2 : t_new_bin_array(0 to coverpoint2.get_num_bins_crossed(VOID)-1);
begin
copy_bins_in_coverpoint(coverpoint1, v_bin_array1);
copy_bins_in_coverpoint(coverpoint2, v_bin_array2);
bin_array := v_bin_array1 & v_bin_array2;
end procedure;
-- Overload
procedure create_bin_array(
variable bin_array : out t_new_bin_array;
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint) is
variable v_bin_array1 : t_new_bin_array(0 to coverpoint1.get_num_bins_crossed(VOID)-1);
variable v_bin_array2 : t_new_bin_array(0 to coverpoint2.get_num_bins_crossed(VOID)-1);
variable v_bin_array3 : t_new_bin_array(0 to coverpoint3.get_num_bins_crossed(VOID)-1);
begin
copy_bins_in_coverpoint(coverpoint1, v_bin_array1);
copy_bins_in_coverpoint(coverpoint2, v_bin_array2);
copy_bins_in_coverpoint(coverpoint3, v_bin_array3);
bin_array := v_bin_array1 & v_bin_array2 & v_bin_array3;
end procedure;
-- Overload
procedure create_bin_array(
variable bin_array : out t_new_bin_array;
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
variable coverpoint4 : inout t_coverpoint) is
variable v_bin_array1 : t_new_bin_array(0 to coverpoint1.get_num_bins_crossed(VOID)-1);
variable v_bin_array2 : t_new_bin_array(0 to coverpoint2.get_num_bins_crossed(VOID)-1);
variable v_bin_array3 : t_new_bin_array(0 to coverpoint3.get_num_bins_crossed(VOID)-1);
variable v_bin_array4 : t_new_bin_array(0 to coverpoint4.get_num_bins_crossed(VOID)-1);
begin
copy_bins_in_coverpoint(coverpoint1, v_bin_array1);
copy_bins_in_coverpoint(coverpoint2, v_bin_array2);
copy_bins_in_coverpoint(coverpoint3, v_bin_array3);
copy_bins_in_coverpoint(coverpoint4, v_bin_array4);
bin_array := v_bin_array1 & v_bin_array2 & v_bin_array3 & v_bin_array4;
end procedure;
-- TODO: create more overloads (16)
-- Overload
procedure create_bin_array(
variable bin_array : out t_new_bin_array;
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
variable coverpoint4 : inout t_coverpoint;
variable coverpoint5 : inout t_coverpoint) is
variable v_bin_array1 : t_new_bin_array(0 to coverpoint1.get_num_bins_crossed(VOID)-1);
variable v_bin_array2 : t_new_bin_array(0 to coverpoint2.get_num_bins_crossed(VOID)-1);
variable v_bin_array3 : t_new_bin_array(0 to coverpoint3.get_num_bins_crossed(VOID)-1);
variable v_bin_array4 : t_new_bin_array(0 to coverpoint4.get_num_bins_crossed(VOID)-1);
variable v_bin_array5 : t_new_bin_array(0 to coverpoint5.get_num_bins_crossed(VOID)-1);
begin
copy_bins_in_coverpoint(coverpoint1, v_bin_array1);
copy_bins_in_coverpoint(coverpoint2, v_bin_array2);
copy_bins_in_coverpoint(coverpoint3, v_bin_array3);
copy_bins_in_coverpoint(coverpoint4, v_bin_array4);
copy_bins_in_coverpoint(coverpoint5, v_bin_array5);
bin_array := v_bin_array1 & v_bin_array2 & v_bin_array3 & v_bin_array4 & v_bin_array5;
end procedure;
-- Checks that the number of transitions is the same for all elements in a cross
procedure check_cross_num_transitions(
variable num_transitions : inout integer;
constant contains : in t_cov_bin_type;
constant num_values : in natural) is
begin
if contains = TRN or contains = TRN_IGNORE or contains = TRN_ILLEGAL then
if num_transitions = C_UNINITIALIZED then
num_transitions := num_values;
else
check_value(num_values, num_transitions, TB_ERROR, "Number of transition values must be the same in all cross elements", priv_scope, ID_NEVER);
end if;
end if;
end procedure;
-- Resizes the bin vector by creating a new memory structure and deallocating the old one
procedure resize_bin_vector(
variable bin_vector : inout t_cov_bin_vector_ptr;
constant size : in natural := 0) is
variable v_copy_ptr : t_cov_bin_vector_ptr;
begin
v_copy_ptr := bin_vector;
if size = 0 then
bin_vector := new t_cov_bin_vector(0 to v_copy_ptr'length + priv_num_bins_allocated_increment);
else
bin_vector := new t_cov_bin_vector(0 to size-1);
end if;
bin_vector(0 to v_copy_ptr'length-1) := v_copy_ptr.all;
DEALLOCATE(v_copy_ptr);
end procedure;
-- Adds bins in a recursive way
procedure add_bins_recursive(
constant bin_array : in t_new_bin_array;
constant bin_array_idx : in integer;
variable idx_reg : inout integer_vector;
constant min_hits : in positive;
constant rand_weight : in natural;
constant use_rand_weight : in boolean;
constant bin_name : in string) is
constant C_NUM_CROSS_BINS : natural := bin_array'length;
variable v_bin_is_valid : boolean := true;
variable v_bin_is_illegal : boolean := false;
variable v_num_transitions : integer;
begin
check_value(priv_id /= C_DEALLOCATED_ID, TB_FAILURE, "Coverpoint has not been initialized", priv_scope, ID_NEVER);
-- Iterate through the bins in the current array element
for i in 0 to bin_array(bin_array_idx).num_bins-1 loop
-- Store the bin index for the current element of the array
idx_reg(bin_array_idx) := i;
-- Last element of the array has been reached, add bins
if bin_array_idx = C_NUM_CROSS_BINS-1 then
-- Check that all the bins being added are valid
for j in 0 to C_NUM_CROSS_BINS-1 loop
v_bin_is_valid := v_bin_is_valid and (bin_array(j).bin_vector(idx_reg(j)).contains = VAL or
bin_array(j).bin_vector(idx_reg(j)).contains = RAN or
bin_array(j).bin_vector(idx_reg(j)).contains = TRN);
v_bin_is_illegal := v_bin_is_illegal or (bin_array(j).bin_vector(idx_reg(j)).contains = VAL_ILLEGAL or
bin_array(j).bin_vector(idx_reg(j)).contains = RAN_ILLEGAL or
bin_array(j).bin_vector(idx_reg(j)).contains = TRN_ILLEGAL);
end loop;
v_num_transitions := C_UNINITIALIZED;
-- Store valid bins
if v_bin_is_valid then
-- Resize if there's no space in the list
if priv_bins_idx = priv_bins'length then
resize_bin_vector(priv_bins);
end if;
for j in 0 to C_NUM_CROSS_BINS-1 loop
check_cross_num_transitions(v_num_transitions, bin_array(j).bin_vector(idx_reg(j)).contains, bin_array(j).bin_vector(idx_reg(j)).num_values);
priv_bins(priv_bins_idx).cross_bins(j).contains := bin_array(j).bin_vector(idx_reg(j)).contains;
priv_bins(priv_bins_idx).cross_bins(j).values := bin_array(j).bin_vector(idx_reg(j)).values;
priv_bins(priv_bins_idx).cross_bins(j).num_values := bin_array(j).bin_vector(idx_reg(j)).num_values;
end loop;
priv_bins(priv_bins_idx).hits := 0;
priv_bins(priv_bins_idx).min_hits := min_hits;
priv_bins(priv_bins_idx).rand_weight := rand_weight when use_rand_weight else C_USE_ADAPTIVE_WEIGHT;
priv_bins(priv_bins_idx).transition_mask := (others => '0');
priv_bins(priv_bins_idx).name := get_bin_name(bin_name, to_string(priv_bins_idx+priv_invalid_bins_idx));
priv_bins_idx := priv_bins_idx + 1;
-- Update covergroup status register
protected_covergroup_status.increment_valid_bin_count(priv_id);
protected_covergroup_status.increment_min_hits_count(priv_id, min_hits);
-- Store ignore or illegal bins
else
-- Check if there's space in the list
if priv_invalid_bins_idx = priv_invalid_bins'length then
resize_bin_vector(priv_invalid_bins);
end if;
for j in 0 to C_NUM_CROSS_BINS-1 loop
check_cross_num_transitions(v_num_transitions, bin_array(j).bin_vector(idx_reg(j)).contains, bin_array(j).bin_vector(idx_reg(j)).num_values);
priv_invalid_bins(priv_invalid_bins_idx).cross_bins(j).contains := bin_array(j).bin_vector(idx_reg(j)).contains;
priv_invalid_bins(priv_invalid_bins_idx).cross_bins(j).values := bin_array(j).bin_vector(idx_reg(j)).values;
priv_invalid_bins(priv_invalid_bins_idx).cross_bins(j).num_values := bin_array(j).bin_vector(idx_reg(j)).num_values;
end loop;
priv_invalid_bins(priv_invalid_bins_idx).hits := 0;
priv_invalid_bins(priv_invalid_bins_idx).min_hits := 0;
priv_invalid_bins(priv_invalid_bins_idx).rand_weight := 0;
priv_invalid_bins(priv_invalid_bins_idx).transition_mask := (others => '0');
priv_invalid_bins(priv_invalid_bins_idx).name := get_bin_name(bin_name, to_string(priv_bins_idx+priv_invalid_bins_idx));
priv_invalid_bins_idx := priv_invalid_bins_idx + 1;
end if;
-- Go to the next element of the array
else
add_bins_recursive(bin_array, bin_array_idx+1, idx_reg, min_hits, rand_weight, use_rand_weight, bin_name);
end if;
end loop;
end procedure;
------------------------------------------------------------
-- Configuration
------------------------------------------------------------
procedure set_name(
constant name : in string) is
constant C_LOCAL_CALL : string := "set_name(" & name & ")";
begin
if name'length > C_FC_MAX_NAME_LENGTH then
priv_name := name(1 to C_FC_MAX_NAME_LENGTH);
else
priv_name := name & fill_string(NUL, C_FC_MAX_NAME_LENGTH-name'length);
end if;
initialize_coverpoint(C_LOCAL_CALL);
protected_covergroup_status.set_name(priv_id, priv_name);
end procedure;
impure function get_name(
constant VOID : t_void)
return string is
begin
return to_string(priv_name);
end function;
procedure set_scope(
constant scope : in string) is
constant C_LOCAL_CALL : string := "set_scope(" & scope & ")";
begin
initialize_coverpoint(C_LOCAL_CALL);
if scope'length > C_LOG_SCOPE_WIDTH then
priv_scope := scope(1 to C_LOG_SCOPE_WIDTH);
else
priv_scope := scope & fill_string(NUL, C_LOG_SCOPE_WIDTH-scope'length);
end if;
end procedure;
impure function get_scope(
constant VOID : t_void)
return string is
begin
return to_string(priv_scope);
end function;
procedure set_overall_coverage_weight(
constant weight : in natural;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "set_overall_coverage_weight(" & to_string(weight) & ")";
begin
initialize_coverpoint(C_LOCAL_CALL);
log(ID_FUNC_COV_CONFIG, get_name_prefix(VOID) & C_LOCAL_CALL, priv_scope, msg_id_panel);
protected_covergroup_status.set_coverage_weight(priv_id, weight);
end procedure;
impure function get_overall_coverage_weight(
constant VOID : t_void)
return natural is
begin
if priv_id /= C_DEALLOCATED_ID then
return protected_covergroup_status.get_coverage_weight(priv_id);
else
return 1;
end if;
end function;
procedure set_bins_coverage_goal(
constant percentage : in positive range 1 to 100;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "set_bins_coverage_goal(" & to_string(percentage) & ")";
begin
initialize_coverpoint(C_LOCAL_CALL);
log(ID_FUNC_COV_CONFIG, get_name_prefix(VOID) & C_LOCAL_CALL, priv_scope, msg_id_panel);
protected_covergroup_status.set_bins_coverage_goal(priv_id, percentage);
end procedure;
impure function get_bins_coverage_goal(
constant VOID : t_void)
return positive is
begin
if priv_id /= C_DEALLOCATED_ID then
return protected_covergroup_status.get_bins_coverage_goal(priv_id);
else
return 100;
end if;
end function;
procedure set_hits_coverage_goal(
constant percentage : in positive;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "set_hits_coverage_goal(" & to_string(percentage) & ")";
begin
initialize_coverpoint(C_LOCAL_CALL);
log(ID_FUNC_COV_CONFIG, get_name_prefix(VOID) & C_LOCAL_CALL, priv_scope, msg_id_panel);
protected_covergroup_status.set_hits_coverage_goal(priv_id, percentage);
end procedure;
impure function get_hits_coverage_goal(
constant VOID : t_void)
return positive is
begin
if priv_id /= C_DEALLOCATED_ID then
return protected_covergroup_status.get_hits_coverage_goal(priv_id);
else
return 100;
end if;
end function;
procedure set_illegal_bin_alert_level(
constant alert_level : in t_alert_level;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "set_illegal_bin_alert_level(" & to_upper(to_string(alert_level)) & ")";
begin
initialize_coverpoint(C_LOCAL_CALL);
log(ID_FUNC_COV_CONFIG, get_name_prefix(VOID) & C_LOCAL_CALL, priv_scope, msg_id_panel);
priv_illegal_bin_alert_level := alert_level;
end procedure;
impure function get_illegal_bin_alert_level(
constant VOID : t_void)
return t_alert_level is
begin
return priv_illegal_bin_alert_level;
end function;
procedure set_bin_overlap_alert_level(
constant alert_level : in t_alert_level;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "set_bin_overlap_alert_level(" & to_upper(to_string(alert_level)) & ")";
begin
initialize_coverpoint(C_LOCAL_CALL);
log(ID_FUNC_COV_CONFIG, get_name_prefix(VOID) & C_LOCAL_CALL, priv_scope, msg_id_panel);
priv_bin_overlap_alert_level := alert_level;
end procedure;
impure function get_bin_overlap_alert_level(
constant VOID : t_void)
return t_alert_level is
begin
return priv_bin_overlap_alert_level;
end function;
procedure write_coverage_db(
constant file_name : in string;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "write_coverage_db(" & file_name & ")";
file file_handler : text open write_mode is file_name;
variable v_line : line;
procedure write_value(
constant value : in integer) is
begin
write(v_line, value);
writeline(file_handler, v_line);
end procedure;
procedure write_value(
constant value : in integer_vector) is
begin
for i in 0 to value'length-1 loop
write(v_line, value(i));
if i < value'length-1 then
write(v_line, ' ');
end if;
end loop;
writeline(file_handler, v_line);
end procedure;
procedure write_value(
constant value : in string) is
begin
write(v_line, value);
writeline(file_handler, v_line);
end procedure;
--procedure write_value(
-- constant value : in boolean) is
--begin
-- write(v_line, value);
-- writeline(file_handler, v_line);
--end procedure;
procedure write_bins(
constant bin_idx : in natural;
variable bin_vector : in t_cov_bin_vector_ptr) is
begin
write(v_line, bin_idx);
writeline(file_handler, v_line);
for i in 0 to bin_idx-1 loop
write(v_line, bin_vector(i).name);
writeline(file_handler, v_line);
write(v_line, to_string(bin_vector(i).hits) & ' ' &
to_string(bin_vector(i).min_hits) & ' ' &
to_string(bin_vector(i).rand_weight) & ' ' &
to_string(bin_vector(i).transition_mask));
writeline(file_handler, v_line);
for j in 0 to priv_num_bins_crossed-1 loop
write(v_line, to_string(t_cov_bin_type'pos(bin_vector(i).cross_bins(j).contains)) & ' ' &
to_string(bin_vector(i).cross_bins(j).num_values) & ' ');
for k in 0 to bin_vector(i).cross_bins(j).num_values-1 loop
write(v_line, bin_vector(i).cross_bins(j).values(k));
write(v_line, ' ');
end loop;
writeline(file_handler, v_line);
end loop;
end loop;
end procedure;
begin
if priv_id /= C_DEALLOCATED_ID then
log(ID_FUNC_COV_CONFIG, get_name_prefix(VOID) & C_LOCAL_CALL, priv_scope, msg_id_panel);
-- Coverpoint config
write_value(priv_name);
write_value(priv_scope);
write_value(priv_num_bins_crossed);
write_value(integer_vector(priv_rand_gen.get_rand_seeds(VOID)));
write_value(priv_rand_transition_bin_idx);
write_value(integer_vector(priv_rand_transition_bin_value_idx));
for i in 0 to priv_num_bins_crossed-1 loop
write_value(priv_bin_sample_shift_reg(i));
end loop;
write_value(t_alert_level'pos(priv_illegal_bin_alert_level));
write_value(t_alert_level'pos(priv_bin_overlap_alert_level));
-- Covergroup config
write_value(protected_covergroup_status.get_num_valid_bins(priv_id));
write_value(protected_covergroup_status.get_num_covered_bins(priv_id));
write_value(protected_covergroup_status.get_total_bin_min_hits(priv_id));
write_value(protected_covergroup_status.get_total_bin_hits(priv_id));
write_value(protected_covergroup_status.get_total_coverage_bin_hits(priv_id));
write_value(protected_covergroup_status.get_total_goal_bin_hits(priv_id));
write_value(protected_covergroup_status.get_coverage_weight(priv_id));
write_value(protected_covergroup_status.get_bins_coverage_goal(priv_id));
write_value(protected_covergroup_status.get_hits_coverage_goal(priv_id));
write_value(protected_covergroup_status.get_covpts_coverage_goal(VOID));
-- Bin structure
write_bins(priv_bins_idx, priv_bins);
write_bins(priv_invalid_bins_idx, priv_invalid_bins);
else
alert(TB_ERROR, C_LOCAL_CALL & "=> Coverpoint has not been initialized", priv_scope);
end if;
file_close(file_handler);
DEALLOCATE(v_line);
end procedure;
procedure load_coverage_db(
constant file_name : in string;
constant report_verbosity : in t_report_verbosity := HOLES_ONLY;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "load_coverage_db(" & file_name & ")";
file file_handler : text;
variable v_open_status : file_open_status;
variable v_line : line;
variable v_value : integer;
variable v_rand_seeds : integer_vector(0 to 1);
variable v_rand_transition_bin_value_idx : integer_vector(0 to C_MAX_NUM_CROSS_BINS-1);
procedure read_value(
variable value : out integer) is
begin
readline(file_handler, v_line);
read(v_line, value);
end procedure;
procedure read_value(
variable value : out integer_vector) is
variable v_idx : natural := 0;
begin
readline(file_handler, v_line);
while v_line.all'length > 0 loop
read(v_line, value(v_idx));
v_idx := v_idx + 1;
exit when v_idx > value'length-1;
end loop;
end procedure;
procedure read_value(
variable value : out string) is
begin
readline(file_handler, v_line);
read(v_line, value);
end procedure;
--procedure read_value(
-- variable value : out boolean) is
--begin
-- readline(file_handler, v_line);
-- read(v_line, value);
--end procedure;
procedure read_bins(
constant bin_idx : in natural;
variable bin_vector : inout t_cov_bin_vector_ptr) is
variable v_contains : integer;
variable v_num_values : integer;
begin
if bin_idx > bin_vector'length-1 then
resize_bin_vector(bin_vector, bin_idx);
end if;
for i in 0 to bin_idx-1 loop
readline(file_handler, v_line);
read(v_line, bin_vector(i).name); -- read() crops the string
readline(file_handler, v_line);
read(v_line, bin_vector(i).hits);
read(v_line, bin_vector(i).min_hits);
read(v_line, bin_vector(i).rand_weight);
read(v_line, bin_vector(i).transition_mask);
for j in 0 to priv_num_bins_crossed-1 loop
readline(file_handler, v_line);
read(v_line, v_contains);
bin_vector(i).cross_bins(j).contains := t_cov_bin_type'val(v_contains);
read(v_line, v_num_values);
check_value(v_num_values <= C_FC_MAX_NUM_BIN_VALUES, TB_FAILURE, "Cannot load the " & to_string(v_num_values) & " bin values. Increase C_FC_MAX_NUM_BIN_VALUES",
priv_scope, ID_NEVER, caller_name => C_LOCAL_CALL);
bin_vector(i).cross_bins(j).num_values := v_num_values;
for k in 0 to v_num_values-1 loop
read(v_line, bin_vector(i).cross_bins(j).values(k));
end loop;
end loop;
end loop;
end procedure;
begin
log(ID_FUNC_COV_CONFIG, get_name_prefix(VOID) & C_LOCAL_CALL, priv_scope, msg_id_panel);
file_open(v_open_status, file_handler, file_name, read_mode);
if v_open_status /= open_ok then
alert(TB_WARNING, C_LOCAL_CALL & "=> Cannot open file: " & file_name, priv_scope);
return;
end if;
-- Add coverpoint to covergroup status register
if priv_id = C_DEALLOCATED_ID then
priv_id := protected_covergroup_status.add_coverpoint(VOID);
check_value(priv_id /= C_DEALLOCATED_ID, TB_FAILURE, "Number of coverpoints exceeds C_FC_MAX_NUM_COVERPOINTS.\n Increase C_FC_MAX_NUM_COVERPOINTS in adaptations package.",
priv_scope, ID_NEVER, caller_name => C_LOCAL_CALL);
else
alert(TB_WARNING, C_LOCAL_CALL & "=> " & to_string(priv_name) & " will be overwritten.", priv_scope);
end if;
-- Coverpoint config
read_value(priv_name); -- read() crops the string
set_name(priv_name);
read_value(priv_scope); -- read() crops the string
set_scope(priv_scope);
read_value(priv_num_bins_crossed);
check_value(priv_num_bins_crossed <= C_MAX_NUM_CROSS_BINS, TB_FAILURE, "Cannot load the " & to_string(priv_num_bins_crossed) & " crossed bins. Increase C_MAX_NUM_CROSS_BINS",
priv_scope, ID_NEVER, caller_name => C_LOCAL_CALL);
read_value(v_rand_seeds);
priv_rand_gen.set_rand_seeds(t_positive_vector(v_rand_seeds));
read_value(priv_rand_transition_bin_idx);
read_value(v_rand_transition_bin_value_idx);
priv_rand_transition_bin_value_idx := t_natural_vector(v_rand_transition_bin_value_idx);
for i in 0 to priv_num_bins_crossed-1 loop
read_value(priv_bin_sample_shift_reg(i));
end loop;
read_value(v_value);
priv_illegal_bin_alert_level := t_alert_level'val(v_value);
read_value(v_value);
priv_bin_overlap_alert_level := t_alert_level'val(v_value);
-- Covergroup config
protected_covergroup_status.set_name(priv_id, priv_name); -- Previously read from the file
read_value(v_value);
protected_covergroup_status.set_num_valid_bins(priv_id, v_value);
read_value(v_value);
protected_covergroup_status.set_num_covered_bins(priv_id, v_value);
read_value(v_value);
protected_covergroup_status.set_total_bin_min_hits(priv_id, v_value);
read_value(v_value);
protected_covergroup_status.set_total_bin_hits(priv_id, v_value);
read_value(v_value);
protected_covergroup_status.set_total_coverage_bin_hits(priv_id, v_value);
read_value(v_value);
protected_covergroup_status.set_total_goal_bin_hits(priv_id, v_value);
read_value(v_value);
protected_covergroup_status.set_coverage_weight(priv_id, v_value);
read_value(v_value);
protected_covergroup_status.set_bins_coverage_goal(priv_id, v_value);
read_value(v_value);
protected_covergroup_status.set_hits_coverage_goal(priv_id, v_value);
read_value(v_value);
protected_covergroup_status.set_covpts_coverage_goal(v_value);
-- Bin structure
read_value(priv_bins_idx);
read_bins(priv_bins_idx, priv_bins);
read_value(priv_invalid_bins_idx);
read_bins(priv_invalid_bins_idx, priv_invalid_bins);
file_close(file_handler);
DEALLOCATE(v_line);
report_coverage(report_verbosity);
end procedure;
procedure clear_coverage(
constant VOID : in t_void) is
begin
clear_coverage(shared_msg_id_panel);
end procedure;
procedure clear_coverage(
constant msg_id_panel : in t_msg_id_panel) is
constant C_LOCAL_CALL : string := "clear_coverage()";
begin
log(ID_FUNC_COV_CONFIG, get_name_prefix(VOID) & C_LOCAL_CALL, priv_scope, msg_id_panel);
for i in 0 to priv_bins_idx-1 loop
priv_bins(i).hits := 0;
priv_bins(i).transition_mask := (others => '0');
end loop;
for i in 0 to priv_invalid_bins_idx-1 loop
priv_invalid_bins(i).hits := 0;
priv_invalid_bins(i).transition_mask := (others => '0');
end loop;
priv_rand_transition_bin_idx := C_UNINITIALIZED;
priv_rand_transition_bin_value_idx := (others => 0);
priv_bin_sample_shift_reg := (others => (others => 0));
if priv_id /= C_DEALLOCATED_ID then
protected_covergroup_status.set_num_covered_bins(priv_id, 0);
protected_covergroup_status.set_total_coverage_bin_hits(priv_id, 0);
protected_covergroup_status.set_total_goal_bin_hits(priv_id, 0);
protected_covergroup_status.set_total_bin_hits(priv_id, 0);
end if;
end procedure;
procedure set_num_allocated_bins(
constant value : in positive;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "set_num_allocated_bins(" & to_string(value) & ")";
begin
initialize_coverpoint(C_LOCAL_CALL);
log(ID_FUNC_COV_CONFIG, get_name_prefix(VOID) & C_LOCAL_CALL, priv_scope, msg_id_panel);
if value >= priv_bins_idx then
resize_bin_vector(priv_bins, value);
else
alert(TB_ERROR, C_LOCAL_CALL & "=> Cannot set the allocated size to a value smaller than the actual number of bins", priv_scope);
end if;
end procedure;
procedure set_num_allocated_bins_increment(
constant value : in positive) is
begin
priv_num_bins_allocated_increment := value;
end procedure;
procedure delete_coverpoint(
constant VOID : in t_void) is
begin
delete_coverpoint(shared_msg_id_panel);
end procedure;
procedure delete_coverpoint(
constant msg_id_panel : in t_msg_id_panel) is
constant C_LOCAL_CALL : string := "delete_coverpoint()";
begin
log(ID_FUNC_COV_CONFIG, get_name_prefix(VOID) & C_LOCAL_CALL, priv_scope, msg_id_panel);
if priv_id /= C_DEALLOCATED_ID then
protected_covergroup_status.remove_coverpoint(priv_id);
end if;
priv_id := C_DEALLOCATED_ID;
priv_name := fill_string(NUL, C_FC_MAX_NAME_LENGTH);
priv_scope := C_TB_SCOPE_DEFAULT & fill_string(NUL, C_LOG_SCOPE_WIDTH-C_TB_SCOPE_DEFAULT'length);
DEALLOCATE(priv_bins);
priv_bins := new t_cov_bin_vector(0 to C_FC_DEFAULT_INITIAL_NUM_BINS_ALLOCATED-1);
priv_bins_idx := 0;
DEALLOCATE(priv_invalid_bins);
priv_invalid_bins := new t_cov_bin_vector(0 to C_FC_DEFAULT_INITIAL_NUM_BINS_ALLOCATED-1);
priv_invalid_bins_idx := 0;
priv_num_bins_crossed := C_UNINITIALIZED;
priv_rand_gen.set_rand_seeds(C_RAND_INIT_SEED_1, C_RAND_INIT_SEED_2);
priv_rand_transition_bin_idx := C_UNINITIALIZED;
priv_rand_transition_bin_value_idx := (others => 0);
priv_bin_sample_shift_reg := (others => (others => 0));
priv_illegal_bin_alert_level := ERROR;
priv_bin_overlap_alert_level := NO_ALERT;
priv_num_bins_allocated_increment := C_FC_DEFAULT_NUM_BINS_ALLOCATED_INCREMENT;
end procedure;
-- Returns the number of bins crossed in the coverpoint
impure function get_num_bins_crossed(
constant VOID : t_void)
return integer is
begin
return priv_num_bins_crossed;
end function;
-- Returns the number of valid bins in the coverpoint
impure function get_num_valid_bins(
constant VOID : t_void)
return natural is
begin
return priv_bins_idx;
end function;
-- Returns the number of illegal and ignore bins in the coverpoint
impure function get_num_invalid_bins(
constant VOID : t_void)
return natural is
begin
return priv_invalid_bins_idx;
end function;
-- Returns a valid bin in the coverpoint
impure function get_valid_bin(
constant bin_idx : natural)
return t_cov_bin is
constant C_LOCAL_CALL : string := "get_valid_bin(" & to_string(bin_idx) & ")";
begin
check_value(bin_idx < priv_bins'length, TB_ERROR, "bin_idx is out of range", priv_scope, ID_NEVER, caller_name => C_LOCAL_CALL);
return priv_bins(bin_idx);
end function;
-- Returns an invalid bin in the coverpoint
impure function get_invalid_bin(
constant bin_idx : natural)
return t_cov_bin is
constant C_LOCAL_CALL : string := "get_invalid_bin(" & to_string(bin_idx) & ")";
begin
check_value(bin_idx < priv_invalid_bins'length, TB_ERROR, "bin_idx is out of range", priv_scope, ID_NEVER, caller_name => C_LOCAL_CALL);
return priv_invalid_bins(bin_idx);
end function;
-- Returns a vector with the valid bins in the coverpoint
impure function get_valid_bins(
constant VOID : t_void)
return t_cov_bin_vector is
begin
return priv_bins(0 to priv_bins_idx-1);
end function;
-- Returns a vector with the illegal and ignore bins in the coverpoint
impure function get_invalid_bins(
constant VOID : t_void)
return t_cov_bin_vector is
begin
return priv_invalid_bins(0 to priv_invalid_bins_idx-1);
end function;
-- Returns a string with all the bins in the coverpoint including illegal, ignore and cross
-- Duplicate bins are not printed since they are assumed to be the result of a cross
impure function get_all_bins_string(
constant VOID : t_void)
return string is
variable v_new_bin_array : t_new_bin_array(0 to priv_num_bins_crossed-1);
variable v_line : line;
variable v_num_bins : natural := 0;
impure function return_and_deallocate return string is
constant ret : string := v_line.all;
begin
DEALLOCATE(v_line);
return ret;
end function;
begin
if priv_bins_idx = 0 and priv_invalid_bins_idx = 0 then
return "";
end if;
for cross in v_new_bin_array'range loop
for i in 0 to priv_bins_idx-1 loop
if not find_duplicate_bin(priv_bins.all, i, cross) then
v_new_bin_array(cross).bin_vector(v_num_bins).contains := priv_bins(i).cross_bins(cross).contains;
v_new_bin_array(cross).bin_vector(v_num_bins).values := priv_bins(i).cross_bins(cross).values;
v_new_bin_array(cross).bin_vector(v_num_bins).num_values := priv_bins(i).cross_bins(cross).num_values;
v_num_bins := v_num_bins + 1;
end if;
end loop;
for i in 0 to priv_invalid_bins_idx-1 loop
if not find_duplicate_bin(priv_invalid_bins.all, i, cross) then
v_new_bin_array(cross).bin_vector(v_num_bins).contains := priv_invalid_bins(i).cross_bins(cross).contains;
v_new_bin_array(cross).bin_vector(v_num_bins).values := priv_invalid_bins(i).cross_bins(cross).values;
v_new_bin_array(cross).bin_vector(v_num_bins).num_values := priv_invalid_bins(i).cross_bins(cross).num_values;
v_num_bins := v_num_bins + 1;
end if;
end loop;
v_new_bin_array(cross).num_bins := v_num_bins;
v_num_bins := 0;
write(v_line, get_bin_array_values(v_new_bin_array(cross to cross)));
if cross < v_new_bin_array'length-1 then
write(v_line, string'(" x "));
end if;
end loop;
return return_and_deallocate;
end function;
------------------------------------------------------------
-- Add bins
------------------------------------------------------------
procedure add_bins(
constant bin : in t_new_bin_array;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "") is
constant C_LOCAL_CALL : string := "add_bins(" & get_proc_calls(bin) & ", min_hits:" & to_string(min_hits) &
", rand_weight:" & to_string(rand_weight) & ", """ & bin_name & """)";
constant C_NUM_CROSS_BINS : natural := 1;
constant C_USE_RAND_WEIGHT : boolean := ext_proc_call = ""; -- When procedure is called from the sequencer
variable v_proc_call : line;
variable v_bin_array : t_new_bin_array(0 to C_NUM_CROSS_BINS-1);
variable v_idx_reg : integer_vector(0 to C_NUM_CROSS_BINS-1);
begin
create_proc_call(C_LOCAL_CALL, ext_proc_call, v_proc_call);
check_num_bins_crossed(C_NUM_CROSS_BINS, v_proc_call.all);
log(ID_FUNC_COV_BINS, get_name_prefix(VOID) & v_proc_call.all, priv_scope, msg_id_panel);
log(ID_FUNC_COV_BINS_INFO, get_name_prefix(VOID) & "Adding bins: " & get_bin_array_values(bin) & ", min_hits:" & to_string(min_hits) &
", rand_weight:" & return_string1_if_true_otherwise_string2(to_string(rand_weight), to_string(min_hits), C_USE_RAND_WEIGHT) &
", """ & bin_name & """", priv_scope, msg_id_panel);
-- Copy the bins into an array and use a recursive procedure to add them to the list
create_bin_array(v_proc_call.all, v_bin_array, bin);
add_bins_recursive(v_bin_array, 0, v_idx_reg, min_hits, rand_weight, C_USE_RAND_WEIGHT, bin_name);
DEALLOCATE(v_proc_call);
end procedure;
procedure add_bins(
constant bin : in t_new_bin_array;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_bins(" & get_proc_calls(bin) & ", min_hits:" & to_string(min_hits) &
", """ & bin_name & """)";
begin
add_bins(bin, min_hits, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
procedure add_bins(
constant bin : in t_new_bin_array;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_bins(" & get_proc_calls(bin) & ", """ & bin_name & """)";
begin
add_bins(bin, 1, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
------------------------------------------------------------
-- Add cross (2 bins)
------------------------------------------------------------
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "") is
constant C_LOCAL_CALL : string := "add_cross(" & get_proc_calls(bin1) & ", " & get_proc_calls(bin2) &
", min_hits:" & to_string(min_hits) & ", rand_weight:" & to_string(rand_weight) & ", """ & bin_name & """)";
constant C_NUM_CROSS_BINS : natural := 2;
constant C_USE_RAND_WEIGHT : boolean := ext_proc_call = ""; -- When procedure is called from the sequencer
variable v_proc_call : line;
variable v_bin_array : t_new_bin_array(0 to C_NUM_CROSS_BINS-1);
variable v_idx_reg : integer_vector(0 to C_NUM_CROSS_BINS-1);
begin
create_proc_call(C_LOCAL_CALL, ext_proc_call, v_proc_call);
check_num_bins_crossed(C_NUM_CROSS_BINS, v_proc_call.all);
log(ID_FUNC_COV_BINS, get_name_prefix(VOID) & v_proc_call.all, priv_scope, msg_id_panel);
log(ID_FUNC_COV_BINS_INFO, get_name_prefix(VOID) & "Adding cross: " & get_bin_array_values(bin1) & " x " & get_bin_array_values(bin2) &
", min_hits:" & to_string(min_hits) & ", rand_weight:" & return_string1_if_true_otherwise_string2(to_string(rand_weight), to_string(min_hits), C_USE_RAND_WEIGHT) &
", """ & bin_name & """", priv_scope, msg_id_panel);
-- Copy the bins into an array and use a recursive procedure to add them to the list
create_bin_array(v_proc_call.all, v_bin_array, bin1, bin2);
add_bins_recursive(v_bin_array, 0, v_idx_reg, min_hits, rand_weight, C_USE_RAND_WEIGHT, bin_name);
DEALLOCATE(v_proc_call);
end procedure;
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & get_proc_calls(bin1) & ", " & get_proc_calls(bin2) &
", min_hits:" & to_string(min_hits) & ", """ & bin_name & """)";
begin
add_cross(bin1, bin2, min_hits, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & get_proc_calls(bin1) & ", " & get_proc_calls(bin2) &
", """ & bin_name & """)";
begin
add_cross(bin1, bin2, 1, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
------------------------------------------------------------
-- Add cross (3 bins)
------------------------------------------------------------
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "") is
constant C_LOCAL_CALL : string := "add_cross(" & get_proc_calls(bin1) & ", " & get_proc_calls(bin2) & ", " & get_proc_calls(bin3) &
", min_hits:" & to_string(min_hits) & ", rand_weight:" & to_string(rand_weight) & ", """ & bin_name & """)";
constant C_NUM_CROSS_BINS : natural := 3;
constant C_USE_RAND_WEIGHT : boolean := ext_proc_call = ""; -- When procedure is called from the sequencer
variable v_proc_call : line;
variable v_bin_array : t_new_bin_array(0 to C_NUM_CROSS_BINS-1);
variable v_idx_reg : integer_vector(0 to C_NUM_CROSS_BINS-1);
begin
create_proc_call(C_LOCAL_CALL, ext_proc_call, v_proc_call);
check_num_bins_crossed(C_NUM_CROSS_BINS, v_proc_call.all);
log(ID_FUNC_COV_BINS, get_name_prefix(VOID) & v_proc_call.all, priv_scope, msg_id_panel);
log(ID_FUNC_COV_BINS_INFO, get_name_prefix(VOID) & "Adding cross: " & get_bin_array_values(bin1) & " x " & get_bin_array_values(bin2) & " x " & get_bin_array_values(bin3) &
", min_hits:" & to_string(min_hits) & ", rand_weight:" & return_string1_if_true_otherwise_string2(to_string(rand_weight), to_string(min_hits), C_USE_RAND_WEIGHT) &
", """ & bin_name & """", priv_scope, msg_id_panel);
-- Copy the bins into an array and use a recursive procedure to add them to the list
create_bin_array(v_proc_call.all, v_bin_array, bin1, bin2, bin3);
add_bins_recursive(v_bin_array, 0, v_idx_reg, min_hits, rand_weight, C_USE_RAND_WEIGHT, bin_name);
DEALLOCATE(v_proc_call);
end procedure;
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & get_proc_calls(bin1) & ", " & get_proc_calls(bin2) & ", " & get_proc_calls(bin3) &
", min_hits:" & to_string(min_hits) & ", """ & bin_name & """)";
begin
add_cross(bin1, bin2, bin3, min_hits, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & get_proc_calls(bin1) & ", " & get_proc_calls(bin2) & ", " & get_proc_calls(bin3) &
", """ & bin_name & """)";
begin
add_cross(bin1, bin2, bin3, 1, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
------------------------------------------------------------
-- Add cross (4 bins)
------------------------------------------------------------
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant bin4 : in t_new_bin_array;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "") is
constant C_LOCAL_CALL : string := "add_cross(" & get_proc_calls(bin1) & ", " & get_proc_calls(bin2) & ", " & get_proc_calls(bin3) &
", " & get_proc_calls(bin4) & ", min_hits:" & to_string(min_hits) & ", rand_weight:" & to_string(rand_weight) & ", """ & bin_name & """)";
constant C_NUM_CROSS_BINS : natural := 4;
constant C_USE_RAND_WEIGHT : boolean := ext_proc_call = ""; -- When procedure is called from the sequencer
variable v_proc_call : line;
variable v_bin_array : t_new_bin_array(0 to C_NUM_CROSS_BINS-1);
variable v_idx_reg : integer_vector(0 to C_NUM_CROSS_BINS-1);
begin
create_proc_call(C_LOCAL_CALL, ext_proc_call, v_proc_call);
check_num_bins_crossed(C_NUM_CROSS_BINS, v_proc_call.all);
log(ID_FUNC_COV_BINS, get_name_prefix(VOID) & v_proc_call.all, priv_scope, msg_id_panel);
log(ID_FUNC_COV_BINS_INFO, get_name_prefix(VOID) & "Adding cross: " & get_bin_array_values(bin1) & " x " & get_bin_array_values(bin2) & " x " & get_bin_array_values(bin3) &
" x " & get_bin_array_values(bin4) &
", min_hits:" & to_string(min_hits) & ", rand_weight:" & return_string1_if_true_otherwise_string2(to_string(rand_weight), to_string(min_hits), C_USE_RAND_WEIGHT) &
", """ & bin_name & """", priv_scope, msg_id_panel);
-- Copy the bins into an array and use a recursive procedure to add them to the list
create_bin_array(v_proc_call.all, v_bin_array, bin1, bin2, bin3, bin4);
add_bins_recursive(v_bin_array, 0, v_idx_reg, min_hits, rand_weight, C_USE_RAND_WEIGHT, bin_name);
DEALLOCATE(v_proc_call);
end procedure;
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant bin4 : in t_new_bin_array;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & get_proc_calls(bin1) & ", " & get_proc_calls(bin2) & ", " & get_proc_calls(bin3) &
", " & get_proc_calls(bin4) & ", min_hits:" & to_string(min_hits) & ", """ & bin_name & """)";
begin
add_cross(bin1, bin2, bin3, bin4, min_hits, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant bin4 : in t_new_bin_array;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & get_proc_calls(bin1) & ", " & get_proc_calls(bin2) & ", " & get_proc_calls(bin3) &
", " & get_proc_calls(bin4) & ", """ & bin_name & """)";
begin
add_cross(bin1, bin2, bin3, bin4, 1, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
------------------------------------------------------------
-- Add cross (5 bins)
------------------------------------------------------------
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant bin4 : in t_new_bin_array;
constant bin5 : in t_new_bin_array;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "") is
constant C_LOCAL_CALL : string := "add_cross(" & get_proc_calls(bin1) & ", " & get_proc_calls(bin2) & ", " & get_proc_calls(bin3) &
", " & get_proc_calls(bin4) & ", " & get_proc_calls(bin5) & ", min_hits:" & to_string(min_hits) & ", rand_weight:" & to_string(rand_weight) & ", """ & bin_name & """)";
constant C_NUM_CROSS_BINS : natural := 5;
constant C_USE_RAND_WEIGHT : boolean := ext_proc_call = ""; -- When procedure is called from the sequencer
variable v_proc_call : line;
variable v_bin_array : t_new_bin_array(0 to C_NUM_CROSS_BINS-1);
variable v_idx_reg : integer_vector(0 to C_NUM_CROSS_BINS-1);
begin
create_proc_call(C_LOCAL_CALL, ext_proc_call, v_proc_call);
check_num_bins_crossed(C_NUM_CROSS_BINS, v_proc_call.all);
log(ID_FUNC_COV_BINS, get_name_prefix(VOID) & v_proc_call.all, priv_scope, msg_id_panel);
log(ID_FUNC_COV_BINS_INFO, get_name_prefix(VOID) & "Adding cross: " & get_bin_array_values(bin1) & " x " & get_bin_array_values(bin2) & " x " & get_bin_array_values(bin3) &
" x " & get_bin_array_values(bin4) & " x " & get_bin_array_values(bin5) &
", min_hits:" & to_string(min_hits) & ", rand_weight:" & return_string1_if_true_otherwise_string2(to_string(rand_weight), to_string(min_hits), C_USE_RAND_WEIGHT) &
", """ & bin_name & """", priv_scope, msg_id_panel);
-- Copy the bins into an array and use a recursive procedure to add them to the list
create_bin_array(v_proc_call.all, v_bin_array, bin1, bin2, bin3, bin4, bin5);
add_bins_recursive(v_bin_array, 0, v_idx_reg, min_hits, rand_weight, C_USE_RAND_WEIGHT, bin_name);
DEALLOCATE(v_proc_call);
end procedure;
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant bin4 : in t_new_bin_array;
constant bin5 : in t_new_bin_array;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & get_proc_calls(bin1) & ", " & get_proc_calls(bin2) & ", " & get_proc_calls(bin3) &
", " & get_proc_calls(bin4) & ", " & get_proc_calls(bin5) & ", min_hits:" & to_string(min_hits) & ", """ & bin_name & """)";
begin
add_cross(bin1, bin2, bin3, bin4, bin5, min_hits, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
procedure add_cross(
constant bin1 : in t_new_bin_array;
constant bin2 : in t_new_bin_array;
constant bin3 : in t_new_bin_array;
constant bin4 : in t_new_bin_array;
constant bin5 : in t_new_bin_array;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & get_proc_calls(bin1) & ", " & get_proc_calls(bin2) & ", " & get_proc_calls(bin3) &
", " & get_proc_calls(bin4) & ", " & get_proc_calls(bin5) & ", """ & bin_name & """)";
begin
add_cross(bin1, bin2, bin3, bin4, bin5, 1, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
------------------------------------------------------------
-- Add cross (2 coverpoints)
------------------------------------------------------------
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "") is
constant C_LOCAL_CALL : string := "add_cross(" & coverpoint1.get_name(VOID) & ", " & coverpoint2.get_name(VOID) &
", min_hits:" & to_string(min_hits) & ", rand_weight:" & to_string(rand_weight) & ", """ & bin_name & """)";
constant C_NUM_CROSS_BINS : integer := coverpoint1.get_num_bins_crossed(VOID) + coverpoint2.get_num_bins_crossed(VOID);
constant C_USE_RAND_WEIGHT : boolean := ext_proc_call = ""; -- When procedure is called from the sequencer
variable v_proc_call : line;
variable v_bin_array : t_new_bin_array(0 to C_NUM_CROSS_BINS-1);
variable v_idx_reg : integer_vector(0 to C_NUM_CROSS_BINS-1);
begin
create_proc_call(C_LOCAL_CALL, ext_proc_call, v_proc_call);
check_num_bins_crossed(C_NUM_CROSS_BINS, v_proc_call.all, coverpoint1.get_num_bins_crossed(VOID), coverpoint2.get_num_bins_crossed(VOID));
log(ID_FUNC_COV_BINS, get_name_prefix(VOID) & v_proc_call.all, priv_scope, msg_id_panel);
log(ID_FUNC_COV_BINS_INFO, get_name_prefix(VOID) & "Adding cross: " & coverpoint1.get_all_bins_string(VOID) & " x " & coverpoint2.get_all_bins_string(VOID) &
", min_hits:" & to_string(min_hits) & ", rand_weight:" & return_string1_if_true_otherwise_string2(to_string(rand_weight), to_string(min_hits), C_USE_RAND_WEIGHT) &
", """ & bin_name & """", priv_scope, msg_id_panel);
-- Copy the bins into an array and use a recursive procedure to add them to the list
create_bin_array(v_bin_array, coverpoint1, coverpoint2);
add_bins_recursive(v_bin_array, 0, v_idx_reg, min_hits, rand_weight, C_USE_RAND_WEIGHT, bin_name);
DEALLOCATE(v_proc_call);
end procedure;
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & coverpoint1.get_name(VOID) & ", " & coverpoint2.get_name(VOID) &
", min_hits:" & to_string(min_hits) & ", """ & bin_name & """)";
begin
add_cross(coverpoint1, coverpoint2, min_hits, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & coverpoint1.get_name(VOID) & ", " & coverpoint2.get_name(VOID) &
", """ & bin_name & """)";
begin
add_cross(coverpoint1, coverpoint2, 1, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
------------------------------------------------------------
-- Add cross (3 coverpoints)
------------------------------------------------------------
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "") is
constant C_LOCAL_CALL : string := "add_cross(" & coverpoint1.get_name(VOID) & ", " & coverpoint2.get_name(VOID) & ", " &
coverpoint3.get_name(VOID) & ", min_hits:" & to_string(min_hits) & ", rand_weight:" & to_string(rand_weight) & ", """ & bin_name & """)";
constant C_NUM_CROSS_BINS : integer := coverpoint1.get_num_bins_crossed(VOID) + coverpoint2.get_num_bins_crossed(VOID) +
coverpoint3.get_num_bins_crossed(VOID);
constant C_USE_RAND_WEIGHT : boolean := ext_proc_call = ""; -- When procedure is called from the sequencer
variable v_proc_call : line;
variable v_bin_array : t_new_bin_array(0 to C_NUM_CROSS_BINS-1);
variable v_idx_reg : integer_vector(0 to C_NUM_CROSS_BINS-1);
begin
create_proc_call(C_LOCAL_CALL, ext_proc_call, v_proc_call);
check_num_bins_crossed(C_NUM_CROSS_BINS, v_proc_call.all, coverpoint1.get_num_bins_crossed(VOID), coverpoint2.get_num_bins_crossed(VOID),
coverpoint3.get_num_bins_crossed(VOID));
log(ID_FUNC_COV_BINS, get_name_prefix(VOID) & v_proc_call.all, priv_scope, msg_id_panel);
log(ID_FUNC_COV_BINS_INFO, get_name_prefix(VOID) & "Adding cross: " & coverpoint1.get_all_bins_string(VOID) & " x " & coverpoint2.get_all_bins_string(VOID) &
" x " & coverpoint3.get_all_bins_string(VOID) &
", min_hits:" & to_string(min_hits) & ", rand_weight:" & return_string1_if_true_otherwise_string2(to_string(rand_weight), to_string(min_hits), C_USE_RAND_WEIGHT) &
", """ & bin_name & """", priv_scope, msg_id_panel);
-- Copy the bins into an array and use a recursive procedure to add them to the list
create_bin_array(v_bin_array, coverpoint1, coverpoint2, coverpoint3);
add_bins_recursive(v_bin_array, 0, v_idx_reg, min_hits, rand_weight, C_USE_RAND_WEIGHT, bin_name);
DEALLOCATE(v_proc_call);
end procedure;
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & coverpoint1.get_name(VOID) & ", " & coverpoint2.get_name(VOID) & ", " &
coverpoint3.get_name(VOID) & ", min_hits:" & to_string(min_hits) & ", """ & bin_name & """)";
begin
add_cross(coverpoint1, coverpoint2, coverpoint3, min_hits, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & coverpoint1.get_name(VOID) & ", " & coverpoint2.get_name(VOID) & ", " &
coverpoint3.get_name(VOID) & ", """ & bin_name & """)";
begin
add_cross(coverpoint1, coverpoint2, coverpoint3, 1, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
------------------------------------------------------------
-- Add cross (4 coverpoints)
------------------------------------------------------------
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
variable coverpoint4 : inout t_coverpoint;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "") is
constant C_LOCAL_CALL : string := "add_cross(" & coverpoint1.get_name(VOID) & ", " & coverpoint2.get_name(VOID) & ", " &
coverpoint3.get_name(VOID) & ", " & coverpoint4.get_name(VOID) &
", min_hits:" & to_string(min_hits) & ", rand_weight:" & to_string(rand_weight) & ", """ & bin_name & """)";
constant C_NUM_CROSS_BINS : integer := coverpoint1.get_num_bins_crossed(VOID) + coverpoint2.get_num_bins_crossed(VOID) +
coverpoint3.get_num_bins_crossed(VOID) + coverpoint4.get_num_bins_crossed(VOID);
constant C_USE_RAND_WEIGHT : boolean := ext_proc_call = ""; -- When procedure is called from the sequencer
variable v_proc_call : line;
variable v_bin_array : t_new_bin_array(0 to C_NUM_CROSS_BINS-1);
variable v_idx_reg : integer_vector(0 to C_NUM_CROSS_BINS-1);
begin
create_proc_call(C_LOCAL_CALL, ext_proc_call, v_proc_call);
check_num_bins_crossed(C_NUM_CROSS_BINS, v_proc_call.all, coverpoint1.get_num_bins_crossed(VOID), coverpoint2.get_num_bins_crossed(VOID),
coverpoint3.get_num_bins_crossed(VOID), coverpoint4.get_num_bins_crossed(VOID));
log(ID_FUNC_COV_BINS, get_name_prefix(VOID) & v_proc_call.all, priv_scope, msg_id_panel);
log(ID_FUNC_COV_BINS_INFO, get_name_prefix(VOID) & "Adding cross: " & coverpoint1.get_all_bins_string(VOID) & " x " & coverpoint2.get_all_bins_string(VOID) &
" x " & coverpoint3.get_all_bins_string(VOID) & " x " & coverpoint4.get_all_bins_string(VOID) &
", min_hits:" & to_string(min_hits) & ", rand_weight:" & return_string1_if_true_otherwise_string2(to_string(rand_weight), to_string(min_hits), C_USE_RAND_WEIGHT) &
", """ & bin_name & """", priv_scope, msg_id_panel);
-- Copy the bins into an array and use a recursive procedure to add them to the list
create_bin_array(v_bin_array, coverpoint1, coverpoint2, coverpoint3, coverpoint4);
add_bins_recursive(v_bin_array, 0, v_idx_reg, min_hits, rand_weight, C_USE_RAND_WEIGHT, bin_name);
DEALLOCATE(v_proc_call);
end procedure;
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
variable coverpoint4 : inout t_coverpoint;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & coverpoint1.get_name(VOID) & ", " & coverpoint2.get_name(VOID) & ", " &
coverpoint3.get_name(VOID) & ", " & coverpoint4.get_name(VOID) & ", min_hits:" & to_string(min_hits) & ", """ & bin_name & """)";
begin
add_cross(coverpoint1, coverpoint2, coverpoint3, coverpoint4, min_hits, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
variable coverpoint4 : inout t_coverpoint;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & coverpoint1.get_name(VOID) & ", " & coverpoint2.get_name(VOID) & ", " &
coverpoint3.get_name(VOID) & ", " & coverpoint4.get_name(VOID) & ", """ & bin_name & """)";
begin
add_cross(coverpoint1, coverpoint2, coverpoint3, coverpoint4, 1, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
------------------------------------------------------------
-- Add cross (5 coverpoints)
------------------------------------------------------------
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
variable coverpoint4 : inout t_coverpoint;
variable coverpoint5 : inout t_coverpoint;
constant min_hits : in positive;
constant rand_weight : in natural;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "") is
constant C_LOCAL_CALL : string := "add_cross(" & coverpoint1.get_name(VOID) & ", " & coverpoint2.get_name(VOID) & ", " &
coverpoint3.get_name(VOID) & ", " & coverpoint4.get_name(VOID) & ", " & coverpoint5.get_name(VOID) &
", min_hits:" & to_string(min_hits) & ", rand_weight:" & to_string(rand_weight) & ", """ & bin_name & """)";
constant C_NUM_CROSS_BINS : integer := coverpoint1.get_num_bins_crossed(VOID) + coverpoint2.get_num_bins_crossed(VOID) +
coverpoint3.get_num_bins_crossed(VOID) + coverpoint4.get_num_bins_crossed(VOID) + coverpoint5.get_num_bins_crossed(VOID);
constant C_USE_RAND_WEIGHT : boolean := ext_proc_call = ""; -- When procedure is called from the sequencer
variable v_proc_call : line;
variable v_bin_array : t_new_bin_array(0 to C_NUM_CROSS_BINS-1);
variable v_idx_reg : integer_vector(0 to C_NUM_CROSS_BINS-1);
begin
create_proc_call(C_LOCAL_CALL, ext_proc_call, v_proc_call);
check_num_bins_crossed(C_NUM_CROSS_BINS, v_proc_call.all, coverpoint1.get_num_bins_crossed(VOID), coverpoint2.get_num_bins_crossed(VOID),
coverpoint3.get_num_bins_crossed(VOID), coverpoint4.get_num_bins_crossed(VOID), coverpoint5.get_num_bins_crossed(VOID));
log(ID_FUNC_COV_BINS, get_name_prefix(VOID) & v_proc_call.all, priv_scope, msg_id_panel);
log(ID_FUNC_COV_BINS_INFO, get_name_prefix(VOID) & "Adding cross: " & coverpoint1.get_all_bins_string(VOID) & " x " & coverpoint2.get_all_bins_string(VOID) &
" x " & coverpoint3.get_all_bins_string(VOID) & " x " & coverpoint4.get_all_bins_string(VOID) & " x " & coverpoint5.get_all_bins_string(VOID) &
", min_hits:" & to_string(min_hits) & ", rand_weight:" & return_string1_if_true_otherwise_string2(to_string(rand_weight), to_string(min_hits), C_USE_RAND_WEIGHT) &
", """ & bin_name & """", priv_scope, msg_id_panel);
-- Copy the bins into an array and use a recursive procedure to add them to the list
create_bin_array(v_bin_array, coverpoint1, coverpoint2, coverpoint3, coverpoint4, coverpoint5);
add_bins_recursive(v_bin_array, 0, v_idx_reg, min_hits, rand_weight, C_USE_RAND_WEIGHT, bin_name);
DEALLOCATE(v_proc_call);
end procedure;
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
variable coverpoint4 : inout t_coverpoint;
variable coverpoint5 : inout t_coverpoint;
constant min_hits : in positive;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & coverpoint1.get_name(VOID) & ", " & coverpoint2.get_name(VOID) & ", " &
coverpoint3.get_name(VOID) & ", " & coverpoint4.get_name(VOID) & ", " & coverpoint5.get_name(VOID) &
", min_hits:" & to_string(min_hits) &", """ & bin_name & """)";
begin
add_cross(coverpoint1, coverpoint2, coverpoint3, coverpoint4, coverpoint5, min_hits, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
procedure add_cross(
variable coverpoint1 : inout t_coverpoint;
variable coverpoint2 : inout t_coverpoint;
variable coverpoint3 : inout t_coverpoint;
variable coverpoint4 : inout t_coverpoint;
variable coverpoint5 : inout t_coverpoint;
constant bin_name : in string := "";
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "add_cross(" & coverpoint1.get_name(VOID) & ", " & coverpoint2.get_name(VOID) & ", " &
coverpoint3.get_name(VOID) & ", " & coverpoint4.get_name(VOID) & ", " & coverpoint5.get_name(VOID) & ", """ & bin_name & """)";
begin
add_cross(coverpoint1, coverpoint2, coverpoint3, coverpoint4, coverpoint5, 1, 1, bin_name, msg_id_panel, C_LOCAL_CALL);
end procedure;
------------------------------------------------------------
-- Coverage
------------------------------------------------------------
impure function is_defined(
constant VOID : t_void)
return boolean is
begin
return priv_num_bins_crossed /= C_UNINITIALIZED;
end function;
procedure sample_coverage(
constant value : in integer;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel) is
constant C_LOCAL_CALL : string := "sample_coverage(" & to_string(value) & ")";
variable v_values : integer_vector(0 to 0) := (0 => value);
begin
log(ID_FUNC_COV_SAMPLE, get_name_prefix(VOID) & C_LOCAL_CALL, priv_scope, msg_id_panel);
sample_coverage(v_values, msg_id_panel, C_LOCAL_CALL);
end procedure;
procedure sample_coverage(
constant values : in integer_vector;
constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : in string := "") is
constant C_LOCAL_CALL : string := "sample_coverage(" & to_string(values) & ")";
variable v_proc_call : line;
variable v_invalid_sample : boolean := false;
variable v_value_match : std_logic_vector(0 to priv_num_bins_crossed-1) := (others => '0');
variable v_illegal_match_idx : integer := -1;
variable v_num_occurrences : natural := 0;
begin
create_proc_call(C_LOCAL_CALL, ext_proc_call, v_proc_call);
if priv_num_bins_crossed = C_UNINITIALIZED then
alert(TB_ERROR, v_proc_call.all & "=> Coverpoint does not contain any bins", priv_scope);
DEALLOCATE(v_proc_call);
return;
end if;
if ext_proc_call = "" then -- Do not print log message when being called from another method
log(ID_FUNC_COV_SAMPLE, get_name_prefix(VOID) & v_proc_call.all, priv_scope, msg_id_panel);
end if;
if priv_num_bins_crossed /= values'length then
alert(TB_FAILURE, v_proc_call.all & "=> Number of values does not match the number of crossed bins", priv_scope);
end if;
-- Shift register used to check transition bins
for i in 0 to priv_num_bins_crossed-1 loop
priv_bin_sample_shift_reg(i) := priv_bin_sample_shift_reg(i)(priv_bin_sample_shift_reg(0)'length-2 downto 0) & values(i);
end loop;
-- Check if the values should be ignored or are illegal
for i in 0 to priv_invalid_bins_idx-1 loop
priv_invalid_bins(i).transition_mask := priv_invalid_bins(i).transition_mask(priv_invalid_bins(i).transition_mask'length-2 downto 0) & '1';
for j in 0 to priv_num_bins_crossed-1 loop
case priv_invalid_bins(i).cross_bins(j).contains is
when VAL | VAL_IGNORE | VAL_ILLEGAL =>
for k in 0 to priv_invalid_bins(i).cross_bins(j).num_values-1 loop
if values(j) = priv_invalid_bins(i).cross_bins(j).values(k) then
v_value_match(j) := '1';
v_illegal_match_idx := j when priv_invalid_bins(i).cross_bins(j).contains = VAL_ILLEGAL;
end if;
end loop;
when RAN | RAN_IGNORE | RAN_ILLEGAL =>
if values(j) >= priv_invalid_bins(i).cross_bins(j).values(0) and values(j) <= priv_invalid_bins(i).cross_bins(j).values(1) then
v_value_match(j) := '1';
v_illegal_match_idx := j when priv_invalid_bins(i).cross_bins(j).contains = RAN_ILLEGAL;
end if;
when TRN | TRN_IGNORE | TRN_ILLEGAL =>
-- Check if there are enough valid values in the shift register to compare the transition
if priv_invalid_bins(i).transition_mask(priv_invalid_bins(i).cross_bins(j).num_values-1) = '1' and
priv_bin_sample_shift_reg(j)(priv_invalid_bins(i).cross_bins(j).num_values-1 downto 0) = priv_invalid_bins(i).cross_bins(j).values(0 to priv_invalid_bins(i).cross_bins(j).num_values-1)
then
v_value_match(j) := '1';
v_illegal_match_idx := j when priv_invalid_bins(i).cross_bins(j).contains = TRN_ILLEGAL;
end if;
when others =>
alert(TB_FAILURE, v_proc_call.all & "=> Unexpected error, invalid bin contains " & to_upper(to_string(priv_invalid_bins(i).cross_bins(j).contains)), priv_scope);
end case;
end loop;
if and(v_value_match) = '1' then
v_invalid_sample := true;
priv_invalid_bins(i).transition_mask := (others => '0');
priv_invalid_bins(i).hits := priv_invalid_bins(i).hits + 1;
if v_illegal_match_idx /= -1 then
alert(priv_illegal_bin_alert_level, get_name_prefix(VOID) & v_proc_call.all & "=> Sampled " & get_bin_info(priv_invalid_bins(i).cross_bins(v_illegal_match_idx)), priv_scope);
end if;
end if;
v_value_match := (others => '0');
v_illegal_match_idx := -1;
end loop;
-- Check if the values are in the valid bins
if not(v_invalid_sample) then
for i in 0 to priv_bins_idx-1 loop
priv_bins(i).transition_mask := priv_bins(i).transition_mask(priv_bins(i).transition_mask'length-2 downto 0) & '1';
for j in 0 to priv_num_bins_crossed-1 loop
case priv_bins(i).cross_bins(j).contains is
when VAL =>
for k in 0 to priv_bins(i).cross_bins(j).num_values-1 loop
if values(j) = priv_bins(i).cross_bins(j).values(k) then
v_value_match(j) := '1';
end if;
end loop;
when RAN =>
if values(j) >= priv_bins(i).cross_bins(j).values(0) and values(j) <= priv_bins(i).cross_bins(j).values(1) then
v_value_match(j) := '1';
end if;
when TRN =>
-- Check if there are enough valid values in the shift register to compare the transition
if priv_bins(i).transition_mask(priv_bins(i).cross_bins(j).num_values-1) = '1' and
priv_bin_sample_shift_reg(j)(priv_bins(i).cross_bins(j).num_values-1 downto 0) = priv_bins(i).cross_bins(j).values(0 to priv_bins(i).cross_bins(j).num_values-1)
then
v_value_match(j) := '1';
end if;
when others =>
alert(TB_FAILURE, v_proc_call.all & "=> Unexpected error, valid bin contains " & to_upper(to_string(priv_bins(i).cross_bins(j).contains)), priv_scope);
end case;
end loop;
if and(v_value_match) = '1' then
priv_bins(i).transition_mask := (others => '0');
priv_bins(i).hits := priv_bins(i).hits + 1;
v_num_occurrences := v_num_occurrences + 1;
-- Update covergroup status register
protected_covergroup_status.increment_hits_count(priv_id); -- Count the total hits
if priv_bins(i).hits <= priv_bins(i).min_hits then
protected_covergroup_status.increment_coverage_hits_count(priv_id); -- Count until min_hits has been reached
end if;
if priv_bins(i).hits <= get_total_min_hits(priv_bins(i).min_hits) then
protected_covergroup_status.increment_goal_hits_count(priv_id); -- Count until min_hits x goal has been reached
end if;
if priv_bins(i).hits = priv_bins(i).min_hits and priv_bins(i).min_hits /= 0 then
protected_covergroup_status.increment_covered_bin_count(priv_id); -- Count the covered bins
end if;
end if;
v_value_match := (others => '0');
end loop;
if v_num_occurrences > 1 then
alert(priv_bin_overlap_alert_level, get_name_prefix(VOID) & "There is an overlap between " & to_string(v_num_occurrences) & " bins.", priv_scope);
end if;
else
-- When an ignore or illegal bin is sampled, valid bins won't be sampled so we need to clear all transition masks in the valid bins
for i in 0 to priv_bins_idx-1 loop
priv_bins(i).transition_mask := (others => '0');
end loop;
end if;
DEALLOCATE(v_proc_call);
end procedure;
impure function get_coverage(
constant coverage_type : t_coverage_type;
constant percentage_of_goal : boolean := false)
return real is
constant C_LOCAL_CALL : string := "get_coverage(" & to_upper(to_string(coverage_type)) & ")";
variable v_coverage_representation : t_coverage_representation;
begin
if priv_id /= C_DEALLOCATED_ID then
v_coverage_representation := GOAL_CAPPED when percentage_of_goal else NO_GOAL;
if coverage_type = BINS then
return protected_covergroup_status.get_bins_coverage(priv_id, v_coverage_representation);
elsif coverage_type = HITS then
return protected_covergroup_status.get_hits_coverage(priv_id, v_coverage_representation);
else -- BINS_AND_HITS
alert(TB_ERROR, C_LOCAL_CALL & "=> Use either BINS or HITS.", priv_scope);
return 0.0;
end if;
else
return 0.0;
end if;
end function;
impure function coverage_completed(
constant coverage_type : t_coverage_type)
return boolean is
begin
if priv_id /= C_DEALLOCATED_ID then
if coverage_type = BINS then
return protected_covergroup_status.get_bins_coverage(priv_id, GOAL_CAPPED) = 100.0;
elsif coverage_type = HITS then
return protected_covergroup_status.get_hits_coverage(priv_id, GOAL_CAPPED) = 100.0;
else -- BINS_AND_HITS
return protected_covergroup_status.get_bins_coverage(priv_id, GOAL_CAPPED) = 100.0 and
protected_covergroup_status.get_hits_coverage(priv_id, GOAL_CAPPED) = 100.0;
end if;
else
return false;
end if;
end function;
procedure report_coverage(
constant VOID : in t_void) is
begin
report_coverage(NON_VERBOSE);
end procedure;
procedure report_coverage(
constant verbosity : in t_report_verbosity;
constant file_name : in string := "";
constant open_mode : in file_open_kind := append_mode;
constant rand_weight_col : in t_rand_weight_visibility := HIDE_RAND_WEIGHT) is
file file_handler : text;
constant C_PREFIX : string := C_LOG_PREFIX & " ";
constant C_HEADER_1 : string := "*** COVERAGE SUMMARY REPORT (VERBOSE): " & to_string(priv_scope) & " ***";
constant C_HEADER_2 : string := "*** COVERAGE SUMMARY REPORT (NON VERBOSE): " & to_string(priv_scope) & " ***";
constant C_HEADER_3 : string := "*** COVERAGE HOLES REPORT: " & to_string(priv_scope) & " ***";
constant C_BIN_COLUMN_WIDTH : positive := 40;
constant C_COLUMN_WIDTH : positive := 15;
variable v_line : line;
variable v_log_extra_space : integer := 0;
variable v_print_goal : boolean;
variable v_rand_weight : natural;
begin
-- Calculate how much space we can insert between the columns of the report
v_log_extra_space := (C_LOG_LINE_WIDTH - C_PREFIX'length - C_BIN_COLUMN_WIDTH - C_COLUMN_WIDTH*5 - C_FC_MAX_NAME_LENGTH)/8;
if v_log_extra_space < 1 then
alert(TB_WARNING, "C_LOG_LINE_WIDTH is too small or C_FC_MAX_NAME_LENGTH is too big, the report will not be properly aligned.", priv_scope);
v_log_extra_space := 1;
end if;
-- Print report header
write(v_line, LF & fill_string('=', (C_LOG_LINE_WIDTH - C_PREFIX'length)) & LF);
if verbosity = VERBOSE then
write(v_line, timestamp_header(now, justify(C_HEADER_1, LEFT, C_LOG_LINE_WIDTH - C_PREFIX'length, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE)) & LF);
elsif verbosity = NON_VERBOSE then
write(v_line, timestamp_header(now, justify(C_HEADER_2, LEFT, C_LOG_LINE_WIDTH - C_PREFIX'length, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE)) & LF);
elsif verbosity = HOLES_ONLY then
write(v_line, timestamp_header(now, justify(C_HEADER_3, LEFT, C_LOG_LINE_WIDTH - C_PREFIX'length, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE)) & LF);
end if;
write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - C_PREFIX'length)) & LF);
-- Print summary
if priv_id /= C_DEALLOCATED_ID then
v_print_goal := protected_covergroup_status.get_bins_coverage_goal(priv_id) /= 100 or
protected_covergroup_status.get_hits_coverage_goal(priv_id) /= 100;
write(v_line, "Coverpoint: " & to_string(priv_name) & LF &
return_string_if_true("Goal: " &
justify("Bins: " & to_string(protected_covergroup_status.get_bins_coverage_goal(priv_id)) & "%, ", left, 16, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify("Hits: " & to_string(protected_covergroup_status.get_hits_coverage_goal(priv_id)) & "%", left, 14, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF, v_print_goal) &
return_string_if_true("% of Goal: " &
justify("Bins: " & to_string(protected_covergroup_status.get_bins_coverage(priv_id, GOAL_CAPPED),2) & "%, ", left, 16, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify("Hits: " & to_string(protected_covergroup_status.get_hits_coverage(priv_id, GOAL_CAPPED),2) & "%", left, 14, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF, v_print_goal) &
return_string_if_true("% of Goal (uncapped): " &
justify("Bins: " & to_string(protected_covergroup_status.get_bins_coverage(priv_id, GOAL_UNCAPPED),2) & "%, ", left, 16, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify("Hits: " & to_string(protected_covergroup_status.get_hits_coverage(priv_id, GOAL_UNCAPPED),2) & "%", left, 14, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF, v_print_goal) &
"Coverage (for goal 100): " &
justify("Bins: " & to_string(protected_covergroup_status.get_bins_coverage(priv_id, NO_GOAL),2) & "%, ", left, 16, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify("Hits: " & to_string(protected_covergroup_status.get_hits_coverage(priv_id, NO_GOAL),2) & "%", left, 14, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF &
fill_string('-', (C_LOG_LINE_WIDTH - C_PREFIX'length)) & LF);
else
write(v_line, "Coverpoint: " & to_string(priv_name) & LF &
"Coverage (for goal 100): " &
justify("Bins: 0.0%, ", left, 16, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) &
justify("Hits: 0.0%", left, 14, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF &
fill_string('-', (C_LOG_LINE_WIDTH - C_PREFIX'length)) & LF);
end if;
-- Print column headers
write(v_line, justify(
fill_string(' ', v_log_extra_space) &
justify("BINS" , center, C_BIN_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("HITS" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("MIN HITS" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("HIT COVERAGE" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
return_string_if_true(justify("RAND WEIGHT", center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space),rand_weight_col = SHOW_RAND_WEIGHT) &
justify("NAME" , center, C_FC_MAX_NAME_LENGTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("ILLEGAL/IGNORE", center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space),
left, C_LOG_LINE_WIDTH - C_PREFIX'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF);
-- Print illegal bins
for i in 0 to priv_invalid_bins_idx-1 loop
if is_bin_illegal(priv_invalid_bins(i)) and (verbosity = VERBOSE or (verbosity = NON_VERBOSE and priv_invalid_bins(i).hits > 0)) then
write(v_line, justify(
fill_string(' ', v_log_extra_space) &
justify(get_bin_values(priv_invalid_bins(i), C_BIN_COLUMN_WIDTH), center, C_BIN_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify(to_string(priv_invalid_bins(i).hits) , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("N/A" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("N/A" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
return_string_if_true(justify("N/A" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space),rand_weight_col = SHOW_RAND_WEIGHT) &
justify(to_string(priv_invalid_bins(i).name) , center, C_FC_MAX_NAME_LENGTH, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("ILLEGAL" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space),
left, C_LOG_LINE_WIDTH - C_PREFIX'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF);
end if;
end loop;
-- Print ignore bins
if verbosity = VERBOSE then
for i in 0 to priv_invalid_bins_idx-1 loop
if is_bin_ignore(priv_invalid_bins(i)) then
write(v_line, justify(
fill_string(' ', v_log_extra_space) &
justify(get_bin_values(priv_invalid_bins(i), C_BIN_COLUMN_WIDTH), center, C_BIN_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify(to_string(priv_invalid_bins(i).hits) , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("N/A" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("N/A" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
return_string_if_true(justify("N/A" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space),rand_weight_col = SHOW_RAND_WEIGHT) &
justify(to_string(priv_invalid_bins(i).name) , center, C_FC_MAX_NAME_LENGTH, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("IGNORE" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space),
left, C_LOG_LINE_WIDTH - C_PREFIX'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF);
end if;
end loop;
end if;
-- Print valid bins
for i in 0 to priv_bins_idx-1 loop
if verbosity = VERBOSE or verbosity = NON_VERBOSE or (verbosity = HOLES_ONLY and priv_bins(i).hits < get_total_min_hits(priv_bins(i).min_hits)) then
v_rand_weight := priv_bins(i).min_hits when priv_bins(i).rand_weight = C_USE_ADAPTIVE_WEIGHT else priv_bins(i).rand_weight;
write(v_line, justify(
fill_string(' ', v_log_extra_space) &
justify(get_bin_values(priv_bins(i), C_BIN_COLUMN_WIDTH) , center, C_BIN_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify(to_string(priv_bins(i).hits) , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify(to_string(priv_bins(i).min_hits) , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify(to_string(get_bin_coverage(priv_bins(i)),2) & "%", center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
return_string_if_true(justify(to_string(v_rand_weight) , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space),rand_weight_col = SHOW_RAND_WEIGHT) &
justify(to_string(priv_bins(i).name) , center, C_FC_MAX_NAME_LENGTH, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space) &
justify("-" , center, C_COLUMN_WIDTH, SKIP_LEADING_SPACE, DISALLOW_TRUNCATE) & fill_string(' ', v_log_extra_space),
left, C_LOG_LINE_WIDTH - C_PREFIX'length, KEEP_LEADING_SPACE, DISALLOW_TRUNCATE) & LF);
end if;
end loop;
write(v_line, fill_string('-', (C_LOG_LINE_WIDTH - C_PREFIX'length)) & LF);
-- Print bin values that didn't fit in section above
for i in 0 to priv_invalid_bins_idx-1 loop
if is_bin_illegal(priv_invalid_bins(i)) and (verbosity = VERBOSE or (verbosity = NON_VERBOSE and priv_invalid_bins(i).hits > 0)) then
if get_bin_values(priv_invalid_bins(i), C_BIN_COLUMN_WIDTH) = to_string(priv_invalid_bins(i).name) then
write(v_line, to_string(priv_invalid_bins(i).name) & ": " & get_bin_values(priv_invalid_bins(i)) & LF);
end if;
end if;
end loop;
if verbosity = VERBOSE then
for i in 0 to priv_invalid_bins_idx-1 loop
if is_bin_ignore(priv_invalid_bins(i)) then
if get_bin_values(priv_invalid_bins(i), C_BIN_COLUMN_WIDTH) = to_string(priv_invalid_bins(i).name) then
write(v_line, to_string(priv_invalid_bins(i).name) & ": " & get_bin_values(priv_invalid_bins(i)) & LF);
end if;
end if;
end loop;
end if;
for i in 0 to priv_bins_idx-1 loop
if verbosity = VERBOSE or verbosity = NON_VERBOSE or (verbosity = HOLES_ONLY and priv_bins(i).hits < get_total_min_hits(priv_bins(i).min_hits)) then
if get_bin_values(priv_bins(i), C_BIN_COLUMN_WIDTH) = to_string(priv_bins(i).name) then
write(v_line, to_string(priv_bins(i).name) & ": " & get_bin_values(priv_bins(i)) & LF);
end if;
end if;
end loop;
-- Print report bottom line
write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - C_PREFIX'length)) & LF & LF);
-- Write the info string to transcript
wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-C_PREFIX'length);
prefix_lines(v_line, C_PREFIX);
if file_name /= "" then
file_open(file_handler, file_name, open_mode);
tee(file_handler, v_line); -- write to file, while keeping the line contents
file_close(file_handler);
end if;
write_line_to_log_destination(v_line);
DEALLOCATE(v_line);
end procedure;
procedure report_config(
constant VOID : in t_void) is
begin
report_config("");
end procedure;
procedure report_config(
constant file_name : in string;
constant open_mode : in file_open_kind := append_mode) is
file file_handler : text;
constant C_PREFIX : string := C_LOG_PREFIX & " ";
constant C_COLUMN1_WIDTH : positive := 24;
constant C_COLUMN2_WIDTH : positive := MAXIMUM(C_FC_MAX_NAME_LENGTH, C_LOG_SCOPE_WIDTH);
variable v_line : line;
begin
-- Print report header
write(v_line, LF & fill_string('=', (C_LOG_LINE_WIDTH - C_PREFIX'length)) & LF &
"*** COVERPOINT CONFIGURATION REPORT ***" & LF &
fill_string('=', (C_LOG_LINE_WIDTH - C_PREFIX'length)) & LF);
-- Print report config
if priv_id /= C_DEALLOCATED_ID then
write(v_line, " " & justify("NAME", left, C_COLUMN1_WIDTH) & ": " & justify(to_string(priv_name), right, C_COLUMN2_WIDTH) & LF);
else
write(v_line, " " & justify("NAME", left, C_COLUMN1_WIDTH) & ": " & justify("**uninitialized**", right, C_COLUMN2_WIDTH) & LF);
end if;
write(v_line, " " & justify("SCOPE", left, C_COLUMN1_WIDTH) & ": " & justify(to_string(priv_scope), right, C_COLUMN2_WIDTH) & LF);
write(v_line, " " & justify("ILLEGAL BIN ALERT LEVEL", left, C_COLUMN1_WIDTH) & ": " & justify(to_upper(to_string(priv_illegal_bin_alert_level)), right, C_COLUMN2_WIDTH) & LF);
write(v_line, " " & justify("BIN OVERLAP ALERT LEVEL", left, C_COLUMN1_WIDTH) & ": " & justify(to_upper(to_string(priv_bin_overlap_alert_level)), right, C_COLUMN2_WIDTH) & LF);
if priv_id /= C_DEALLOCATED_ID then
write(v_line, " " & justify("COVERAGE WEIGHT", left, C_COLUMN1_WIDTH) & ": " & justify(to_string(protected_covergroup_status.get_coverage_weight(priv_id)), right, C_COLUMN2_WIDTH) & LF);
write(v_line, " " & justify("BINS COVERAGE GOAL", left, C_COLUMN1_WIDTH) & ": " & justify(to_string(protected_covergroup_status.get_bins_coverage_goal(priv_id)), right, C_COLUMN2_WIDTH) & LF);
write(v_line, " " & justify("HITS COVERAGE GOAL", left, C_COLUMN1_WIDTH) & ": " & justify(to_string(protected_covergroup_status.get_hits_coverage_goal(priv_id)), right, C_COLUMN2_WIDTH) & LF);
else
write(v_line, " " & justify("COVERAGE WEIGHT", left, C_COLUMN1_WIDTH) & ": " & justify(to_string(1), right, C_COLUMN2_WIDTH) & LF);
write(v_line, " " & justify("BINS COVERAGE GOAL", left, C_COLUMN1_WIDTH) & ": " & justify(to_string(100), right, C_COLUMN2_WIDTH) & LF);
write(v_line, " " & justify("HITS COVERAGE GOAL", left, C_COLUMN1_WIDTH) & ": " & justify(to_string(100), right, C_COLUMN2_WIDTH) & LF);
end if;
write(v_line, " " & justify("COVERPOINTS GOAL", left, C_COLUMN1_WIDTH) & ": " & justify(to_string(protected_covergroup_status.get_covpts_coverage_goal(VOID)), right, C_COLUMN2_WIDTH) & LF);
write(v_line, " " & justify("NUMBER OF BINS", left, C_COLUMN1_WIDTH) & ": " & justify(to_string(priv_bins_idx+priv_invalid_bins_idx), right, C_COLUMN2_WIDTH) & LF);
write(v_line, " " & justify("CROSS DIMENSIONS", left, C_COLUMN1_WIDTH) & ": " & justify(to_string(priv_num_bins_crossed), right, C_COLUMN2_WIDTH) & LF);
-- Print report bottom line
write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - C_PREFIX'length)) & LF & LF);
-- Write the info string to transcript
wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-C_PREFIX'length);
prefix_lines(v_line, C_PREFIX);
if file_name /= "" then
file_open(file_handler, file_name, open_mode);
tee(file_handler, v_line); -- write to file, while keeping the line contents
file_close(file_handler);
end if;
write_line_to_log_destination(v_line);
DEALLOCATE(v_line);
end procedure;
------------------------------------------------------------
-- Optimized Randomization
------------------------------------------------------------
impure function rand(
constant sampling : t_rand_sample_cov;
constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel)
return integer is
constant C_LOCAL_CALL : string := "rand(" & to_upper(to_string(sampling)) & ")";
variable v_ret : integer_vector(0 to 0);
begin
v_ret := rand(sampling, msg_id_panel, C_LOCAL_CALL);
if priv_num_bins_crossed /= C_UNINITIALIZED then
log(ID_FUNC_COV_RAND, get_name_prefix(VOID) & C_LOCAL_CALL & "=> " & to_string(v_ret(0)), priv_scope, msg_id_panel);
end if;
return v_ret(0);
end function;
impure function rand(
constant sampling : t_rand_sample_cov;
constant msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
constant ext_proc_call : string := "")
return integer_vector is
constant C_LOCAL_CALL : string := "rand(" & to_upper(to_string(sampling)) & ")";
variable v_bin_weight_list : t_val_weight_int_vec(0 to priv_bins_idx-1);
variable v_acc_weight : natural := 0;
variable v_values_vec : integer_vector(0 to C_FC_MAX_NUM_BIN_VALUES-1);
variable v_bin_idx : natural;
variable v_ret : integer_vector(0 to MAXIMUM(priv_num_bins_crossed,1)-1);
variable v_hits : natural := 0;
variable v_iteration : natural := 0;
begin
if priv_num_bins_crossed = C_UNINITIALIZED then
alert(TB_ERROR, C_LOCAL_CALL & "=> Coverpoint does not contain any bins", priv_scope);
return v_ret;
end if;
-- A transition bin returns all the transition values before allowing to select a different bin value
if priv_rand_transition_bin_idx /= C_UNINITIALIZED then
v_bin_idx := priv_rand_transition_bin_idx;
else
-- Assign each bin a randomization weight
while v_acc_weight = 0 loop
for i in 0 to priv_bins_idx-1 loop
v_bin_weight_list(i).value := i;
v_hits := priv_bins(i).hits - (v_iteration * get_total_min_hits(priv_bins(i).min_hits));
if v_hits < get_total_min_hits(priv_bins(i).min_hits) then
v_bin_weight_list(i).weight := get_total_min_hits(priv_bins(i).min_hits) - v_hits when priv_bins(i).rand_weight = C_USE_ADAPTIVE_WEIGHT else
priv_bins(i).rand_weight;
else
v_bin_weight_list(i).weight := 0;
end if;
v_acc_weight := v_acc_weight + v_bin_weight_list(i).weight;
end loop;
-- When all the bins have reached their min_hits, the accumulated weight will be 0 and
-- a new iteration will be done where all the bins are uncovered again by simulating
-- the number of hits are cleared
v_iteration := v_iteration + 1;
end loop;
-- Choose a random bin index
v_bin_idx := priv_rand_gen.rand_val_weight(v_bin_weight_list, msg_id_panel);
end if;
-- Select the random bin values to return (ignore and illegal bin values are never selected)
for i in 0 to priv_num_bins_crossed-1 loop
v_values_vec := (others => 0);
if priv_bins(v_bin_idx).cross_bins(i).contains = VAL then
if priv_bins(v_bin_idx).cross_bins(i).num_values = 1 then
v_ret(i) := priv_bins(v_bin_idx).cross_bins(i).values(0);
else
for j in 0 to priv_bins(v_bin_idx).cross_bins(i).num_values-1 loop
v_values_vec(j) := priv_bins(v_bin_idx).cross_bins(i).values(j);
end loop;
v_ret(i) := priv_rand_gen.rand(ONLY, v_values_vec(0 to priv_bins(v_bin_idx).cross_bins(i).num_values-1), NON_CYCLIC, msg_id_panel);
end if;
elsif priv_bins(v_bin_idx).cross_bins(i).contains = RAN then
v_ret(i) := priv_rand_gen.rand(priv_bins(v_bin_idx).cross_bins(i).values(0), priv_bins(v_bin_idx).cross_bins(i).values(1), NON_CYCLIC, msg_id_panel);
elsif priv_bins(v_bin_idx).cross_bins(i).contains = TRN then
-- Store the bin index to return the next value in the following rand() call
if priv_rand_transition_bin_idx = C_UNINITIALIZED then
priv_rand_transition_bin_idx := v_bin_idx;
end if;
v_ret(i) := priv_bins(v_bin_idx).cross_bins(i).values(priv_rand_transition_bin_value_idx(i));
if priv_rand_transition_bin_value_idx(i) < priv_bins(v_bin_idx).cross_bins(i).num_values then
priv_rand_transition_bin_value_idx(i) := priv_rand_transition_bin_value_idx(i) + 1;
end if;
else
alert(TB_FAILURE, C_LOCAL_CALL & "=> Unexpected error, bin contains " & to_upper(to_string(priv_bins(v_bin_idx).cross_bins(i).contains)), priv_scope);
end if;
-- Reset transition index variables when all the transitions in a bin have been generated
if i = priv_num_bins_crossed-1 and priv_rand_transition_bin_idx /= C_UNINITIALIZED then
for j in 0 to priv_num_bins_crossed-1 loop
if priv_bins(v_bin_idx).cross_bins(j).contains = TRN and priv_rand_transition_bin_value_idx(j) < priv_bins(v_bin_idx).cross_bins(j).num_values then
exit;
elsif j = priv_num_bins_crossed-1 then
priv_rand_transition_bin_idx := C_UNINITIALIZED;
priv_rand_transition_bin_value_idx := (others => 0);
end if;
end loop;
end if;
end loop;
if sampling = SAMPLE_COV then
sample_coverage(v_ret, msg_id_panel, C_LOCAL_CALL);
end if;
if ext_proc_call = "" then -- Do not print log message when being called from another method
log(ID_FUNC_COV_RAND, get_name_prefix(VOID) & C_LOCAL_CALL & "=> " & to_string(v_ret), priv_scope, msg_id_panel);
end if;
return v_ret;
end function;
procedure set_rand_seeds(
constant seed1 : in positive;
constant seed2 : in positive) is
begin
initialize_coverpoint("set_rand_seeds");
priv_rand_gen.set_rand_seeds(seed1, seed2);
end procedure;
procedure set_rand_seeds(
constant seeds : in t_positive_vector(0 to 1)) is
begin
initialize_coverpoint("set_rand_seeds");
priv_rand_gen.set_rand_seeds(seeds);
end procedure;
procedure get_rand_seeds(
variable seed1 : out positive;
variable seed2 : out positive) is
begin
priv_rand_gen.get_rand_seeds(seed1, seed2);
end procedure;
impure function get_rand_seeds(
constant VOID : t_void)
return t_positive_vector is
begin
return priv_rand_gen.get_rand_seeds(VOID);
end function;
end protected body t_coverpoint;
end package body func_cov_pkg;
| mit | f7ac56811f01f045c518879cbe273bd1 | 0.593474 | 3.476721 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_cmp/flt_cmp.vhd | 2 | 30,599 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
bfUfRF1FIn63WU61HZCvL3TpcSuBSef09c5EgtnQ6AjhtJKMRiGLzmt+BCRfQY6AJosTVw+vDRUe
WPZ6Xp5t8w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
AWZE9+K4kijh9/MyEzWz05D6JifNTVKAQYpAM3xaOsDRFnLvRZMcSXqNjWqVQK0D6qjFE1ZbpBRt
ew55MKXaDAtISz/1NT5O6gOUtu3bsIkDLJdeBrW0uLPE25prM8usRHciF1DcZewGuRVkw858bsod
scbUIXMJMDCwKbLpetc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WuKwNb/bQDQT0S9/m/4fBRPC7DfaM3ELLhIQDocwQA1fbXi2ZVrMXERdiLXFZ7O8exY2dEZCCVX0
fRqihKphjLm/uEVfSJvAcGQqWz/gGSn1okzWoybAx2B00YYx5MNMyPLc+p2sNmvduh1KDBW9Z8DG
UdOZ0sxV8CTCy0M7aWNctzDHNwN9uwJ8P6UafQCpSJck41r3Z5pfNzHT+yAygqGmrOQsCSH2tlr/
VXPF9DMrhc4Bo2z/6sjmmdyavAkPFekzbec28wAz8zEge3/H+l/CrB3LsK3Kq09y8cGCEIfIT8b+
8vjoOs43ZO1TQlIQagbDtIUSnjBV96/VJ85SAg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MwUM8WywCSESf0L/eqlxhDCkFb5l1tolPZghSnHMpwgOL8PKhb8sDC+HvUkSIx2z6zXRJGZQeY+U
+2EtBnC/1J2UoFQXlf92nr9fhzI+fnHcl+BuiMohTQtm/sfyFrYCDGDLG85MbBNKZnhucRwQMTO/
SaY2r1tJJ9PFWwxqkiw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pwVDegpwmlcUh5/BqaVIechisopDA8BrhQm44UsIf1p3aqVmZJjM+D78Krl3oik+6sxi3z28hEPz
ZUR7/DL/w9VB2YPUv2Y2Nk0bo0Dx6eiJ6OBqDwWkZj5p2wWHqv00VPQ7wcLFt4mePBsBE3OcYmpZ
v113Pw+QYvZ6LXpUlaipUylpkbJX62AyG+5N+mFRge4s26vRcSOfPIOp509VPp3PMupsR75Hnjup
GUuLm/UQ6RU16Xs/VH0IHfiqGKL994aMoVoE2gl9rWl0mTn+vC3wjsMN2+NJdISWlZ6AXZHs/Ylz
SKqyb89+vmtKbNti8Y+Mrej/h1WtoJ3xAuElaw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20912)
`protect data_block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=
`protect end_protected
| gpl-2.0 | dac24aba89f8801bcae6f033e9eeaf82 | 0.946109 | 1.842203 | false | false | false | false |
UVVM/uvvm_vvc_framework | bitvis_vip_gpio/src/gpio_vvc.vhd | 1 | 17,968 | --========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
use work.gpio_bfm_pkg.all;
use work.vvc_methods_pkg.all;
use work.vvc_cmd_pkg.all;
use work.td_target_support_pkg.all;
use work.td_vvc_entity_support_pkg.all;
use work.td_cmd_queue_pkg.all;
use work.td_result_queue_pkg.all;
--========================================================================================================================
entity gpio_vvc is
generic (
GC_DATA_WIDTH : natural range 1 to C_VVC_CMD_DATA_MAX_LENGTH;
GC_INSTANCE_IDX : natural;
GC_DEFAULT_LINE_VALUE : std_logic_vector(GC_DATA_WIDTH-1 downto 0);
GC_GPIO_BFM_CONFIG : t_gpio_bfm_config := C_GPIO_BFM_CONFIG_DEFAULT;
GC_CMD_QUEUE_COUNT_MAX : natural := 1000;
GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := warning;
GC_RESULT_QUEUE_COUNT_MAX : natural := 1000;
GC_RESULT_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := warning
);
port (
gpio_vvc_if : inout std_logic_vector(GC_DATA_WIDTH-1 downto 0) := GC_DEFAULT_LINE_VALUE
);
end entity gpio_vvc;
--========================================================================================================================
--========================================================================================================================
architecture behave of gpio_vvc is
constant C_SCOPE : string := C_VVC_NAME & "," & to_string(GC_INSTANCE_IDX);
constant C_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, NA);
signal executor_is_busy : boolean := false;
signal queue_is_increasing : boolean := false;
signal last_cmd_idx_executed : natural := 0;
signal terminate_current_cmd : t_flag_record;
-- Instantiation of the element dedicated Queue
shared variable command_queue : work.td_cmd_queue_pkg.t_generic_queue;
shared variable result_queue : work.td_result_queue_pkg.t_generic_queue;
alias vvc_config : t_vvc_config is shared_gpio_vvc_config(GC_INSTANCE_IDX);
alias vvc_status : t_vvc_status is shared_gpio_vvc_status(GC_INSTANCE_IDX);
alias transaction_info : t_transaction_info is shared_gpio_transaction_info(GC_INSTANCE_IDX);
begin
--========================================================================================================================
-- Constructor
-- - Set up the defaults and show constructor if enabled
--========================================================================================================================
work.td_vvc_entity_support_pkg.vvc_constructor(C_SCOPE, GC_INSTANCE_IDX, vvc_config, command_queue, result_queue, GC_GPIO_BFM_CONFIG,
GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
GC_RESULT_QUEUE_COUNT_MAX, GC_RESULT_QUEUE_COUNT_THRESHOLD, GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY);
--========================================================================================================================
--========================================================================================================================
-- Command interpreter
-- - Interpret, decode and acknowledge commands from the central sequencer
--========================================================================================================================
cmd_interpreter : process
variable v_cmd_has_been_acked : boolean; -- Indicates if acknowledge_cmd() has been called for the current shared_vvc_cmd
variable v_local_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
begin
-- 0. Initialize the process prior to first command
work.td_vvc_entity_support_pkg.initialize_interpreter(terminate_current_cmd, global_awaiting_completion);
-- initialise shared_vvc_last_received_cmd_idx for channel and instance
shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := 0;
-- Then for every single command from the sequencer
loop -- basically as long as new commands are received
-- 1. wait until command targeted at this VVC. Must match VVC name, instance and channel (if applicable)
-- releases global semaphore
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.await_cmd_from_sequencer(C_VVC_LABELS, vvc_config, THIS_VVCT, VVC_BROADCAST, global_vvc_busy, global_vvc_ack, shared_vvc_cmd, v_local_vvc_cmd);
v_cmd_has_been_acked := false; -- Clear flag
-- update shared_vvc_last_received_cmd_idx with received command index
shared_vvc_last_received_cmd_idx(NA, GC_INSTANCE_IDX) := v_local_vvc_cmd.cmd_idx;
-- 2a. Put command on the queue if intended for the executor
-------------------------------------------------------------------------
if v_local_vvc_cmd.command_type = QUEUED then
work.td_vvc_entity_support_pkg.put_command_on_queue(v_local_vvc_cmd, command_queue, vvc_status, queue_is_increasing);
-- 2b. Otherwise command is intended for immediate response
-------------------------------------------------------------------------
elsif v_local_vvc_cmd.command_type = IMMEDIATE then
case v_local_vvc_cmd.operation is
when AWAIT_COMPLETION =>
work.td_vvc_entity_support_pkg.interpreter_await_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed);
when AWAIT_ANY_COMPLETION =>
if not v_local_vvc_cmd.gen_boolean then
-- Called with lastness = NOT LAST: Acknowledge immediately to let the sequencer continue
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack, v_local_vvc_cmd.cmd_idx);
v_cmd_has_been_acked := true;
end if;
work.td_vvc_entity_support_pkg.interpreter_await_any_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed, global_awaiting_completion);
when DISABLE_LOG_MSG =>
uvvm_util.methods_pkg.disable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE, v_local_vvc_cmd.quietness);
when ENABLE_LOG_MSG =>
uvvm_util.methods_pkg.enable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE, v_local_vvc_cmd.quietness);
when FLUSH_COMMAND_QUEUE =>
work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, command_queue, vvc_config, vvc_status, C_VVC_LABELS);
when TERMINATE_CURRENT_COMMAND =>
work.td_vvc_entity_support_pkg.interpreter_terminate_current_command(v_local_vvc_cmd, vvc_config, C_VVC_LABELS, terminate_current_cmd);
when FETCH_RESULT =>
work.td_vvc_entity_support_pkg.interpreter_fetch_result(result_queue, v_local_vvc_cmd, vvc_config, C_VVC_LABELS, last_cmd_idx_executed, shared_vvc_response);
when others =>
tb_error("Unsupported command received for IMMEDIATE execution: '" & to_string(v_local_vvc_cmd.operation) & "'", C_SCOPE);
end case;
wait for 0 ns;
else
tb_error("command_type is not IMMEDIATE or QUEUED", C_SCOPE);
end if;
-- 3. Acknowledge command after runing or queuing the command
-------------------------------------------------------------------------
if not v_cmd_has_been_acked then
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack, v_local_vvc_cmd.cmd_idx);
end if;
end loop;
end process;
--========================================================================================================================
--========================================================================================================================
-- Command executor
-- - Fetch and execute the commands
--========================================================================================================================
cmd_executor : process
variable v_cmd : t_vvc_cmd_record;
variable v_read_data : t_vvc_result; -- See vvc_cmd_pkg
variable v_timestamp_start_of_current_bfm_access : time := 0 ns;
variable v_timestamp_start_of_last_bfm_access : time := 0 ns;
variable v_timestamp_end_of_last_bfm_access : time := 0 ns;
variable v_command_is_bfm_access : boolean := false;
variable v_prev_command_was_bfm_access : boolean := false;
variable v_normalised_data : std_logic_vector(GC_DATA_WIDTH-1 downto 0) := (others => '0');
begin
-- 0. Initialize the process prior to first command
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.initialize_executor(terminate_current_cmd);
loop
-- 1. Set defaults, fetch command and log
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, command_queue, vvc_config, vvc_status, queue_is_increasing, executor_is_busy, C_VVC_LABELS);
-- Reset the transaction info for waveview
transaction_info := C_TRANSACTION_INFO_DEFAULT;
transaction_info.operation := v_cmd.operation;
transaction_info.msg := pad_string(to_string(v_cmd.msg), ' ', transaction_info.msg'length);
-- Check if command is a BFM access
v_prev_command_was_bfm_access := v_command_is_bfm_access; -- save for inter_bfm_delay
if v_cmd.operation = SET or v_cmd.operation = GET or v_cmd.operation = CHECK or v_cmd.operation = EXPECT then
v_command_is_bfm_access := true;
else
v_command_is_bfm_access := false;
end if;
-- Insert delay if needed
work.td_vvc_entity_support_pkg.insert_inter_bfm_delay_if_requested(vvc_config => vvc_config,
command_is_bfm_access => v_prev_command_was_bfm_access,
timestamp_start_of_last_bfm_access => v_timestamp_start_of_last_bfm_access,
timestamp_end_of_last_bfm_access => v_timestamp_end_of_last_bfm_access,
scope => C_SCOPE);
if v_command_is_bfm_access then
v_timestamp_start_of_current_bfm_access := now;
end if;
-- 2. Execute the fetched command
-------------------------------------------------------------------------
case v_cmd.operation is
when SET =>
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data, v_normalised_data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", "gpio_set() called with to wide data. " & v_cmd.msg);
transaction_info.data(GC_DATA_WIDTH-1 downto 0) := v_normalised_data;
gpio_set(data_value => v_normalised_data,
msg => format_msg(v_cmd),
data_port => gpio_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel);
when GET =>
gpio_get(data_value => v_read_data(GC_DATA_WIDTH-1 downto 0),
msg => format_msg(v_cmd),
data_port => gpio_vvc_if,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel);
work.td_vvc_entity_support_pkg.store_result(result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_read_data);
when CHECK =>
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data_exp, v_normalised_data, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", "gpio_check() called with to wide data. " & v_cmd.msg);
transaction_info.data(GC_DATA_WIDTH-1 downto 0) := v_normalised_data;
gpio_check(data_exp => v_normalised_data,
msg => format_msg(v_cmd),
data_port => gpio_vvc_if,
alert_level => v_cmd.alert_level,
scope => C_SCOPE,
msg_id_panel => vvc_config.msg_id_panel);
when EXPECT =>
-- Normalise data
v_normalised_data := normalize_and_check(v_cmd.data_exp, v_normalised_data, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", "gpio_expect() called with to wide data. " & v_cmd.msg);
transaction_info.data(GC_DATA_WIDTH-1 downto 0) := v_normalised_data;
gpio_expect(data_exp => v_normalised_data,
timeout => v_cmd.timeout,
msg => format_msg(v_cmd),
data_port => gpio_vvc_if,
alert_level => v_cmd.alert_level,
scope => C_SCOPE,
config => vvc_config.bfm_config,
msg_id_panel => vvc_config.msg_id_panel);
-- UVVM common operations
--===================================
when INSERT_DELAY =>
log(ID_INSERTED_DELAY, "Running: " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd), C_SCOPE, vvc_config.msg_id_panel);
if v_cmd.gen_integer_array(0) = -1 then
-- Delay specified using time
wait until terminate_current_cmd.is_active = '1' for v_cmd.delay;
else
-- Delay specified using integer
wait until terminate_current_cmd.is_active = '1' for v_cmd.gen_integer_array(0) * vvc_config.bfm_config.clock_period;
end if;
when others =>
tb_error("Unsupported local command received for execution: '" & to_string(v_cmd.operation) & "'", C_SCOPE);
end case;
if v_command_is_bfm_access then
v_timestamp_end_of_last_bfm_access := now;
v_timestamp_start_of_last_bfm_access := v_timestamp_start_of_current_bfm_access;
if ((vvc_config.inter_bfm_delay.delay_type = TIME_START2START) and
((now - v_timestamp_start_of_current_bfm_access) > vvc_config.inter_bfm_delay.delay_in_time)) then
alert(vvc_config.inter_bfm_delay.inter_bfm_delay_violation_severity, "BFM access exceeded specified start-to-start inter-bfm delay, " &
to_string(vvc_config.inter_bfm_delay.delay_in_time) & ".", C_SCOPE);
end if;
end if;
-- Reset terminate flag if any occurred
if (terminate_current_cmd.is_active = '1') then
log(ID_CMD_EXECUTOR, "Termination request received", C_SCOPE, vvc_config.msg_id_panel);
uvvm_vvc_framework.ti_vvc_framework_support_pkg.reset_flag(terminate_current_cmd);
end if;
last_cmd_idx_executed <= v_cmd.cmd_idx;
-- Reset the transaction info for waveview
transaction_info := C_TRANSACTION_INFO_DEFAULT;
end loop;
end process;
--========================================================================================================================
--========================================================================================================================
-- Command termination handler
-- - Handles the termination request record (sets and resets terminate flag on request)
--========================================================================================================================
cmd_terminator : uvvm_vvc_framework.ti_vvc_framework_support_pkg.flag_handler(terminate_current_cmd); -- flag: is_active, set, reset
--========================================================================================================================
end behave;
| mit | 8aa07a03594647254bd1be1fcf6806dc | 0.514637 | 4.351659 | false | true | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/xfft_v9_0_viv.vhd | 3 | 69,873 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PCm2fNIkeE7RqN1Qh8aDBzSz2JZdHrSd39tfvplEdg301UYEYTYTh7LAGuyNl/IWzVtXy/8OLKM2
jEsukhpHyQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RwLRXbOkAb7oBKsdG074UuSXcADZ7XW9e8TFDepVg3T5gHDHlCoCkhWq9htKPblu7CdCqhJ10k/h
vm6kCixqzAf7pRbi6Dd7yD8JkHHpD/JoCpG0CXkR/YkwyGacxzBT1e81vN7QTqojdxa7d/QRyQC+
MewlL03luvuOMVsoYDI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ig+L+aUsv/EyA85z3weCZqReauEsxoUG/dQGRFaaA5NTQinUnMmZKRSCqwqjwKHtQvgCVcrj39nv
BvmgbwrS287dmW0ayl0xVJH1ZBO4m/r6tWMGBH+BqwvlJuYzwDq+XuaVTyGzQsJQEpn3gOGPS37n
by3PiEpwE8sEJJzLmjC0P2WRWiChNAhPX68xR3rPYA1v//HykKkOMZR6FxpbRWStkhoP4ZXtekka
MT+U1HqIsEUXJ3yu9Lv53E1z+hITgeHqLQuoHDZxe/vo1aJp6P7bfmUYBqKcuhtfI1+q/ROLWobm
dwK7BAzmKU9Op6712saAT0JuiaJqDfbDYUHO9A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lsIBIwPgIXnrlbB1KQUAyK5Bmb+zT58EQeCjYseHbFK6kNgAjElBgxkvBKz1ldl+9Q1yKo9moyZ4
0HyIHRAHS95K/FmwvZR8HN20nLUworQZNn1ZSc4snrj+MuW4LurL7+bP+fn5i/mEoYRMkwf+hLQ2
pxb0CiqXIODA5ZIP5pI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
B4T4vMluttJXNdEAWlnoeDvXFlnigyOiQxAptjffGiB+sVVUpeE4ItUuQIRNPONjqpFlyqMY59aT
BzHfGmB25cZxQOUUdyiY2I51XOBeohLT8+YNLywsTYYY9gjqJGsNoMYGBy1/vYQ1yDSpY5QEuAHL
HcpPLsR9S/YaklUk82wT85wlXBEbo3w1EX2jAIcHHgFKDtVpBBrxw5WWxE9wckGNsaRnIB7WuvM6
U5XE08mbJJz5+nK1bcsOtBfGhk+0ZjdLIH5Pb5sAhVUy9iyG4VfJojcINLmFVH3IsTL+uXTZoTaH
qqG9CnqzsOurHX7xzG3E/qxLQpSyspS9D9m7+A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 49984)
`protect data_block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==
`protect end_protected
| gpl-2.0 | 57b334bfb9ec15dcb0b02db54a4e973f | 0.951412 | 1.819278 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r2_rw_addr.vhd | 3 | 16,658 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
AHdDlnvcIhhXZBtegPVNieDYJ3P8xhTW7163IREIbysYbJKuM/jZ1b6GWQ96VtZ1W5KeB2U/0gWi
RtXbkIuDNw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ovZVCK4WIqlj1aBnndGdM+rs3zWxxPD2OGbBIq+1M0paCpBfc6BrM9TGYUotKn2pihzQ17LwEwY3
A8VHD0zcaIv0RXRnCtrFK7U9GgYrwQATVb0Zoz+Ye0IeMsiZ2LZxCIp3or9Ul+M7w4CHGAxDbipH
o7OFrWNdFP5TvYC4+qQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nAQGDn+TpbvSY5el8bUON96x0Eki/HTLVb4NdmxEzGN1MHIxh+Y8LC2PMUPCdrei3A4DWRdwm5+g
feLaPXmubSbJmNzh1ifL+QMLnCm0KqLfOO2YQD5IUndj7gs/4Ae7Zw5rXr9tLM9tI5wtTblc0vaS
2yiqYwjPHqXvELeXNx/XhiiLURT4DC4GEZJZA7czq8bD1BWcUvwNQ0fU9+78crOG1+InobG/PMSm
EDJa/VsbeXgA6XJE3nJ/oXahazJUYLG3KQZITecicPMxiSWWr5df5EjZ+AbXt8eQ13D4aRISw/uK
hd6rmrgFuU9eM6Gkx+/15LdoIbH8SUHhyHRf6Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
AEVf3K+Ysauidn8IGFbEaB/UNnqA9E2CD8md0j/tcB9ZVAVx/fv4VAbfip8LdN0pBvBGO4i5IdA2
iofomyOWq5W2AmOzOAkniVz2QETh24igMRQPJ3rEigWbAiah1O76Zdsd6BwvBBHSYNaNoOdpuEef
3RCsyGTwD1Ztoo5Jeuc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hHJvZ9DrSf0M1BU6x2vDPxVrVdRXy/HtIAzrr9j+MiTp7tHhRgXGE4A5MSv6fkNGE4nWE1+2RPw4
sQPzULtl5s2q2z8NxtVz1LA/w2nm1OVbG6xaS4jiTo+TRdu5wYlf3I0Kq/p2vf1XqwnTn5Op4F4k
I2huEjf9qTrbRshGAjSFxOZ0qZ01uAY8AKG/h+3M034+cXABNP17kyr4TB/L2LjC8IwIlvc+X67h
LTHm+pql1+CJu98yBYPzrtgSu6SP2G7j5ptruxETN3+R/JuUr4Qz20TD2rirJYhX6hAi/brIdvmy
UhGce7WsKvyN7XUutMMN+3YHR/kXkhfcqh9yvQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10592)
`protect data_block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=
`protect end_protected
| gpl-2.0 | b7c88b8eb90f4d4ea26c02f356a37d70 | 0.938108 | 1.857908 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_2/part_4/top.vhd | 1 | 7,737 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06.03.2014 15:08:57
-- Design Name:
-- Module Name: top - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity top is
Port ( clk_raw : in STD_LOGIC;
sw : in STD_LOGIC_VECTOR (7 downto 0);
leds : out STD_LOGIC_VECTOR (7 downto 0);
VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0);
VGA_HSYNC : out STD_LOGIC;
VGA_VSYNC : out STD_LOGIC
);
end top;
architecture Behavioral of top is
constant horz : integer := 5;
signal clk_100MHz: std_logic;
signal clk_193MHz: std_logic;
signal clk_250MHz: std_logic;
signal sw_buffer: std_logic_vector(7 downto 0);
signal hscnt: std_logic_vector(11 downto 0);
signal vscnt: std_logic_vector(11 downto 0);
signal data: std_logic_vector(11 downto 0):= (others=>'0');
signal addra: std_logic_vector(10 downto 0);
signal addrb: std_logic_vector(10 downto 0);
signal dina: std_logic_vector(15 downto 0);
signal doutb: std_logic_vector(15 downto 0);
alias sine:std_logic_vector(7 downto 0) is doutb(7 downto 0);
signal s_axis_config_tdata: std_logic_vector(7 downto 0);
signal phase: std_logic_vector(31 downto 0);
signal m_axis_data_tdata: std_logic_vector(7 downto 0);
signal m_last: std_logic_vector(7 downto 0);
signal valid: std_logic;
signal write: std_logic;
signal fpulse: std_logic;
signal vga_fpulse: std_logic;
signal saved: std_logic;
signal timer : std_logic_vector(5 downto 0);
signal sine_signed : signed (7 downto 0);
signal last: signed (7 downto 0);
signal y: signed (11 downto 0);
component clk_base is
port (
clk_raw : in STD_LOGIC;
clk_250MHz : out STD_LOGIC;
clk_100MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end component;
component clk_video is
port (
clk_100MHz : in STD_LOGIC;
clk_193MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end component;
COMPONENT bram
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT;
-- COMPONENT dds
-- PORT (
-- aclk : IN STD_LOGIC;
-- s_axis_phase_tvalid : IN STD_LOGIC;
-- s_axis_phase_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
-- m_axis_data_tvalid : OUT STD_LOGIC;
-- m_axis_data_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
-- );
-- END COMPONENT;
COMPONENT dds
PORT (
aclk : IN STD_LOGIC;
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
begin
clk_base1: clk_base port map(clk_raw, clk_250MHz, clk_100MHz, open);
clk_video1: clk_video port map(clk_100MHz, clk_193MHz, open);
vga1: vga generic map(
Hsync=> 112,
Hact=> 1280,
Hfp=>48,
Hbp=>248,
Vsync=>3,
Vact=> 1024,
Vfp=> 1,
Vbp=> 38
) port map( clk_193MHz, hscnt,vscnt,VGA_HSYNC, VGA_VSYNC,vga_fpulse);
bram_disp: bram
PORT MAP (
clka => clk_250MHz,
wea(0) => '1',
addra => addra,
dina => dina,
clkb => clk_193MHz,
addrb => addrb,
doutb => doutb
);
-- sig_gen: dds
-- PORT MAP (
-- aclk => clk_250MHz,
-- s_axis_phase_tvalid => '1',
-- s_axis_phase_tdata => addra(7 downto 0),
-- m_axis_data_tvalid => valid,
-- m_axis_data_tdata => m_axis_data_tdata
-- );
sig_gen: dds
PORT MAP (
aclk => clk_250MHz,
m_axis_data_tvalid => valid,
m_axis_data_tdata => m_axis_data_tdata
);
--sine_gen: dds
--PORT MAP (
-- aclk => clk_250MHz,
-- s_axis_config_tvalid => '1',
-- s_axis_config_tdata => s_axis_config_tdata,
-- m_axis_data_tvalid => valid,
-- m_axis_data_tdata => m_axis_data_tdata,
-- m_axis_phase_tvalid => open,
-- m_axis_phase_tdata => phase
--);
y <= 511-signed(vscnt);
sine_signed <= signed(sine);
--s_axis_config_tdata(31 downto 1) <= (others=>'0');
--s_axis_config_tdata(0) <= '1';
--addrb <= (others=>'0');
--dina(15 downto 0) <= (others=>'0');
--dina(7 downto 0) <= m_axis_data_tdata;
--s_axis_config_tdata <= "000000000000000000000000"&sw;
--dina <= y ;
addrb <= hscnt(10 downto 0);
dina(15 downto 8) <= (others=>'0');
--std_logic_vector(to_signed(10,11));
process(clk_250MHz) begin
if(clk_250MHz'event and clk_250MHz='1')then
sw_buffer <= sw;
leds <= sw_buffer;
s_axis_config_tdata <= sw_buffer;
end if;
end process;
process(clk_250MHz) begin
if(clk_250MHz'event and clk_250MHz='1')then
--if(valid = '1' and timer > sw_buffer)then
--timer <= (others=>'0');
-- write <= '1';
if(sw_buffer(0) = '1')then
m_last <= dina(7 downto 0);
dina(7 downto 0) <= m_axis_data_tdata;
if(addra < 1024)then
addra <= addra+1;
--else
-- addra <= (others=>'0');
end if;
end if;
-- end if;
-- timer <= timer + 1;
--if(write = '1')then
-- write <= '0';
-- end if;
if(addra >= 1024 and signed(dina(7 downto 0)) >= 0 and signed(m_last) <= 0 )then
addra <= (others=>'0');
end if;
end if;
end process;
process(clk_193MHz) begin
if(clk_193MHz'event and clk_193MHz='1')then
if( hscnt < 1280 and vscnt < 1024)then
VGA_DATA <= data;
else
VGA_DATA <= (others=>'0');
end if;
if (vscnt = 512 or hscnt = 0)then
data <= X"07F";
elsif( (hscnt = 128) or (hscnt = 256) or (hscnt = 384) or (hscnt = 512) or (hscnt = 640) or (hscnt = 768) or (hscnt = 896) or (hscnt = 1024) or (hscnt = 1152) or (hscnt = 1280-1)) then
data <= X"0F0";
elsif((vscnt = 0) or (vscnt = 128) or (vscnt = 256) or (vscnt = 384) or (vscnt = 640) or (vscnt = 768) or (vscnt = 896) or (vscnt = 1024-1)) then
data <= X"0F0";
elsif((sine_signed > last and y > last and y < sine_signed) or sine_signed = y or (sine_signed < last and y < last and y > sine_signed) )then --or (doutb < last and vscnt < last and vscnt > doutb)
data <= X"FFF";
elsif(y = sine_signed)then
data <= X"FFF";
else
data <= X"000";
end if;
last <= sine_signed;
end if;
end process;
end Behavioral;
| gpl-2.0 | 36b5ed09e43cfa74e3964ef4688537bf | 0.535091 | 3.363913 | false | false | false | false |
skordal/potato | testbenches/tb_soc_intercon.vhd | 1 | 2,933 | -- The Potato Processor - A simple processor for FPGAs
-- (c) Kristian Klomsten Skordal 2016 <[email protected]>
-- Report bugs and issues on <https://github.com/skordal/potato/issues>
library ieee;
use ieee.std_logic_1164.all;
entity tb_soc_intercon is
end entity tb_soc_intercon;
architecture testbench of tb_soc_intercon is
-- Clock signal:
signal clk : std_logic := '0';
constant clk_period : time := 10 ns;
-- Reset signal:
signal reset : std_logic := '1';
-- IRQ signal:
signal error_irq : std_logic;
-- Wishbone interface:
signal wb_adr_in : std_logic_vector(11 downto 0) := (others => '0');
signal wb_dat_in : std_logic_vector(31 downto 0) := (others => '0');
signal wb_dat_out : std_logic_vector(31 downto 0);
signal wb_cyc_in : std_logic := '0';
signal wb_stb_in : std_logic := '0';
signal wb_we_in : std_logic := '0';
signal wb_ack_out : std_logic;
-- Bus error interface:
signal err_adr_in : std_logic_vector(31 downto 0) := (others => '0');
signal err_dat_in : std_logic_vector(31 downto 0) := (others => '0');
signal err_sel_in : std_logic_vector( 3 downto 0) := (others => '0');
signal err_cyc_in : std_logic := '0';
signal err_stb_in : std_logic := '0';
signal err_we_in : std_logic := '0';
signal err_ack_out : std_logic;
begin
uut: entity work.pp_soc_intercon
port map(
clk => clk,
reset => reset,
error_irq => error_irq,
wb_adr_in => wb_adr_in,
wb_dat_in => wb_dat_in,
wb_dat_out => wb_dat_out,
wb_cyc_in => wb_cyc_in,
wb_stb_in => wb_stb_in,
wb_we_in => wb_we_in,
wb_ack_out => wb_ack_out,
err_adr_in => err_adr_in,
err_dat_in => err_dat_in,
err_sel_in => err_sel_in,
err_cyc_in => err_cyc_in,
err_stb_in => err_stb_in,
err_we_in => err_we_in,
err_ack_out => err_ack_out
);
clock: process
begin
clk <= '1';
wait for clk_period / 2;
clk <= '0';
wait for clk_period / 2;
end process clock;
stimulus: process
begin
wait for clk_period * 2;
reset <= '0';
wait for clk_period;
-- Do an invalid bus access to see what happens:
err_cyc_in <= '1';
err_stb_in <= '1';
err_adr_in <= x"deadbeef";
err_dat_in <= x"f000000d";
err_we_in <= '1';
wait until err_ack_out = '1';
wait for clk_period;
assert error_irq = '1';
err_cyc_in <= '0';
err_stb_in <= '0';
wait for clk_period;
-- Check the address:
wb_adr_in <= x"00c";
wb_we_in <= '0';
wb_stb_in <= '1';
wb_cyc_in <= '1';
wait until wb_ack_out = '1';
wait for clk_period;
assert wb_dat_out = x"deadbeef";
wb_stb_in <= '0';
wb_cyc_in <= '0';
wait for clk_period;
-- Reset the interrupt:
wb_adr_in <= x"000";
wb_dat_in <= x"00000001";
wb_we_in <= '1';
wb_cyc_in <= '1';
wb_stb_in <= '1';
wait until wb_ack_out = '1';
wait for clk_period;
assert error_irq = '0';
wb_stb_in <= '0';
wb_cyc_in <= '0';
wait;
end process stimulus;
end architecture testbench;
| bsd-3-clause | cee6505b049956020c8513ecb654af48 | 0.599727 | 2.517597 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_3/part_2/cro.vhdl | 1 | 6,784 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06.03.2014 15:08:57
-- Design Name:
-- Module Name: cro - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
entity cro is
generic(
vga_width:integer := 1280;
vga_height:integer := 1024
);
Port ( clk_100MHz : in STD_LOGIC;
ch1_x: in STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0);
ch1_y: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
ch1_update: in STD_LOGIC;
ch2_x: in STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0);
ch2_y: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
ch2_update: in STD_LOGIC;
vline: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
vline_enb: in std_logic;
VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0);
VGA_HSYNC : out STD_LOGIC;
VGA_VSYNC : out STD_LOGIC
);
end cro;
architecture Behavioral of cro is
constant xwidth : integer := log2(vga_width);
constant ywidth : integer := log2(vga_height);
constant grid_x : integer := 15;
constant grid_y : integer := 10;
signal clk_vid: std_logic;
--video
signal hscnt: std_logic_vector(11 downto 0);
signal vscnt: std_logic_vector(11 downto 0);
signal data: std_logic_vector(11 downto 0);
signal fpulse: std_logic;
signal ch1_x_o: STD_LOGIC_VECTOR(xwidth-1 DOWNTO 0);
signal ch1_y_o: STD_LOGIC_VECTOR(ywidth-1 DOWNTO 0);
signal ch2_x_o: STD_LOGIC_VECTOR(xwidth-1 DOWNTO 0);
signal ch2_y_o: STD_LOGIC_VECTOR(ywidth-1 DOWNTO 0);
-- signal vline_buf: STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
signal vline_enb_buf: std_logic;
signal y: signed (ywidth-1 downto 0);
signal x: unsigned (xwidth-1 downto 0);
signal vline_signed: signed (ywidth-1 downto 0);
signal ch1_signed: signed(ywidth-1 downto 0);
signal ch1_last: signed(ywidth-1 downto 0);
signal ch2_signed: signed(ywidth-1 downto 0);
signal ch2_last: signed(ywidth-1 downto 0);
component clk_193MHz is
port (
clk_100MHz : in STD_LOGIC;
clk_193MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end component;
component clk_108MHz is
port (
clk_100MHz : in STD_LOGIC;
clk_108MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end component;
COMPONENT bram
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT;
begin
-- generate VGA driver for 1920 display --
vga_gen1: if ( vga_width = 1920 ) GENERATE
assert (true) report "generating 1920" severity note;
clk_video1: clk_193MHz port map(clk_100MHz, clk_vid, open);
vga1: vga
generic map(
Hsync=> 208,
Hact=> 1920,
Hfp=>128,
Hbp=>336,
Vsync=>3,
Vact=> 1200,
Vfp=> 1,
Vbp=> 38)
port map( clk_vid, hscnt,vscnt,VGA_HSYNC, VGA_VSYNC,fpulse);
END GENERATE vga_gen1;
-- generate VGA driver for 1280 display --
vga_gen2: if ( vga_width = 1280 ) GENERATE
assert (true) report "generating 1280" severity note;
clk_video1: clk_108MHz port map(clk_100MHz, clk_vid, open);
vga1: vga
generic map(
Hsync=> 112,
Hact=> 1280,
Hfp=>48,
Hbp=>248,
Vsync=>3,
Vact=> 1024,
Vfp=> 1,
Vbp=> 38)
port map( clk_vid, hscnt,vscnt,VGA_HSYNC, VGA_VSYNC,fpulse);
END GENERATE vga_gen2;
bram_disp_ch1: bram
PORT MAP (
clka => clk_100MHz,
wea(0) => ch1_update,
addra => ch1_x,
dina(15 downto 11) => (others=>'0'),
dina(10 downto 0) => ch1_y,
clkb => clk_vid,
addrb(10 downto 0) => ch1_x_o,
doutb(15 downto ywidth)=>open,
doutb(ywidth-1 downto 0) => ch1_y_o
);
bram_disp_ch2: bram
PORT MAP (
clka => clk_100MHz,
wea(0) => ch2_update,
addra => ch2_x,
dina(15 downto 11) => (others=>'0'),
dina(10 downto 0) => ch2_y,
clkb => clk_vid,
addrb(10 downto 0) => ch2_x_o,
doutb(15 downto ywidth)=>open,
doutb(ywidth-1 downto 0) => ch2_y_o
);
process(clk_vid) begin
if(clk_vid'event and clk_vid='1')then
y <= (vga_height/2 - 1)-signed(vscnt(ywidth-1 downto 0) );
x <= unsigned(hscnt(xwidth-1 downto 0));
end if;
end process;
process(clk_vid) begin
if(clk_vid'event and clk_vid='1')then
ch1_signed <= signed(ch1_y_o);
ch2_signed <= signed(ch2_y_o);
vline_signed <= signed(vline);
--cosine_signed <= signed(cosine);
end if;
end process;
process(clk_vid) begin
if(clk_vid'event and clk_vid='1')then
ch1_x_o <= hscnt(xwidth-1 downto 0);
ch2_x_o <= hscnt(xwidth-1 downto 0);
end if;
end process;
process(clk_vid) begin
if(clk_vid'event and clk_vid='1')then
vline_enb_buf <= vline_enb;
if( hscnt < vga_width and vscnt < vga_height)then
VGA_DATA <= data;
else
VGA_DATA <= (others=>'0');
end if;
if(vline_signed = y and vline_enb_buf = '1' ) then
data <= X"FFF";
elsif (vscnt = 600 or hscnt = 0)then
data <= X"07F";
elsif( (hscnt = 128) or (hscnt = 256) or (hscnt = 384) or (hscnt = 512) or (hscnt = 640) or (hscnt = 768) or (hscnt = 896) or (hscnt = 1024) or (hscnt = 1152) or (hscnt = 1280) or (hscnt = 1408) or (hscnt = 1536) or (hscnt = 1664) or (hscnt = 1792) or (hscnt = 1920-1)) then
data <= X"0F0";
elsif((vscnt = 0) or (vscnt = 120) or (vscnt = 120*2) or (vscnt = 120*3) or (vscnt = 120*4) or (vscnt = 120*5) or (vscnt = 120*6) or (vscnt = 120*7) or (vscnt = 120*8) or (vscnt = 120*9) or (vscnt = 1200-1)) then
data <= X"0F0";
elsif( y = ch1_signed or (ch1_signed > ch1_last and y > ch1_last and y < ch1_signed) or ch1_signed = y or (ch1_signed < ch1_last and y < ch1_last and y > ch1_signed) )then
data <= X"0FF";
elsif( y = ch2_signed or (ch2_signed > ch2_last and y > ch2_last and y < ch2_signed) or ch2_signed = y or (ch2_signed < ch2_last and y < ch2_last and y > ch2_signed) )then
data <= X"F70";
else
data <= X"000";
end if;
ch1_last <= ch1_signed;
ch2_last <= ch2_signed;
end if;
end process;
end Behavioral;
| gpl-2.0 | 3bc401267d6baf4d3274aff2922ac81e | 0.581073 | 2.857624 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_recip/flt_recip_postprocess.vhd | 2 | 18,648 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
P1ewN8GgWywqBB6U1q13qgmMECiKEfC6g7uOqU85Fh4SZNrHTnNxgT6YcqTqulLsh0PD58H2LsIu
huypzhamIg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aIHzm0KqyBx48gLFUIZctDPSr/ovkX4jeTIAx0U5qLT/py1RRz//O3Na+W1osVhFfZ+zQTCznAud
uz9cTXrr6si5EoUVCD+uUMBjBbe4Mq2DAv8/uZkoBYOT4vqJLaP3JSP7c6lwvUnHS1piCHyLhU+U
sNH9uPH6CtDGeD9VfvY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
o2xs0j1jd85uBF56nH5+rGLfyJGJKTZc5BI2bV95NHBIwmhzyl/wCMwSgNpEKjZJG01Khj5+wcoa
dGGJHlDlTvTMb8A5lnAzkXCtZbFgPUGt59+Ix5x89N28yHPhS36cHMq3+EKcgDHqgt7nCopWoYKB
PDzmon2zh1CeY6ROFwlqepmjenjXRFruvGemoP0EKz2ae6MQBeanZi2bhX4CHRSVnQEgj37ps3ST
iNL1PhIfuzLekAi4U4nMBvKkWFRoikj2sDxrr4LtS68gndmIsTqeUW6d48VGC6uKrRbEgemkwzEb
QGaDafHkN45b9anZmw9VXbUpEMQKcLee6eVErw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
H6A7YPWsjgI4LD5Xz33NVoQ633rt46ebKtAhCVn9GuCcCuvVqdhagV4imxiXoFIWDrvNfvXejMnn
7UDGTOoXbhfTg8MmHKXIz1y4IxiZX3GzyJvHrIwzbl+b3FDomOnu5F+mwezcvV0oNj28y4c7TOHr
EWpFcvka3M+TiPnenuU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
chZ+s9GrMiHD57SBBEOtoMsjP5Zi8q0O1/UJ38RIfm4CM1VQAlHRsYS+SVhbr+TSo3eX/uL4d+Wb
D9bI9e+wwgKaRnKU/VW8AiRKgR5zXSdCPvNWGwLJt3x8ffGQ5GFusTYq685K31furH74JjDGzETy
tjdaMQB5y8/7awmtPs775L4them9fG5mWzNhB2Hph7WqCuVCaSX7q8CKrxEBiQk9ATCheWbKMWtS
lMXa2OMwWvgyzJ70W6hGIXKzXrJQAOghkP90DEuA2gGYdd9bfyFy3MsQ83l9IMbrp8VxK2cz1FBO
9/XFZWoEqi1TZXdgWMni9F9j9+zdeyKdXRd/Pg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12064)
`protect data_block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`protect end_protected
| gpl-2.0 | 4f4c8d18c980e2cd6f2555dfb0b900cd | 0.939136 | 1.857001 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_mult/fix_mult/fix_mult_qq.vhd | 2 | 11,709 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Sf6J/k7lBhBf5xmuWclKsdmqoMPFRW4B4ycu/lCFiKnRZo8BEK/zWnv4E/lHAjwCRO8+uKnbzIiA
lqUV1v3rZQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EoP+vee2KIYF35q12S6CAnH2P074e6LL3JCjThjek6neFqvziJO4umKpgD82YMS1Xza96DyeQw7m
rrYy37JYjal6xjSqAGVIMFPoEkLq6pwaYhKDgRjxgP/yT0GdDzC2zIkHzSy6llzEmZYVZjPCOZnl
IyBh74P7DK43aBu77hE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Ee7ViJpUJXhwoaG0bJSd+Nm7KNRzJDZ7XeoQK5yzcB1dHi+THpXLQ3hdCSa/n5hvs8OYRVw8BN8A
R3ha3IURuXHO1jr8vI6qnmzShEQ4KZDnjJXc14zz+Pt399yPKx9Scfdha+FgVCBupkzxfZm+tTMO
Fq4e36GvX8SC1HnIAWLqDKakOUnZRpjJyB+6pmXvebqrod809WZstOvrUkw5+dX0IcAkYBf5oYdG
O8A3xD1r6XenpxIj0oskz7jURCEeT8P2myLYGgwpG7SUtafP69X+WGEIBp5qWTFDlOLIDxFEotjz
I2ck19Aj035cY/lRZOALHvLlhdpUkorq34U58g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HzLOU0NRivJnoUivUboY0ZoOr4GY8P1Ked9phjkZpJxFJpFAKQd9S+ESzmztXvUrmWZU/QzrZyRy
hR/meTa0wZXSxxIE+l01Ncf+nS8YiNlOa/FC3KjcnuOFsYD7VqyMRKC5qGYjxlF7GE3Ny8RQMeeb
Vg++FHHi20gruBAlIbM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GNqrkGHz8cpBaAuGg0xND2TuM0kY7VWrSN6XcsZFiCSpTVL2Pgvvu1KXNlkCtTWpYtQJTFIzMIYa
RdIL4qxqZNcAPLkrTvMjmQsIDQFePB1tErAPZsASRowR6hp6QGpgtDQmH2WAFoI+jWlpgG/jMtOM
G5YmsNHb3bDovF2BUpviaRTXHIUtKpmDOR+1DTxw7cTZzMtZ93tA/46mlhHb0Hs5QcGqBuJmgCI/
f8OYEub/s8E0QjX4MJiTozXmc1/WPmJiWN/nj7URvsMEv7CbTGJk8/7S1qM7wj5gWgWcWng4FqgS
o/0YVGUpqWuSRx1MCZpN7pZSTPqAHEOQtxK4vg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6928)
`protect data_block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`protect end_protected
| gpl-2.0 | 558b63c5c869ceed1ddd42ba60d2cf8d | 0.928602 | 1.892211 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_gpio/src/vvc_methods_pkg.vhd | 1 | 30,134 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
--========================================================================================================================
-- This VVC was generated with Bitvis VVC Generator
--========================================================================================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
library bitvis_vip_scoreboard;
use bitvis_vip_scoreboard.generic_sb_support_pkg.all;
use work.gpio_bfm_pkg.all;
use work.vvc_cmd_pkg.all;
use work.td_target_support_pkg.all;
use work.transaction_pkg.all;
--========================================================================================================================
package vvc_methods_pkg is
--========================================================================================================================
-- Types and constants for the GPIO VVC
--========================================================================================================================
constant C_VVC_NAME : string := "GPIO_VVC";
signal GPIO_VVCT : t_vvc_target_record := set_vvc_target_defaults(C_VVC_NAME);
alias THIS_VVCT : t_vvc_target_record is GPIO_VVCT;
alias t_bfm_config is t_gpio_bfm_config;
-- Type found in UVVM-Util types_pkg
constant C_GPIO_INTER_BFM_DELAY_DEFAULT : t_inter_bfm_delay := (
delay_type => NO_DELAY,
delay_in_time => 0 ns,
inter_bfm_delay_violation_severity => warning
);
type t_vvc_config is record
inter_bfm_delay : t_inter_bfm_delay;
cmd_queue_count_max : natural;
cmd_queue_count_threshold_severity : t_alert_level;
cmd_queue_count_threshold : natural;
result_queue_count_max : natural; -- Maximum number of unfetched results before result_queue is full.
result_queue_count_threshold_severity : t_alert_level; -- An alert with severity 'result_queue_count_threshold_severity' will be issued if command queue exceeds this count.
-- Used for early warning if result queue is almost full. Will be ignored if set to 0.
result_queue_count_threshold : natural; -- Severity of alert to be initiated if exceeding result_queue_count_threshold
bfm_config : t_gpio_bfm_config;
msg_id_panel : t_msg_id_panel;
parent_msg_id_panel : t_msg_id_panel; --UVVM: temporary fix for HVVC, remove in v3.0
end record;
type t_vvc_config_array is array (natural range <>) of t_vvc_config;
constant C_GPIO_VVC_CONFIG_DEFAULT : t_vvc_config := (
inter_bfm_delay => C_GPIO_INTER_BFM_DELAY_DEFAULT,
cmd_queue_count_max => C_CMD_QUEUE_COUNT_MAX,
cmd_queue_count_threshold_severity => C_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
cmd_queue_count_threshold => C_CMD_QUEUE_COUNT_THRESHOLD,
result_queue_count_max => C_RESULT_QUEUE_COUNT_MAX,
result_queue_count_threshold_severity => C_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY,
result_queue_count_threshold => C_RESULT_QUEUE_COUNT_THRESHOLD,
bfm_config => C_GPIO_BFM_CONFIG_DEFAULT,
msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT,
parent_msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT
);
type t_vvc_status is record
current_cmd_idx : natural;
previous_cmd_idx : natural;
pending_cmd_cnt : natural;
end record;
type t_vvc_status_array is array (natural range <>) of t_vvc_status;
constant C_VVC_STATUS_DEFAULT : t_vvc_status := (
current_cmd_idx => 0,
previous_cmd_idx => 0,
pending_cmd_cnt => 0
);
type t_transaction_info is record
operation : t_operation;
msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0);
end record;
type t_transaction_info_array is array (natural range <>) of t_transaction_info;
constant C_TRANSACTION_INFO_DEFAULT : t_transaction_info := (
data => (others => '0'),
operation => NO_OPERATION,
msg => (others => ' ')
);
shared variable shared_gpio_vvc_config : t_vvc_config_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_GPIO_VVC_CONFIG_DEFAULT);
shared variable shared_gpio_vvc_status : t_vvc_status_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_VVC_STATUS_DEFAULT);
shared variable shared_gpio_transaction_info : t_transaction_info_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_TRANSACTION_INFO_DEFAULT);
-- Scoreboard
package gpio_sb_pkg is new bitvis_vip_scoreboard.generic_sb_pkg
generic map (t_element => std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0),
element_match => std_match,
to_string_element => to_string);
use gpio_sb_pkg.all;
shared variable GPIO_VVC_SB : gpio_sb_pkg.t_generic_sb;
--==========================================================================================
-- Methods dedicated to this VVC
-- - These procedures are called from the testbench in order for the VVC to execute
-- BFM calls towards the given interface. The VVC interpreter will queue these calls
-- and then the VVC executor will fetch the commands from the queue and handle the
-- actual BFM execution.
-- For details on how the BFM procedures work, see the QuickRef.
--==========================================================================================
procedure gpio_set(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data : in std_logic_vector;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure gpio_get(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data_routing : in t_data_routing;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure gpio_get(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure gpio_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data_exp : in std_logic_vector;
constant msg : in string := "";
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure gpio_check_stable(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data_exp : in std_logic_vector;
constant stable_req : in time;
constant msg : in string := "";
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure gpio_expect(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data_exp : in std_logic_vector;
constant timeout : in time := 1 us;
constant msg : in string := "";
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure gpio_expect_stable(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data_exp : in std_logic_vector;
constant stable_req : in time;
constant stable_req_from : in t_from_point_in_time;
constant timeout : in time := 1 us;
constant msg : in string := "";
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
--==============================================================================
-- Transaction info methods
--==============================================================================
procedure set_global_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT);
procedure reset_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record);
--==============================================================================
-- VVC Activity
--==============================================================================
procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic;
variable vvc_status : inout t_vvc_status;
constant activity : in t_activity;
constant entry_num_in_vvc_activity_register : in integer;
constant last_cmd_idx_executed : in natural;
constant command_queue_is_empty : in boolean;
constant scope : in string := C_VVC_NAME);
--==============================================================================
-- VVC Scoreboard helper method
--==============================================================================
function pad_gpio_sb(
constant data : in std_logic_vector
) return std_logic_vector;
end package vvc_methods_pkg;
package body vvc_methods_pkg is
--========================================================================================================================
-- Methods dedicated to this VVC
--========================================================================================================================
procedure gpio_set(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data : in std_logic_vector;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := "gpio_set";
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all
& ", " & ", " & to_string(data, HEX, KEEP_LEADING_0, INCL_RADIX) & ")";
variable v_normalised_data : std_logic_vector(shared_vvc_cmd.data'length-1 downto 0) :=
normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with too wide data. " & add_msg_delimiter(msg));
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, SET);
shared_vvc_cmd.data := v_normalised_data;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure gpio_get(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data_routing : in t_data_routing;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := "gpio_get";
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all
& ", " & ")";
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, GET);
shared_vvc_cmd.data_routing := data_routing;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure gpio_get(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant msg : in string := "";
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
begin
gpio_get(VVCT, vvc_instance_idx, NA, msg, scope, parent_msg_id_panel);
end procedure;
procedure gpio_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data_exp : in std_logic_vector;
constant msg : in string := "";
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := "gpio_check";
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all
& ", " & to_string(data_exp, HEX, KEEP_LEADING_0, INCL_RADIX) & ")";
variable v_normalised_data : std_logic_vector(shared_vvc_cmd.data_exp'length-1 downto 0) :=
normalize_and_check(data_exp, shared_vvc_cmd.data_exp, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with too wide data. " & add_msg_delimiter(msg));
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, CHECK);
shared_vvc_cmd.data_exp := v_normalised_data;
shared_vvc_cmd.alert_level := alert_level;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure gpio_check_stable(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data_exp : in std_logic_vector;
constant stable_req : in time;
constant msg : in string := "";
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := "gpio_check_stable";
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all
& ", " & to_string(data_exp, HEX, KEEP_LEADING_0, INCL_RADIX) & ", " & to_string(stable_req) & ")";
variable v_normalised_data : std_logic_vector(shared_vvc_cmd.data_exp'length-1 downto 0) :=
normalize_and_check(data_exp, shared_vvc_cmd.data_exp, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with too wide data. " & add_msg_delimiter(msg));
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, CHECK_STABLE);
shared_vvc_cmd.data_exp := v_normalised_data;
shared_vvc_cmd.stable_req := stable_req;
shared_vvc_cmd.alert_level := alert_level;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure gpio_expect(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data_exp : in std_logic_vector;
constant timeout : in time := 1 us;
constant msg : in string := "";
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := "gpio_expect";
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all
& ", " & to_string(data_exp, HEX, KEEP_LEADING_0, INCL_RADIX) & ")";
variable v_normalised_data : std_logic_vector(shared_vvc_cmd.data_exp'length-1 downto 0) :=
normalize_and_check(data_exp, shared_vvc_cmd.data_exp, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with too wide data. " & add_msg_delimiter(msg));
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, EXPECT);
shared_vvc_cmd.data_exp := v_normalised_data;
shared_vvc_cmd.timeout := timeout;
shared_vvc_cmd.alert_level := alert_level;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure gpio_expect_stable(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant data_exp : in std_logic_vector;
constant stable_req : in time;
constant stable_req_from : in t_from_point_in_time;
constant timeout : in time := 1 us;
constant msg : in string := "";
constant alert_level : in t_alert_level := error;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := "gpio_expect_stable";
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all
& ", " & to_string(data_exp, HEX, KEEP_LEADING_0, INCL_RADIX) & ", " & to_string(stable_req) & ")";
variable v_normalised_data : std_logic_vector(shared_vvc_cmd.data_exp'length-1 downto 0) :=
normalize_and_check(data_exp, shared_vvc_cmd.data_exp, ALLOW_WIDER_NARROWER, "data_exp", "shared_vvc_cmd.data_exp", proc_call & " called with too wide data. " & add_msg_delimiter(msg));
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, EXPECT_STABLE);
shared_vvc_cmd.data_exp := v_normalised_data;
shared_vvc_cmd.stable_req := stable_req;
shared_vvc_cmd.stable_req_from := stable_req_from;
shared_vvc_cmd.timeout := timeout;
shared_vvc_cmd.alert_level := alert_level;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
--==============================================================================
-- Transaction info methods
--==============================================================================
procedure set_global_vvc_transaction_info(
signal vvc_transaction_info_trigger : inout std_logic;
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT) is
begin
case vvc_cmd.operation is
when SET | GET | CHECK | CHECK_STABLE | EXPECT | EXPECT_STABLE =>
vvc_transaction_info_group.bt.operation := vvc_cmd.operation;
vvc_transaction_info_group.bt.data(vvc_cmd.data'length-1 downto 0) := vvc_cmd.data;
vvc_transaction_info_group.bt.data_exp(vvc_cmd.data_exp'length-1 downto 0) := vvc_cmd.data_exp;
vvc_transaction_info_group.bt.vvc_meta.msg(1 to vvc_cmd.msg'length) := vvc_cmd.msg;
vvc_transaction_info_group.bt.vvc_meta.cmd_idx := vvc_cmd.cmd_idx;
vvc_transaction_info_group.bt.transaction_status := IN_PROGRESS;
gen_pulse(vvc_transaction_info_trigger, 0 ns, "pulsing global vvc transaction info trigger", scope, ID_NEVER);
when others =>
alert(TB_ERROR, "VVC operation not recognized");
end case;
wait for 0 ns;
end procedure set_global_vvc_transaction_info;
procedure reset_vvc_transaction_info(
variable vvc_transaction_info_group : inout t_transaction_group;
constant vvc_cmd : in t_vvc_cmd_record) is
begin
case vvc_cmd.operation is
when SET | GET | CHECK | CHECK_STABLE | EXPECT | EXPECT_STABLE =>
vvc_transaction_info_group.bt := C_BASE_TRANSACTION_SET_DEFAULT;
when others =>
null;
end case;
wait for 0 ns;
end procedure reset_vvc_transaction_info;
--==============================================================================
-- VVC Activity
--==============================================================================
procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic;
variable vvc_status : inout t_vvc_status;
constant activity : in t_activity;
constant entry_num_in_vvc_activity_register : in integer;
constant last_cmd_idx_executed : in natural;
constant command_queue_is_empty : in boolean;
constant scope : in string := C_VVC_NAME) is
variable v_activity : t_activity := activity;
begin
-- Update vvc_status after a command has finished (during same delta cycle the activity register is updated)
if activity = INACTIVE then
vvc_status.previous_cmd_idx := last_cmd_idx_executed;
vvc_status.current_cmd_idx := 0;
end if;
if v_activity = INACTIVE and not(command_queue_is_empty) then
v_activity := ACTIVE;
end if;
shared_vvc_activity_register.priv_report_vvc_activity(vvc_idx => entry_num_in_vvc_activity_register,
activity => v_activity,
last_cmd_idx_executed => last_cmd_idx_executed);
if global_trigger_vvc_activity_register /= 'L' then
wait until global_trigger_vvc_activity_register = 'L';
end if;
gen_pulse(global_trigger_vvc_activity_register, 0 ns, "pulsing global trigger for vvc activity register", scope, ID_NEVER);
end procedure;
--==============================================================================
-- VVC Scoreboard helper method
--==============================================================================
function pad_gpio_sb(
constant data : in std_logic_vector
) return std_logic_vector is
begin
return pad_sb_slv(data, C_VVC_CMD_DATA_MAX_LENGTH);
end function pad_gpio_sb;
end package body vvc_methods_pkg;
| mit | edae4e34922ac77f6064e964432363db | 0.552399 | 4.09485 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_v3_0_viv_comp.vhd | 8 | 9,375 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XyENI6N1WDT3n7+/aqH3vl3nh9uETG894NG9wgWoXfUqeTz3vPfjWxm+enqE5ZqO36i+HD20G/z2
P4Z48K13jg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Tt/L2l4YcxwCRC+1n/HaeaDAgqg7Nk9X+XcCqnBX5WXbYFd7g2tD21azngE0RxGM2gSDyGJ/Fe3U
ZfELP2XhU7gZ9DBK6WvUqWi1vU4YOSKBh5EBuean80UjDnFaY1tIlYtuMcIqVVX+ERTxde6B1+Aj
cyFEdhDsPqtksROLjaM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
S9mFMgh42gAT6CAm+XnW30LAjxZNGsfnVIAuaxlm3drL0Rq3u5vNR1IR0mij+MJFHhwLpnbF8EIK
HooLNBcg713WKtzu8cgmGI0O4PebJx7jM8QUcfqPoibzRYhUYJ+G7Yta5dj1C65tmA03jX3eKSn2
cJVRMGKoSOb2Yfikz2XfoqfwujoEtB1Pdg6tvdcii6xQpNtvHOaHF56Pk/TOrzq2HFmo95H+B+Pu
dEs4rsPZ9dSGfM3xrS21Ju5kefI+9dIaJ0egcksYnQhYJunk821TAZiA3QLJtZsCeSmE56ZvB5fH
2zR95uFiDnxGc8MdD7YQZuIfgNMNV7Cgw4dmhA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Kc3Dm0Jcuv721jyVwIgJU9WWRzMfi8OedMJZSogWe0HHi881xg2oJbqD2TAnTaLNOHGuV2l22IRc
PXpCetxJkF+VzFLkvbu5dN5k/10WBEnLdcg3NDkStYwwXopJSQFiIPNaiHJfye5snLJJDcViyDZZ
tshL7CEwNYE3u3YXMNs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nUMZddx/mfZJSbFLacFOXHAF7tGQhDh2J+dQrnw0qDBYBvAvLvYzyWtvQJHion8d19RzD9Gc68UV
/M+F0Y/T9IcF1C+bwQW2UlCJfO6CpI3+DUiSD7O0MQlkxm4srecWhgsmjdHLrktLJvkguOD4+hc7
LSEIl0wlVWefLg5ywylk7eZLnx6KdeH65KuCfuG/YT1IEzfobTVkWGPRWFsgRRpfi2MfO+tWG6X2
TI0XNL6DqP6vd2mld3iutqzcb8PGCti9+LtYLb9z5aHPFYT6A4Beqlqw5Xoj5WelRc5ptVjAizW0
4hMyJs64L9Es2aFbFOGJnTOY/zgApQ5mZz++aQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5200)
`protect data_block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`protect end_protected
| gpl-2.0 | 3e8d4d38c07d95fd7f351d55bd105091 | 0.922987 | 1.900852 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/so_run_addr_gen_left_shift.vhd | 3 | 34,166 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
V7XHRvGKSecMAHX3QiZ9RupH2/taz0NQfL55SJa+XDHRAvepYVvNcxdUwF0HvoF9jIRKrB57sVW6
nViLg1zrZw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JUopEx0c+YyFdQQg7Rs7w3aKUSNpMFzUCtkOAsTybXfkecnxYOsbOvRVkv5+w9iAMto+3g4pcwNT
W6xijqkStHka80C87zQuiMfJzaJzMsBC6nAOYRJ7oKAzi+7K/HndGNVB+87E0Ud7ZrnyWSLqZna7
ZJ7yCxbj6wceB6vzpCc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
X+EAxPwqvabFUc3k3DiVoSn2TN8ZWONGQD7rnqfJdp9k1n4SJQ7q2/D/zZIp64Jlby+Mq0i/pzmZ
5EMnTYmLi9eOFhfWvCvnFv6dLjRhPToLfXBARqyfGOTffag1KAGTgSHRFIsj5XLRhbRGn0s7fuXY
5PR4n3uJLId312uj4ao5iqP32noQEHOWc4dc9v+dTD3pCNj6UBcyC6WudcgNao9BNVUPsM3mzCJr
ulwGmpg0QEygcBMYDeJqcU+CePzITr2F2VftBbPnBZvpcMY3FYCeIXSS2sSyqxvJTEHMsKnuuzNb
Jsd6OD6ThYttkYCET0cqTOWkSFgzT3XR3Mw0PQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VQN2/X1zArrf2WXN2v5SjnWHZ9PoaCM+4UglH/54Pz9Crqe3oFoL0gfXzO6NE2rpA/zE3RpCvCgL
cFP5vE/SCC07viB2aERn4jwyUCO3wSx1NvD2dCuz6pTKP5QiouVaDpDgsZBxRLzhBFKPTnjTzejI
vDCh9yNaschirIIu/5o=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OYqrvudfxNkh6QkmOWmoVBXSeYoJkeW9o74DNXCDRAf2+RlNE8hWajii8LE7tIx5hp1Uibmql7Ex
yguE1QZsHGvLWNqU01X4BIVFTdYlux+aYsYTQXjesSNwbdIdqIg90thvaHy91YoKQZSS2ylqxgWg
17kOG4RmluGJOnaPxza/DVH/RI8rHffhAYF22vS4YF44t8qCKUUaguD2Og8xm+zYTvx73kPBzLOc
hRs0MI3lLiLpAa1TvWQOzDF2ao6n485IlvHwcRk3PTOLooscX0dNOY43cFYEqcTr8jFfFA3hmk9K
rc8d+RpNxL6aEICH/G1eoLKks5TNimt5Tc0wlw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23552)
`protect data_block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`protect end_protected
| gpl-2.0 | 0921cde0334691f6044d359c7db38474 | 0.94714 | 1.842131 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_div/flt_div_mant_addsub.vhd | 2 | 10,368 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jZERul6MUPUJqxYRG3LH1Yc7PbwBxsOvpc4U7ylchsKDsAqrxeRSSDKV5bNnMnIiytkJAqooF2kU
DFcbnqnreA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cBbBXvwmeizIN2whVu9BoGT90b8ZpCRbJjo9h21SQQuY/5TrDaw4gP6j1YFk2U7ewZ4FiPg95oLj
c8afpIw2jeCo91NOSO80rayzdduIMzpCPWcNuXJElGRN2SL/tD1qNx0KFfeh06n4IhMU1OMlOVAq
tHnUq0HDIwzHXycF7us=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SllH9NWxllopSyEsypPc3xX4W3hVSK8ESSYiIB/MMvuoq7/2s3GyWDPxREmZYIqQzTgqeEVFgTf7
INO/bgU67ULnM/+beTr0mO1G0WIckh+K9BIno+oGFYGXPn47x7M9gafCA8IzzF6BqD4zyAg2+74b
8g1KRA/qMtcfes178ksZkpeHbJej8e5gSn5+7VjHYxXV5vID9yrKrOkbfhjsVY9No2/mG9VF0EVV
9Wd8A4FEZ4GDYYdDAYDtnW7KQGa0MTRflC5DpdO3NeKb1/qWOGd0WVjLQ/1IF34128x5t/llpbmD
LHC67zhmEs/p470KAj/+v0KZJAlVEyaj3topvA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TsEbvZOK1TsvchXJwBAt0SdKGTi+HjmlWHovwH55Rnh4+lFq62yfZl9epmix1rS1GZMWjwx5vwsR
qn6pSkw3Ebe8zDJJUbwgmGRLeX82CEBb9oCm5eGv9rKJ+snQTMPs4uCNpzYMUPVp+M5OVAw55Mgj
5aA7p7O1awXYndWSg6M=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jNOhcxVQeIIQ3811DT6AJULNWzhrym6I4BXAnHX4xTO4qOsGHbwNVStr/rTeMZ+lun2MwT+SLI6t
DWzwr4ku0GTx48sGgNzrDNq6DOIRL1SN/BjRLCuBebJnHT5AMdwJNq76hud+BwZCjWUg7NOUkxWd
2rHr2+wTEKpJsmzriqC7HD7DW1pRKKGTnZmIg/ZNr0qtXPsbzIbwb+Hmutzw0IrhncKE9Z6hinka
kIvfgBdmXCJr2rkdBg1aCO273Hiv1NTBTrz0bhnO3GlfA6oRZzV5GCTK4jm85MKFaWS47Oy5OFy2
KwAk9NOynnjyPIjbHtAPWcVXGX2s+aiQ7eL3jA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5936)
`protect data_block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`protect end_protected
| gpl-2.0 | 15c6ac2e0bfaaabe2600b126188d7c38 | 0.927855 | 1.907636 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/axi_utils_v2_0/hdl/glb_srl_fifo.vhd | 10 | 35,288 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QxodsG/iEg3cE363G6VECyXJA+1p7F0B89+a9vmxSurty2jOsVFT9Q0iu9zMmc/scGK60SHAHhM6
tEGnSd913Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
a4PmNuLC405AT6jkTD/Xrz8AomwSRQEle6EhtCXfm1AGDyQ6Jb3BD8XXF+vAG2Bw5/3ExirUoqyx
t48YqansXwS6FawEtoiDwyCot1onFdVWv6saOrLrTNX+IbZuWsW0dYoPQ9Fty08ANpecAcgpy5pS
tWijltzc6Z2ayXV9UJ0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gA5Ykxx7ND5xaAvPROevLQsc3aNI8LtIAdLRj+4Dd8RtzMmD31nckUCrRcZMfC63Vhe5dfIY0Sgp
Wue3SsY3fiSkUCTlkSHMp6/JpkzlVzflA9Ih8497Cmn7yIWXT9eJSwXSzTtasGsyBslkluhiZLN7
JxYYM6KLFlx62K/j194wAZLXx75kXwjZgd9Q7RooAfJQbq0k/G/IgBuscPi0TwjWGXsNH0D9IgQZ
hGENBxNTpu1NO8U6G4lqJt3Z8CLylmd53lPlokkKu6Q6/80xZy+w5elZR0QdGuyyCDtNkYpA3AvA
NJg8Ue4v2kFnoZe1z+WPObnSPnhvWVyhT/h7CQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
T2asiAQIjf9CaxTgh4eLCc557FdOzoo9drU8vY4nnBC2Z53TjpYYeNfWbKFYJbyZnTr1ZT3xQ5OR
+uvlE96hMr/8tx14+LmOXshKD+eLQs3XcZjt+5e3C7OWeYM5+QKctU/pJXxDjDTrrPS5h4BXeJEF
iAaKC54vmw1DTRL2+90=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KkLHVJ+thgudXA/+SqKaAwaOqDWArH6T26/rAfcgNY5pGPUlOWDIw7EMu+cKvLYe3fzCY+RHqbkr
YN0kSgpFUu4d2VOgZJM/8uDKeDJYoUu6wyIzJQOhUTOWSokjiJ2UsWrCKYMvOSgT7k2uFXxEVcDA
3Z1GvdOIOhTjajbg6ADDYIGRBoeLpuVSrrUrJ2y/oqavV6FVT763C7o2YEtblUB4N0riYfBcac6G
SLjJqiVyzC1Ssn+X6waB3/zNbHg0kQUO4WCuKzN5u/JbTbSbTfXP5fI3hNbtY48UPvQcQDB4c3WZ
o5krq37kC2V4MUiM3wsUXylPKW1g0fCWohV5bg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24384)
`protect data_block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`protect end_protected
| gpl-2.0 | 086f66604a226fd8037f173b5fb87a67 | 0.948254 | 1.836769 | false | false | false | false |
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA | System Design Source FIle/bd/system/ip/system_rst_processing_system7_0_100M_0/synth/system_rst_processing_system7_0_100M_0.vhd | 1 | 6,953 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0
-- IP Revision: 6
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY proc_sys_reset_v5_0;
USE proc_sys_reset_v5_0.proc_sys_reset;
ENTITY system_rst_processing_system7_0_100M_0 IS
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END system_rst_processing_system7_0_100M_0;
ARCHITECTURE system_rst_processing_system7_0_100M_0_arch OF system_rst_processing_system7_0_100M_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "yes";
COMPONENT proc_sys_reset IS
GENERIC (
C_FAMILY : STRING;
C_EXT_RST_WIDTH : INTEGER;
C_AUX_RST_WIDTH : INTEGER;
C_EXT_RESET_HIGH : STD_LOGIC;
C_AUX_RESET_HIGH : STD_LOGIC;
C_NUM_BUS_RST : INTEGER;
C_NUM_PERP_RST : INTEGER;
C_NUM_INTERCONNECT_ARESETN : INTEGER;
C_NUM_PERP_ARESETN : INTEGER
);
PORT (
slowest_sync_clk : IN STD_LOGIC;
ext_reset_in : IN STD_LOGIC;
aux_reset_in : IN STD_LOGIC;
mb_debug_sys_rst : IN STD_LOGIC;
dcm_locked : IN STD_LOGIC;
mb_reset : OUT STD_LOGIC;
bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT proc_sys_reset;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF system_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2014.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF system_rst_processing_system7_0_100M_0_arch : ARCHITECTURE IS "system_rst_processing_system7_0_100M_0,proc_sys_reset,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF system_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "system_rst_processing_system7_0_100M_0,proc_sys_reset,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK";
ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
BEGIN
U0 : proc_sys_reset
GENERIC MAP (
C_FAMILY => "zynq",
C_EXT_RST_WIDTH => 4,
C_AUX_RST_WIDTH => 4,
C_EXT_RESET_HIGH => '0',
C_AUX_RESET_HIGH => '0',
C_NUM_BUS_RST => 1,
C_NUM_PERP_RST => 1,
C_NUM_INTERCONNECT_ARESETN => 1,
C_NUM_PERP_ARESETN => 1
)
PORT MAP (
slowest_sync_clk => slowest_sync_clk,
ext_reset_in => ext_reset_in,
aux_reset_in => aux_reset_in,
mb_debug_sys_rst => mb_debug_sys_rst,
dcm_locked => dcm_locked,
mb_reset => mb_reset,
bus_struct_reset => bus_struct_reset,
peripheral_reset => peripheral_reset,
interconnect_aresetn => interconnect_aresetn,
peripheral_aresetn => peripheral_aresetn
);
END system_rst_processing_system7_0_100M_0_arch;
| mit | 2a5918a665de4fc2abe92f871b9c2974 | 0.703294 | 3.454049 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_avalon_st/src/vvc_cmd_pkg.vhd | 1 | 7,455 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
---------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
use work.local_adaptations_pkg.all;
use work.transaction_pkg.all;
--================================================================================================================================
--================================================================================================================================
package vvc_cmd_pkg is
alias t_operation is work.transaction_pkg.t_operation;
--==========================================================================================
-- t_vvc_cmd_record
-- - Record type used for communication with the VVC
--==========================================================================================
type t_vvc_cmd_record is record
-- VVC dedicated fields
channel_value : std_logic_vector(C_VVC_CMD_CHAN_MAX_LENGTH-1 downto 0);
data_array : t_slv_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1)(C_VVC_CMD_WORD_MAX_LENGTH-1 downto 0);
data_array_length : natural;
data_array_word_size : natural;
-- Common VVC fields
operation : t_operation;
proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
data_routing : t_data_routing;
cmd_idx : natural;
command_type : t_immediate_or_queued;
msg_id : t_msg_id;
gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed
gen_boolean : boolean; -- Generic boolean
timeout : time;
alert_level : t_alert_level;
delay : time;
quietness : t_quietness;
parent_msg_id_panel : t_msg_id_panel;
end record;
constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := (
channel_value => (others => '0'),
data_array => (others => (others => '0')),
data_array_length => 0,
data_array_word_size => 0,
-- Common VVC fields
operation => NO_OPERATION,
proc_call => (others => NUL),
msg => (others => NUL),
data_routing => NA,
cmd_idx => 0,
command_type => NO_COMMAND_TYPE,
msg_id => NO_ID,
gen_integer_array => (others => -1),
gen_boolean => false,
timeout => 0 ns,
alert_level => FAILURE,
delay => 0 ns,
quietness => NON_QUIET,
parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL
);
--==========================================================================================
-- shared_vvc_cmd
-- - Shared variable used for transmitting VVC commands
--==========================================================================================
shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
--==========================================================================================
-- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response :
--
-- - Used for storing the result of a BFM procedure called by the VVC,
-- so that the result can be transported from the VVC to for example a sequencer via
-- fetch_result() as described in uvvm_vvc_framework/Common_VVC_Methods QuickRef.
-- - t_vvc_result includes the return value of the procedure in the BFM. It can also
-- be defined as a record if multiple values shall be transported from the BFM
--==========================================================================================
type t_vvc_result is record
channel_value : std_logic_vector(C_VVC_CMD_CHAN_MAX_LENGTH-1 downto 0);
data_array : t_slv_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1)(C_VVC_CMD_WORD_MAX_LENGTH-1 downto 0);
data_array_length : natural;
data_array_word_size : natural;
end record;
type t_vvc_result_queue_element is record
cmd_idx : natural; -- from UVVM handshake mechanism
result : t_vvc_result;
end record;
type t_vvc_response is record
fetch_is_accepted : boolean;
transaction_result : t_transaction_result;
result : t_vvc_result;
end record;
shared variable shared_vvc_response : t_vvc_response;
--==========================================================================================
-- t_last_received_cmd_idx :
-- - Used to store the last queued cmd in VVC interpreter.
--==========================================================================================
type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer;
--==========================================================================================
-- shared_vvc_last_received_cmd_idx
-- - Shared variable used to get last queued index from VVC to sequencer
--==========================================================================================
shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_AVALON_ST_MAX_VVC_INSTANCE_NUM-1) := (others => (others => -1));
--==========================================================================================
-- Procedures
--==========================================================================================
function to_string(
result : t_vvc_result
) return string;
end package vvc_cmd_pkg;
package body vvc_cmd_pkg is
-- Custom to_string overload needed when result is of a record type
function to_string(
result : t_vvc_result
) return string is
begin
return to_string(result.data_array'length) & " Symbols";
end;
end package body vvc_cmd_pkg; | mit | fe6b944d86850343ce10912a8b4bbf14 | 0.456472 | 4.96008 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/so_ranger.vhd | 2 | 9,051 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
L/UpzzjJ2827HVIpKbSj31z/lW1So5alZ+ELgyzVf7NZltu1RMkL1kVmyQJY8BBg6LJKwRl1Vl0e
k4xoRikwhQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GhWpV9M5kfLniqfvvjbB0AJG2/rpd3Ds2b89wx5I0ybkFakKzEksGAqqpuGV7ObCBmr/MnS+CxiV
sOyUSNiVMVjMo831GI+mCZDY4betCg2WEexQF7nq3kk5HuCajczZ1fyrF0Ewl1cX9UUeIRCJWnO4
FJdTjsMwHZRPiIIPF24=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
3kWgcGM2KDP42dldvcoMFI/e3ptvSdVKl8eMT3n+cVa0Aw95fjrojhZ3DyAL7GteMfR+HuaVNaCJ
8TulLQPV9zWthIA2QDyrhe6rqazGLh1YFupXtLLj6ZqYRcIKhD4gMM0gb1YQmAzK5PiiSbXbhVHs
k4AEjZ/R2tJYiNhJuW4x/ggumb/RFuCbih/BkuZ0mS5YANTMAPEyoOdGmwbzu/anmsw4yivgm5Mn
BfG6XwjYFVGMgGnp7xmpHPb84E2m8e46xU5N1+bpvx+3so19GtLEOywrExIvKYy3XDpsiZT1kLZf
9xe3TRsbFdN7jmP+Smy04moZrW+39+8Qq35nPw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3I/mDRGBTzMlN8LDQpBRJxNvlZyseXF3ZoebC0N5JiCFsUSg3JDXB6RfMiAGcLCbx71FcC3F8rIN
bGwiGcFF1lNI2XhdBdoA5vp4Mgi2P18aBGBVnX9jBjjJNrz0cLPQt42u/uAwM/e26UxpbPOI93y0
9MPTv7DoJ7HrwnVHdz8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NfXjgqiEgUV2Zs+lo3LIEHyoucG6vO7rMhH+lbSSeX0v+JDkqX3j8+TitZXVXIpZSMsUkXOEQ/DJ
ShQSsDHnxp5QiQz9sBO6P8DRqv72tRPKw+MeaX7RyYr+h86c6MbwWQonZ4aTlxqyLhScTQ64yym+
PsKQuESGDUy3xmR2syAcNnYGS8xTuMKkZ5On44qsGGCnbh7lK5A0DHRm14B2zkg6/SMOkAccyjXy
pcz1daFk2zcgUYwil3T+0pHythFClQr+s6Znz3J6fntuVi2cZLbbUO2YWgqns/t3kS5DC9V7g78O
I8pWAesE+q5ANrZLloFd2+xNY9c0PZPyeodLQA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4960)
`protect data_block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`protect end_protected
| gpl-2.0 | 310c4aaf8d6144c247dc9c9faa47689e | 0.919677 | 1.91151 | false | false | false | false |
skordal/potato | testbenches/tb_soc_timer.vhd | 1 | 2,299 | -- The Potato Processor - A simple processor for FPGAs
-- (c) Kristian Klomsten Skordal 2014 - 2016 <[email protected]>
-- Report bugs and issues on <https://github.com/skordal/potato/issues>
library ieee;
use ieee.std_logic_1164.all;
entity tb_soc_timer is
end entity tb_soc_timer;
architecture behaviour of tb_soc_timer is
-- Clock signal:
signal clk : std_logic := '0';
constant clk_period : time := 10 ns;
-- Reset signal:
signal reset : std_logic := '1';
-- IRQ signal:
signal irq : std_logic;
-- Wishbone interface:
signal wb_adr_in : std_logic_vector(11 downto 0) := (others => '0');
signal wb_dat_in : std_logic_vector(31 downto 0) := (others => '0');
signal wb_dat_out : std_logic_vector(31 downto 0);
signal wb_cyc_in : std_logic := '0';
signal wb_stb_in : std_logic := '0';
signal wb_we_in : std_logic := '0';
signal wb_ack_out : std_logic;
begin
uut: entity work.pp_soc_timer
port map(
clk => clk,
reset => reset,
irq => irq,
wb_adr_in => wb_adr_in,
wb_dat_in => wb_dat_in,
wb_dat_out => wb_dat_out,
wb_cyc_in => wb_cyc_in,
wb_stb_in => wb_stb_in,
wb_we_in => wb_we_in,
wb_ack_out => wb_ack_out
);
clock: process
begin
clk <= '1';
wait for clk_period / 2;
clk <= '0';
wait for clk_period / 2;
end process clock;
stimulus: process
begin
wait for clk_period * 2;
reset <= '0';
wait for clk_period;
-- Set the compare register to 50:
wb_cyc_in <= '1';
wb_stb_in <= '1';
wb_adr_in <= x"004";
wb_dat_in <= x"00000032";
wb_we_in <= '1';
wait until wb_ack_out = '1';
wait for clk_period;
wb_stb_in <= '0';
wait for clk_period;
-- Start the timer:
wb_stb_in <= '1';
wb_adr_in <= x"000";
wb_dat_in <= x"00000003";
wait until wb_ack_out = '1';
wait for clk_period;
wb_stb_in <= '0';
wb_cyc_in <= '0';
wb_we_in <= '0';
wait for clk_period;
-- Wait for the interrupt:
wait until irq = '1';
wait for clk_period;
-- Reset the interrupt:
wb_cyc_in <= '1';
wb_stb_in <= '1';
wb_we_in <= '1';
wb_adr_in <= x"000";
wb_dat_in <= x"00000003";
wait until wb_ack_out = '1';
wait for clk_period;
wb_stb_in <= '0';
wb_cyc_in <= '0';
wb_we_in <= '0';
wait for clk_period;
wait;
end process stimulus;
end architecture behaviour;
| bsd-3-clause | dd8456bb969d6825e3b0b285cefd6357 | 0.598956 | 2.537528 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/dds/xbip_dsp48_multadd_v3_0/hdl/xbip_dsp48_multadd_rtl.vhd | 6 | 18,040 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
B49RxVcDr+QWOdqjdDu7ZL4VEk2YzkGZdra/uom86RKBiL+bB6qfa3srNlji7iCtjLAgpdbw790j
ydbOsmVLIQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
C1IchkQjh5D/IvkXn97jVhN4sfXpONG0paMbW9i8azGgYlMyztM3q+u7k1wkF0HodYr2dqxKfxDs
vM7E0qa8/IP73ky3EjsZ5voTH/L4qk36QAyBJWs2FMIuL/OHKuWzkSfhTOiUjRr8gSkTSrgxlFiO
CeU/9+AbtqQtY3P/JCk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
3f6gT+iF2scY2jlpCVVqA152u/qENlmwLDtUSIcyQRZG0aBgeotyl8lIJwJgYN8fsJ0r3LRllxoE
J4DxC0GjHoUhiiWBaKvnKrM+DDgxqXNfZLFJEHgCGN3XtByghGISXUePOVBzt4WVELEzcPeqWUpK
jS0DG8gFA21HySNeOg4r6Y6u2X31MU+K1zGlbvl6Ma9QbYMztzpJAe27wRJtpne5amC4rw3tnRaY
TulFD7cdmuT09onM4vnqbl65oJei7cQMnGzDZeaWG3FmLAHxXg6gk76qzHQ+xa8oUmoxC4MZna6U
KrHGAXkH8VhHr02NOwpO6hftH/yE4erq+/Pk+A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qr+xbulKlg07APUQeEedjnQ5qR7CjatRFuQMZLEFGpMLxPE1alNbmO2wwxPSs9uiVkSa/Eih0F7s
Y3bk+ipwJswOWXBJ2mxXPwCGkv8FJTdMt/gfE7DQjie7kPPIGjIguoosTImu6be7CASGkxsvmvzZ
X5etf0txmtqOOwBayiA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aG8hY+vkCvVmFz380q95eFk4kN4EmqJ0CatZ+EVQTxRyX6T43znlGvQNEbi+qM1mf7Hc44mhmCPR
sC+VfvFk9YQ2Ch27aBBsQDhRPbtbcBCfbcly+auYRjVtbyaZTOzS1NM7Sm0SrzFJkrLSoGPcIQg9
zFneZs+paZLwtd/OCasNd72LCgnGFroWxv7dHEpxSowsJFpQUNWB+uAGqjWkpivRwohOwwDavhh8
OfTpERIfPohYgAZcg1XQww4odxDKJESk+KTfVtQ8hNSrNvGn+FrjiTlMIVJ3KO8SF88svQ8H1/5P
BXdySpRAOpQtrqosGXX+Pw8D1Jvf2641aBfXKw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11616)
`protect data_block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`protect end_protected
| gpl-2.0 | 51ada0072a4f5d82979d7983885b92f5 | 0.936142 | 1.852727 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r22_pe.vhd | 3 | 99,571 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VPP2S7XN1rLIapCTypoFey/NenMux4RkTbybb7gI9i8SwEzEbNbaNzT7RrPLl2E25d4N0d/XU8Py
d7yVqhVTeA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mV7LBTCJ1O+K6vVtKs/+ojUmqOiUOs+3NDck2clKVWd1N+WfSWhcd/TGaYPEJljlvtpXlzPmPtJO
U/dmwoddXe94d2orzVAgJHVwzW5crNmK/rOWCf1Xk3ngtMolAbZSkGkb8Es65GBnqOWNwqdhheHJ
s5qpE1XnindQq3ebbik=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mLn8aKVShvFtPdU0lNM3pYkJpP6eBXGWoF3wYuwnVrbXqTcbENbJRHLtsYrGgkRg89EELZPma01L
2zKvUtcb5rjN27XiOZSw5f5Yo1liw13JJtBn8L+C8valQiUeHWLlKzKLIBuSkA0crG+VIolQidW2
52qqpPiyl43S3JvtuNJiSvNSnKi7VzHO4uDhWJemZnG39ZOxTnpRVgTXRPfN8Xlv1EQWg0Le+ulo
dROiK5aR82gdnt2H5wlO9+r1BuL2NInkRSbamMFLrWQ+s2Vf6yAi2W2xPMksXBzzbMgDKiBtgMaE
Lepd4Fd6x2X/FxYXzsMX7B8SRJUM+BfQHtruKA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TiJOYo+P754CD+gSjTzX8l9n1DpEXy+iAnlpWgA14s1RHbPie7RaKeObxbrQPe4zfFAgoRtr92B/
fBWJKtwWREAQZAJzwjKT3Wi8NR6Cfujl3csAPwtHlrz0Ah9Ajgio0Eyqg+QeIzbbFjXs1/8ulXv3
Y4Kdp1/m9VPb2NwadoQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
a4W1fFPr2iXXUGC+N3P2+acmlaaUvEqD8IQaWmgIDGrTULF4rdxg7z/ZmQUBBALrPbMxpT3B4x8G
tAqB4uiZ5SAKw/rVgQr8GOKj+pSmv7qOo3Z7pqxFqksbU8lHWWSfqkFpmfbrc+Y+cgmtKfG3pVvw
1Z8w4VlI+Zwe3RpUQ8qfLkDYacvRgpo6zDdDJqJNmbN/h6i/4744gk3TWw+ksgLKM3TB0JvmWP+O
ScOExjNjRQrf6NRt/XWGFP+AQFpv60XShGNllHwU2k/w/8Semz6o2mQ8lIQe/dFSvmSYoigT1Vz7
hwgSiNJD43kYEBW6vH9/gtS0aeZhtBI37Cnt6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 71968)
`protect data_block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`protect end_protected
| gpl-2.0 | 5ee787422c77e4a8409fe1e39cdaee91 | 0.952878 | 1.815896 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/twgen_distmem.vhd | 2 | 17,676 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HqcCfATkEr+UMHtdPyWhOzkIElT1HboU4Mbkq5HX6E4am4CTgGbYesl3DBHdq74yO9o9PnCYu/FL
jNuDBNABMA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jxfU3+khMC49SPwZdhNrt/fjp4IxAuSqsv4cFJwgaYxr3T3f7vOUXdC77iSi2uTVO+tyz8lNoo/e
DqDVRgw0DWJePAas1/VRY99FfwzvyfjmK9Rwlv8WutxO6/rKmv+oJEZfz0eHdTBfU5HtL0zknrsF
Uvt+lAM5hTFYqSdElBs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s23NxiUkGTAFOSLAh51YG3GAuafFHsgv99MHNcc8tQ1NJM7JQ7f1n1XAh3VyGORr43dSY8lA+1H5
g4RtdOMzppYq1WR9EIUYNLcCZB5CYRQjKvibx1Y8uk8JK0UOev7NhKlvnhq16hGycGyNkNZ727JI
uOH+TsvgsL2uNSZyWfedTXFYdglh8V9NhuOcO2PHk8pk2OaIT1+iZw4ohsn1uHbh3KA7YarCuya1
crB6zFLIzcz6kCTcObSxtZP8xY5ZistFuZxbYLnd9KKmbl14xKVgOkqhh9hSqR8xpwUrX/2hrS+N
dmKF2pVgv/3LEHvUQ88dhYJocGmNBABlbZga4w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Zc06bfjCv1XwxDGoVYPD8JIKLSbD4GM/5hLbMEULQ9+q6bI64NzS5D+QLUmlRZ+oZXBN2H4kC5ae
/JxBfsUtVUfCoC2z+cXyEuQGWddHsVmFjfu6mDqIWETj9uXIvES3LCDIUSeWaMP27HbnHjtQK7Uy
lzNkgA2Mm438OuJAM48=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c3msl/xwvb/1N5/T6BL4OVLJivZNROgHWEbzXY5zahq5a7RrXHKmY8Lkd8DC5mMPljMt/6Qs/4uc
0tAr8sypfsXNC8cnBPH77DariPi/B/FLAq6YO6gH+2aaTI8Xgiw6WkaScZIehZg3I6cQFucTdJos
AHrs2xFRit3l+3yvlMcMBwUD6gTQVgJ8TgGvgIADIFG4qjfGtpdjcW3BW6PI3VaW5p2WIrmDKvko
Khs/SOpxg80GwwUXW8rjbZ90GcSQiHMmuHxFv7ndfMWwjZxqpvVi4BRil0yCt89WmvNlJdP1Wa9R
kInilLupYwbzN43x4sxcZQabmsp42ZePfHrnyQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11344)
`protect data_block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`protect end_protected
| gpl-2.0 | 3632afe6de6b7972704522a5da9ddcc1 | 0.937825 | 1.868104 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Register/Lab04/DC_CTL.vhd | 6 | 2,306 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 15:52:59 03/25/2016
-- Design Name:
-- Module Name: DC_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity DC_CTL is
Port ( CLK : in STD_LOGIC;
RA : in STD_LOGIC_VECTOR (3 downto 0);
RB : in STD_LOGIC_VECTOR (3 downto 0);
RA0 : in STD_LOGIC_VECTOR (3 downto 0);
RA1 : in STD_LOGIC_VECTOR (3 downto 0);
RA2 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB0 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB1 : in STD_LOGIC_VECTOR (3 downto 0);
-- RB2 : in STD_LOGIC_VECTOR (3 downto 0);
OPC : in STD_LOGIC_VECTOR (3 downto 0);
OP1_SEL : out STD_LOGIC_VECTOR (1 downto 0);
OP2_SEL : out STD_LOGIC_VECTOR (1 downto 0));
end DC_CTL;
architecture Mixed of DC_CTL is
signal OP1, OP2 : STD_LOGIC_VECTOR (1 downto 0) := (OTHERS => '0');
begin
process(RA, RB, RA0, RA1, RA2)
begin
-- if (rising_edge(CLK)) then
if (RA = RA0) then
OP1 <= "01";
-- OP1_SEL <= OP1;
elsif (RA = RA1) then
OP1 <= "10";
-- OP1_SEL <= OP1;
elsif (RA = RA2) then
OP1 <= "11";
-- OP1_SEL <= OP1;
else
OP1 <= "00";
-- OP1_SEL <= OP1;
end if;
-- OP1_SEL <= OP1;
if (RB = RA0) then
OP2 <= "01";
elsif (RB = RA1) then
OP2 <= "10";
elsif (RB = RA2) then
OP2 <= "11";
else
OP2 <= "00";
end if;
-- end if;
end process;
OP1_SEL <= OP1;
with OPC select OP2_SEL <=
OP2 when "0000" | "0001" | "0010" | "0011" | "0100",
"00" when "0101" | "0110" | "0111" | "1000" | "1001" | "1010",
"00" when OTHERS;
end Mixed;
| gpl-3.0 | dae4fdc7520969f867b3d6357cb5d43e | 0.521249 | 2.933842 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_7/part_2/ip/clk_adc/clk_adc_funcsim.vhdl | 1 | 8,055 | -- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014
-- Date : Wed Apr 30 22:30:36 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim
-- /home/keith/Documents/VHDL-lib/top/lab_7/part_3/ip/clk_adc/clk_adc_funcsim.vhdl
-- Design : clk_adc
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity clk_adcclk_adc_clk_wiz is
port (
clk_in1_p : in STD_LOGIC;
clk_in1_n : in STD_LOGIC;
clk_250Mhz : out STD_LOGIC;
locked : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of clk_adcclk_adc_clk_wiz : entity is "clk_adc_clk_wiz";
end clk_adcclk_adc_clk_wiz;
architecture STRUCTURE of clk_adcclk_adc_clk_wiz is
signal clk_250Mhz_clk_adc : STD_LOGIC;
signal clk_in1_clk_adc : STD_LOGIC;
signal clkfbout_buf_clk_adc : STD_LOGIC;
signal clkfbout_clk_adc : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute box_type : string;
attribute box_type of clkf_buf : label is "PRIMITIVE";
attribute CAPACITANCE : string;
attribute CAPACITANCE of clkin1_ibufgds : label is "DONT_CARE";
attribute IBUF_DELAY_VALUE : string;
attribute IBUF_DELAY_VALUE of clkin1_ibufgds : label is "0";
attribute IFD_DELAY_VALUE : string;
attribute IFD_DELAY_VALUE of clkin1_ibufgds : label is "AUTO";
attribute box_type of clkin1_ibufgds : label is "PRIMITIVE";
attribute box_type of clkout1_buf : label is "PRIMITIVE";
attribute box_type of mmcm_adv_inst : label is "PRIMITIVE";
begin
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_clk_adc,
O => clkfbout_buf_clk_adc
);
clkin1_ibufgds: unisim.vcomponents.IBUFDS
generic map(
DQS_BIAS => "FALSE",
IOSTANDARD => "DEFAULT"
)
port map (
I => clk_in1_p,
IB => clk_in1_n,
O => clk_in1_clk_adc
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_250Mhz_clk_adc,
O => clk_250Mhz
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 4.000000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 4.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 4.000000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 236.250000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 1,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "ZHOLD",
DIVCLK_DIVIDE => 1,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.000000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_clk_adc,
CLKFBOUT => clkfbout_clk_adc,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clk_in1_clk_adc,
CLKIN2 => '0',
CLKINSEL => '1',
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk_250Mhz_clk_adc,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6) => '0',
DADDR(5) => '0',
DADDR(4) => '0',
DADDR(3) => '0',
DADDR(2) => '0',
DADDR(1) => '0',
DADDR(0) => '0',
DCLK => '0',
DEN => '0',
DI(15) => '0',
DI(14) => '0',
DI(13) => '0',
DI(12) => '0',
DI(11) => '0',
DI(10) => '0',
DI(9) => '0',
DI(8) => '0',
DI(7) => '0',
DI(6) => '0',
DI(5) => '0',
DI(4) => '0',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => '0',
LOCKED => locked,
PSCLK => '0',
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => '0',
PSINCDEC => '0',
PWRDWN => '0',
RST => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity clk_adc is
port (
clk_in1_p : in STD_LOGIC;
clk_in1_n : in STD_LOGIC;
clk_250Mhz : out STD_LOGIC;
locked : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of clk_adc : entity is true;
attribute core_generation_info : string;
attribute core_generation_info of clk_adc : entity is "clk_adc,clk_wiz_v5_1,{component_name=clk_adc,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=4.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
end clk_adc;
architecture STRUCTURE of clk_adc is
begin
U0: entity work.clk_adcclk_adc_clk_wiz
port map (
clk_250Mhz => clk_250Mhz,
clk_in1_n => clk_in1_n,
clk_in1_p => clk_in1_p,
locked => locked
);
end STRUCTURE;
| gpl-2.0 | afbae757e51eaf72678375e846bbff78 | 0.622471 | 3.240145 | false | false | false | false |
keith-epidev/VHDL-lib | top/mono_radio/ip/fir_lp_800kHz/sim/fir_lp_800kHz.vhd | 1 | 10,386 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fir_compiler:7.1
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fir_compiler_v7_1;
USE fir_compiler_v7_1.fir_compiler_v7_1;
ENTITY fir_lp_800kHz IS
PORT (
aclk : IN STD_LOGIC;
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
s_axis_data_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(111 DOWNTO 0)
);
END fir_lp_800kHz;
ARCHITECTURE fir_lp_800kHz_arch OF fir_lp_800kHz IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF fir_lp_800kHz_arch: ARCHITECTURE IS "yes";
COMPONENT fir_compiler_v7_1 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_COMPONENT_NAME : STRING;
C_COEF_FILE : STRING;
C_COEF_FILE_LINES : INTEGER;
C_FILTER_TYPE : INTEGER;
C_INTERP_RATE : INTEGER;
C_DECIM_RATE : INTEGER;
C_ZERO_PACKING_FACTOR : INTEGER;
C_SYMMETRY : INTEGER;
C_NUM_FILTS : INTEGER;
C_NUM_TAPS : INTEGER;
C_NUM_CHANNELS : INTEGER;
C_CHANNEL_PATTERN : STRING;
C_ROUND_MODE : INTEGER;
C_COEF_RELOAD : INTEGER;
C_NUM_RELOAD_SLOTS : INTEGER;
C_COL_MODE : INTEGER;
C_COL_PIPE_LEN : INTEGER;
C_COL_CONFIG : STRING;
C_OPTIMIZATION : INTEGER;
C_DATA_PATH_WIDTHS : STRING;
C_DATA_IP_PATH_WIDTHS : STRING;
C_DATA_PX_PATH_WIDTHS : STRING;
C_DATA_WIDTH : INTEGER;
C_COEF_PATH_WIDTHS : STRING;
C_COEF_WIDTH : INTEGER;
C_DATA_PATH_SRC : STRING;
C_COEF_PATH_SRC : STRING;
C_DATA_PATH_SIGN : STRING;
C_COEF_PATH_SIGN : STRING;
C_ACCUM_PATH_WIDTHS : STRING;
C_OUTPUT_WIDTH : INTEGER;
C_OUTPUT_PATH_WIDTHS : STRING;
C_ACCUM_OP_PATH_WIDTHS : STRING;
C_EXT_MULT_CNFG : STRING;
C_DATA_PATH_PSAMP_SRC : STRING;
C_OP_PATH_PSAMP_SRC : STRING;
C_NUM_MADDS : INTEGER;
C_OPT_MADDS : STRING;
C_OVERSAMPLING_RATE : INTEGER;
C_INPUT_RATE : INTEGER;
C_OUTPUT_RATE : INTEGER;
C_DATA_MEMTYPE : INTEGER;
C_COEF_MEMTYPE : INTEGER;
C_IPBUFF_MEMTYPE : INTEGER;
C_OPBUFF_MEMTYPE : INTEGER;
C_DATAPATH_MEMTYPE : INTEGER;
C_MEM_ARRANGEMENT : INTEGER;
C_DATA_MEM_PACKING : INTEGER;
C_COEF_MEM_PACKING : INTEGER;
C_FILTS_PACKED : INTEGER;
C_LATENCY : INTEGER;
C_HAS_ARESETn : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_DATA_HAS_TLAST : INTEGER;
C_S_DATA_HAS_FIFO : INTEGER;
C_S_DATA_HAS_TUSER : INTEGER;
C_S_DATA_TDATA_WIDTH : INTEGER;
C_S_DATA_TUSER_WIDTH : INTEGER;
C_M_DATA_HAS_TREADY : INTEGER;
C_M_DATA_HAS_TUSER : INTEGER;
C_M_DATA_TDATA_WIDTH : INTEGER;
C_M_DATA_TUSER_WIDTH : INTEGER;
C_HAS_CONFIG_CHANNEL : INTEGER;
C_CONFIG_SYNC_MODE : INTEGER;
C_CONFIG_PACKET_SIZE : INTEGER;
C_CONFIG_TDATA_WIDTH : INTEGER;
C_RELOAD_TDATA_WIDTH : INTEGER
);
PORT (
aresetn : IN STD_LOGIC;
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
s_axis_data_tlast : IN STD_LOGIC;
s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_data_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_config_tvalid : IN STD_LOGIC;
s_axis_config_tready : OUT STD_LOGIC;
s_axis_config_tlast : IN STD_LOGIC;
s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_reload_tvalid : IN STD_LOGIC;
s_axis_reload_tready : OUT STD_LOGIC;
s_axis_reload_tlast : IN STD_LOGIC;
s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tready : IN STD_LOGIC;
m_axis_data_tlast : OUT STD_LOGIC;
m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(111 DOWNTO 0);
event_s_data_tlast_missing : OUT STD_LOGIC;
event_s_data_tlast_unexpected : OUT STD_LOGIC;
event_s_data_chanid_incorrect : OUT STD_LOGIC;
event_s_config_tlast_missing : OUT STD_LOGIC;
event_s_config_tlast_unexpected : OUT STD_LOGIC;
event_s_reload_tlast_missing : OUT STD_LOGIC;
event_s_reload_tlast_unexpected : OUT STD_LOGIC
);
END COMPONENT fir_compiler_v7_1;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA";
BEGIN
U0 : fir_compiler_v7_1
GENERIC MAP (
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_COMPONENT_NAME => "fir_lp_800kHz",
C_COEF_FILE => "fir_lp_800kHz.mif",
C_COEF_FILE_LINES => 1024,
C_FILTER_TYPE => 1,
C_INTERP_RATE => 1,
C_DECIM_RATE => 16,
C_ZERO_PACKING_FACTOR => 1,
C_SYMMETRY => 1,
C_NUM_FILTS => 1,
C_NUM_TAPS => 1024,
C_NUM_CHANNELS => 1,
C_CHANNEL_PATTERN => "fixed",
C_ROUND_MODE => 0,
C_COEF_RELOAD => 0,
C_NUM_RELOAD_SLOTS => 1,
C_COL_MODE => 1,
C_COL_PIPE_LEN => 4,
C_COL_CONFIG => "32",
C_OPTIMIZATION => 2046,
C_DATA_PATH_WIDTHS => "16,16,16,16",
C_DATA_IP_PATH_WIDTHS => "16,16",
C_DATA_PX_PATH_WIDTHS => "16,16",
C_DATA_WIDTH => 16,
C_COEF_PATH_WIDTHS => "12,13,12,13",
C_COEF_WIDTH => 25,
C_DATA_PATH_SRC => "0,0,2,2",
C_COEF_PATH_SRC => "0,1,0,1",
C_DATA_PATH_SIGN => "0,0,0,0",
C_COEF_PATH_SIGN => "1,1,1,1",
C_ACCUM_PATH_WIDTHS => "37,38,37,38",
C_OUTPUT_WIDTH => 50,
C_OUTPUT_PATH_WIDTHS => "50,50",
C_ACCUM_OP_PATH_WIDTHS => "50,50",
C_EXT_MULT_CNFG => "0,1,0,12;2,3,0,12",
C_DATA_PATH_PSAMP_SRC => "0",
C_OP_PATH_PSAMP_SRC => "0",
C_NUM_MADDS => 32,
C_OPT_MADDS => "none;none",
C_OVERSAMPLING_RATE => 1,
C_INPUT_RATE => 1,
C_OUTPUT_RATE => 16,
C_DATA_MEMTYPE => 0,
C_COEF_MEMTYPE => 2,
C_IPBUFF_MEMTYPE => 0,
C_OPBUFF_MEMTYPE => 0,
C_DATAPATH_MEMTYPE => 2,
C_MEM_ARRANGEMENT => 1,
C_DATA_MEM_PACKING => 0,
C_COEF_MEM_PACKING => 0,
C_FILTS_PACKED => 0,
C_LATENCY => 44,
C_HAS_ARESETn => 0,
C_HAS_ACLKEN => 0,
C_DATA_HAS_TLAST => 0,
C_S_DATA_HAS_FIFO => 1,
C_S_DATA_HAS_TUSER => 0,
C_S_DATA_TDATA_WIDTH => 32,
C_S_DATA_TUSER_WIDTH => 1,
C_M_DATA_HAS_TREADY => 0,
C_M_DATA_HAS_TUSER => 0,
C_M_DATA_TDATA_WIDTH => 112,
C_M_DATA_TUSER_WIDTH => 1,
C_HAS_CONFIG_CHANNEL => 0,
C_CONFIG_SYNC_MODE => 0,
C_CONFIG_PACKET_SIZE => 0,
C_CONFIG_TDATA_WIDTH => 1,
C_RELOAD_TDATA_WIDTH => 1
)
PORT MAP (
aresetn => '1',
aclk => aclk,
aclken => '1',
s_axis_data_tvalid => s_axis_data_tvalid,
s_axis_data_tready => s_axis_data_tready,
s_axis_data_tlast => '0',
s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_data_tdata => s_axis_data_tdata,
s_axis_config_tvalid => '0',
s_axis_config_tlast => '0',
s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_reload_tvalid => '0',
s_axis_reload_tlast => '0',
s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_data_tready => '1',
m_axis_data_tdata => m_axis_data_tdata
);
END fir_lp_800kHz_arch;
| gpl-2.0 | ce1d369da71160ca37d3242a901cd435 | 0.633738 | 3.244611 | false | true | false | false |
notti/dis_se | vhdl/progmem.vhd | 1 | 1,384 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.all;
use work.procedures.all;
entity progmem is
port(
clk : in std_logic;
addra : in std_logic_vector(11 downto 0);
ena : in std_logic;
doa : out t_data2;
dib : in t_data2;
addrb : in std_logic_vector(11 downto 0);
enb : in std_logic;
web : in std_logic_vector(1 downto 0);
dob : out t_data2
);
end progmem;
architecture Structural of progmem is
signal mem : t_data2_array(4095 downto 0);
signal di0 : t_data;
signal di1 : t_data;
begin
-- "simple" xilinx style ram with byte wide write enable...
process(web, dib)
begin
if web(1) = '1' then
di1 <= dib(15 downto 8);
else
di1 <= mem(to_integer(unsigned(addrb)))(15 downto 8);
end if;
if web(0) = '1' then
di0 <= dib(7 downto 0);
else
di0 <= mem(to_integer(unsigned(addrb)))(7 downto 0);
end if;
end process;
process(clk)
begin
if rising_edge(clk) then
if ena = '1' then
doa <= mem(to_integer(unsigned(addra)));
end if;
if enb = '1' then
mem(to_integer(unsigned(addrb))) <= di1 & di0;
dob <= mem(to_integer(unsigned(addrb)));
end if;
end if;
end process;
end Structural;
| bsd-2-clause | f7fbf4290ebdcd7db95a7d4ba4f13639 | 0.558526 | 3.226107 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48e2_wrapper_v3_0.vhd | 7 | 33,991 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
g2HPRefXiTVBn/GJF83Clgfyy0EvvEGZ0OMXouPHi65c55soGrxbcqLx2SgNio5NaacP6ztq3gSp
0HsBwv+DVQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UKsCEX2qhxgeW0vOjp0pWtuMfAFIEPsZrglKYl9Pojs3cd8IgP+lj7BKxS+wjeos/EmSBxLaT16X
aps+umFA/4CwIT54LE9hYAb6qN6ZtOqfvgpdvalN7doPalWMoTlkHlJcW8M9Ix+dxGWij5IWbTjY
jMgL72Vgi9dp+pMrLiU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IxBWRylY1iHZVCs0uZ3IWT7uut/Ar3YcAEFkmx9+HDlTQiJV2lCrJNpLRRjxD0gbZgSETv3JPZkQ
dauVSnnHJlq4k24MddtRueB0kkAU2ZQMIIOBQreuy8nV1h7qVht78ak9hCZ5YlyYFGUg4HKjRh8/
1FKnKdqhTqhrzvWkthr+xXvixKUEDRfzRM1Wx20FGdfk0xDzphxETbT8O9gHQFnlU7c/vL2LmgYB
Q1rClzP2TdNtSJx4YY3pQ1TDxZmD6PT981Oe+4KHXvfmZPXPQ+HQh1YQv7zppSSWd+P4iofCCyQ5
+8B3fPUkvD+fpescH8P+8cE/zUtM8o87m5k9Vw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xaG/jTdjw0YGn+VQU/UJTJXRAWRi1RXayHg835lSn7bCzJokNZHHEL1dWB9ddp1NpJvbApg5nZTw
UtD8w634Ey+1el4bkFvTAEo9Rjv0sN3c4Yh4u/t0IwPOAs11wVtViZ/wB6QS33d3w/0S4+COooLw
R5o/I42G1tTphzAoA/E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rs58ukL9ezDzfxheDvwmX+W0axbVFUK0smf+uEN5Uz0f4sAcrYFtSyNE7CkHAGqlz6jRpBhdCa31
rp/I9vLs07QCZARGG1+fTkeo1Otx/qOEIT8xBg+BYVY7LO8eVtKpL+PXQPGVR/Wkq+pSRyvdB7tA
8R98P6enCvutiGjheEB072NbvfuBGXK+wcrOREW5zZI3uGlZxUrGNGYwhHjzQEEneongGOGBJjJA
0n/rNm0ZSzVYntl90SpYQCfuigNyUAAXzt+BpPxxnjVrJuFwCMAcpUT/8GSlqJZ6dlBw57fbo6Ir
1wy6skwMLXVh/Y+Aw0GAn8nDmAP+0NWNlx/15Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23424)
`protect data_block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`protect end_protected
| gpl-2.0 | a508d1a5a25cb8ad66f6bd6fd294db5a | 0.946839 | 1.832992 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Register/Lab04/Lab04/ipcore_dir/DEBUG_RAM.vhd | 5 | 5,933 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2016 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file DEBUG_RAM.vhd when simulating
-- the core, DEBUG_RAM. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY DEBUG_RAM IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END DEBUG_RAM;
ARCHITECTURE DEBUG_RAM_a OF DEBUG_RAM IS
-- synthesis translate_off
COMPONENT wrapped_DEBUG_RAM
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_DEBUG_RAM USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral)
GENERIC MAP (
c_addra_width => 4,
c_addrb_width => 7,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "20",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_enable_32bit_address => 0,
c_family => "spartan3",
c_has_axi_id => 0,
c_has_ena => 0,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 0,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file => "BlankString",
c_init_file_name => "no_coe_file_loaded",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 0,
c_mem_type => 1,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 16,
c_read_depth_b => 128,
c_read_width_a => 32,
c_read_width_b => 4,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_bram_block => 0,
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 1,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 16,
c_write_depth_b => 128,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 32,
c_write_width_b => 4,
c_xdevicefamily => "spartan3e"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_DEBUG_RAM
PORT MAP (
clka => clka,
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
addrb => addrb,
doutb => doutb
);
-- synthesis translate_on
END DEBUG_RAM_a;
| gpl-3.0 | 48a27319ba82429052205d63ec60977d | 0.519804 | 3.893045 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_wishbone/src/vvc_methods_pkg.vhd | 1 | 20,907 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
--========================================================================================================================
-- This VVC was generated with Bitvis VVC Generator
--========================================================================================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
library bitvis_vip_scoreboard;
use bitvis_vip_scoreboard.generic_sb_support_pkg.all;
use work.wishbone_bfm_pkg.all;
use work.vvc_cmd_pkg.all;
use work.td_target_support_pkg.all;
--========================================================================================================================
--========================================================================================================================
package vvc_methods_pkg is
--========================================================================================================================
-- Types and constants for the WISHBONE VVC
--========================================================================================================================
constant C_VVC_NAME : string := "WISHBONE_VVC";
signal WISHBONE_VVCT : t_vvc_target_record := set_vvc_target_defaults(C_VVC_NAME);
alias THIS_VVCT : t_vvc_target_record is WISHBONE_VVCT;
alias t_bfm_config is t_wishbone_bfm_config;
-- Type found in UVVM-Util types_pkg
constant C_WISHBONE_INTER_BFM_DELAY_DEFAULT : t_inter_bfm_delay := (
delay_type => NO_DELAY,
delay_in_time => 0 ns,
inter_bfm_delay_violation_severity => WARNING
);
type t_vvc_config is record
inter_bfm_delay : t_inter_bfm_delay; -- Minimum delay between BFM accesses from the VVC. If parameter delay_type is set to NO_DELAY, BFM accesses will be back to back, i.e. no delay.
cmd_queue_count_max : natural; -- Maximum pending number in command queue before queue is full. Adding additional commands will result in an ERROR.
cmd_queue_count_threshold : natural; -- An alert with severity 'cmd_queue_count_threshold_severity' will be issued if command queue exceeds this count. Used for early warning if command queue is almost full. Will be ignored if set to 0.
cmd_queue_count_threshold_severity : t_alert_level; -- Severity of alert to be initiated if exceeding cmd_queue_count_threshold
result_queue_count_max : natural;
result_queue_count_threshold_severity : t_alert_level;
result_queue_count_threshold : natural;
bfm_config : t_wishbone_bfm_config; -- Configuration for the BFM. See BFM quick reference
msg_id_panel : t_msg_id_panel; -- VVC dedicated message ID panel
parent_msg_id_panel : t_msg_id_panel; --UVVM: temporary fix for HVVC, remove in v3.0
end record;
type t_vvc_config_array is array (natural range <>) of t_vvc_config;
constant C_WISHBONE_VVC_CONFIG_DEFAULT : t_vvc_config := (
inter_bfm_delay => C_WISHBONE_INTER_BFM_DELAY_DEFAULT,
cmd_queue_count_max => C_CMD_QUEUE_COUNT_MAX,
cmd_queue_count_threshold => C_CMD_QUEUE_COUNT_THRESHOLD,
cmd_queue_count_threshold_severity => C_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
result_queue_count_max => C_RESULT_QUEUE_COUNT_MAX,
result_queue_count_threshold_severity => C_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY,
result_queue_count_threshold => C_RESULT_QUEUE_COUNT_THRESHOLD,
bfm_config => C_WISHBONE_BFM_CONFIG_DEFAULT,
msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT,
parent_msg_id_panel => C_VVC_MSG_ID_PANEL_DEFAULT
);
type t_vvc_status is record
current_cmd_idx : natural;
previous_cmd_idx : natural;
pending_cmd_cnt : natural;
end record;
type t_vvc_status_array is array (natural range <>) of t_vvc_status;
constant C_VVC_STATUS_DEFAULT : t_vvc_status := (
current_cmd_idx => 0,
previous_cmd_idx => 0,
pending_cmd_cnt => 0
);
-- Transaction information to include in the wave view during simulation
type t_transaction_info is record
operation : t_operation;
addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0);
data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0);
msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
end record;
type t_transaction_info_array is array (natural range <>) of t_transaction_info;
constant C_TRANSACTION_INFO_DEFAULT : t_transaction_info := (
-- Example:
operation => NO_OPERATION,
addr => (others => '0'),
data => (others => '0'),
msg => (others => ' ')
);
shared variable shared_wishbone_vvc_config : t_vvc_config_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_WISHBONE_VVC_CONFIG_DEFAULT);
shared variable shared_wishbone_vvc_status : t_vvc_status_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_VVC_STATUS_DEFAULT);
shared variable shared_wishbone_transaction_info : t_transaction_info_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_TRANSACTION_INFO_DEFAULT);
-- Scoreboard
package wishbone_sb_pkg is new bitvis_vip_scoreboard.generic_sb_pkg
generic map (t_element => std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0),
element_match => std_match,
to_string_element => to_string);
use wishbone_sb_pkg.all;
shared variable WISHBONE_VVC_SB : wishbone_sb_pkg.t_generic_sb;
--==========================================================================================
-- Methods dedicated to this VVC
-- - These procedures are called from the testbench in order for the VVC to execute
-- BFM calls towards the given interface. The VVC interpreter will queue these calls
-- and then the VVC executor will fetch the commands from the queue and handle the
-- actual BFM execution.
-- For details on how the BFM procedures work, see the QuickRef.
--==========================================================================================
procedure wishbone_write(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant data : in std_logic_vector;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure wishbone_read(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant data_routing : in t_data_routing;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure wishbone_read(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
procedure wishbone_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant data : in std_logic_vector;
constant msg : in string;
constant alert_level : in t_alert_level := ERROR;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
);
--==============================================================================
-- VVC Activity
--==============================================================================
procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic;
variable vvc_status : inout t_vvc_status;
constant activity : in t_activity;
constant entry_num_in_vvc_activity_register : in integer;
constant last_cmd_idx_executed : in natural;
constant command_queue_is_empty : in boolean;
constant scope : in string := C_VVC_NAME);
--==============================================================================
-- VVC Scoreboard helper method
--==============================================================================
function pad_wishbone_sb(
constant data : in std_logic_vector
) return std_logic_vector;
end package vvc_methods_pkg;
package body vvc_methods_pkg is
--========================================================================================================================
-- Methods dedicated to this VVC
--========================================================================================================================
procedure wishbone_write(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant data : in std_logic_vector;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := "wishbone_write";
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all
& ", " & to_string(addr, HEX, AS_IS, INCL_RADIX) & ", " & to_string(data, HEX, AS_IS, INCL_RADIX) & ")";
variable v_normalised_addr : unsigned(shared_vvc_cmd.addr'length-1 downto 0) :=
normalize_and_check(addr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "addr", "shared_vvc_cmd.addr", proc_call & " called with to wide address. " & add_msg_delimiter(msg));
variable v_normalised_data : std_logic_vector(shared_vvc_cmd.data'length-1 downto 0) :=
normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg));
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, WRITE);
shared_vvc_cmd.addr := v_normalised_addr;
shared_vvc_cmd.data := v_normalised_data;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure wishbone_read(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant data_routing : in t_data_routing;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := "wishbone_read";
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all
& ", " & to_string(addr, HEX, AS_IS, INCL_RADIX) & ")";
variable v_normalised_addr : unsigned(shared_vvc_cmd.addr'length-1 downto 0) :=
normalize_and_check(addr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "addr", "shared_vvc_cmd.addr", proc_call & " called with to wide address. " & add_msg_delimiter(msg));
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, READ);
shared_vvc_cmd.addr := v_normalised_addr;
shared_vvc_cmd.data_routing := data_routing;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
procedure wishbone_read(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant msg : in string;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
begin
wishbone_read(VVCT, vvc_instance_idx, addr, NA, msg, scope, parent_msg_id_panel);
end procedure;
procedure wishbone_check(
signal VVCT : inout t_vvc_target_record;
constant vvc_instance_idx : in integer;
constant addr : in unsigned;
constant data : in std_logic_vector;
constant msg : in string;
constant alert_level : in t_alert_level := ERROR;
constant scope : in string := C_VVC_CMD_SCOPE_DEFAULT;
constant parent_msg_id_panel : in t_msg_id_panel := C_UNUSED_MSG_ID_PANEL -- Only intended for usage by parent HVVCs
) is
constant proc_name : string := "wishbone_check";
constant proc_call : string := proc_name & "(" & to_string(VVCT, vvc_instance_idx) -- First part common for all
& ", " & to_string(addr, HEX, AS_IS, INCL_RADIX) & ", " & to_string(data, HEX, AS_IS, INCL_RADIX) & ")";
variable v_normalised_addr : unsigned(shared_vvc_cmd.addr'length-1 downto 0) :=
normalize_and_check(addr, shared_vvc_cmd.addr, ALLOW_WIDER_NARROWER, "addr", "shared_vvc_cmd.addr", proc_call & " called with to wide address. " & add_msg_delimiter(msg));
variable v_normalised_data : std_logic_vector(shared_vvc_cmd.data'length-1 downto 0) :=
normalize_and_check(data, shared_vvc_cmd.data, ALLOW_WIDER_NARROWER, "data", "shared_vvc_cmd.data", proc_call & " called with to wide data. " & add_msg_delimiter(msg));
variable v_msg_id_panel : t_msg_id_panel := shared_msg_id_panel;
begin
-- Create command by setting common global 'VVCT' signal record and dedicated VVC 'shared_vvc_cmd' record
-- locking semaphore in set_general_target_and_command_fields to gain exclusive right to VVCT and shared_vvc_cmd
-- semaphore gets unlocked in await_cmd_from_sequencer of the targeted VVC
set_general_target_and_command_fields(VVCT, vvc_instance_idx, proc_call, msg, QUEUED, CHECK);
shared_vvc_cmd.addr := v_normalised_addr;
shared_vvc_cmd.data := v_normalised_data;
shared_vvc_cmd.alert_level := alert_level;
shared_vvc_cmd.parent_msg_id_panel := parent_msg_id_panel;
if parent_msg_id_panel /= C_UNUSED_MSG_ID_PANEL then
v_msg_id_panel := parent_msg_id_panel;
end if;
send_command_to_vvc(VVCT, std.env.resolution_limit, scope, v_msg_id_panel);
end procedure;
--==============================================================================
-- VVC Activity
--==============================================================================
procedure update_vvc_activity_register( signal global_trigger_vvc_activity_register : inout std_logic;
variable vvc_status : inout t_vvc_status;
constant activity : in t_activity;
constant entry_num_in_vvc_activity_register : in integer;
constant last_cmd_idx_executed : in natural;
constant command_queue_is_empty : in boolean;
constant scope : in string := C_VVC_NAME) is
variable v_activity : t_activity := activity;
begin
-- Update vvc_status after a command has finished (during same delta cycle the activity register is updated)
if activity = INACTIVE then
vvc_status.previous_cmd_idx := last_cmd_idx_executed;
vvc_status.current_cmd_idx := 0;
end if;
if v_activity = INACTIVE and not(command_queue_is_empty) then
v_activity := ACTIVE;
end if;
shared_vvc_activity_register.priv_report_vvc_activity(vvc_idx => entry_num_in_vvc_activity_register,
activity => v_activity,
last_cmd_idx_executed => last_cmd_idx_executed);
if global_trigger_vvc_activity_register /= 'L' then
wait until global_trigger_vvc_activity_register = 'L';
end if;
gen_pulse(global_trigger_vvc_activity_register, 0 ns, "pulsing global trigger for vvc activity register", scope, ID_NEVER);
end procedure;
--==============================================================================
-- VVC Scoreboard helper method
--==============================================================================
function pad_wishbone_sb(
constant data : in std_logic_vector
) return std_logic_vector is
begin
return pad_sb_slv(data, C_VVC_CMD_DATA_MAX_LENGTH);
end function pad_wishbone_sb;
end package body vvc_methods_pkg;
| mit | 5d958f9e6fdd253771afb44d2f97e663 | 0.54025 | 4.317844 | false | false | false | false |
keith-epidev/VHDL-lib | top/mono_radio/ip/bram/bram_funcsim.vhdl | 2 | 53,771 | -- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014
-- Date : Thu May 1 14:05:15 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim /home/keith/Documents/VHDL-lib/top/lab_7/part_3/ip/bram/bram_funcsim.vhdl
-- Design : bram
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity bramblk_mem_gen_prim_wrapper is
port (
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 10 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 10 downto 0 );
dina : in STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of bramblk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper";
end bramblk_mem_gen_prim_wrapper;
architecture STRUCTURE of bramblk_mem_gen_prim_wrapper is
signal \n_74_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : STD_LOGIC;
signal \n_75_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 16 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 1,
DOB_REG => 1,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 18,
READ_WIDTH_B => 18,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 18,
WRITE_WIDTH_B => 18
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 4) => addra(10 downto 0),
ADDRARDADDR(3) => '1',
ADDRARDADDR(2) => '1',
ADDRARDADDR(1) => '1',
ADDRARDADDR(0) => '1',
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 4) => addrb(10 downto 0),
ADDRBWRADDR(3) => '1',
ADDRBWRADDR(2) => '1',
ADDRBWRADDR(1) => '1',
ADDRBWRADDR(0) => '1',
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31) => '0',
DIADI(30) => '0',
DIADI(29) => '0',
DIADI(28) => '0',
DIADI(27) => '0',
DIADI(26) => '0',
DIADI(25) => '0',
DIADI(24) => '0',
DIADI(23) => '0',
DIADI(22) => '0',
DIADI(21) => '0',
DIADI(20) => '0',
DIADI(19) => '0',
DIADI(18) => '0',
DIADI(17) => '0',
DIADI(16) => '0',
DIADI(15 downto 0) => dina(15 downto 0),
DIBDI(31) => '0',
DIBDI(30) => '0',
DIBDI(29) => '0',
DIBDI(28) => '0',
DIBDI(27) => '0',
DIBDI(26) => '0',
DIBDI(25) => '0',
DIBDI(24) => '0',
DIBDI(23) => '0',
DIBDI(22) => '0',
DIBDI(21) => '0',
DIBDI(20) => '0',
DIBDI(19) => '0',
DIBDI(18) => '0',
DIBDI(17) => '0',
DIBDI(16) => '0',
DIBDI(15) => '0',
DIBDI(14) => '0',
DIBDI(13) => '0',
DIBDI(12) => '0',
DIBDI(11) => '0',
DIBDI(10) => '0',
DIBDI(9) => '0',
DIBDI(8) => '0',
DIBDI(7) => '0',
DIBDI(6) => '0',
DIBDI(5) => '0',
DIBDI(4) => '0',
DIBDI(3) => '0',
DIBDI(2) => '0',
DIBDI(1) => '0',
DIBDI(0) => '0',
DIPADIP(3) => '0',
DIPADIP(2) => '0',
DIPADIP(1) => '0',
DIPADIP(0) => '0',
DIPBDIP(3) => '0',
DIPBDIP(2) => '0',
DIPBDIP(1) => '0',
DIPBDIP(0) => '0',
DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0),
DOBDO(31 downto 16) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 16),
DOBDO(15 downto 0) => doutb(15 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 2),
DOPBDOP(1) => \n_74_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\,
DOPBDOP(0) => \n_75_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\,
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => wea(0),
ENBWREN => '1',
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '1',
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => '1',
WEA(2) => '1',
WEA(1) => '1',
WEA(0) => '1',
WEBWE(7) => '0',
WEBWE(6) => '0',
WEBWE(5) => '0',
WEBWE(4) => '0',
WEBWE(3) => '0',
WEBWE(2) => '0',
WEBWE(1) => '0',
WEBWE(0) => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity bramblk_mem_gen_prim_width is
port (
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 10 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 10 downto 0 );
dina : in STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of bramblk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width";
end bramblk_mem_gen_prim_width;
architecture STRUCTURE of bramblk_mem_gen_prim_width is
begin
\prim_noinit.ram\: entity work.bramblk_mem_gen_prim_wrapper
port map (
addra(10 downto 0) => addra(10 downto 0),
addrb(10 downto 0) => addrb(10 downto 0),
clka => clka,
clkb => clkb,
dina(15 downto 0) => dina(15 downto 0),
doutb(15 downto 0) => doutb(15 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity bramblk_mem_gen_generic_cstr is
port (
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 10 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 10 downto 0 );
dina : in STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of bramblk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr";
end bramblk_mem_gen_generic_cstr;
architecture STRUCTURE of bramblk_mem_gen_generic_cstr is
begin
\ramloop[0].ram.r\: entity work.bramblk_mem_gen_prim_width
port map (
addra(10 downto 0) => addra(10 downto 0),
addrb(10 downto 0) => addrb(10 downto 0),
clka => clka,
clkb => clkb,
dina(15 downto 0) => dina(15 downto 0),
doutb(15 downto 0) => doutb(15 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity bramblk_mem_gen_top is
port (
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 10 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 10 downto 0 );
dina : in STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of bramblk_mem_gen_top : entity is "blk_mem_gen_top";
end bramblk_mem_gen_top;
architecture STRUCTURE of bramblk_mem_gen_top is
begin
\valid.cstr\: entity work.bramblk_mem_gen_generic_cstr
port map (
addra(10 downto 0) => addra(10 downto 0),
addrb(10 downto 0) => addrb(10 downto 0),
clka => clka,
clkb => clkb,
dina(15 downto 0) => dina(15 downto 0),
doutb(15 downto 0) => doutb(15 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity bramblk_mem_gen_v8_2_synth is
port (
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 10 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 10 downto 0 );
dina : in STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of bramblk_mem_gen_v8_2_synth : entity is "blk_mem_gen_v8_2_synth";
end bramblk_mem_gen_v8_2_synth;
architecture STRUCTURE of bramblk_mem_gen_v8_2_synth is
begin
\gnativebmg.native_blk_mem_gen\: entity work.bramblk_mem_gen_top
port map (
addra(10 downto 0) => addra(10 downto 0),
addrb(10 downto 0) => addrb(10 downto 0),
clka => clka,
clkb => clkb,
dina(15 downto 0) => dina(15 downto 0),
doutb(15 downto 0) => doutb(15 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \bramblk_mem_gen_v8_2__parameterized0\ is
port (
clka : in STD_LOGIC;
rsta : in STD_LOGIC;
ena : in STD_LOGIC;
regcea : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 10 downto 0 );
dina : in STD_LOGIC_VECTOR ( 15 downto 0 );
douta : out STD_LOGIC_VECTOR ( 15 downto 0 );
clkb : in STD_LOGIC;
rstb : in STD_LOGIC;
enb : in STD_LOGIC;
regceb : in STD_LOGIC;
web : in STD_LOGIC_VECTOR ( 0 to 0 );
addrb : in STD_LOGIC_VECTOR ( 10 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 15 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 );
injectsbiterr : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
eccpipece : in STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
rdaddrecc : out STD_LOGIC_VECTOR ( 10 downto 0 );
sleep : in STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_injectsbiterr : in STD_LOGIC;
s_axi_injectdbiterr : in STD_LOGIC;
s_axi_sbiterr : out STD_LOGIC;
s_axi_dbiterr : out STD_LOGIC;
s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 10 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "blk_mem_gen_v8_2";
attribute C_FAMILY : string;
attribute C_FAMILY of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "zynq";
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "zynq";
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "./";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 1;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "NONE";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 4;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 9;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 1;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 1;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "no_coe_file_loaded";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "bram.mem";
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "0";
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "CE";
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "0";
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 1;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 16;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 16;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 2048;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 2048;
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 11;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "CE";
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "0";
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 1;
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "WRITE_FIRST";
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 16;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 16;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 2048;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 2048;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 11;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 1;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "ALL";
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of \bramblk_mem_gen_v8_2__parameterized0\ : entity is 0;
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "1";
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "0";
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "Estimated Power for IP : 5.11005 mW";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of \bramblk_mem_gen_v8_2__parameterized0\ : entity is "yes";
end \bramblk_mem_gen_v8_2__parameterized0\;
architecture STRUCTURE of \bramblk_mem_gen_v8_2__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
begin
dbiterr <= \<const0>\;
douta(15) <= \<const0>\;
douta(14) <= \<const0>\;
douta(13) <= \<const0>\;
douta(12) <= \<const0>\;
douta(11) <= \<const0>\;
douta(10) <= \<const0>\;
douta(9) <= \<const0>\;
douta(8) <= \<const0>\;
douta(7) <= \<const0>\;
douta(6) <= \<const0>\;
douta(5) <= \<const0>\;
douta(4) <= \<const0>\;
douta(3) <= \<const0>\;
douta(2) <= \<const0>\;
douta(1) <= \<const0>\;
douta(0) <= \<const0>\;
rdaddrecc(10) <= \<const0>\;
rdaddrecc(9) <= \<const0>\;
rdaddrecc(8) <= \<const0>\;
rdaddrecc(7) <= \<const0>\;
rdaddrecc(6) <= \<const0>\;
rdaddrecc(5) <= \<const0>\;
rdaddrecc(4) <= \<const0>\;
rdaddrecc(3) <= \<const0>\;
rdaddrecc(2) <= \<const0>\;
rdaddrecc(1) <= \<const0>\;
rdaddrecc(0) <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(3) <= \<const0>\;
s_axi_bid(2) <= \<const0>\;
s_axi_bid(1) <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_dbiterr <= \<const0>\;
s_axi_rdaddrecc(10) <= \<const0>\;
s_axi_rdaddrecc(9) <= \<const0>\;
s_axi_rdaddrecc(8) <= \<const0>\;
s_axi_rdaddrecc(7) <= \<const0>\;
s_axi_rdaddrecc(6) <= \<const0>\;
s_axi_rdaddrecc(5) <= \<const0>\;
s_axi_rdaddrecc(4) <= \<const0>\;
s_axi_rdaddrecc(3) <= \<const0>\;
s_axi_rdaddrecc(2) <= \<const0>\;
s_axi_rdaddrecc(1) <= \<const0>\;
s_axi_rdaddrecc(0) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(3) <= \<const0>\;
s_axi_rid(2) <= \<const0>\;
s_axi_rid(1) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_sbiterr <= \<const0>\;
s_axi_wready <= \<const0>\;
sbiterr <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
inst_blk_mem_gen: entity work.bramblk_mem_gen_v8_2_synth
port map (
addra(10 downto 0) => addra(10 downto 0),
addrb(10 downto 0) => addrb(10 downto 0),
clka => clka,
clkb => clkb,
dina(15 downto 0) => dina(15 downto 0),
doutb(15 downto 0) => doutb(15 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity bram is
port (
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 10 downto 0 );
dina : in STD_LOGIC_VECTOR ( 15 downto 0 );
clkb : in STD_LOGIC;
addrb : in STD_LOGIC_VECTOR ( 10 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of bram : entity is true;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of bram : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of bram : entity is "blk_mem_gen_v8_2,Vivado 2014.1";
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of bram : entity is "bram,blk_mem_gen_v8_2,{}";
attribute core_generation_info : string;
attribute core_generation_info of bram : entity is "bram,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=0,x_ipLanguage=VHDL,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=bram.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=16,C_READ_WIDTH_A=16,C_WRITE_DEPTH_A=2048,C_READ_DEPTH_A=2048,C_ADDRA_WIDTH=11,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=16,C_READ_WIDTH_B=16,C_WRITE_DEPTH_B=2048,C_READ_DEPTH_B=2048,C_ADDRB_WIDTH=11,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=1,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 5.11005 mW}";
end bram;
architecture STRUCTURE of bram is
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_douta_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of U0 : label is 11;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of U0 : label is 11;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of U0 : label is 1;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 4;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of U0 : label is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of U0 : label is 9;
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of U0 : label is 0;
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of U0 : label is "0";
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of U0 : label is "1";
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of U0 : label is "NONE";
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of U0 : label is "0";
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of U0 : label is "./";
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of U0 : label is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of U0 : label is 0;
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 5.11005 mW";
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of U0 : label is 0;
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of U0 : label is 0;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of U0 : label is 0;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 0;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 1;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of U0 : label is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of U0 : label is 0;
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of U0 : label is 0;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of U0 : label is 0;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of U0 : label is "0";
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of U0 : label is "0";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of U0 : label is "bram.mem";
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of U0 : label is "no_coe_file_loaded";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of U0 : label is 0;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 1;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of U0 : label is 0;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of U0 : label is 1;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of U0 : label is 2048;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of U0 : label is 2048;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of U0 : label is 16;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of U0 : label is 16;
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of U0 : label is 0;
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of U0 : label is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of U0 : label is "CE";
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of U0 : label is "CE";
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL";
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of U0 : label is 0;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of U0 : label is 0;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of U0 : label is 0;
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of U0 : label is 0;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of U0 : label is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of U0 : label is 1;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of U0 : label is 1;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of U0 : label is 2048;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of U0 : label is 2048;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST";
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of U0 : label is 16;
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of U0 : label is 16;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "zynq";
attribute DONT_TOUCH : boolean;
attribute DONT_TOUCH of U0 : label is std.standard.true;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.\bramblk_mem_gen_v8_2__parameterized0\
port map (
addra(10 downto 0) => addra(10 downto 0),
addrb(10 downto 0) => addrb(10 downto 0),
clka => clka,
clkb => clkb,
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
dina(15 downto 0) => dina(15 downto 0),
dinb(15) => '0',
dinb(14) => '0',
dinb(13) => '0',
dinb(12) => '0',
dinb(11) => '0',
dinb(10) => '0',
dinb(9) => '0',
dinb(8) => '0',
dinb(7) => '0',
dinb(6) => '0',
dinb(5) => '0',
dinb(4) => '0',
dinb(3) => '0',
dinb(2) => '0',
dinb(1) => '0',
dinb(0) => '0',
douta(15 downto 0) => NLW_U0_douta_UNCONNECTED(15 downto 0),
doutb(15 downto 0) => doutb(15 downto 0),
eccpipece => '0',
ena => '0',
enb => '0',
injectdbiterr => '0',
injectsbiterr => '0',
rdaddrecc(10 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(10 downto 0),
regcea => '0',
regceb => '0',
rsta => '0',
rstb => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_araddr(31) => '0',
s_axi_araddr(30) => '0',
s_axi_araddr(29) => '0',
s_axi_araddr(28) => '0',
s_axi_araddr(27) => '0',
s_axi_araddr(26) => '0',
s_axi_araddr(25) => '0',
s_axi_araddr(24) => '0',
s_axi_araddr(23) => '0',
s_axi_araddr(22) => '0',
s_axi_araddr(21) => '0',
s_axi_araddr(20) => '0',
s_axi_araddr(19) => '0',
s_axi_araddr(18) => '0',
s_axi_araddr(17) => '0',
s_axi_araddr(16) => '0',
s_axi_araddr(15) => '0',
s_axi_araddr(14) => '0',
s_axi_araddr(13) => '0',
s_axi_araddr(12) => '0',
s_axi_araddr(11) => '0',
s_axi_araddr(10) => '0',
s_axi_araddr(9) => '0',
s_axi_araddr(8) => '0',
s_axi_araddr(7) => '0',
s_axi_araddr(6) => '0',
s_axi_araddr(5) => '0',
s_axi_araddr(4) => '0',
s_axi_araddr(3) => '0',
s_axi_araddr(2) => '0',
s_axi_araddr(1) => '0',
s_axi_araddr(0) => '0',
s_axi_arburst(1) => '0',
s_axi_arburst(0) => '0',
s_axi_arid(3) => '0',
s_axi_arid(2) => '0',
s_axi_arid(1) => '0',
s_axi_arid(0) => '0',
s_axi_arlen(7) => '0',
s_axi_arlen(6) => '0',
s_axi_arlen(5) => '0',
s_axi_arlen(4) => '0',
s_axi_arlen(3) => '0',
s_axi_arlen(2) => '0',
s_axi_arlen(1) => '0',
s_axi_arlen(0) => '0',
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arsize(2) => '0',
s_axi_arsize(1) => '0',
s_axi_arsize(0) => '0',
s_axi_arvalid => '0',
s_axi_awaddr(31) => '0',
s_axi_awaddr(30) => '0',
s_axi_awaddr(29) => '0',
s_axi_awaddr(28) => '0',
s_axi_awaddr(27) => '0',
s_axi_awaddr(26) => '0',
s_axi_awaddr(25) => '0',
s_axi_awaddr(24) => '0',
s_axi_awaddr(23) => '0',
s_axi_awaddr(22) => '0',
s_axi_awaddr(21) => '0',
s_axi_awaddr(20) => '0',
s_axi_awaddr(19) => '0',
s_axi_awaddr(18) => '0',
s_axi_awaddr(17) => '0',
s_axi_awaddr(16) => '0',
s_axi_awaddr(15) => '0',
s_axi_awaddr(14) => '0',
s_axi_awaddr(13) => '0',
s_axi_awaddr(12) => '0',
s_axi_awaddr(11) => '0',
s_axi_awaddr(10) => '0',
s_axi_awaddr(9) => '0',
s_axi_awaddr(8) => '0',
s_axi_awaddr(7) => '0',
s_axi_awaddr(6) => '0',
s_axi_awaddr(5) => '0',
s_axi_awaddr(4) => '0',
s_axi_awaddr(3) => '0',
s_axi_awaddr(2) => '0',
s_axi_awaddr(1) => '0',
s_axi_awaddr(0) => '0',
s_axi_awburst(1) => '0',
s_axi_awburst(0) => '0',
s_axi_awid(3) => '0',
s_axi_awid(2) => '0',
s_axi_awid(1) => '0',
s_axi_awid(0) => '0',
s_axi_awlen(7) => '0',
s_axi_awlen(6) => '0',
s_axi_awlen(5) => '0',
s_axi_awlen(4) => '0',
s_axi_awlen(3) => '0',
s_axi_awlen(2) => '0',
s_axi_awlen(1) => '0',
s_axi_awlen(0) => '0',
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awsize(2) => '0',
s_axi_awsize(1) => '0',
s_axi_awsize(0) => '0',
s_axi_awvalid => '0',
s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED,
s_axi_injectdbiterr => '0',
s_axi_injectsbiterr => '0',
s_axi_rdaddrecc(10 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(10 downto 0),
s_axi_rdata(15 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(15 downto 0),
s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED,
s_axi_wdata(15) => '0',
s_axi_wdata(14) => '0',
s_axi_wdata(13) => '0',
s_axi_wdata(12) => '0',
s_axi_wdata(11) => '0',
s_axi_wdata(10) => '0',
s_axi_wdata(9) => '0',
s_axi_wdata(8) => '0',
s_axi_wdata(7) => '0',
s_axi_wdata(6) => '0',
s_axi_wdata(5) => '0',
s_axi_wdata(4) => '0',
s_axi_wdata(3) => '0',
s_axi_wdata(2) => '0',
s_axi_wdata(1) => '0',
s_axi_wdata(0) => '0',
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(0) => '0',
s_axi_wvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
sleep => '0',
wea(0) => wea(0),
web(0) => '0'
);
end STRUCTURE;
| gpl-2.0 | 3a02257dfd285739f993a10c4fc2dcb3 | 0.674006 | 3.456608 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_3/part_1/trigger.vhdl | 1 | 1,742 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06.03.2014 15:08:57
-- Design Name:
-- Module Name: cro - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
entity trigger is
generic(
vga_width:integer := 1280;
vga_height:integer := 1024
);
Port ( clk_100MHz : in STD_LOGIC;
input: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
value: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
valid: out STD_LOGIC;
output: out STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0);
time_val: in STD_LOGIC_VECTOR(6 downto 0)
);
end trigger;
architecture Behavioral of trigger is
signal last : std_logic_vector(log2(vga_height)-1 downto 0);
signal x : std_logic_vector(log2(vga_width)-1 downto 0);
signal active : std_logic;
signal timer : std_logic_vector(3 downto 0);
begin
valid <= active;
output <= x;
process(clk_100MHz) begin
if(clk_100MHz'event and clk_100MHz='1')then
last <= input;
if(timer > time_val)then
if(x < vga_width)then
x <= x+1;
active <='1';
end if;
timer <= (others=>'0');
end if;
timer <= timer + 1;
if(x >= vga_width and ((signed(input) >= signed(value) and signed(last) <= signed(value)) or (signed(input) = signed(value)) ) )then
x <= (others=>'0');
active <='0';
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 | 1e808fefae2d0f3aeaa393e41c6f91ed | 0.581515 | 3.05614 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_log/flt_log_rr_mul_iter.vhd | 2 | 48,950 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QiKS2WIUlW6FhV/9DBBMqBR8crPIM0aN4uXDpJ75PIFA0Yp9UTuqJhafUkWahalVoeQoHWJVQ9Lf
KvpUIBdRjQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LTKv2I9Uild8VhQFqfWoHLY1NAIy90b8BkxkHXqSx7zz+bxBV1mtyrvyAzK0X3rVU3KDY4GQb8Xb
N1BFSQJ+8uAvOOQrqD7c2+zSmnpQ7ep7wamFO4eHAniAyHY+dDkg/28kGaJggt0Z/TpjqHCxAbBJ
Osag7UkFCXl8B7E1A4Q=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
xMtI1m3/s5N1u0cXpbOUeRbuHmT7aRztxJYI9bIjIXbGdEU/cLh+GdRFsUkDr+ba6vAPlxJEZtbu
ku110BkQahehnV5pjkuwyMsc8XcueGmWLw49VkJr3ER18xg3dBJxXsxV4NGOnazYZMm9ubz/gwd+
m30jUminonTaU2gexdX1qZmReEm/dJJpADxb7vmLUnD42yGMPi9Y1XqRVofowd6o25ON4KQ3U3aW
P4b0GthQUSMS+HUKbeSbdsbL2b8kwJa35O9IiIOm1EODafcr14NJlgG6d+XUJIh2YF2QK6r7fR7u
/GZEnNnEx/ajQhK6vkaYmZs1lcmEWKp9+3El0A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NmvunQ2tWLn8pWDfgOfRXg7XbbrH79B3lo6oEf9XI28oslxIavfDdnA1XqCne7Z1dNUjTTjUZNxo
QaCV4GV8/+cP56he7VJRk7CHZgEbvAnfO5PCCGWHDnsDdzeU8wKu05N31Yu+vhXI2D/sIBFT8Cj4
Zpv/sty8P1c/RwotPW4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
k+a21CAy+Fz944dl1Zvvx7IFWJY/JD94GkU70LcwLqUbFAhHYJIaPQFMHUlWeu8zlzTuidamHuxH
3cxXWHGVqZ5U/Da5mzygYlZVqetT605sA3gWo1BRcMMDKTiVLDuJX381W6s771I/vEUtjAvTDRqV
AZWXe4/toN+6uObQVtSCHcp43QAjp4nWtNLtU27mCLlhVNONuUvFaGEVPlcG3+EXsCZVX3ebCkFf
YTMhTY7XfN2LD8QvviApo6FILO7h+lfDB47fOahErUYgRLNO5TXwtYpwKiSweLPu6MBX2AcYOiu+
vd7LE+e5GDvLznAmcF/6mwmHk/j7g3/w+drcBA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 34496)
`protect data_block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`protect end_protected
| gpl-2.0 | 4a5e11f723e41df8080662814bd52492 | 0.950501 | 1.829975 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/c_shift_ram_v12_0/hdl/c_shift_ram_v12_0_viv_comp.vhd | 3 | 9,221 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JtPucT+1uvXaBZ5bKQa6EQoSYdrCWeCFYJnc6Expf32anhgrsy/SPMoz3uuZimHlkSAJYfhvTh8O
J+gGlNV7dQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ikdDCNUlYk+SdRAm0dSlZG02FZNzYnM0E5yE6LY0rxWNEhuEAnCuHUZi+XboGQYRCr1SzapEGgL5
3VJoc4mgET5H4AUcZDjMlIWBypv1ByqAkS/bjWswmuJCB2QDP5kaAjU9Ksk6KJVehrHihvkGYsQ/
ZnaA7V7b8siV0HQnmOo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
czoTUuPiRa4n+tNzCcrBHkkEvs9u/Fnxh1SWyEYAKzMSd7aAKhRAY/5x33qXt5auM4Y05tTQ8g6x
6jmDUpRvsGIP3L6JVHuiXtY4J2xWv7WClojIETrTJWRxbBoy3WWvzK+G9iARuGLc70a+y6DZgFEN
9vO/iDCXSud+Zjksg7ZnP0DvJVGX7bcWIQywUVmDVWhvLhH2DV//nxUp33w5ghztYgEtXEqri8co
0hzL/bH5lGkh9Fb5+k0J4a4hzungm74zq16Wi1J5SW1rXQK9FivDSAF+KaJ2So3mJrwPPzOrb7mJ
NSqrN4+wf9mF3iDZNmkp3Xh8SsLOeUSv0NudSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gQILIV+hkptsWt6fdUg/Tv9fikgtvc8qkiPKq/AZym5/4qVoRnV8eZGqWnqmUFz14SXkWe9EytXd
bOiCEmqYckGmYBEMSWmNAc8LCBWvH/wu5Hkd/H9EX2S6Y0TXceJ4Hvze7vkRCAtPIJpeZ/aWrq2l
NIcFxkw3WxED6Ol1uC0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LAL5H2kMQ3st1aKDhGeiNu9aqFGHD9nH16up6GUE0lagbws41h5bnJ75+nA1NJZ59Y4KtKILiyZN
3pvkit9wZN39qzRT/6Noc/UjajFx82jH51OpSehTmlmsso0OftbXoxcDY4Lkquvl/NaubYK8YWuG
YfKIL8eAUIQl8IkDtrNYoCQiL+/33/7HpRGsziowx2+JVzPFSyrxYGCVGsFyeE9uYRFcrhh1UPyI
LQcGzRQ04RcCUvR1hgP1ikCE0wsyE2BfccqCnwngA+s6WpfscAlWZo6IM+tqmXx0HxQXysjPahKj
WouMtp7yz2BBI56yKQnsU/EIj0IIr4gtUB4EuQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5088)
`protect data_block
20Wp+2QKadOvGqCATihtGfvpEfXMN5fdv5oifcAWye/X4M+wzrRT8MD/05Axw3gAxVF4Di/OreZL
wksVfRvweljrp45tXLSmABvO7F//prybRaMY2G6DPa+N/9okHXtsLWISiNqCbbwP6rI62wrbtYY8
RLcglG0ITXr1A/HDKSGbpbPTz4SpepdzZpUKVv3ghLgoNh70qritXrmJG/sRucPIhPzWp7sGY/aR
ojS56IaHrkOTPKiDqTkhAULxz4buyZJaF9z0uKvqRGDW6RTYQiQTCb5u49zq8e6sAmzUmboW6tYZ
Ium80gJYBYg78i1C0K/Yhyj1OIZ/fpSkl8vigv+pb0D8+pEhIsMueQCtnuIkCXPSW+CHpsiLwsXc
mvuyAKpjYIj783A1T8UrPzM7Z4JnxTzckS3LmUp0eYDcodRifP+VH717WzSk12x7fPrsx5Pa7DGr
nSKSs64LlW5JKR+DE3E7ptPFDN48VQrblgGLyQEKXRtY4d8v/tGYsVl7HJBChRBGev4wbLv7lYw1
CPpOcaIfT2FOPq7hQ3TIUAdgvBIkIvFZ8e/gcrRjURjYTgcjvXPl0gCYdouiQokgWLuOqMe6hLhP
Idl75FrS1mrouN+hHi860A4XAE/oo2JuBYxFYqgDTphgRDZK6FRhEiL0Js/XDJZ5O8bqvQ6359R+
covhTuu9g8Km8iexuhy/YiNri8QhplY6wPNxYFAQOd2UqYx9xE65izqwcFp5D1JqrUlk41WLGnb6
349F2pjgd6c1J3jGZRAAtVzIgjND0Y0pJqYEXoicM+qKBOBAY2LylvoBcIOYsWdx1zxtUQjNh0SY
FmogKQJJtEJgKDpd+nVoW7/6qbzhbxcO1La7KlWGUHqXYA1m/785Q4u8QldVR0m5WhvLZ0qYdfyZ
BStUfkF8MNGRpvTwmhWnPXT+Mx775JAxNnnXx1vtvV11QtkoMeLaSN4OZp32NQNZUpP6cfXA5WfD
xpABJKLzcCr1/rPeUMXPU9gohqMGkj6e13YYkQNURv9MR9OHiRLRyyOjjn0wG91elmHwpGEOuTjE
abYDajhEWA6pGWvfzKiVldm5+bPc+nv/7HOo0X2J7maGZExMttVa3bScCHBJtEU8rTbkX+uYkWBB
D0JcHWS0awDMgYir6McEfOHPGBWA7mooNAexVROkGpIoiqi2cCnhbjWQhi3ZCFLVFgoMUko2PJXo
xNUIqxzbz0ByT71G/8x+T/GKmAI761mqj6NgEc1O18yx77qtZesf6h5/+BFY5hsLl8jdnCvxg6Uw
jGHGcARUYXqnbJCiAaRfSy3LuUj5t9t3uilImHHZZXY/PKgW0L66ROCZiSAKerSbBfvHj6q/c5GC
KY0LxxZY9b2Cy4gTQHGfd2Nn8+3cmpDSN9bof4/j8QiHwoM8niOhyzGKQ2pUhTu6k9nBmkjgxcLx
c8OX2s5VlI3Xp8hpx/BGd1JOmodAKs75chp1KEcnAYIKjmZzDT8wo/3LOBZ0eUPjLF1PxoNAxADP
9qnicNYImB+392A7VWLuJDMWuWh2wz2m7n2Ax0DOxdVjS9Tilon5ZU2rv10Sc3PLkQTo/03I1F/R
EMJq9/jOvdpWkBTSPNVD9TNtnUcrfgAyuJkjncgRGg3Gf0mlXKtkDahIajKJDdDUCanlYS9f5N1Y
QZGfUJlUjLEr22gcS3fRv5kKoy3yPck2oIlEuRAg0skOJ+Wx3yz5rWtZbOcrf0Qt8Xvalg68/r4W
s7oqMwp6Xt0R0uJgWvZw9vz+AU8Bsa5hy0oaXrOu+SBuoO3umD3gBZ2rgjkP3OA0MSeokrAY7a9q
rMC254aFmPSHLQzkErT8Q38MsPxCHmmywDQ1yH9QWORmHbtJ7zJ+i33ytTxqHRiJZHYcnEF71cvg
cz8NrCUAxSozsQzBULP0TIIexmYOF+lIGG485DXxiNsQdH2QUGUZ3LvYqlWSHe9mc7/qr8Qk93Cu
wZR11rNMFr4APHurjEs7U0wKkowQ9QzHYkNnUrEJJcwe9C7Kuqw+W5wDhSpT1JTqPR8A67WxNtA8
QsywSFKQtQSEA471PzDIgeeXPUJp6HMwSk/2YlL3wvLN0mmCYRbZtCrjAdTmRUl/wCmiOKQC4rkT
ydGuxaj0VxSFy3OOAFBqfOGGlqqAglxseEI1/xjktnQgysBXwWTXt3Pq1VdFbjcRaLdUaaT7tuL0
uAo/WBQt0itQ9I0DMUOgpwf3nyxoXQ6qYini/zc39mNQrxC5jZHmMYb1Z6HU2/z3rWC/gqIs79d4
qenDr3FxnAmGmkO0pW2zt5e6VlAm0ef8GNVFmSs2N/iqv+ixfVXTy8oNQQRLAOpTXkKmRpH7RH+j
bD8HRtEW5tmv89jt4iWc1VtX1ab+rKHz2X/mRSw8UU5Sp3+rX7TYUc8H8+KY0r9vaBDicWyztbRB
Qz60+S4+eFnG1IQkmfKkKcrZr7u/S4Mui83vj0A+47Pb60asMLOdfHm5aHbH8fwkBXzS0J6eO8SO
5HYuhs+t2YOqAf+uBFzo3+uacfONw3GAfLEEWvGxCY+9HUQ2eH2SyOWvZkudO0UwfEeB6POHlCZA
MH1u5vcssOa3/ptlOwrVq0WQkIbuJWP8RGYoqVQ2jTIx5X9Sc/KkEA9XVORHkfWELnmgIBRuzb9B
IRFQusBY9cgPniKuME1JvH005Kt24jNtcpeRLVNAtU7pK2iyCnTT2BjtZ3+cKepKDUCXcQBm3Pg9
kq7aQh/xhFs779xwMQ3HH3Dm7rXZXN90B5zefFwCRbfOeRWhHj6O/D0RUANGggoew88pQGGgYr8Z
+RMUXM3ekZ+ga4B8tsS11/Dbz7Oqt4MdZp1CW1AN+d1NqM07T9gLusob1Va3cstrgCt3WUyL2rAc
keio8v2EEfICHxv1j/iZmKA3FLkz7vrBY4TpNFlDnBpUgUINIt9EuTL++HecYjEw4UsIenjeCCh0
/lS4ldsbxGG74bs7lsBWSAOu+BTdE5vgzkwHgvQE13G5+KT4W2r5XZMZPhMShBobLe3vKmuy/dAx
nrTU/HGubgFCHIzop2zPqa9FYnQj6CC6srtN8rgD0X9hMPGak8N9y9VUU7EKyPmnaEawbEuCCyLP
QYl8KHD1Na9tNPOHx1rvX+/Al9+ML41xbhA5q3hAg03cmYyOyBJ+EYgvzGPeZdGlAs1+dgCXKNc1
/rxFK4kgX+dyy7NBalcsW5Oyn/gIcSVbWDCctzgEMtGdoOYvnr5j7D3DfHNF30e1x8PPY/7660Mn
qyr+Ssn8wK5jdIp7ewU2TEPQEovcSLBhs/bEBX/uW2rQnyqXE9A33E40u2ADcDvGWQJJ3afQRrHF
tx7or86qHp3Dv8VbpyU9ZpxCfZrEOPxPxGc3qV+grfrXFG70ziTn1Wsfu9OlXotLE8Nh3w3augyC
kp/pCnmJ78uF11z0ywdQ8WlASdIfRG84Q0HkoccSVnTsHTWzPKvd1UyhdxSxhsn4LsL/L3FweqDf
hiHMZOWpiUpjC/fr+oIm4QpX9lG7Y8nCdCJrMnKac+XaLg/iPMyvoBBvnqXz0cB8JyykzT6VK9vZ
6fY3trvOcWRnlHNu5MERKwIqpIOKwHtT61pSWKCDsE8WxvZBsbtbJjhcOgQ90Ewp3KcY4sQyOLPf
Uiu4TXxu2Lsw+2Hn5l9pYoOXk4T0OOhtoBvclwg53jJZ/5YhieJu2digRvq1Ydq+ZAdFQZVU52Nk
ZQy+uRiPGjp2qR/bBX0fkkTxU0PFin2XmyrF8rxXYvOQ7/0DJ7vVsWqJQtEkDLyDJk9as4WsXQsd
AgcP5sqy5yf6L51lqSuEHxOiQcei+UGQ6HtCPGxKSpmdIrj+7zrNG8vdO6rrRHdYCNhqhKOkE9A5
IZzdUwx7wX8mLR6bePF0NxruGuqwY69ewKdw0pU1y7VXJx6Czuv6EJ0/JQxL34LhpfyJeanw5vVb
rxGyyUC4yO8SLSyNWEdyavMVr5QqJR9FUtsi2u2Y9ldQyXi/1O8TwaH0qOIOQfpQDpkd8taddjIr
d8O43D8iWk32fK7hsStazL0F/7SrR0I78GiheXy65Y97FBCnzwVQfc7SEIueSpDEkWwzeZBVUbsc
TMeeTTSWgskmkbtWopsXxcKL5ye36RiqSXHrK8QOkVvvgIFmQBHiNMhiscBzh43ZzkuA3dqG2DzB
oxpvQMZwvRb1qB9Yr4TwilYlthG9sFkPBwOWZZAbHq0npgEpjORJQQ584doPkoW4OWooVBQvjkNY
KllXm6jhZctA1lAeMCp4FU0LuV5iEMWhGPHGw/GedNHTjAiH/whPlCS6hgLfEUwzxVflsTi7CCr1
VmMgtPG2bZ5NnIaBUlQ0/Qt23wdS+TcTZIVzeYhne7XnTmj0V8gHLJ8TtJnf1+FcJ9K5pvKuwSfI
K4iGMEUaFUAkx3e7qL29wU16VTu7NkvgWbV8g7jbPA2xbSroA4bFA2gHpKpF/pvOAzTdNs+k2woI
epJG2jFZmfwqTciTaDb4h6HwVQm7NZatuB2Plym1yFEs/SPyH9DIPGwPZQP2fGoeOI3wxwG6paMN
YlSBclLs4pCKqnxGlO12UFEHDpVKikXE/266SXWtHkLkIVs4doLV5bx4RnYoa6eeoHrB04A+7F3F
+Jc+ufmrv3mSGR6yKdtedLEzKpFSS6us3t75O7HWp+m6sOItRRZm+T49+cs4tk0k7d+3kRp/toIo
XLoj3KVjGNfa3xKuzpym93QcNm1Dt5PzFttkKOs1vR3Vbv8BlWk7q5BOCZFzYa/8P2N0Cx7AtiPb
RSvqFUZiGqycVvcmgF2xv7DKusKJZ4rqduBC9MqMzCfbesViyUMj/TllYUs2o+utbv0uikcxsG4p
InlSLsr682yShT2Db9qD7/QmoAqZTOVf5oa2SZvAhKo0pDfmUjI7i7HMDoFQPZZRD37/tbynmh+J
TSihw7XkRBCcoc2RJLKvhLIc8AH2gAAoQcLtE6+DJkSNL1qfT0bG3MskiVX8zJcwrpqnyXRAXIfh
tXr7LPor1ii8JrA3AZkQTlp6Za3l7IrpYOZa69amtL5/bGS69ifEdrjHPp47Tf/V/cKyuogkvqED
5XRWJQQgOgX2HIWjJNrdYY0WCg4fsNUahWeM5NMArB93QpxLvf859lgccUeqqoPpX1v7Xi3wpsWf
F0KmtP4ezl7d8vSaqvxwMsxaous+983qWfweLbbfLYIAemsxSb059gvcqBSIek4o8fQK51gJL8/7
+kIWZ6L6z5eV2tMzg19KTPPr/xC2a/z5XOY79UoclqvozCbUbQXdn9OQ8FVjmlcSh75Y9NCVQDca
kf2Cr2b9ehSquHcWWqAs2iu83NR/MkWia/5D5oEl3jvRbSmfaUj3B1qvPp0kgKdP64cuWLM/La4N
+5N3XXEl0WZaS7Fqbt419mm2/SAqWyNQwyO17ScZpo2/GhAdTSrPPeHpqHrtAPDZOXDaHy16yGJV
2glWvzkVhBqq9m51O+IU/2uEsDrBv02qz4IxDpTflfhyY35+Wd6emddH0Fg0lX/yYX1F8kzsgcJq
pyr/71jj0C0eZxGVHoDHU7CR8ITFc2CXMg3CU2hdCwa47/Vnd6XcvyHVAjFow7ikr3odv/V6Nemc
ORDcz79CFMLJ9OyOISOdJEbeIAGZDDCmeVYLreGtNQHsdUi73bG6dc7hTu3jz1UIKfiXMnwHT9cc
7/Cfs74H39UHySX98L1rBNRoWWbNz7KYVEGu1PdWdegNlDVWSe5KEfWtTZBMoVFhjURldJv4TB3R
9M1IMejgqhV+1abLqpReWkR4WrpMo90/fS7A0kDcdthyjq9Ltoa+/srFu+T0UVlZ5UtDofy+Ud5K
oQq60+fAKxijgb4lJHlvgC8V+LwthCpCmNJgvBcjeNKhY1DNcbtnZ2+PN+ParkRhcMN6w/tFQOGt
AvMQOjptvPUeTB/t0yDhcr79816GW2l3er85ZzqFxURp9Fe82phZ8jPgMT+rRM3ka3zcE5CLf56L
FC9NkFJdvBQDOOyr/CgwLqECAj4zOnbdl5urfVTJPLcERTrNHnvANNdHiN0wtVYVIKcrPzEGyTvq
EOyzmZXDwGcEcNOUVsTYdgZ+33pLtHsr2/AjJe1t8urivlc7IpoLfbK71crh+AP8VmY2Goox1EPq
Xgo/kwXDi5JmzedaFSCgAQvx+6PZKmZtALK586lZtB9AVJapRBuzKedCICAE8PRZ0yZ1oe1iQjUj
KICo9vXGJSUUc4vXjsEKXR4A8U5U8BOhpZFGI5ZOyVyCg9JkJfWffsuhxnLaDMfOxYnj127ehrjt
sNBNpc8xUvrDn11mmpQ2jGKx1CaClBPc9hPFMJh93WOJReJJJMeVsDWaloOJn8UGa7V5YmmV+ZKS
hMzX30qFP8zWTybCydMEKNNJ1xRA9/wJiCzD3wkKhoFUgX8mp0eZiyflbAlNzA8Xu8hh7f72Hbgd
2/Rk0/RrUIYJN4i/cQ/+JSxbvByxHCaiqQ+2e6eXHS5BcJqGqH2Lr3OtpN1wNxw9uXkRU5L1n7FI
gcENUaBwA4fq2DUZDV3PIPYkhCKhem14XYFJEV0wYF4EMkne2HBXi7NLnXU8p8fY9iAEQ9+taDjv
ypi2X0t9xOdQzlszVR6tNqnAvm44ou7JoQQwlC4zgAgQZ65QCm6rl9xUfVfg0BcmnHL6GWCSecIJ
5WsIpVEfRiUlg0XFjLH66JY06OJdXMh8uHQHNtysNqe+HquSptNStQlEcnzAncJT4AQu/gOSswy2
lIsm9cILrp5kcf+hSDby
`protect end_protected
| gpl-2.0 | 4bbbcac9084283b9fd51204ddb5a1ed9 | 0.92246 | 1.915455 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_sqrt/flt_sqrt_mant.vhd | 3 | 35,961 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
JeAmd3vsioCul0fC5cvUHNC1gXZUmcp8u/BS3fVw/1isLmESDg3cv9r0AFeMulOWZIGY35fUlduB
Jl8Kvvituw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dLnpxMes9x6tyV/iidaBq56KIaqF7LfdNsWQHeaOYA0jLKXsWcYZ04vAXiOUjFPB7JRcmkvY+2Ri
oB1ManrkkzoKKqaVvdyJSPXM9pSGLHpYakGwOk6q78h3zRMNaoHG4qVQ7VTtSK8BUhXhLOUF0AR/
xZtFvlD7s8fNkAXLfc4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ssf8uZBhy6wXRtIWa9TL20EGLXZq/SAds3j9b8FohS5IjMXPood/ePFZ1DKy+bksUZW1yG4V0gmH
a8bTXm0TvS8jmLOulWriSJkWgELpFxj9tgATUYtKkCqh+uM3YydbqaRmbD98xqcYphAiVIsTie5Q
/+FAx+XUa3jICFUxAF1jSkLEUyDh7XSynusX2kwY3ZJQ2ZOg+dXGPW57AuNqDR7enMO26RhUCBvF
5xVYru6VDh7EI70mqydWXMjJfz9+Oy/yYwFTTSKAl0ruz42hSoKmv3w3/bPmCrbz6Wr2bhWS/Nn6
AsMajlBAd2rjTGR39snxG1b/0QhCJaimWho9/g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
rx+t8muQK8etbMFbC6LrdhFVtDkQ0KDiyn0HgtMp9Vrp8iiTYNG3g8TyNMg9+b0S/8pM9ncogcQT
rFl8f6mQNvaTHF9wwUNchcsIn6NNevGOiXF9ox2TC/FXJmzS4PAwQYdSa4dZRcclhpc0sUrXJCk/
VC5/Px5h4ll/apD6o7I=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GZTBl4Kzzy8ONPd2OV7cnM9+P0/sxI3TWuyPnCkIFANvUYCUj8CzokdpI1k7vW9SHzuij25yr/ZC
VZGc83b/Nr0VLE/1rGSvYXoTPcfyRyaNb8W9i/WyyriZ0OEFhRP3u9rJ8cwHxo+JtE1xxcLg3kSc
r6MZzrisVz2KJgvbkfwzqHNN0BczuszkjFO4fVlAjKcQiuXCUS5L+miA48CWq+kAqAE7kuaDma3f
Fr5guBprdF6LJneVna96/19parSTMvsNfIigIX9YWWdfiHY0/xrT5Wi/xzTd5aaMazURrvavsCjS
FFH148B3HHXxnPdzqp6V/a2PPowXeQbF/usgqQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24880)
`protect data_block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`protect end_protected
| gpl-2.0 | 6fe712c73513d64ec9b7b2625d921f73 | 0.947471 | 1.828773 | false | false | false | false |
keith-epidev/VHDL-lib | top/mono_radio/ip/clk_adc/clk_adc_funcsim.vhdl | 2 | 8,055 | -- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014
-- Date : Thu May 1 14:04:03 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim
-- /home/keith/Documents/VHDL-lib/top/lab_7/part_3/ip/clk_adc/clk_adc_funcsim.vhdl
-- Design : clk_adc
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity clk_adcclk_adc_clk_wiz is
port (
clk_in1_p : in STD_LOGIC;
clk_in1_n : in STD_LOGIC;
clk_250Mhz : out STD_LOGIC;
locked : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of clk_adcclk_adc_clk_wiz : entity is "clk_adc_clk_wiz";
end clk_adcclk_adc_clk_wiz;
architecture STRUCTURE of clk_adcclk_adc_clk_wiz is
signal clk_250Mhz_clk_adc : STD_LOGIC;
signal clk_in1_clk_adc : STD_LOGIC;
signal clkfbout_buf_clk_adc : STD_LOGIC;
signal clkfbout_clk_adc : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute box_type : string;
attribute box_type of clkf_buf : label is "PRIMITIVE";
attribute CAPACITANCE : string;
attribute CAPACITANCE of clkin1_ibufgds : label is "DONT_CARE";
attribute IBUF_DELAY_VALUE : string;
attribute IBUF_DELAY_VALUE of clkin1_ibufgds : label is "0";
attribute IFD_DELAY_VALUE : string;
attribute IFD_DELAY_VALUE of clkin1_ibufgds : label is "AUTO";
attribute box_type of clkin1_ibufgds : label is "PRIMITIVE";
attribute box_type of clkout1_buf : label is "PRIMITIVE";
attribute box_type of mmcm_adv_inst : label is "PRIMITIVE";
begin
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_clk_adc,
O => clkfbout_buf_clk_adc
);
clkin1_ibufgds: unisim.vcomponents.IBUFDS
generic map(
DQS_BIAS => "FALSE",
IOSTANDARD => "DEFAULT"
)
port map (
I => clk_in1_p,
IB => clk_in1_n,
O => clk_in1_clk_adc
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_250Mhz_clk_adc,
O => clk_250Mhz
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 4.000000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 4.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 4.000000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 236.250000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 1,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "ZHOLD",
DIVCLK_DIVIDE => 1,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.000000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_clk_adc,
CLKFBOUT => clkfbout_clk_adc,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clk_in1_clk_adc,
CLKIN2 => '0',
CLKINSEL => '1',
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk_250Mhz_clk_adc,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6) => '0',
DADDR(5) => '0',
DADDR(4) => '0',
DADDR(3) => '0',
DADDR(2) => '0',
DADDR(1) => '0',
DADDR(0) => '0',
DCLK => '0',
DEN => '0',
DI(15) => '0',
DI(14) => '0',
DI(13) => '0',
DI(12) => '0',
DI(11) => '0',
DI(10) => '0',
DI(9) => '0',
DI(8) => '0',
DI(7) => '0',
DI(6) => '0',
DI(5) => '0',
DI(4) => '0',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => '0',
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => '0',
LOCKED => locked,
PSCLK => '0',
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => '0',
PSINCDEC => '0',
PWRDWN => '0',
RST => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity clk_adc is
port (
clk_in1_p : in STD_LOGIC;
clk_in1_n : in STD_LOGIC;
clk_250Mhz : out STD_LOGIC;
locked : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of clk_adc : entity is true;
attribute core_generation_info : string;
attribute core_generation_info of clk_adc : entity is "clk_adc,clk_wiz_v5_1,{component_name=clk_adc,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=4.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
end clk_adc;
architecture STRUCTURE of clk_adc is
begin
U0: entity work.clk_adcclk_adc_clk_wiz
port map (
clk_250Mhz => clk_250Mhz,
clk_in1_n => clk_in1_n,
clk_in1_p => clk_in1_p,
locked => locked
);
end STRUCTURE;
| gpl-2.0 | 0da7258f48a7222945941284f82d2669 | 0.622346 | 3.238842 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | Lab4/VGADebug/VGADebug/blinker.vhd | 4 | 1,406 | ---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: BLINKER
-- Project Name: VGA Toplevel
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Simulate a BLINKER by inverting the
-- font for 1/2 second.
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity BLINKER is
Port ( CLK : in STD_LOGIC;
ADDR_B : in STD_LOGIC_VECTOR (11 downto 0);
CURSOR_ADR : in STD_LOGIC_VECTOR (11 downto 0);
OUTPUT : out STD_LOGIC_VECTOR (7 downto 0);
FONT_ROM : in STD_LOGIC_VECTOR (7 downto 0));
end BLINKER;
architecture Behavioral of BLINKER is
signal sel : std_logic;
signal out1 : std_logic_vector(7 downto 0):="11111111";
begin
with sel select
OUTPUT<=out1 when '1',
FONT_ROM when others;
sel<='1' when ADDR_B=CURSOR_ADR else '0';
process(CLK)
variable count : integer;
begin
if CLK'event and CLK='1' then
count:=count+1;
if count=12500000 then
out1<=FONT_ROM;
elsif count=25000000 then
out1<="11111111";
count:=0;
end if;
end if;
end process;
end Behavioral;
| gpl-3.0 | 08760d98685196cda314dd2685cf9929 | 0.603841 | 3.614396 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xbip_utils_v3_0/hdl/xcc_utils_v3_0.vhd | 20 | 7,255 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
W00CmVavaE6xpJm+b6xK1oylsc3w9012G0NpEidmtkcnNf7sGxYvWk7hZZ/4udVcfLvZK+EwXddQ
Mb1PZ73EtQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Vg+m9KEq1D3Dj/VA/mHeuE6kqIzu1Rz586wlm6BjbXOI0was+kQj16EO1FpBfc+xCgA2kv8Vv/uO
2GuxrNbHFAH/bkB64EDnE9/zIVPVDjIHoCB3zI/pRm34b3HMUCUcP5BWmM/EgYYeAvOTUGm6JHhC
Tf/P+9Ef2oth8ALM+AI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KsSsCDq1QIl+O73zb+CIYhmCkNVRObSmEAGyyPq5fWQgZ6yxuwpPRFGmCXxXW5VLFnvTSrc4KIiq
ayzocbJpB/4bnUvuzNexfJ1boxln7QfN6A7LZPBahsghHAdfDmy8PA3IKDzmA+ZGuNX5D+DTzJOH
X4rtYWyvQfz8hsapx2NOxGGRgXRx0sFqOe6HLT6uuJJTqqoRGjiP+9MdrrqXJvlrg9dWS/IoThp3
bUKv0QodGBUMGfrArkAVQm2a+7Bb/Gx4Jyklwkahx3DxqPvj/ROjvefPz6fAD6FZqV+mcQCaeyRQ
XhEFoFLDHFG7WeZRC1h40sCf0Qod+lqTZ0I4wA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xu6mK82nJVHrDrsBncEkjFSr+C55lIRrJGrQqCRg1sh/afc/8tRMRP8v/RPTMMwluFinLaAbVyJA
qbrlZVcgPaCR9FKt90y93ok74hdhuXjw0+W6i11yQGaysoPjM+10KhEb8JoNC7mDCyu8/zl/NQyl
lOBuZbsX7GpuLWh1lZg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
p5Iixc6t1ooHurr5J4hbaaASc/tYEDZVcIxYVPtPuNc78QswHhpRBdGw3kLlcylpeH2LcvR72QdC
f227apjhBAaQ5YZ6HTEhpb+/d4ZXgkP2ZEfOkGED+x+Pgd6+OudFO0jA5rr+QFsTSb33sXixawEO
GHNFNwmdeNloXriotEE/ZYrwgQzG9VROLCTiEBlMw7lKUAY3toERtBy68/Vd96my53C4LuGk8LOK
oGpGa7lxXVlEuceoUHnfkIH8J2kZk9uo875ERmVNG61SnVWFmUETSJneGqyXQfX7E0/2GVODoU+Y
VTAgs4liRaB5+DBDSIm72W6jVcYctnd7gQs9NA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3632)
`protect data_block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`protect end_protected
| gpl-2.0 | c825948a46cc18829d566f860cc335dc | 0.91082 | 1.966658 | false | false | false | false |
r2t2sdr/r2t2 | fpga/modules/r2t2/adc/adc_dco.vhdl | 1 | 1,482 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.NUMERIC_STD.all;
LIBRARY unisim;
USE unisim.VCOMPONENTS.all;
ENTITY adc_dco_alignment IS
PORT(
LVDS_ADC_DCO_N : IN std_logic;
LVDS_ADC_DCO_P : IN std_logic;
adc_clk : OUT std_logic;
adc_clk_div : OUT std_logic
);
END ENTITY adc_dco_alignment;
ARCHITECTURE struct OF adc_dco_alignment IS
SIGNAL dco : std_logic;
COMPONENT BUFIO
PORT (
I : IN std_ulogic;
O : OUT std_ulogic
);
END COMPONENT BUFIO;
COMPONENT BUFR
GENERIC (
BUFR_DIVIDE : string := "BYPASS";
SIM_DEVICE : string := "VIRTEX4"
);
PORT (
CE : IN std_ulogic;
CLR : IN std_ulogic;
I : IN std_ulogic;
O : OUT std_ulogic
);
END COMPONENT BUFR;
COMPONENT IBUFDS_LVDS_25
PORT (
I : IN std_ulogic;
IB : IN std_ulogic;
O : OUT std_ulogic
);
END COMPONENT IBUFDS_LVDS_25;
BEGIN
DCO_BUFIO : BUFIO
PORT MAP (
O => adc_clk,
I => dco
);
DCO_BUFR : BUFR
GENERIC MAP (
BUFR_DIVIDE => "4",
SIM_DEVICE => "7SERIES"
)
PORT MAP (
O => adc_clk_div,
CE => '1',
CLR => '0',
I => dco
);
DCO_buf : IBUFDS_LVDS_25
PORT MAP (
O => dco,
I => LVDS_ADC_DCO_P,
IB => LVDS_ADC_DCO_N
);
END ARCHITECTURE struct;
| gpl-3.0 | 12cde55135058d5ae8e1e3345e44f17d | 0.50135 | 3.391304 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_shift_msb_first.vhd | 3 | 18,279 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LNVz1rea9NzMbeuB/wYxpWpB5zAC8+id+gCCi6npX04jbHGHheRT0ts+7F4dt0v1u15Gzh9+3BJI
WLNBbjUxMQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nX1ESc+52czLSuKKdtdwDeq0tNp+aF6jgwtNFJ6eql2pu7o2lDJrTJqRrK3O7GGOCQNv4SbAQjjA
JQR1kZAWKBACedP/a1vcmdxDCsdQef8JX85jCfpXg/G7O0esTj46nPk1MaEuMjFRifI8jJGbnlHl
aY9qGePmFcudnqrwPtg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dZIwWFGIPMpuhut1oh3Dn8pfai4hZPkaIZb3RSIhhXE7XmNEvXoWOKSkEhOadoPPPQcj1UkQSYcL
AkHFiviziXxdjaNmwztrdKcSri3jCsGwK7cbTqvNqEakdVSNzVw3c/zRgDmMJNBDtvsxyMlOYFgO
UY/2LztXmpnIP8jDis2BRELrHLWbYBPjbwueLGpj/15EwDl0UeKvDGohMsmtwy16h0yWH/e5YAb7
NrsyHfLRc6I61W6eg2+BghY97xqguiqdXlTuuaUal9z/3A/ejZl924h1yljfI+Mp8PpdZN3XRpyh
8IPomwrEZPtCCFSu4PHCDITJnE3+VjHda2MPXQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sB8ly0+ZP6ribbYUBr+jzZ6Fk4c2RStpcoJFRHS81HusO5yXvWhiX6TwKt4tvPW4Cdbf0Qg6VmCk
Y6t+ZkEWp+3gv+OdaCi98z9Z+uzVhRUHAdI0EdFqb4MMaDwg5o58O16uYDhKA+QjGAUZnfmTOFqp
93DMHN0QpVrgbUhtna8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
phubltgmQ8rHKwqYtpd4LryV05/SkHoyA/5XSEe4D60Vd43NL2RYPpMT26mniOl5TVLnZ1/TQVfg
cr67rEZ+H5MPHQxBAPqiCaCDAq1PbmKkLWaSRxVlMCNRGc9xp/BLwEC4CTEDwRVuQMuOiVjaov2P
3fN2qkJz1Tas64O1ndRyzOn3kFgY2EYfZ7t136DrDbpER5AE99sTNqXfWbkwuafjC1V/hy0CI/oQ
5slO/3Le94w4dIynBuzIYGZurUJAOAPaJAUtICQ2shPvOLunrJd+DO+33Ur1ECCLZFV/8HoB5Z5x
2iKLzy3DzTV1llJFLiYQMi3H91svcnVTK/m2rA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11792)
`protect data_block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=
`protect end_protected
| gpl-2.0 | 4721a1c26767f71ee7127bd7c2401c06 | 0.93922 | 1.87074 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_axistream/src/vvc_cmd_pkg.vhd | 1 | 8,737 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
use work.axistream_bfm_pkg.all;
use work.transaction_pkg.all;
--========================================================================================================================
--========================================================================================================================
package vvc_cmd_pkg is
alias t_operation is work.transaction_pkg.t_operation;
--===============================================================================================
-- t_vvc_cmd_record
-- - Record type used for communication with the VVC
--===============================================================================================
type t_vvc_cmd_record is record
-- VVC dedicated fields
data_array : t_byte_array(0 to C_VVC_CMD_DATA_MAX_BYTES-1);
data_array_length : integer range -10 to C_VVC_CMD_DATA_MAX_BYTES; -- Some negative numbers have special meaning in axistreamStartTransmits()
-- If you need support for more bits per data byte, replace this with a wider type:
user_array : t_user_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
user_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One user_array entry per word (clock cycle)
strb_array : t_strb_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
strb_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One strb_array entry per word (clock cycle)
id_array : t_id_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
id_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One id_array entry per word (clock cycle)
dest_array : t_dest_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
dest_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One dest_array entry per word (clock cycle)
-- Common VVC fields
operation : t_operation;
proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
data_routing : t_data_routing;
cmd_idx : natural;
command_type : t_immediate_or_queued;
msg_id : t_msg_id;
gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed
gen_boolean : boolean; -- Generic boolean
timeout : time;
alert_level : t_alert_level;
delay : time;
quietness : t_quietness;
parent_msg_id_panel : t_msg_id_panel;
end record;
constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := (
data_array => (others => (others => '0')),
data_array_length => 1,
user_array => (others => (others => '0')),
user_array_length => 1,
strb_array => (others => (others => '0')),
strb_array_length => 1,
id_array => (others => (others => '0')),
id_array_length => 1,
dest_array => (others => (others => '0')),
dest_array_length => 1,
-- Common VVC fields
operation => NO_OPERATION,
proc_call => (others => NUL),
msg => (others => NUL),
data_routing => NA,
cmd_idx => 0,
command_type => NO_COMMAND_TYPE,
msg_id => NO_ID,
gen_integer_array => (others => -1),
gen_boolean => false,
timeout => 0 ns,
alert_level => FAILURE,
delay => 0 ns,
quietness => NON_QUIET,
parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL
);
--===============================================================================================
-- shared_vvc_cmd
-- - Shared variable used for transmitting VVC commands
--===============================================================================================
shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
--===============================================================================================
-- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response :
--
-- - Used for storing the result of a BFM procedure called by the VVC,
-- so that the result can be transported from the VVC to for example a sequencer via
-- fetch_result() as described in VVC_Framework_common_methods_QuickRef
--
-- - t_vvc_result includes the return value of the procedure in the BFM.
-- It can also be defined as a record if multiple values shall be transported from the BFM
--===============================================================================================
type t_vvc_result is record
data_array : t_byte_array(0 to C_VVC_CMD_DATA_MAX_BYTES-1);
data_length : natural;
user_array : t_user_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
strb_array : t_strb_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
id_array : t_id_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
dest_array : t_dest_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
end record;
type t_vvc_result_queue_element is record
cmd_idx : natural; -- from UVVM handshake mechanism
result : t_vvc_result;
end record;
type t_vvc_response is record
fetch_is_accepted : boolean;
transaction_result : t_transaction_result;
result : t_vvc_result;
end record;
shared variable shared_vvc_response : t_vvc_response;
--===============================================================================================
-- t_last_received_cmd_idx :
-- - Used to store the last queued cmd in vvc interpreter.
--===============================================================================================
type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer;
--===============================================================================================
-- shared_vvc_last_received_cmd_idx
-- - Shared variable used to get last queued index from vvc to sequencer
--===============================================================================================
shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => -1));
--===============================================================================================
-- Procedures
--===============================================================================================
function to_string(
result : t_vvc_result
) return string;
end package vvc_cmd_pkg;
package body vvc_cmd_pkg is
-- Custom to_string overload needed when result is of a type that haven't got one already
function to_string(
result : t_vvc_result
) return string is
begin
return to_string(result.data_length) & " Bytes";
end;
end package body vvc_cmd_pkg;
| mit | fa6a6c3a5a9eaa2026dd9df3632ae5ad | 0.469154 | 4.65229 | false | false | false | false |